repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/common/input_blk.vhd
19
28006
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DYkUg37UnVRJ+X5v5iFDmCWObMw/mUCrJuxa/Cr9wGl4FgcJi6OQesLI1M+aH7+emQJssoNWrh+N iL9trwbpEg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vb74X6mc2H0e6MLiEAhBKZ84QSTgHhg3aAfwLeb5H8AGScZ7UqNDKDmI5IhuJ/LPpdHQCtOent5+ I1p5tELHTH0LzN6BILTKGZBdaGJ2AKKoofyljqaR51srCF/ZJLUOrn1XUZMkdlutYXGikghh+zK5 6+/HFEYyz6zhpfFGpAE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DUY8u9eRLqeXCDG4E2/8OtDIacK06AysbSio1XfMMKnofNQFNkb8eAjngrn4u/YZ6G16ZNMG7YoY jk2Rx2Q3M5GrNkHLNcW1r1FM93KBIPYna3s3UsOdPXI8u/gdrTwtTwv/xpFT5pO5KUummozg1ol2 CfVK4phP0ptL6RF00qSF6IA3NotRdVSf39i8Abyti2fNqAeVQtQbe8y1/1WV9RrHHqEjarv5sqIY 6GslwJ8wdJjPL0QS11gBEh6rDpndqUhWIIFTUrFMd1tEU2WzUCNSxtbBPYlWfpU8e4/l9e5xSsF6 weW3wzZvwjgR473vdWcupdpbpXFjQjfOA39+/w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block p0GGQgjzPW+6PIUsMdZXTQnjW6BUopNyvt7ApHmGMwjrt0lKkYFdeq6NnHPNeKi9xrrloGAO2Tha FhPoK1WSUQvFoRR4uKVUk0OywXYhciTgYL90XL5T7z6pvP+T2xdoDnAiUPoqzH/Ubhhi84EoGyo2 +zIDCCcTvvnznOBjfpk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m1/kaqW4ETEcDTOeEJMS5yQHRelnhe+7sXgpcKiP6lTf8NZHj87LtgfMx1Oh7TGMtL3OsgLwXKl5 B/MVSSTPV7z0P/OvFd/MWYJqIMAVI0yV4hJ8dwWC7KK/kawdL1h0Q4iS0dxjn9/392LJCmqkJJmj TEThXH1uoH4tMKV7xRRg0/MNNOk8hPErcV0Sx7ZxMFsvJk/PuOEi0wzy6daa+A+gop4M475HPjAb iPZ63o2focv37v9R+NETZc+LyDzZAZPFDxIiHCnZlRMpU+rYc4lLu+Wj7afASerzvuIcVvlJO0R8 MuDtSunchT2Nxfc8io8WUTVsWpkmP/zQb3BvSQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18992) `protect data_block f6CNel5Ozi1i9FoWzlExxlWYh7DYb4prushNSEGldyJG5PWfK284mBM5CUuT41x9GbDluIQvbljl zUiYaCIrSRcTn0XclVxiaJqneNv2300Sbq74Sg923NrsPq8iXDiSh2MPQhFzRCDeO+r9dWhzAIQL wXEBNeLpO783XjXeXxb84EBA1ghldCnDCprBHVAcdpm5BNjFD4lNd0P7HpVzV3FQey85q6bNJXRg xA9K4ZE/wYCZNdJxARPL/qUuxtFY2dH+jDmR9J/TqwVYXMo4MWbaB7WhwbjrbdbKqMa43lLr1+bn arMwmTjKCSonIm9MiKsv+ONyJEfNQm1boDp3W3y5/9lFcwLXAkLhcoLO9Kv0/LpjclBGQYXokNSH s/IjSe2wjdl50TIT/nzDq2/NJsuQk8d0Ar1yNJDgsxbsE1et6N5f84Ou8GIOrUYrR331nh50zqpH aLaknAL1rcOKPqPgziCOqv/WqoKoAXYTeS8lrXlKvJpUY2FNXLkhTcicodCCAMeqJ0P9VHGuoPde 21d5/+/P887Keamrx6G6SXjL0cJRo+PpGzLmnGD5OC9qWtFIJYsy6xCitddyAd6B1hiPAvtTztzQ gf1PxBdRA52+e1n0r/sCI+YC5xN6e03OZk0Dqi+YILeYbYGem4irA3HK2//V8d88wVbH+fLYgUvT nP25OTITkT7chy3NRNLzMOHdALV237eLszKJ3m7nJkuLBPwS3qVP3MrQyds1cm9zVaq6YPW7m+k+ nt0H4OM58KGqFRNgml67XqIH9CUv1o94wpE7gwlJEtTZgFfQoZ0BwgLh8KV8Vp8W3okhW8gOeB0g /DGNwxfTPyxAH5UimWmMkWx61drfekruB28q4xfyf0HBmkclDI3Bk6+hpKtbRS3qZQkV8frRAViV 3YdwjhZoZA8vUQReDYEe7kW/IGdT3D2XtgkTQJQAY701dDOKJBjUYaTChB4IErGymsUkfV+NuxpM tCVKkHg9VLyXxGRfKijFhM6lkotfNXiecZsA5pkfnOFzEvFeUsNQSug/FRhA32ddNSvFkTnYxHae JI6ssg3gblcZqN04MPncVYmbEUvc+Eu7ge6JboU6BFPreQLXlmXB3Yg/89It4Dz7+ZgN1qaCOGl6 t2c1vbDwDKQJRyBk6PnnQIXaEYBhqbUt6huOdWM5V+590A6+oPUDX39N3IDOn7xbhQt9GgHlSe+k eKa9pzTAC/Z2bHJEYQUhNr050zfDT/OnZjkLr0n5AJ4evW1CNPF3fD8mSqgq+4SzU8ty4S2AjhAV lJJ+WPYqNNqJka4Wtb+PbFSeynCq4FoLMDHCLDLV1oc3PXon8VAx/SPnykTw+CcT3sFaY/xR+zlQ OC/SI36Xv2dMyIIT9tcfmTJin4WrzbiHVYLh1IblGpYD6bFkR5T5YfZJeL7kDthCU0in6aiFndBw 1vAiNIQbPmrrT6cc3w5ncPLJSMrdLzjuJCo0W35od/V7L9usaioAEn/2ZvXxKwt7ZbCLtFC7oDjJ rZ1PChkFgcQ194L8495UUBtnwON1MTd7c1AgPPDplOeNvBEI6A4EBkGh4pp+1FXn90xb5FZPBpNH 5PWTgGGP7BS8Fhca+14EX5fxMZ763+vsNX6+wu8VB1MVFL7TCOrE1NH2Tl+YH2UXQMBqCsAxeYhV w3KSqWlMqYc6kUMte47cior+N1lr9/0W1/UFIHsXk4oookxSNz16L/Ga1F7V7J+xDekCLhn6MDAD L+3XAldWPrK1gRx0NIefBpxUoJavNA8lz3YOnpCUTgNXL0JAX7VRNwEKOOKaskpzyLry1zP9JFVU uh34xY8n2bFpRjtSwJGESh5MwgjddKsPkrqQUfavvGtS6hy6YAGFZ/ljiyTXmp7Vsg92X77V7hqf ieDlbNhj3TggKfghy4cm40qLUJPYGhQ9QrooCEfez6u+lUwTCRffaiEhtqF4CF0rQ7SC2dqKoyjO 6XfUON+3DViGvM6/LyXg0jEh0qFfhqimBZJQgRnWTRaTm68/qnw/rWjVK+AX0UwnMX0GJA86wC0j WiFa3DKEoETtTNNtxcHEK8p9zuRMRUVqy6RnG/NsZS85z0kLgjcubhsnF6EB+Bl+b5pS7p1se7sW M4IDEkMW+ixKrngFPjkZoRc/+5uOzdvGi0kywcgojbGOe8WCnhKvB0m8oIzCZd6zpYj/RfSru5kU /zA9BE3wa/fAKJI/jR8/1VbTmDfJF/JD2yV//fjbU0beWYlPx8m3yGGdPS1xocNlq+giHyEDxa1f XnRMg0YBdcXgCm9vguCJkTKUDRlb0TNb8dX50grgPVMdUt8Gh0cQJujXqN/XAVCjkMhVjOURwpJi 3SdsF5M3MSA5JHIy/mlcY/+38UFr83UXyGSxCKJidO2nZc5/iATmFY9nHc/0QLoKuqP7hbyKbxZ2 Pp+UgJ8vr0tpeRWgTzv8o6HZK3H3AttfLWq6g0Oqdmod+H7nuYbttqV+Ezv7/kW9D8FBJkxVwmm0 Jz0BHBRIf7P8XbhrsgNCFdCN2EycPC1DdfDAp9UqzDe+PCaGEy98tU4AUfCWeYUq8kc0HbxOTgkQ PrHFpTFauoP6iXXSQ6VrijWzz7sX1ZmlHBFhjKBNtWPxPaCv9zuI0v5OhlgpjCWD69SNthfXtn7F mzoTio/eBsWS4cnHWatU0BPPHkgPkCCGX4j3NZkflKqsCm1lQ7nw7i8k/+WKWbaC+F3SNYFy+Xcl OQTptvfEyd0WYwvDkZIOS6/n1MrQZ5Bqq581bqUXzINZ4sfXVI8kxt4kHt78pQoNQBmDmJ+ZoLbO ntoSphZOT7fEBaQEshq0SPhvchXFclskX9CgBM5qqYErzuMlILvfYkUA4zFrhhmjBqfL//xr+D8b ctdAghC1sPnjsi3uoNDVVV1CQz+U89NWrtkB3xVJ0Mfik+HE2+3ZE5TCkOSsVH2vhwNPMmmomWLx TTGmsd44d/hSsKAGkoOZgIBoIGBIklLpdVo/6XwdlbTAW8er3tK+HjTOfod4c7pXN59aPy+YZjo8 2unSC/RqNVgEkh3kDBk2rnsbTWQgX7S7+8OUK+Qb1WxbHYawCGn7i1jWq+Pad8UdExBCnLN4P9Q0 gYnoTH+MJ/UxDe99VplYPYC7gHvLE/OAAlEN/40Fm1domPwGv9Th1sr2Lqbb7nX223GXBjrwTOKP q+vN9zdpN5N57HJcBEqtUZNXmCKXN3QrihD3X6iK8M5ID3ZUpZNIcz8lk56QvEvzJOBUR1kA7wLM evOTaR2mzEcoDHCw2N9RX6MJLzZ0zUYgja3dVEMn2OlI6mzHtMJimKruyCUKyt1TOwz4ZEqpN3+n wANmKRFoSDdku17puheuvAqXICka0Dpo+sQL7fW65x/993gHNs2OMlTy8+R2BI21jjWyqeWbRsVB U/w9CnHqpoUxiPF0w1LieZgbcOuzWjHSYST5DXQVYBems1Jo3st9onnuR5GPEI4Urd0kEfyXqqay mD8+1qqTB9LJaD1HnNJ+iEWZuxl7KiuRvx8fPAAuBqaD3NkVxHAllRjHrE1jF7kce6OGLNURSe4z HaIJ+F8eOG6DXpcbCY+X+CZcHEHqSL70iLWs8W3g9xNDlINZaerXgy+oMO4D4OLMQSRLjEVRYDcM sXjjYtQNV5AYaRTifU/fb89T+xvczX0RjTE3ynMDYmmZPlCyQr7z9+jd+25ayN8TCY3mcK2gaOfG dEc4dVU8q5U4jtkJc92Khz7FkuolBbigNk//ocaFpJpby5Y2zq7BVCqbs9MP5Tj25uJbfpzl7ar7 c3lMZHs/71FeT2vtl6PaXNcFw23xcytGkJjTLh1Z8WUFgnlFL1zfnMkqvWvjs3vYRrgoPY1eLYWh Q2YrCOqJLe/c1Ze3hzlip3iKn5d94lEFncCtcrUIlxrrOMNCDZM2Kf/JFn1bT6nZjsMIyG5UsD8c qDtaWnkJqdFESAPKmjkF0n3blBvhySOlMYKJFOxGucACou55GCfZ2ypjOjvUoXIHjzFG9Q1rE1EK ox8lCK8BXLg/+5CQqHftrJ+8R/zDnpVmq8jVxj7lVwPatNHu5Ql7/NJTUkxrc1rntn/nm6jf5XlP Yil1DHL8c4dgvfp9udaGJCUXEtWSBn+mv4vxmLOZU6HDJo2roMK2p9OcTyaK6vjAyglN6dL5x1e8 56EyilUySvoKxG2JM1wTqmInK3xA0nBC7E/OwRVoVaDLc5q88EUN8sscKAA9kJRcjzVkiUfEE4PL c4qlORJbWMFtVx6EnacGVmfuE1gpzhG5bpDEyG19HtU3b0/Ku0ASSiLS0Ib4HnlgsuQv6MDZl/IL ew9DYDkWjrgA1q0H3jx3q7gZtIXSQKKDTjvskpK3aiufJUnr8fCxBwd6vu9S6jpk6l14PyCcrWc9 eQh9fkQ7ClSYNFjCEKOVUiKGC6QRqQXa6/s7pCjtKyV2oghoG6g3pKGESp+5Efi2NUOMu+8K+DHX IyQTEwoJmv8S8a1jxmczA8Ss+l8aVoz+AF/3RBRteT1/Jz3OY6Duoj6TX4/U88AzDbgKjk79ozmS og+DHZ7a3MKTLS0tUzLUqDWplplLqsZ2m6SBf2dNJ2DxH1ua3dUnhomAuccCnToojS914DkRBU6U Y9LR378U/9YIMIS0mdVMMrxYGTJmBrX+4swkUCXImt0UCiZg/bzDDfWNHVxEy+GXbGWDTSCSCsaM /wNayaneSZIS0lqQlqrGYMchrEXxMVUUqhDzJSuccWYdoRPUnhe8omJDD/iMnjnH83xPxXBR38r+ C9MgqfrOK0aOmNXhfJN3RUH6cnooyMGeMN1kegxl4YYdRiLt7UMsVHHwkTfbhfQuAQMrvGSzdDjY Sh2IsbO9hMOPiNaqm0pOoKi73KThvcmX5WlVzMTNEjGmjRuDKhcocFr52+rKb20bCVAdsQaZ4gtW 4p7Nf+YUO9atXBCJ5FiSmD6tpyh7O9vHAFYhPA2rsglP2ZvL+0pRa3ZxAJpSMwCC29XEzGPkCojK NeNK4TjetIeME/XmFrPn+pAkkgskcXTx6KvbG2+ANVPcgMuiv30FfAAb4e9egpfWfTkeNgYqS+0h YZ2o1O2ugiauQITT9cykdeveN/wQ6AnV3kNH8vFErTtDBtKeEfx9Qmj6Nd6Ac/CF1kECr+5fssLN wmFionx1Hhit2RLDW4gfZ+QqlcosdqMAaZP5h9MliLnSwMA+dCfbq0Ks4+K2yALoAxZsJx60cdw2 PMkAqDmXU2cT/PWZoPixn4zz4b/EBaI0gXnJs4gG2ldC7WAAumZYTPaeoyYFd3aJDu22y5Jm1pxi 1cRitvvsvsXFJk6mtyOl+ojFuJAJee8WLjhBFznLtemDSwDYR4zOeOiMON/EEQUQx5sqQMGC1rMb CIi8DROyDRfXDXYXlNIkclz8IlbhF63WWkfsJPoL7vcwTw5tWji1xnlQZXdkN8NgmIgfSYKUjKtT 8BtmuS75bJzCANZP2g88PffcatT7N7H9YMmEPzfRpZz96jHziY7o8nXu12odNPFTTwshTTdD1Ilp FwNXZXbryjgnpABqp7l9q8Enk1AUdNzhsZRY0j2I8dD8IcIWO1wIvBh70tXeIn3pBd8LxWAioosi 4vz6IquNKo93saNWVs4AHL+P8lcPz/MCWF77WhROnj0Hkwl6kefo/TfxPgCPulMGB9thJtOd/YsO ExTibATaHC/gyz/m8Tp0Gbxyp25Xs3nh+X1Gf1NoK6DhqowrtzBUcOxleccbN0wqCEqUMDEmxIr6 1mB+2NlQPxn+SFD5E+DE7xBV80WCXggsU4cJTxMCEuuRe2wUaFn1H90xrUAv9f5iAP1FenUghkOl jZOQVLT8BDykY1X+0p/2BbG8xOXAxuF+t82Ia1TdBcZO110TZyysKAN/KenYFMYD8P/fmEuLPC/n tOVbJ+4cFd9q+awtohTSBLMsX3UHecP22ai8xazg/8r80FeO2Grfe3fb663Zjz8p8qCyCYvcVBxk CrWUnRi9webWL6vASGxgA2an+Sf0S3JFQ0+Y5spmgDY3KLYeVYUozU8cX9RZVj1lXMgm2YaT1FF/ hpBIETc0cktPxLSXb7+yxdilZF48I3K4PzKOCtg9GH1EZzkVqdI4FimCReJHBFd/4BEjOS0VV3Kt Q68yCD26X8ryhveP6CA2r60cjk/cE4zE99NWlhKhkOCa0u8CAFHAe5Ej4IqZ55PkLUmS0THz/ysi hTOEr/7js5X4R5yNUvs4HflrnAM+hBSHsJ/CM6sl32bfkcqlOxMxaWYz8dpaYQucF/jQWMGJ7VBf 8oN5yL+nvdbvzEflcRjjde77Wg+vlFgTSbwuPt3McOWooWk0WrUyluKFZc1qqnhsAwS50UHLsvYX r4odA+8eRLcfJXVwL6J5Z6qJQlXjl2wLXcIMbMNyYD2LsW3eJpVeeKcriJ/SFJobAl84gZKUtlYK vJ8z9oWJaNs0YF2MzmsZXeYniFWcejOwMETBjJ2EIWMvjPJ4FPKduh6b+QxdV17pWiHFftcQymF3 /93bDLdlMqoHZccqco37k9LjcxexLNYbLB/Auk03P7oWqVv7G0vL85EKOstGULYC3e+xPCVSloEP KFcLAN4AUuHOt3AdKHh7G1L8IxT0B/alD92BPOn5WaS7+A9QQ53QORAnID7e4p2eIt5iUhbznkjd SUGwV/Lv/8sWPoeFZdQ4fiQ0yzL2oAb4rdK+WRH4cRSbFmZAqEdVvH6cGz7WXJN/SfxYd3I+Mlnn fh/BZOV93kuSX2sYhCQVwv3/2RFQryvUflcOCxAOSYSXndD6KgLHplCseFryrJRxDADgy32yunRO sWsNxkfFSU0+XwCDxVY/M1SEnRqTsltUC3ctK9jK6HU92i56908/XohbUVFSCy+DuD7VI1c8ryIG v2fgiDyNiV1/JK41rGrlaFXAYZhA/ka1sAo/mKiLAhou0bZiFU2os6cv7MPqeqmm30hSHKBON5/R rv7WY5IgqFFXvj3EokriPVV4F8c5sH9Yz+Khi8hnKSPvSumPviGghEz9kzRGAWsjoLlrXcqh4N58 L4v60KJqZq+84IN/4/V93yiCXtHmwolYOcd9AQDa4QWU4KFpKsBe6C2ItdEN1oKYr501NXapbdFu VG1wKisIz2V/w62TI1A+Gj4x2JjCkcqSe2fkY4KhISdPC4+m2V0sHEqfq65SQkM8YZ34tc3hL7j2 VdQSQMiGPPEnQTC2ZuFRMdIxzGVtk7cbhZGYdWWajG53NesG6iXXWELwCvoQ+B42xIRgG2mdn/mv tMfL3LGDMEAoM4BvwC1w7gJW6s8ZB2leQcYcBzCXR7V2J8p2gqSz8ovPNq48v/K1n1ZoaFttNzrk ltBQb61+lSbMD/N27LS3mT2cAk8n1TiGf4GtzGgTKc2yF1R2ZeHoF93terruhNMucl6YY9k2k8cX 3aXHE8MKqB1LvrvfrD5ByB2dFkevisa0APo0VGnlikIG2u2kyza152Sn3pm9MxsAlogTRTVzW7+F qPE+u8Kz4RV+zjOeVFKBdqXXH1LT4DtMGRMmQpZETL1CahEEe+ALX2yXwu77pkQGeHbAIV8fmg0u 7dTRCgefIjigS5n+fAQtkPny4FpByt9YO7yXZCiPl0PZaIJx98/6UVW+E1ezEzpj2kOs8aVSNTCy 1Ske7wmh6Za1Tf3IZNJSIrEUVha+KHAR1llt/2UM+yI1Azs1LgdIafpdAx55Ubga0vfZJ4pu7bYK WFviHAEZaEHw0C25Kncl+YV6QsnB7exQ4cD8lmNOGm9clWHjw4pFQ9BZ0X4wImrOmVgYlyptV1fS DRmN3wTn90J5j6UAE+Bux9NDw3hdF6eTm/MlwMqMk3rpWbwKPuTapowR29AU8vq/YpX35aAm6C+V wJwxl6IdzNjLJzZiDZ+HUxV5dvddHzG0XdGEI9SBwGpVQlD7JhU4xOblI508UyKXy6msTuId+rbB W6p3Idptia3NkujUYRRBg8q4iMh7wLC84mtFix12F9423xc+hpLDTKfQN4p1ToReBIp0e4RqzLi1 XwhNWfERLkINnaoqnELHoizmm/xKNou6vrdetIbPAiAYrYJ8DmZGsZ9H5DwqMEGcbT+1wJv+sDu6 vbyZWz1NXOTvuic6Y/8cWwO8RMS3nc45P5/it589NN4PyRhlLt3Sb6NvquTmn22Zt/1YLEKcUK/o YcTTDc8VsEiK8MVrRrS9KitbgZhr1u3O5NLCzEPNjzRyvsWRuEFJQptDdoeF0Q9alOTVgLU2B0eF +cZKFlZMybJJwfchCfNVhU/aSvmQY2p+Se+kIHEbVo2QJuqXYs4DGw1Cd7OKjKUFqpWb0T36sS3V fYY7dooz8T8SuUqNTPe+Z0WLvVFr7SEp5yDeXLaQAyTuUX7TyUk75HXE30T6j1WtmSR2ZkDdD/ul uBaLMVh8RpJpNgW6iQlMvovbYXf8Uv0XipjWOj/xY7Qbc+nJIq3iq1SDe0Mp9vtOGsjY3BPNQ8Bp AzLRxhnnZcKujXOoL1JZNCP2fz+WhSYRzEp+Z+GXO/gpW+4irUd2oOFychv2ICxZdNFleuJmc8jR Sgfj9B1T9zuNIWJc2bOzxcExz3XFmD9KhlN7pamePmKNxU5RZTYb4S77MtDQoIxznM1EbQc4Hati Txg82wLZh3eZalYjG7nLVMr5Pkk1hh9fKi5o9zhJrbfLTjnU/w0kvPhYuSsZuIC76aDGNwpmBYpL C4ouzbtNfg62htmEWIn3MO4cLgI42P/7zU/xan6h2FeH7zlmpHXEr8k/QQp/HuCd+5ZTkR4ilx1s rHtSyGGqGf1pJszJHhkibi11SsBtLpypvd3BAEgwsR9IIv+BWcrvHB7ptL2H3TJEQ8hhLsKR1fZ3 tAmkUwdH5ihdqYPS/DZcr9vXGUz0n82TbM/USBVABxrfxZyb4sp/nbtGGNur2wVFVM0hIeD3GbPq znj6gYMYkulhQrPZC+7jiWRKt63ERcL2MrZVz9gVjO69MXXq/INIEwsx3QXQCdsygcMp4rmvEiVq TDA9qLCajFfElOThI2BC1T8tFL5T/tni6Y7Csh+relCW4O3vl2AUhQcT59/KC2V4XUpWEjqc8Jij 4q6i/dmwCCXuR5qDozJ+RjeHl9i95kzLsxhKJx4N0KEzVxtq7yQ4yLshdZPdWk7icKM2ady9yc4t JlgJDNuWBctYAzN3SF5EiZfMrKvn2h8KOJoqnE6PDR3ZeeFR94OzGvznxlJiAVoBTQYI3bz8pKXa bu+mh7ayiba4rOSY+ox97MQ5LqlmlSeG6v1BNjdsyQy4cga4G3nPAK4PnxVM9NE6mBv8wIykSI8z wDOqLpnerHUOxcq3cSpnfhTg3kr/eJnuMMC7WUZzAh9i0Veto1p1Hr8/0o2OrmC689D4lzGbIX2w MAQJZuwQyMmPpCi/l4+7ySe0EKFn9W7C8e+WYdYcECQOv9ZpzEOIUFk4N0HWYT88R8ajKs2mbduI +A5b6o9Gf7o8MuJ+VWHqRGyQ7HQjQbY0NEzW7yiE0NDNkmMoY3LxzUVdjTqGANN+TBxtcFuZ/RfS uRDHRsHsZkly+pAKxoDz87200427u72W4vCEQOrh/o9HDfUHK9LoreMf2fdcOYrohIN9wi007ws3 S9MS7GwWKgK7VKE1yTck7c++1CkkSfb39MiepgS7eAC2vOLVroCFo40vIOUOKOZBD7RxetYBn4Ui AmGHs3POdVcfxPyoZfM8OvSsn/Yo4KVcGVUDPXjLd3aBC87JV8U4mc9DSuE5XHKFgvnYemuIMsNE 0sogsV+lYNhigkus1KsAVTnjDbWeXhaEE+fAXeByFpvOJ4dxtS/MCtALA8mx3GnvHYWD/hRsizKM iI9eF9tibtVzu7/WOHxsz9wKfeoFn9hdukpR0UvvcbQGNZtUyhxc3IrfkFP9c1pAOzRNM/75vjWa Qp1tD3TqRDVpyqnKZW1F5QMdJFPyvTWh1S58jDhONtgYPhEl3Fw3pJG7loYj1VG9Aq6yJQKA5XFE cH/Ku8XbK7/M9tf/HdOo7GAiqS8hJHPL9sfYJgva7tKcpJrqjv6aQgiAopEevEe3OfBmoIdij4k0 NxCNDaLJyztnNuszqH9/b6JN7E1vqIuhqtg69JFa+fd6CYlRvyK8YTTrOq5wP8OcH2XkZ/hcqptF dprwX0zLMUCaor2n+k/WNN5JOF42d2XCE7TsPj9FOHtWktiWE60cp7UHQKBRpRbKo7yx4xUeEhdm cHJc8jxdoI2SVn7iEVSa8vAA9/zaikjcvlZjADwRiXaWjSwUtTVsn99/lxHW6Lp59Cu4auE3tGAN LsG+byr3Ji82Yv5K4zGqVmLPExxdMfwxhMBgFq1MyWAHUKzNJrgX4tnsCMMzd7WQh6kxK/HHlXBL GVQdxvZojqVGyJtFn1wH3Ps31q6l34PNw9IhMW/fVJp0kYwaazFWP9yf43+rFLGBHYn4ZhMDzMOX S7JfKNp1o1pMB+BE260DyPiIsZNa+KlEaXuz4sMjxRcopikeAEnf4Qg2IYq8KkedoqirSniLUxR5 LkKVWrg0UUBHJjEYFKTjer6Vs/fXuuIjylnn6pbUScLWAfYMxy6HKCN+/U51Z+9YzDGYCkaI0eNj T4Zwpwtsgsf4nIbdUEjHclpYdEv8F3n5AzTfbJ/UOevfpOWwomBIMnioXNVu7B3pIEb3PqrKcSkb YGnScjRHK17yZ72cVs6yp6Bj9/IwwdYlD0wVyzZMO5PkBUdoa40KvmTdUEOmAPlplUyTyiYJA0aU HRuMKKUHH+WmcCnGlXod/LOwA3WT/eVMl0twQ8z5efAEJXnJKE9e1mnoDwVfnq/pchZkOx+vyDRX o4lR+6uNrKK5gms9oiXVko3R1EDZhkikKqNm0tAJU/PipAR5QqintvP8sKqCPeE/ES1oO/ih9dBc zZyB6LVV7y296hRdRF/FPmogysbHT/qDlqGmvtB8ZhdUVZZuU5rBxnpbhikU9+7Pt04i+l9PppbV nBZraryPthl+axiKh63iU5foJpXg0g6kp1JJsgm45ENYXWd0jo9G/Ql5ZbEzoBMH2X2p3wdrgAMd z4FOUvRaKKf0mOL+XYdEbC/4OK8EURtCtRFmpimPjv5mfDL3RM5qp0EGS1gP885z8uS/0D8/qfEW H9ci0NPVAlNYd9VICzrZT4poBpspBM5grfcrPyVABgdexU/IBvOOoSPuQ/gva4/C+t92iRFBmhCj OmZz8mFLgWAZASuMLMYdRMMOewPeE/2bv32DOqltt4/7ES6mG7W3YZcn96ZF537tbKKST9HyoxoJ VaTczcj5rZQylOa/QjOGWPyltC/VIz9AhYiF8uRlq1uO0rPo1saJrOTWAYopJgsF4jks3Sx4j+g+ dG6aZCHDEfVwfmka2R8iBDa3q2/iwjQ/ZGYgp0CqQqwYTPLe9zzgiaXApArgxgd+Xhllc2sqhNbh 6EOFZPVmkKDxtTf1HI8NsJevjuwcah9av5EhJNDdDCgmQyQIxrFwELpHUDnomatlsObgdmQxkjiR 0J4+yZENprhhysJNeP3kHDqW1fJJ1HuGkaKx6XbLaPD4rJ5PhyKxwjpgMrduzfp+q+Ss9zGj4O+1 XcFDaL6w2OrpR3AueN2/7VTUDR/srLe9R/Ozcmwu9t20W8bTIRH865CcYpFxlGSnjv+UVdSccbya 0N8qJcq3VTMcSV8Z+yFscYupq+lTrfYHyVMNlAhupSJFnYy1Wy7W3BhmPy6FzQdIGp2xldZHNpFQ H0PeyXvtat0Pjqq+/6bUILlkrw1QjF6LZ/hgS7EET9iCjjTFLoWc1ZykBLcKNTt2cpe3y18mK3El yRV5rxE6ayjOlJMnGAun59Z3PKFuOMtvJpSUZsms/d6g4uuDD2NhQRWs0ii+bXkgfCiTPz21KWJr husofcIWmb1Na1nfmXY1nzT7M0mUK61CShn1BvOg9ZjTni+XQaSeYoWtMsY6GXLVxYMx2oh2616/ wIxb450bspyuWCTlBlnMQwROhlsVuJqYKXugZTfFXTrrnrP7X6ysMhCdIrjDXol6HWX1oXCz1chP 4JK1Dj4KSW3nmLfHa4uMKAEIfLkJJlbTFAhfkLeTYTMttEawOHrYnFmp/7Zu0KtA21XiJMZqnTtq SuRQCrqNtV30m0C4J8Eej/sZEm/LG6RNU9ye3Jq42Xv1Ng8K70S0HPGZRmfEQqNZfpyzRgSu2eKj KahduaAq37+E695quKf1Ai0SSaXba+zxE0IRIg5BxyewHw5v1L2Rnfv7hQbC3a/tObaQr1nYzFuU 2GnZaEIth/NbjeNr2vr9yOU1Wg+bmjsLBW5g5hfPOTz88zRY5BU/5wsQ5FQI+CtIEa/YBjHORIZp LxLlFpQhWAaLlrxqT8agmfRfa8K48uN3ahca6bx6bN4g4D1h/3wE6dJ5Ihy2M4swCBl1mETiiFFO OQOK52uFgsjOQ+JFdPIC2AhS43J5R7byzVcTNsfOqwqfAYlms6v0gg9Xaq9Jqgz5eRJibh14UTGm QiEgkvsvpEezpWE4B+hlrQs1TWXEILlHtiO37cQ5KNKKQnm3lgQecGYv7ARj/fMbD1AlS303E53N MYmb/0ecxjtSEtnnDCjo5oIAbBsXh/Cbm5BWael2+i9uw1XjK6uJg76Y2Z/Sv+Nvudd4QQQyJSP/ Tu0dLmPg6OaN2Lk3AjtKpHevGVsjS67ni4+usIhppD5GZ1D4q1Xz/UmANbTmPnwTlWV8JM2t0nKZ 4Dxt93zrrlZ4ssoPCSviMYadXYRJYFWtsB+Efe62wG9UL6/q2jTWp7sOZnvQwBti45n+A/tmRI5O R2p0BfLzjzsffY818+zfbv0w2RigyRWOD2PnTb43CeHYgxWuBBVLwA1hKMCLnqr7+yrlC6heUEh5 48Qvp6Vt0h5hcKZLWqIgbI0Ih25c6y8f6KsQNBSl6shnY6sMxsaL0txOgQjg8ViGqce7pyfLz61a oxzH4JV/ROzsdf0D6R3u/hYEv63BBzR2lyluLZilJD5iIJh7jOQtw1+cR2lLML8Yd3KWVBqTik6f 2FZQ7AV3mln+5gGZnV12xjhi+UjMnnv7dkS5//Sy9uOtbuj2pE0ZiPvqFfi2HBsdW8LWRvq6wyVf 5akVYh5O6+GiD87gSqsmT7NJdoyim81fqbdW/dzi9ZE2Jc1MjbgA1VdQXNmAJYTL9mX4yCL6p3Au vk5Zzk33zsrWDwm7dyt2yHF1XfzDsCe8xye6PF0LMo5LnEX97VeGit+zlHe92FDe9Ckn/+07tVON r7/kwLMBnOl0iG6r0CKImaIMIccxLRch61oHw9tSXleT283c71GsammMlGOsdhxR4MXQpp32R8lH jda14Yr96TS62pQIjeGBsG5thRyTe2jqQ1ekeCef7ot+Q+JtsGhGu0zcqiR0wAdCiA7J+kqrSBdZ A+29G5o2HILM3qumC8Y1h6/llPoWlJ8Q6v8PVgZ1WKxLHaEj2rkcMs6t3PrqORoiLTUJLwRMfN4A wLK8Ge28sLCLv+pv/fHqwgOroElDNE5YajRZyOK8dNfyVVLTb7ZIwyXIj4KLWTiV3bLc4X5tBWq2 +t/MmYF0dn/n19UmEzV8pCmhQrYsrdJfG7Oj6QZdSA3VGLmkrWhHMRbJDwqC1uGT3MBqt+efJrIN KF5AV4IYy/6PBofSu6ruOmBvp6I3RARNtVQKz7YKCV7+JDwyCSK/7t+j0rvyispGCFfYBKAcNXZn 07+5LZ+85+LZmsdcpcRCvU/+hI/x2UWhjvP+Z85TMJh4rJZP9uJu4ifmUpWfVcu6EgKlUvpqj4pk r4gQfJq8cWWcHiPDK+h2/UQN9sYiJC4qtrN/MZ8zmZo0XwI7IOVmjiRlhf/UVeTbz2U5i7gOEm36 BHl1fAMHx9ZNyLAzb/w+M1ktdhygp43guthCc8ZFkY0d4wtaU0lA4RSd+rXD5ztyjzQI38esWAZW 52R93sKzEBpwGb41rQNfRMEBHxD8c1a/U+6Vs+7kWbOlsAunYWKNOhOXajaJhq/V38m2k2h6hS50 DHOHD9kUc4WfP8hK6mF5eZ5V+fYzJBXc8VRV4kEFlvZ0gjB3Hx9u4fsXJM/5TM8dJUGT3Zn3Bc+G H+1Bfxy4A9gHY5OzAqVI55Iz5DOyzaACbjj8eFuAwpd4AbmWilRSTft2DkSuSMZvlT9OVm3erbhF I7IDDqpvlFNM7M+l+8jEBcOSi8PMuPWBzTNlkyXlZnSUjH7MfHrsJk8K/Pqq0a3bVZw4qaLKR2aQ di4OXizGhFkgGc0dqekiPA6ThOiuS+LShkb2+5IpwWnWOvMzdiO/V0cyHKqZIQ99Nw6aaNumbk0Q UNCeB9SFAp5NJ9/hPYhYG0DpOFkO34ZNtVbPBl0e5b0c4OByy2zLNdbFnACSS1hNbOvlb8kyDEgd yOM4p+P8Wd+RIi61KYSiaidBX7EJ2RVpH6SyFRGeAIIeb+qVWINGsZA9NM9fK+kZbd8u2W5D+V/K 3UH+IyRtloaGZaCpp8jK3WKm78XmgY7Vu0IkNGZaBzvNRRZxNk46vSKA029L4aGbDnMBBKLTzhDE YtokPhxbVdBcOS/Y29m9VBFMAgwsUW/C3TKhxeiDuRfTEMpf04aR3QVAnikjlJF+MzE+vUciS2By ylvDyBLoh9nzHAznNhYbodaiDchPAwR/zhZ0gX81r8l87i/469crhYsD6PsUpCmiUDFsIX4sOnyq gpAxU7gr+Y+DvssUZyCSIC2AQG5evPHCUleQJx+fxjDXRxR24HYHoyQ9q2wEi71bKpoIV/CLyyit +n+EvBsVZiZzsgSN6MBIrgntovxoaYFuXvXZK9RodnD4USVA9yQRsHA0h4Q0hV77f7sDQ+zuJclr pOpZfzoXZQsQC37NknPzwmI/IRgv8iFso1QEKC8tQOOpb40epMPkm+uQRvq/L+vjQScDCjyZDWrC gr+Il6CKVdiDhI7vRkv/Qo/un4RJeSi/yIARMKpv/sYOrBwuu/GSXWaZ6zFDTNxS3YMlvKCnHCra SfxBP77Sq+nN2oO8YkK50PuUWVMWrwHhTsDP6EnDaaa9IhJ4hf01Bb5svId4gdlWxNr9E6HtSbXq NjllsIRKRE4s8LTpYuJxxtptXjro29kc5gG1DjItoW0Rk0kTmEI0ovyNZxldyjnrJvXGSz6xaznC 2S0WXw+baF5uBBKKWFY4rjCWMxHlXenIrwnF1Xj8eVSdszydQi9DDBP+YNTRTW63avFKahtdYoCS ScymkHmdfqSnQJtROlkLQ/mtkHqyxgdE4UmZPRqKcCMXagYKJ5nhepKaD2GjuQeYPt4LxnXnpQ35 ftxHt+DrdrQZvuvUHPZRnzNbhMBmMgRBY/innf8m+ZE6IceRtIe5yFMTApFhjcfjKNa912OCNLOo GqJ3CYZimOC3M8zMOHaUGxkDverEzzmu1/ZLwq1FTXZuW2xGJprgM4sQhKNw0crtbSk3MJNCaW2/ l5q/55QR0QT6g4zcoy7gITWor4fUXFgBQYVLHpvlG/Z7fg2Wlfn3gypZc990uFlYZSJPJ17AQ0cv MzQbtgiwW88yNw4y2gfqP64O/EPqwL8GvoLkVND+rMEqDgSGnZ6csdxyj0T9rIh0lgnJFr6efOoG GYyITW3BJuNpZj28JlSZGdsyp7zCFDohHiC+lG+kWines/ll80Zf2pjUwIkhJbqTSDjK+L8oxX0D Yw0/OBNXGDkb1913XxJUX4eRcNZGKGxvEWWCnsR8R5gXb65lkhXS/3btLFhgbZdiGmKNqvScD98M LoVbVE6ZLR7thE3pR534AJpep3vmrwQgZNMrTj4770KVCPD0j9rm7Cbmgsxg64Dynmar5ox80a6/ 6dXlJoB6iG3hqddnrO78ioBzK7MU5sSsd4Dyuc7D95Ls9XVMseCxRac+uKAmwfJjFZ3ktFARw/mP 822Vq6N+3rF9oXPNru4dXEicCt7QHinbE69MbMiJmWmDKDOVtuOSE+DLIKP9LPJPyKSbUvPuRLpL U9s4QCPpAzX+Sl9ORVMJ8I2GccOPum6dX51rBAN+zY/9jOdHgESKq3nNFan61+RUfilC9vmDBN8K iSuL9AQ8+qncoaO5LRdoEbY5MXTgCCOnwsMEXM5KGKHqlUSaL1lYfg2Y4uqPI6N1EF+gr9dkqNIP 0AgLZpLun5pBfx5HGoGaodjNsYmVUlcg138r6KKsbQTBg78PX8bb3PEeqaAVR8xLwQDQD3PbWh2w Tmb9e567iKLzGyIcdAMmjXF8LKiN2LunTflELX/WlNp2VI1LfB0cmNj4Z1zqcRMMtvfLHFBbD0Jm w8WrwEM07ncg4iBdWERogbHFZdo7Y10ZU0tVzBfVi6uEsau3XOlnN6rEYPrbwNvWl0Zk5/bkErOS nwAwKOXBgFmWRDvVVHvrZ1fB3fN+JdNIkctIwX46i5ILkr9WiaKx63kvKftqnxZJEfMK47DfdPEK 3pY8FgARmvWOZUdjBv2VjrR91vRNVVYVY4PdoE9O+attAURsqHr7j17/QqWO+NKMkZJ2eNDTLh8n LOEU2X+G2kSan9qhEqqrW++qYuJA47HXle1zdDlhChwy5GWRJH9MP6j5W6TpXKJ1glSRiRBBof1f 0nQMvDmwXI64km9KrQpPCDQZNbv/AJRhQ397FBnJXcyJ7Vx+XT6p5uMshhik+14LHECKAbZudoX6 sa3M+IyxZhXUQ6Hov5koACSTFFO4AnIQ5wDvQh8nKv1ngyDk/OL+8JXTBZ66XnMYPPzlZYWdlBI8 bJV90jlZ4IvGwoRUqKlxhODO0Q6JqFR2kuonFGt/gi9ervT+/AU/PWndJ5QPPQeVE13UD9xVenQs lZ4PND7TVxFzNqKuCQ72QryXK+BZYLHGwHQ45maq+tA468lO3aEZ/bLcQwjtdVNgLqh6vwkHkUgR OyLs+ntheu0x5C/U50Xo7jZyTr0T1Z8L1AYTm1jfA1OWY9kPnkh6NwIn+nlfL3+VJ5ZX4BtbTGH2 cU9KxgzzpjwDwlrbOlDZBsnbnariLJenECHqwuMXMl8qShx+zx7KRX9FWfaajhZVrHXttklttMl8 TX+3UIqU3UY5fvYRIZDHFgEM5xxjZ8ZmLq/dQF4/mebRJNYfgbFYl6HGTtT697ixT1/VdY9l99pA mlA84zvsACuK16+7j1vMytw/v7YDxOj7z8c4jj29b7+Jah/+ZVO7ST4Q+K6xbIvemN9+4IvwM44V /Isj3zAMhTQ9yvHPzHPTa3j8QbdYEZOr/Ixx4FcPIF7EoW2EGzU7t9SAUMTuu47FfDQQ85K/b1J3 1hrWgrL8XsuD3mz79rfzgpN+V9y+oMUBLVC1QlvFcOVrr5m9EQNIQNuRHVKTat3ZJur7nrVn3PiN E43aA5GHeRWf7WPPDbBdod/p/DlJPIH+3LcrGOXkwAWgg0Qs+Sd/ZvcLFXyNTg4PMnqV34t6oj3W V8ahNqqJuZzzCTxRrgynLiwRhdurS3ZbMV8YY6T0pe6ECUE3Stn6bdUrn/rRxhJL586GWi5RTn0L Mz/ZValm53UeODwT8o/F7mLjb6FxbMBwF+VC5zRMTNU1+jL8dmZyxwS1mE71f6o7ys7NpW+jYpPc IkVqGc/tc3of8byiCYWtRVE1qvig3g2lzseYqTiQ7hkqrr8ZignayxRkCyz00H7wxZzBCCqCfWYO uvptldO1YHy2ObXDJzBuqegAoBmhkxIDfiZLddI81Afb9PW/J/ICAOtlDnQANuxUjxmA1mMCWkDt AVhD//HCz4B5yixweuWwaQkTvglHXdTyBhqTabw07E2Ep1HajkY+u7yu8HbwjzID1PsZ3RIAhoBI X8X1WBcyXL0SArKQY75MGCDuPQuRMJXCQVQsZKVy/sR+wnXyiIJub9BfXCT3shOuvxYzRFGVo5Sb gBee3eP3iDgu8LQYnLaCr2mqhJKWjLhZD9Y0M8Xi0yuvHKZUSbBVxCQiJuKzZgg0iBtEkY+xa0e+ zU0I4qiOmZkdTS6qp9UFbPVSnnFYn/PW+ktDTqdUU+K6IlgHRWAfSKECNz/4NuZ7WJzZjiAMuDGy Tf8Ivmg0nI8NL0/GSzBxaktf8iLOCJsuwNHeOBZnoMyrlehiFB5iAkeKWwSYoiXvE6mgGItZVq0s GwLOki3BgvmWCSC/d0QYflntjjcLUkSHN8NfqFr6kKTeLjZlOo+QVNr5YVq/6nBq7eTv+ZZZH3Zs Oj3RJ2VYncSIVnNNU6JoTrCxivKBbzuea2yGzm7nppau1+8BbpCaN8jww8g2B8N73iIVldjdbog1 2Cu6FDywjdjMeAi350DYRyWl8QR++YvFi9UdqWVdyhCMKADxw54P2IWc/jQrgro3dYoobBMLC3+J f3lAzHDtDvkWv7v/5gYoZCQgJCDv/dg0C2L8htZ40hKQSGTinwWUFV7HWpfWaY/98sbNqxxfMGa6 jWhwMjdgWsF0Ox3jeg1azMwvV/ZCObJKH/krCGXMtxU734OYJRFZCDZ38CkJ9VrDI0iAiLLqy5Ea lWUhYixZMG25m8ToGKG+mgK0MbDxs6bQxbtDZ5936jo+SH4RuPF3ZX1ks21fd0jJMRvhj76g39+j 3mVCIh6bBtxlsf4jP9pZHUP3LfxyP9SIekjDbqlvd5WQQK5J4OjpovzzXQ6G6NG3hdqTzxbvmqdQ bNghSBzDi4sVU/Rc/S6bkRNiMoehBKfmd0rODhxnzWSayfgdnLKR+brbyL4mc5XQTKCi9uZkWz5J mLaVTvY3fFFx1OECki/AbHRBXNrtG2WGoD44I0Dz5m7fXfTj/ufFbiR1YWX+NwBiIaPvsirdbAw6 AmCN/UaOB+olM0HfXQBNPG0kEnhS4tV6L4F8DSilZUYULLeUKNWmh4SRLj62a1TD//fd0H+cCSsT vSpB8yXT4X1Wt4iH1itAk2jnO6PIw0pCKfBabfFzOQW+trrWvI98irWMTc7obd2CIaEfdtmTfXpA 8AevsAEnxrL945c8FK8QYvVPLTxgyjhwpGaTBRieCkI1ztZXmfDiyMuj3AlXdRb52A5l1W5BYpkz M+iHDQB4sNFyyIfqDDQyLqEzJqvCIexJgEqCUiNWvPfmTy2g1Z7X+3O5de8jyTejjGJhfFsaTeXK WUKocqF06n50C2oRo1XrBUG36Z59Oc4spQwgc3JABzfKQomjNOmRYR9+JAUKEHIUOBdXQQk8c9Ip C8MYu/LfXArw70DAlKcIeP+ZBv5Q5HbTdal4bkLEFa/PTQyFslpN3+hqY6JCnES7vgtk+rHaNTiE y9dwp/SXauK43ta+sBj4eUzHKATvzzIrjhQ0XPnp4+6ZOW20uFvOXblSVSbtZyqlq2XPA95keMD7 Axe81xDDG3FVL/cYu0zCfapO/tf0S01f/NOailAOTB/bvH3dHlSgMDv+UC3mpZMbj7Gl6ulxaXhU fE+dY7Iepls0yXD+9dVgjFTJ8NqKo/GjvYU9ysjYNA6EBR1v/ZGbXnpuP+Z5Yi9/8+4ZK2xm4Fwi F+EBltjnGi3HHrOreqqDwmzfAsKJpJvd8YZzi1+De8dXknQDt1jcp9/jE9LFeDDuHx69/YUijXQE J/RqTiLOpoBohjXDYuxiLVMUbxIcfbfbNtkob311yIfzVdJdEoG8Xr+uAsYZAw3ApHP09WiQQbon +m1jcIESItvTpMaW0Bxy9zIpH9+9Z7MDN9QWoD1gOgwIOZ4z3HfBcv9QFS8TgE27rfY8JVrPsAJE cq84zuQQszQ77hVDqL7XuOHhFs0WepFqQcwvvLtQ6ITtTfVa5YjZfCl51k8cQnVNk5ikEiPSwVSR Pdem4NpYyzB4+IcpnRw7g8UciF8zDvGdj9bx7FsSwxsLv8gvjXZaE00A8tKSo8xd6vq6o6Q7s0me mkQAx0X/BBUZvSvGypyPvzFOvYl5yNheLtyVDemYptHeCWRew5IJt/lFV6rKW3kRAZHCXefR6Ywb HQD/v5jkWrhc/5m1TBcaJQE8nlBfUQ2OZe/t895Qbbh/U67kd7HR2lVSig+GpCv8N/IHOp91423A ZIpL5LQ5N8ky62uUkbxQzAGBTh/1in5AxT9kLaNgEg7u2BdSf0XIZEWwsR35I8nZlRRX3mOLTLlQ BJEuTrMtoQ14pWqK8fNFVDfGEn8mJ6rf/c5eiu9OCmjeJQlonlnq9TF+LjpysTRCWPoaXJjR7sAY VD6i9JJSrS0KF5LMdVgO4J8Ap9WoO17cezEE26uM4dP/xsJXWOos7by7FZImqrFXTxeHyPdgMMmh GLwi/VEtD1TeHhwMC4cjQjUIPpFcdYGOdDoO9mgl5juEFktUkUBDVKp4Hbqib1eTOZxmtIjHeGRt Tick7VxQw9ICqRQZjr/UCvaIafwDJv0XlnSyMJqr9vZe3PxVOMkGB4uQBySfoVASdyyVpwmf7WK1 kM/yp8Q17wXxBx9ct3twn7d4yhM2ugclCZh9JVxkIo4IgM+FfWmXaODYCpXqEdBwMe7kFFnXBnlC eEEPe6SsNPebQJJQZCUeM61jtgLxfl9OIkCyyV4h8Sy9Jy2lom1p69d8LoKrpajUs0d4wPGvloTP 2Uo8wUo/PPDk17IOUImdoUJv/irSho7Rf66WsXAbQtU6aD60gru2CBSpvwXZN/f7pPf12KDiYXsw +3LnEyShp4ngmTE2Yn0MPsv8tO3EpX0LAJr9oOS7uxHmXWNjbV0E7VqfAvm9sAgVeLVzLVXQklpb v7HgBzmLcycwsK1aPXpszCTSM7rH2A4gX+s9KXR2kUtwmGMG/8ASyS9e0rSawHwJE31H4IeA9gaM cCi8Hcl7XcfkATKm+Cshsx2OYd3Uz42JFnJGcPZiOiYhbKrOQRe6f6EJ/2bzSQ6TbnByci8ewROz 9e6N6JE1LO9fvI2MK2wtem+czLn2CefuCujRWuZt5H0fXhrNYGsLWS1/RyfZxI0McUDQ0DSCWp2f O2FjNKJ5TkYZrNM2CAFjSKIpTFzzlU4HgXb+axoKRrr9YUSUNu5D/SCmEIB6REdZPQxluup6Oi83 F3VQPO+ufSQBB/sOWCMGKsOXTHhBeA2O/m7V2Mr7h45Eb/PHKCAVseek+opl9mz1wEpmnD9t7PeO q35/FTkQvc9JWLndStZProCXtkl/YE4iapenypVw0uXWaLJt796sWnQctdvgQ/P0nV9lSrnJwIlB d6cNMTst2imL1MUbStxknXtX5GWzmgOpg7w9XSucLzJEdOOHQN/FPVZ9kaMbyxOOq1czGh5xOoy6 GeyDD6I/KuNarqZFQxZvH/go1/dgrRFoO9k2m2Om930vOGc0xLgNqk9vahy//eBlUHBf+25gwz2s pPqdy9Pg7GNo9P8NBijWeSdhrUm70Nau5na/BttDxJ29NAYU7mSUTC3KzFT7ZwFYn95SaUyUmrNt O0sQ1Y6QLUjc3Ulqcpr4CLYFroIXsUmLK+Hxu54EU/IWtLlghEUoJhCHlP38Zgo3Sapq3PxfmPjz zkRcY7mo9LIbPiPEvKqvlHn6drcm4kcwbHzH2fC7zWc53jDBQCLBi1eK0gSNAhptZmXm+dZlrmbG ow4BSZPKTZ7TbrOJ+LGtcMmMI6zcYpiz6wfNHauamaVkFtyO4sahi74DVz7pQcrZ64qL/nzpq2CX HG2PIzKkBT+tjFw1lsprKziaoTIlVA3T62xerSTjiXAZYAC37s+XrgJQhYBqMq4+tVLppCK8mqQ8 XT3HfdN8b6LuTvwwZnFT7gTOIo/YNtOtdLg7Uu8RcQOaYZgWygWlL6s+5rCyVnwdMRmB2oRG23Ly ozBvGg1l4IHQjTupdIkUEDTYmeYjpQXz/ruObYDx7YV5Zggk/lv5j+KKKiZFz8U4ACFbI25UdI4L LwWZiDdfdlzFsVSKBFJxLqOZ9sa/grXlQ43e8jeNTNP04nyB8DlQPrb+BW9MYN3CDGwF+qhr0f62 cKRLuIcZGIW1tRD7uqVHXlXfijNc5FK/IzUnwLxYhWVqpI4hbNREBQPoy261IEeuiqZ506Ep9mDg E1W2jx+blfs2AtxYPedyNWcnQ6gSMSWn7W5HI6Ojjw6pBA5dSZuQPNZ15Wa+cKcxIcXjgnaJcM59 2VxMS/WJGmuFlyttZjJ9DAKiEp4qpjydZ5BfhvYq2O2VZyDewM1yTbQEjeRoDawqAeIncaVjQNqX AE9cq08h7yJG0fLkNP8MSBZUYHMssKool8j8HagL25EfdotaJlEJ1jzQd3lrGVJMGi43U+zF0mk1 6fLMi0TKuNaOOT/JizxSnlTHvl91jnTFnc+lxZUaIVeZ077zoXIcGqABqgq81nZ96wHId6yASAMu F3fEXO281L5+4yMtbgv+nSTsMv2s6XtDdyFzFfKBgRJ97SNZVISy1csRuGoMXVehfjqMmK0jt/nD ZKHAEdXtK+hCPKJGRW1b1EP76cR55LE440/PGvKFf+HEvVQI2LKQEA5Stuqt8VQA00gYz6JN0BZX uA0XPmGuM0UnfjbemJJim2EE0m53Cfmcm5CJWwqN9GAMKz6UCRVDIlhrjIhqlroH0HJqhwVsXzU2 F7jaPEs2GR4/3Ln+EQiHXyJJcIZ6Z+XVyBA6pNcp5YMOldSQwMaAogh9OmcdFWkEgTo9pGOoFcDS pHN2efFpO40ApbmZTAQqzWgXBFzaiTfzVKotFqWdHb52NMzsRX3aFtMm49rDecs7/qcmzqEAGrka sV9XuNTRFWR5UCF2aS+GGA2R2alrV35BGhQp2QRGuNv1tJ6t4qyMOfniYumAgIbwIcxx7x+ujWp4 uA4NSsoDm9lARY4ayBoq1vgy3kuMl3M1YNjZTX5aoJOFoM/u4FccIR5eEv4wjNvdkQXMssbjKFsn TN716hPdDiA+kFSeUteFivUmmGgxxiakz91kHD3qDZoyA18u9qHP6P4HWoIXDfuXlCD94tTNHdQM EsdJ6pJYvNYCihle2yMgh1XVELZRDL79GYS7VGOWjzhAdomSo9dn01PXICREF34JWcrLnte2LIyn LGqEFtPyfJf2EzcF3SKJo1IJ4eYd0o0fHYkNUbnee2S1OxLqPm++P3Bm7Wuu4Utztv7NL2X+Pj+s x+gNqIl0S9bYTtf8x7fJZkVLpd4+Ek2JkK0iKITIh4wkkkVgYbMGRHymWXuSwU0uj0FQf0nX3DYv RsduTWZ8CKky9iAuNecvYiQYoAI7P5hMVHJTJtE8+fPegV3Cr8HRBW6HAbdFcSTu9MDcAKXBCJIX 49YAmjF9c/m1FEDx2sgaSAaKmf+1felQZSJymQVLgGuoSWUwepVifO2J8dAh2ogtonmb23CskwTW +0LEXfuLTRHNXFkwzPbLvXv2oM8vK8NfyZ9ZMJolpD9gRLnGmj299CDdxfghQyleyYVU2I5FFmtF MuQ3llgLqg+v+M2eSE67NiKV23n/mmriBC/aNHG7gwCXprizIaevukNouYkkDANq1A3sfVQ+CsF1 o/npcNrkTusJhMsMtO27fAU7FnPsBDGC9wZKmTXwZ/AwJC0QBMBPqbLF2aQm/WAAiYbm5v9JOhA/ WcXKeYrSg89eOUoZFYtxjOzXe5W6YglSzmpPHbiIrL+JGAwR7APF/RTKmhSepBua/Mzo1+lZhEXd 0+mjxSqxbUL/3KVSDmFsZHf49rbA2pzmIQnhwOHPbhNGebuCsDMUP1gx+w93LxFuO5WxIM7QXPjL aQ/Iex9Z1F+wS8DzyHbeug2gE9WwZaEMySM7eHsosgQQF7kQt0L9txTnV2TOIzSXYC2EOsCWj9Tv oYLc4GNA/kc/5T8Pq9wKv3giUGKZ6whpdxeuzCuNPnUW8KdETw8N8eXTwxeSlt9JsrJ2KrbnSBId Q/v8UPeqTY4KSCDgPKMTAL4nv+i+DqiFDN1paFahct6IEf8vmvYhkub7IpjsYkXpCuSvC21zKRy/ HdixVcK9YwIsritp5V4I8Rp6fgAHyi4sGQOP321tBRxGmIWIoWLVJJNXQ03f8biYlzit84oWaHN7 m8//MXxpTs+nZ65gJVymaBWL9CN6qp0pdW08hTJ1aN+bImnTTnmBmKY/xXXo2+4aTw15KfLtWL0a QlI3Yno5YeunAuVrRjn+WXJxZjIrqLSgbXBzZFEGF7Ym8SEBRipasz/Th/YmRbSSdxbVL15wkiaR neHo3EQiBdo0hM+IJBL46uBMxd3QipQUbCzJ3FYZwFLx0big1lSJXJchmeyBhrz2pDx5xD85ZP// Pb+mjz2tplRxIcysZdvSSV8AanBrGsiZxIXPm/G4v6AqNKaVID+GQW2+0tCvFwA+5uVvUm5fshys y962D118DkJaEv3dqxjGIif+r5/m+2YuKxasjr7Q8ZcL/8ezhVfHF2v0xeIt8EB2qfjAUWLrKqpp wgOQ/E3Fh83Of276dIEScnI55aCcwvyGwdSGdbdrpidRpEC3DML4nOF+l+vxHHp9O4pwQy6F4i8G KCW1lD659jH5KoHwezrB0SNud9ExP0yZl3N/BNejmRMzW8pg6q8QxhgKU0jIHJc7pmm5742HTLN9 9qC+6S0makBqfx6Xa3uE9dazqooO4Szz6v7blPcvzA39ijUwRqVYQBrGyGb0r69JU8i2kdkGwbZ7 gEA8QJibLB2QQQVY9gTfSptkI2gYlgwWuhoeFR8y1YOACQpeXrJ0+3Z27FNpVYg1rRHS3W/daYAZ BSFFk9lXv6Ve24JCsqzehla04C+aKLRvUq2vSSeV9swiPJhNN7lLfalj34Inz3rOp0WlUrRVUdff CLMle8QKaDi+bDyxe5JugMavR/IL0BPbbkoNnPpQbtsTc0R+iw84hcEQOlaukA5HNz7I9d3pfwca /bM4PP3jh/bfH1rLELM/SOczAXHqgdFUtK/rrkpr+qv+iSrRNrWMZPwVRBYsTYaaQQB6loFWnh7K YbiHvfSUBKthfxtqZ0T+dTW8T3eolvFktxJXhs2jDS4JYkqFrIbbuUuPlOZJIbn5g4hHkQ9l7I2G gM0BLR4mLXgjzEs2V2z2UK7b0OfDO68myuCl1e7mlbrWoK5JWyLuN/5wo9Ca9QNsZ1MYxBh7ANyQ bS1kUKpEXOz5cEhqQDwh/hisS+p2cxdAw96sV/vmXFhWAJhuZ+6Ee5KDo8TBPY58dKWPEDxkvvI2 x/JqAFpDAtnE81iK3uewawcdAdGkMYlg3Ak41vFsbfprI6JwKfdUFvLE1LWg2mpUcdXlb6sETWth fts4m91Bz4HuEW10sC8590IzJ+FFj6DVadE9Hm9NOyAYEUglhkpbC9nlcslt/UAD8rIkpdZ38r3k cQXfmo+TjiYJBGo= `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/common/input_blk.vhd
19
28006
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DYkUg37UnVRJ+X5v5iFDmCWObMw/mUCrJuxa/Cr9wGl4FgcJi6OQesLI1M+aH7+emQJssoNWrh+N iL9trwbpEg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vb74X6mc2H0e6MLiEAhBKZ84QSTgHhg3aAfwLeb5H8AGScZ7UqNDKDmI5IhuJ/LPpdHQCtOent5+ I1p5tELHTH0LzN6BILTKGZBdaGJ2AKKoofyljqaR51srCF/ZJLUOrn1XUZMkdlutYXGikghh+zK5 6+/HFEYyz6zhpfFGpAE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DUY8u9eRLqeXCDG4E2/8OtDIacK06AysbSio1XfMMKnofNQFNkb8eAjngrn4u/YZ6G16ZNMG7YoY jk2Rx2Q3M5GrNkHLNcW1r1FM93KBIPYna3s3UsOdPXI8u/gdrTwtTwv/xpFT5pO5KUummozg1ol2 CfVK4phP0ptL6RF00qSF6IA3NotRdVSf39i8Abyti2fNqAeVQtQbe8y1/1WV9RrHHqEjarv5sqIY 6GslwJ8wdJjPL0QS11gBEh6rDpndqUhWIIFTUrFMd1tEU2WzUCNSxtbBPYlWfpU8e4/l9e5xSsF6 weW3wzZvwjgR473vdWcupdpbpXFjQjfOA39+/w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block p0GGQgjzPW+6PIUsMdZXTQnjW6BUopNyvt7ApHmGMwjrt0lKkYFdeq6NnHPNeKi9xrrloGAO2Tha FhPoK1WSUQvFoRR4uKVUk0OywXYhciTgYL90XL5T7z6pvP+T2xdoDnAiUPoqzH/Ubhhi84EoGyo2 +zIDCCcTvvnznOBjfpk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m1/kaqW4ETEcDTOeEJMS5yQHRelnhe+7sXgpcKiP6lTf8NZHj87LtgfMx1Oh7TGMtL3OsgLwXKl5 B/MVSSTPV7z0P/OvFd/MWYJqIMAVI0yV4hJ8dwWC7KK/kawdL1h0Q4iS0dxjn9/392LJCmqkJJmj TEThXH1uoH4tMKV7xRRg0/MNNOk8hPErcV0Sx7ZxMFsvJk/PuOEi0wzy6daa+A+gop4M475HPjAb iPZ63o2focv37v9R+NETZc+LyDzZAZPFDxIiHCnZlRMpU+rYc4lLu+Wj7afASerzvuIcVvlJO0R8 MuDtSunchT2Nxfc8io8WUTVsWpkmP/zQb3BvSQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18992) `protect data_block f6CNel5Ozi1i9FoWzlExxlWYh7DYb4prushNSEGldyJG5PWfK284mBM5CUuT41x9GbDluIQvbljl zUiYaCIrSRcTn0XclVxiaJqneNv2300Sbq74Sg923NrsPq8iXDiSh2MPQhFzRCDeO+r9dWhzAIQL wXEBNeLpO783XjXeXxb84EBA1ghldCnDCprBHVAcdpm5BNjFD4lNd0P7HpVzV3FQey85q6bNJXRg xA9K4ZE/wYCZNdJxARPL/qUuxtFY2dH+jDmR9J/TqwVYXMo4MWbaB7WhwbjrbdbKqMa43lLr1+bn arMwmTjKCSonIm9MiKsv+ONyJEfNQm1boDp3W3y5/9lFcwLXAkLhcoLO9Kv0/LpjclBGQYXokNSH s/IjSe2wjdl50TIT/nzDq2/NJsuQk8d0Ar1yNJDgsxbsE1et6N5f84Ou8GIOrUYrR331nh50zqpH aLaknAL1rcOKPqPgziCOqv/WqoKoAXYTeS8lrXlKvJpUY2FNXLkhTcicodCCAMeqJ0P9VHGuoPde 21d5/+/P887Keamrx6G6SXjL0cJRo+PpGzLmnGD5OC9qWtFIJYsy6xCitddyAd6B1hiPAvtTztzQ gf1PxBdRA52+e1n0r/sCI+YC5xN6e03OZk0Dqi+YILeYbYGem4irA3HK2//V8d88wVbH+fLYgUvT nP25OTITkT7chy3NRNLzMOHdALV237eLszKJ3m7nJkuLBPwS3qVP3MrQyds1cm9zVaq6YPW7m+k+ nt0H4OM58KGqFRNgml67XqIH9CUv1o94wpE7gwlJEtTZgFfQoZ0BwgLh8KV8Vp8W3okhW8gOeB0g /DGNwxfTPyxAH5UimWmMkWx61drfekruB28q4xfyf0HBmkclDI3Bk6+hpKtbRS3qZQkV8frRAViV 3YdwjhZoZA8vUQReDYEe7kW/IGdT3D2XtgkTQJQAY701dDOKJBjUYaTChB4IErGymsUkfV+NuxpM tCVKkHg9VLyXxGRfKijFhM6lkotfNXiecZsA5pkfnOFzEvFeUsNQSug/FRhA32ddNSvFkTnYxHae JI6ssg3gblcZqN04MPncVYmbEUvc+Eu7ge6JboU6BFPreQLXlmXB3Yg/89It4Dz7+ZgN1qaCOGl6 t2c1vbDwDKQJRyBk6PnnQIXaEYBhqbUt6huOdWM5V+590A6+oPUDX39N3IDOn7xbhQt9GgHlSe+k eKa9pzTAC/Z2bHJEYQUhNr050zfDT/OnZjkLr0n5AJ4evW1CNPF3fD8mSqgq+4SzU8ty4S2AjhAV lJJ+WPYqNNqJka4Wtb+PbFSeynCq4FoLMDHCLDLV1oc3PXon8VAx/SPnykTw+CcT3sFaY/xR+zlQ OC/SI36Xv2dMyIIT9tcfmTJin4WrzbiHVYLh1IblGpYD6bFkR5T5YfZJeL7kDthCU0in6aiFndBw 1vAiNIQbPmrrT6cc3w5ncPLJSMrdLzjuJCo0W35od/V7L9usaioAEn/2ZvXxKwt7ZbCLtFC7oDjJ rZ1PChkFgcQ194L8495UUBtnwON1MTd7c1AgPPDplOeNvBEI6A4EBkGh4pp+1FXn90xb5FZPBpNH 5PWTgGGP7BS8Fhca+14EX5fxMZ763+vsNX6+wu8VB1MVFL7TCOrE1NH2Tl+YH2UXQMBqCsAxeYhV w3KSqWlMqYc6kUMte47cior+N1lr9/0W1/UFIHsXk4oookxSNz16L/Ga1F7V7J+xDekCLhn6MDAD L+3XAldWPrK1gRx0NIefBpxUoJavNA8lz3YOnpCUTgNXL0JAX7VRNwEKOOKaskpzyLry1zP9JFVU uh34xY8n2bFpRjtSwJGESh5MwgjddKsPkrqQUfavvGtS6hy6YAGFZ/ljiyTXmp7Vsg92X77V7hqf ieDlbNhj3TggKfghy4cm40qLUJPYGhQ9QrooCEfez6u+lUwTCRffaiEhtqF4CF0rQ7SC2dqKoyjO 6XfUON+3DViGvM6/LyXg0jEh0qFfhqimBZJQgRnWTRaTm68/qnw/rWjVK+AX0UwnMX0GJA86wC0j WiFa3DKEoETtTNNtxcHEK8p9zuRMRUVqy6RnG/NsZS85z0kLgjcubhsnF6EB+Bl+b5pS7p1se7sW M4IDEkMW+ixKrngFPjkZoRc/+5uOzdvGi0kywcgojbGOe8WCnhKvB0m8oIzCZd6zpYj/RfSru5kU /zA9BE3wa/fAKJI/jR8/1VbTmDfJF/JD2yV//fjbU0beWYlPx8m3yGGdPS1xocNlq+giHyEDxa1f XnRMg0YBdcXgCm9vguCJkTKUDRlb0TNb8dX50grgPVMdUt8Gh0cQJujXqN/XAVCjkMhVjOURwpJi 3SdsF5M3MSA5JHIy/mlcY/+38UFr83UXyGSxCKJidO2nZc5/iATmFY9nHc/0QLoKuqP7hbyKbxZ2 Pp+UgJ8vr0tpeRWgTzv8o6HZK3H3AttfLWq6g0Oqdmod+H7nuYbttqV+Ezv7/kW9D8FBJkxVwmm0 Jz0BHBRIf7P8XbhrsgNCFdCN2EycPC1DdfDAp9UqzDe+PCaGEy98tU4AUfCWeYUq8kc0HbxOTgkQ PrHFpTFauoP6iXXSQ6VrijWzz7sX1ZmlHBFhjKBNtWPxPaCv9zuI0v5OhlgpjCWD69SNthfXtn7F mzoTio/eBsWS4cnHWatU0BPPHkgPkCCGX4j3NZkflKqsCm1lQ7nw7i8k/+WKWbaC+F3SNYFy+Xcl OQTptvfEyd0WYwvDkZIOS6/n1MrQZ5Bqq581bqUXzINZ4sfXVI8kxt4kHt78pQoNQBmDmJ+ZoLbO ntoSphZOT7fEBaQEshq0SPhvchXFclskX9CgBM5qqYErzuMlILvfYkUA4zFrhhmjBqfL//xr+D8b ctdAghC1sPnjsi3uoNDVVV1CQz+U89NWrtkB3xVJ0Mfik+HE2+3ZE5TCkOSsVH2vhwNPMmmomWLx TTGmsd44d/hSsKAGkoOZgIBoIGBIklLpdVo/6XwdlbTAW8er3tK+HjTOfod4c7pXN59aPy+YZjo8 2unSC/RqNVgEkh3kDBk2rnsbTWQgX7S7+8OUK+Qb1WxbHYawCGn7i1jWq+Pad8UdExBCnLN4P9Q0 gYnoTH+MJ/UxDe99VplYPYC7gHvLE/OAAlEN/40Fm1domPwGv9Th1sr2Lqbb7nX223GXBjrwTOKP q+vN9zdpN5N57HJcBEqtUZNXmCKXN3QrihD3X6iK8M5ID3ZUpZNIcz8lk56QvEvzJOBUR1kA7wLM evOTaR2mzEcoDHCw2N9RX6MJLzZ0zUYgja3dVEMn2OlI6mzHtMJimKruyCUKyt1TOwz4ZEqpN3+n wANmKRFoSDdku17puheuvAqXICka0Dpo+sQL7fW65x/993gHNs2OMlTy8+R2BI21jjWyqeWbRsVB U/w9CnHqpoUxiPF0w1LieZgbcOuzWjHSYST5DXQVYBems1Jo3st9onnuR5GPEI4Urd0kEfyXqqay mD8+1qqTB9LJaD1HnNJ+iEWZuxl7KiuRvx8fPAAuBqaD3NkVxHAllRjHrE1jF7kce6OGLNURSe4z HaIJ+F8eOG6DXpcbCY+X+CZcHEHqSL70iLWs8W3g9xNDlINZaerXgy+oMO4D4OLMQSRLjEVRYDcM sXjjYtQNV5AYaRTifU/fb89T+xvczX0RjTE3ynMDYmmZPlCyQr7z9+jd+25ayN8TCY3mcK2gaOfG dEc4dVU8q5U4jtkJc92Khz7FkuolBbigNk//ocaFpJpby5Y2zq7BVCqbs9MP5Tj25uJbfpzl7ar7 c3lMZHs/71FeT2vtl6PaXNcFw23xcytGkJjTLh1Z8WUFgnlFL1zfnMkqvWvjs3vYRrgoPY1eLYWh Q2YrCOqJLe/c1Ze3hzlip3iKn5d94lEFncCtcrUIlxrrOMNCDZM2Kf/JFn1bT6nZjsMIyG5UsD8c qDtaWnkJqdFESAPKmjkF0n3blBvhySOlMYKJFOxGucACou55GCfZ2ypjOjvUoXIHjzFG9Q1rE1EK ox8lCK8BXLg/+5CQqHftrJ+8R/zDnpVmq8jVxj7lVwPatNHu5Ql7/NJTUkxrc1rntn/nm6jf5XlP Yil1DHL8c4dgvfp9udaGJCUXEtWSBn+mv4vxmLOZU6HDJo2roMK2p9OcTyaK6vjAyglN6dL5x1e8 56EyilUySvoKxG2JM1wTqmInK3xA0nBC7E/OwRVoVaDLc5q88EUN8sscKAA9kJRcjzVkiUfEE4PL c4qlORJbWMFtVx6EnacGVmfuE1gpzhG5bpDEyG19HtU3b0/Ku0ASSiLS0Ib4HnlgsuQv6MDZl/IL ew9DYDkWjrgA1q0H3jx3q7gZtIXSQKKDTjvskpK3aiufJUnr8fCxBwd6vu9S6jpk6l14PyCcrWc9 eQh9fkQ7ClSYNFjCEKOVUiKGC6QRqQXa6/s7pCjtKyV2oghoG6g3pKGESp+5Efi2NUOMu+8K+DHX IyQTEwoJmv8S8a1jxmczA8Ss+l8aVoz+AF/3RBRteT1/Jz3OY6Duoj6TX4/U88AzDbgKjk79ozmS og+DHZ7a3MKTLS0tUzLUqDWplplLqsZ2m6SBf2dNJ2DxH1ua3dUnhomAuccCnToojS914DkRBU6U Y9LR378U/9YIMIS0mdVMMrxYGTJmBrX+4swkUCXImt0UCiZg/bzDDfWNHVxEy+GXbGWDTSCSCsaM /wNayaneSZIS0lqQlqrGYMchrEXxMVUUqhDzJSuccWYdoRPUnhe8omJDD/iMnjnH83xPxXBR38r+ C9MgqfrOK0aOmNXhfJN3RUH6cnooyMGeMN1kegxl4YYdRiLt7UMsVHHwkTfbhfQuAQMrvGSzdDjY Sh2IsbO9hMOPiNaqm0pOoKi73KThvcmX5WlVzMTNEjGmjRuDKhcocFr52+rKb20bCVAdsQaZ4gtW 4p7Nf+YUO9atXBCJ5FiSmD6tpyh7O9vHAFYhPA2rsglP2ZvL+0pRa3ZxAJpSMwCC29XEzGPkCojK NeNK4TjetIeME/XmFrPn+pAkkgskcXTx6KvbG2+ANVPcgMuiv30FfAAb4e9egpfWfTkeNgYqS+0h YZ2o1O2ugiauQITT9cykdeveN/wQ6AnV3kNH8vFErTtDBtKeEfx9Qmj6Nd6Ac/CF1kECr+5fssLN wmFionx1Hhit2RLDW4gfZ+QqlcosdqMAaZP5h9MliLnSwMA+dCfbq0Ks4+K2yALoAxZsJx60cdw2 PMkAqDmXU2cT/PWZoPixn4zz4b/EBaI0gXnJs4gG2ldC7WAAumZYTPaeoyYFd3aJDu22y5Jm1pxi 1cRitvvsvsXFJk6mtyOl+ojFuJAJee8WLjhBFznLtemDSwDYR4zOeOiMON/EEQUQx5sqQMGC1rMb CIi8DROyDRfXDXYXlNIkclz8IlbhF63WWkfsJPoL7vcwTw5tWji1xnlQZXdkN8NgmIgfSYKUjKtT 8BtmuS75bJzCANZP2g88PffcatT7N7H9YMmEPzfRpZz96jHziY7o8nXu12odNPFTTwshTTdD1Ilp FwNXZXbryjgnpABqp7l9q8Enk1AUdNzhsZRY0j2I8dD8IcIWO1wIvBh70tXeIn3pBd8LxWAioosi 4vz6IquNKo93saNWVs4AHL+P8lcPz/MCWF77WhROnj0Hkwl6kefo/TfxPgCPulMGB9thJtOd/YsO ExTibATaHC/gyz/m8Tp0Gbxyp25Xs3nh+X1Gf1NoK6DhqowrtzBUcOxleccbN0wqCEqUMDEmxIr6 1mB+2NlQPxn+SFD5E+DE7xBV80WCXggsU4cJTxMCEuuRe2wUaFn1H90xrUAv9f5iAP1FenUghkOl jZOQVLT8BDykY1X+0p/2BbG8xOXAxuF+t82Ia1TdBcZO110TZyysKAN/KenYFMYD8P/fmEuLPC/n tOVbJ+4cFd9q+awtohTSBLMsX3UHecP22ai8xazg/8r80FeO2Grfe3fb663Zjz8p8qCyCYvcVBxk CrWUnRi9webWL6vASGxgA2an+Sf0S3JFQ0+Y5spmgDY3KLYeVYUozU8cX9RZVj1lXMgm2YaT1FF/ hpBIETc0cktPxLSXb7+yxdilZF48I3K4PzKOCtg9GH1EZzkVqdI4FimCReJHBFd/4BEjOS0VV3Kt Q68yCD26X8ryhveP6CA2r60cjk/cE4zE99NWlhKhkOCa0u8CAFHAe5Ej4IqZ55PkLUmS0THz/ysi hTOEr/7js5X4R5yNUvs4HflrnAM+hBSHsJ/CM6sl32bfkcqlOxMxaWYz8dpaYQucF/jQWMGJ7VBf 8oN5yL+nvdbvzEflcRjjde77Wg+vlFgTSbwuPt3McOWooWk0WrUyluKFZc1qqnhsAwS50UHLsvYX r4odA+8eRLcfJXVwL6J5Z6qJQlXjl2wLXcIMbMNyYD2LsW3eJpVeeKcriJ/SFJobAl84gZKUtlYK vJ8z9oWJaNs0YF2MzmsZXeYniFWcejOwMETBjJ2EIWMvjPJ4FPKduh6b+QxdV17pWiHFftcQymF3 /93bDLdlMqoHZccqco37k9LjcxexLNYbLB/Auk03P7oWqVv7G0vL85EKOstGULYC3e+xPCVSloEP KFcLAN4AUuHOt3AdKHh7G1L8IxT0B/alD92BPOn5WaS7+A9QQ53QORAnID7e4p2eIt5iUhbznkjd SUGwV/Lv/8sWPoeFZdQ4fiQ0yzL2oAb4rdK+WRH4cRSbFmZAqEdVvH6cGz7WXJN/SfxYd3I+Mlnn fh/BZOV93kuSX2sYhCQVwv3/2RFQryvUflcOCxAOSYSXndD6KgLHplCseFryrJRxDADgy32yunRO sWsNxkfFSU0+XwCDxVY/M1SEnRqTsltUC3ctK9jK6HU92i56908/XohbUVFSCy+DuD7VI1c8ryIG v2fgiDyNiV1/JK41rGrlaFXAYZhA/ka1sAo/mKiLAhou0bZiFU2os6cv7MPqeqmm30hSHKBON5/R rv7WY5IgqFFXvj3EokriPVV4F8c5sH9Yz+Khi8hnKSPvSumPviGghEz9kzRGAWsjoLlrXcqh4N58 L4v60KJqZq+84IN/4/V93yiCXtHmwolYOcd9AQDa4QWU4KFpKsBe6C2ItdEN1oKYr501NXapbdFu VG1wKisIz2V/w62TI1A+Gj4x2JjCkcqSe2fkY4KhISdPC4+m2V0sHEqfq65SQkM8YZ34tc3hL7j2 VdQSQMiGPPEnQTC2ZuFRMdIxzGVtk7cbhZGYdWWajG53NesG6iXXWELwCvoQ+B42xIRgG2mdn/mv tMfL3LGDMEAoM4BvwC1w7gJW6s8ZB2leQcYcBzCXR7V2J8p2gqSz8ovPNq48v/K1n1ZoaFttNzrk ltBQb61+lSbMD/N27LS3mT2cAk8n1TiGf4GtzGgTKc2yF1R2ZeHoF93terruhNMucl6YY9k2k8cX 3aXHE8MKqB1LvrvfrD5ByB2dFkevisa0APo0VGnlikIG2u2kyza152Sn3pm9MxsAlogTRTVzW7+F qPE+u8Kz4RV+zjOeVFKBdqXXH1LT4DtMGRMmQpZETL1CahEEe+ALX2yXwu77pkQGeHbAIV8fmg0u 7dTRCgefIjigS5n+fAQtkPny4FpByt9YO7yXZCiPl0PZaIJx98/6UVW+E1ezEzpj2kOs8aVSNTCy 1Ske7wmh6Za1Tf3IZNJSIrEUVha+KHAR1llt/2UM+yI1Azs1LgdIafpdAx55Ubga0vfZJ4pu7bYK WFviHAEZaEHw0C25Kncl+YV6QsnB7exQ4cD8lmNOGm9clWHjw4pFQ9BZ0X4wImrOmVgYlyptV1fS DRmN3wTn90J5j6UAE+Bux9NDw3hdF6eTm/MlwMqMk3rpWbwKPuTapowR29AU8vq/YpX35aAm6C+V wJwxl6IdzNjLJzZiDZ+HUxV5dvddHzG0XdGEI9SBwGpVQlD7JhU4xOblI508UyKXy6msTuId+rbB W6p3Idptia3NkujUYRRBg8q4iMh7wLC84mtFix12F9423xc+hpLDTKfQN4p1ToReBIp0e4RqzLi1 XwhNWfERLkINnaoqnELHoizmm/xKNou6vrdetIbPAiAYrYJ8DmZGsZ9H5DwqMEGcbT+1wJv+sDu6 vbyZWz1NXOTvuic6Y/8cWwO8RMS3nc45P5/it589NN4PyRhlLt3Sb6NvquTmn22Zt/1YLEKcUK/o YcTTDc8VsEiK8MVrRrS9KitbgZhr1u3O5NLCzEPNjzRyvsWRuEFJQptDdoeF0Q9alOTVgLU2B0eF +cZKFlZMybJJwfchCfNVhU/aSvmQY2p+Se+kIHEbVo2QJuqXYs4DGw1Cd7OKjKUFqpWb0T36sS3V fYY7dooz8T8SuUqNTPe+Z0WLvVFr7SEp5yDeXLaQAyTuUX7TyUk75HXE30T6j1WtmSR2ZkDdD/ul uBaLMVh8RpJpNgW6iQlMvovbYXf8Uv0XipjWOj/xY7Qbc+nJIq3iq1SDe0Mp9vtOGsjY3BPNQ8Bp AzLRxhnnZcKujXOoL1JZNCP2fz+WhSYRzEp+Z+GXO/gpW+4irUd2oOFychv2ICxZdNFleuJmc8jR Sgfj9B1T9zuNIWJc2bOzxcExz3XFmD9KhlN7pamePmKNxU5RZTYb4S77MtDQoIxznM1EbQc4Hati Txg82wLZh3eZalYjG7nLVMr5Pkk1hh9fKi5o9zhJrbfLTjnU/w0kvPhYuSsZuIC76aDGNwpmBYpL C4ouzbtNfg62htmEWIn3MO4cLgI42P/7zU/xan6h2FeH7zlmpHXEr8k/QQp/HuCd+5ZTkR4ilx1s rHtSyGGqGf1pJszJHhkibi11SsBtLpypvd3BAEgwsR9IIv+BWcrvHB7ptL2H3TJEQ8hhLsKR1fZ3 tAmkUwdH5ihdqYPS/DZcr9vXGUz0n82TbM/USBVABxrfxZyb4sp/nbtGGNur2wVFVM0hIeD3GbPq znj6gYMYkulhQrPZC+7jiWRKt63ERcL2MrZVz9gVjO69MXXq/INIEwsx3QXQCdsygcMp4rmvEiVq TDA9qLCajFfElOThI2BC1T8tFL5T/tni6Y7Csh+relCW4O3vl2AUhQcT59/KC2V4XUpWEjqc8Jij 4q6i/dmwCCXuR5qDozJ+RjeHl9i95kzLsxhKJx4N0KEzVxtq7yQ4yLshdZPdWk7icKM2ady9yc4t JlgJDNuWBctYAzN3SF5EiZfMrKvn2h8KOJoqnE6PDR3ZeeFR94OzGvznxlJiAVoBTQYI3bz8pKXa bu+mh7ayiba4rOSY+ox97MQ5LqlmlSeG6v1BNjdsyQy4cga4G3nPAK4PnxVM9NE6mBv8wIykSI8z wDOqLpnerHUOxcq3cSpnfhTg3kr/eJnuMMC7WUZzAh9i0Veto1p1Hr8/0o2OrmC689D4lzGbIX2w MAQJZuwQyMmPpCi/l4+7ySe0EKFn9W7C8e+WYdYcECQOv9ZpzEOIUFk4N0HWYT88R8ajKs2mbduI +A5b6o9Gf7o8MuJ+VWHqRGyQ7HQjQbY0NEzW7yiE0NDNkmMoY3LxzUVdjTqGANN+TBxtcFuZ/RfS uRDHRsHsZkly+pAKxoDz87200427u72W4vCEQOrh/o9HDfUHK9LoreMf2fdcOYrohIN9wi007ws3 S9MS7GwWKgK7VKE1yTck7c++1CkkSfb39MiepgS7eAC2vOLVroCFo40vIOUOKOZBD7RxetYBn4Ui AmGHs3POdVcfxPyoZfM8OvSsn/Yo4KVcGVUDPXjLd3aBC87JV8U4mc9DSuE5XHKFgvnYemuIMsNE 0sogsV+lYNhigkus1KsAVTnjDbWeXhaEE+fAXeByFpvOJ4dxtS/MCtALA8mx3GnvHYWD/hRsizKM iI9eF9tibtVzu7/WOHxsz9wKfeoFn9hdukpR0UvvcbQGNZtUyhxc3IrfkFP9c1pAOzRNM/75vjWa Qp1tD3TqRDVpyqnKZW1F5QMdJFPyvTWh1S58jDhONtgYPhEl3Fw3pJG7loYj1VG9Aq6yJQKA5XFE cH/Ku8XbK7/M9tf/HdOo7GAiqS8hJHPL9sfYJgva7tKcpJrqjv6aQgiAopEevEe3OfBmoIdij4k0 NxCNDaLJyztnNuszqH9/b6JN7E1vqIuhqtg69JFa+fd6CYlRvyK8YTTrOq5wP8OcH2XkZ/hcqptF dprwX0zLMUCaor2n+k/WNN5JOF42d2XCE7TsPj9FOHtWktiWE60cp7UHQKBRpRbKo7yx4xUeEhdm cHJc8jxdoI2SVn7iEVSa8vAA9/zaikjcvlZjADwRiXaWjSwUtTVsn99/lxHW6Lp59Cu4auE3tGAN LsG+byr3Ji82Yv5K4zGqVmLPExxdMfwxhMBgFq1MyWAHUKzNJrgX4tnsCMMzd7WQh6kxK/HHlXBL GVQdxvZojqVGyJtFn1wH3Ps31q6l34PNw9IhMW/fVJp0kYwaazFWP9yf43+rFLGBHYn4ZhMDzMOX S7JfKNp1o1pMB+BE260DyPiIsZNa+KlEaXuz4sMjxRcopikeAEnf4Qg2IYq8KkedoqirSniLUxR5 LkKVWrg0UUBHJjEYFKTjer6Vs/fXuuIjylnn6pbUScLWAfYMxy6HKCN+/U51Z+9YzDGYCkaI0eNj T4Zwpwtsgsf4nIbdUEjHclpYdEv8F3n5AzTfbJ/UOevfpOWwomBIMnioXNVu7B3pIEb3PqrKcSkb YGnScjRHK17yZ72cVs6yp6Bj9/IwwdYlD0wVyzZMO5PkBUdoa40KvmTdUEOmAPlplUyTyiYJA0aU HRuMKKUHH+WmcCnGlXod/LOwA3WT/eVMl0twQ8z5efAEJXnJKE9e1mnoDwVfnq/pchZkOx+vyDRX o4lR+6uNrKK5gms9oiXVko3R1EDZhkikKqNm0tAJU/PipAR5QqintvP8sKqCPeE/ES1oO/ih9dBc zZyB6LVV7y296hRdRF/FPmogysbHT/qDlqGmvtB8ZhdUVZZuU5rBxnpbhikU9+7Pt04i+l9PppbV nBZraryPthl+axiKh63iU5foJpXg0g6kp1JJsgm45ENYXWd0jo9G/Ql5ZbEzoBMH2X2p3wdrgAMd z4FOUvRaKKf0mOL+XYdEbC/4OK8EURtCtRFmpimPjv5mfDL3RM5qp0EGS1gP885z8uS/0D8/qfEW H9ci0NPVAlNYd9VICzrZT4poBpspBM5grfcrPyVABgdexU/IBvOOoSPuQ/gva4/C+t92iRFBmhCj OmZz8mFLgWAZASuMLMYdRMMOewPeE/2bv32DOqltt4/7ES6mG7W3YZcn96ZF537tbKKST9HyoxoJ VaTczcj5rZQylOa/QjOGWPyltC/VIz9AhYiF8uRlq1uO0rPo1saJrOTWAYopJgsF4jks3Sx4j+g+ dG6aZCHDEfVwfmka2R8iBDa3q2/iwjQ/ZGYgp0CqQqwYTPLe9zzgiaXApArgxgd+Xhllc2sqhNbh 6EOFZPVmkKDxtTf1HI8NsJevjuwcah9av5EhJNDdDCgmQyQIxrFwELpHUDnomatlsObgdmQxkjiR 0J4+yZENprhhysJNeP3kHDqW1fJJ1HuGkaKx6XbLaPD4rJ5PhyKxwjpgMrduzfp+q+Ss9zGj4O+1 XcFDaL6w2OrpR3AueN2/7VTUDR/srLe9R/Ozcmwu9t20W8bTIRH865CcYpFxlGSnjv+UVdSccbya 0N8qJcq3VTMcSV8Z+yFscYupq+lTrfYHyVMNlAhupSJFnYy1Wy7W3BhmPy6FzQdIGp2xldZHNpFQ H0PeyXvtat0Pjqq+/6bUILlkrw1QjF6LZ/hgS7EET9iCjjTFLoWc1ZykBLcKNTt2cpe3y18mK3El yRV5rxE6ayjOlJMnGAun59Z3PKFuOMtvJpSUZsms/d6g4uuDD2NhQRWs0ii+bXkgfCiTPz21KWJr husofcIWmb1Na1nfmXY1nzT7M0mUK61CShn1BvOg9ZjTni+XQaSeYoWtMsY6GXLVxYMx2oh2616/ wIxb450bspyuWCTlBlnMQwROhlsVuJqYKXugZTfFXTrrnrP7X6ysMhCdIrjDXol6HWX1oXCz1chP 4JK1Dj4KSW3nmLfHa4uMKAEIfLkJJlbTFAhfkLeTYTMttEawOHrYnFmp/7Zu0KtA21XiJMZqnTtq SuRQCrqNtV30m0C4J8Eej/sZEm/LG6RNU9ye3Jq42Xv1Ng8K70S0HPGZRmfEQqNZfpyzRgSu2eKj KahduaAq37+E695quKf1Ai0SSaXba+zxE0IRIg5BxyewHw5v1L2Rnfv7hQbC3a/tObaQr1nYzFuU 2GnZaEIth/NbjeNr2vr9yOU1Wg+bmjsLBW5g5hfPOTz88zRY5BU/5wsQ5FQI+CtIEa/YBjHORIZp LxLlFpQhWAaLlrxqT8agmfRfa8K48uN3ahca6bx6bN4g4D1h/3wE6dJ5Ihy2M4swCBl1mETiiFFO OQOK52uFgsjOQ+JFdPIC2AhS43J5R7byzVcTNsfOqwqfAYlms6v0gg9Xaq9Jqgz5eRJibh14UTGm QiEgkvsvpEezpWE4B+hlrQs1TWXEILlHtiO37cQ5KNKKQnm3lgQecGYv7ARj/fMbD1AlS303E53N MYmb/0ecxjtSEtnnDCjo5oIAbBsXh/Cbm5BWael2+i9uw1XjK6uJg76Y2Z/Sv+Nvudd4QQQyJSP/ Tu0dLmPg6OaN2Lk3AjtKpHevGVsjS67ni4+usIhppD5GZ1D4q1Xz/UmANbTmPnwTlWV8JM2t0nKZ 4Dxt93zrrlZ4ssoPCSviMYadXYRJYFWtsB+Efe62wG9UL6/q2jTWp7sOZnvQwBti45n+A/tmRI5O R2p0BfLzjzsffY818+zfbv0w2RigyRWOD2PnTb43CeHYgxWuBBVLwA1hKMCLnqr7+yrlC6heUEh5 48Qvp6Vt0h5hcKZLWqIgbI0Ih25c6y8f6KsQNBSl6shnY6sMxsaL0txOgQjg8ViGqce7pyfLz61a oxzH4JV/ROzsdf0D6R3u/hYEv63BBzR2lyluLZilJD5iIJh7jOQtw1+cR2lLML8Yd3KWVBqTik6f 2FZQ7AV3mln+5gGZnV12xjhi+UjMnnv7dkS5//Sy9uOtbuj2pE0ZiPvqFfi2HBsdW8LWRvq6wyVf 5akVYh5O6+GiD87gSqsmT7NJdoyim81fqbdW/dzi9ZE2Jc1MjbgA1VdQXNmAJYTL9mX4yCL6p3Au vk5Zzk33zsrWDwm7dyt2yHF1XfzDsCe8xye6PF0LMo5LnEX97VeGit+zlHe92FDe9Ckn/+07tVON r7/kwLMBnOl0iG6r0CKImaIMIccxLRch61oHw9tSXleT283c71GsammMlGOsdhxR4MXQpp32R8lH jda14Yr96TS62pQIjeGBsG5thRyTe2jqQ1ekeCef7ot+Q+JtsGhGu0zcqiR0wAdCiA7J+kqrSBdZ A+29G5o2HILM3qumC8Y1h6/llPoWlJ8Q6v8PVgZ1WKxLHaEj2rkcMs6t3PrqORoiLTUJLwRMfN4A wLK8Ge28sLCLv+pv/fHqwgOroElDNE5YajRZyOK8dNfyVVLTb7ZIwyXIj4KLWTiV3bLc4X5tBWq2 +t/MmYF0dn/n19UmEzV8pCmhQrYsrdJfG7Oj6QZdSA3VGLmkrWhHMRbJDwqC1uGT3MBqt+efJrIN KF5AV4IYy/6PBofSu6ruOmBvp6I3RARNtVQKz7YKCV7+JDwyCSK/7t+j0rvyispGCFfYBKAcNXZn 07+5LZ+85+LZmsdcpcRCvU/+hI/x2UWhjvP+Z85TMJh4rJZP9uJu4ifmUpWfVcu6EgKlUvpqj4pk r4gQfJq8cWWcHiPDK+h2/UQN9sYiJC4qtrN/MZ8zmZo0XwI7IOVmjiRlhf/UVeTbz2U5i7gOEm36 BHl1fAMHx9ZNyLAzb/w+M1ktdhygp43guthCc8ZFkY0d4wtaU0lA4RSd+rXD5ztyjzQI38esWAZW 52R93sKzEBpwGb41rQNfRMEBHxD8c1a/U+6Vs+7kWbOlsAunYWKNOhOXajaJhq/V38m2k2h6hS50 DHOHD9kUc4WfP8hK6mF5eZ5V+fYzJBXc8VRV4kEFlvZ0gjB3Hx9u4fsXJM/5TM8dJUGT3Zn3Bc+G H+1Bfxy4A9gHY5OzAqVI55Iz5DOyzaACbjj8eFuAwpd4AbmWilRSTft2DkSuSMZvlT9OVm3erbhF I7IDDqpvlFNM7M+l+8jEBcOSi8PMuPWBzTNlkyXlZnSUjH7MfHrsJk8K/Pqq0a3bVZw4qaLKR2aQ di4OXizGhFkgGc0dqekiPA6ThOiuS+LShkb2+5IpwWnWOvMzdiO/V0cyHKqZIQ99Nw6aaNumbk0Q UNCeB9SFAp5NJ9/hPYhYG0DpOFkO34ZNtVbPBl0e5b0c4OByy2zLNdbFnACSS1hNbOvlb8kyDEgd yOM4p+P8Wd+RIi61KYSiaidBX7EJ2RVpH6SyFRGeAIIeb+qVWINGsZA9NM9fK+kZbd8u2W5D+V/K 3UH+IyRtloaGZaCpp8jK3WKm78XmgY7Vu0IkNGZaBzvNRRZxNk46vSKA029L4aGbDnMBBKLTzhDE YtokPhxbVdBcOS/Y29m9VBFMAgwsUW/C3TKhxeiDuRfTEMpf04aR3QVAnikjlJF+MzE+vUciS2By ylvDyBLoh9nzHAznNhYbodaiDchPAwR/zhZ0gX81r8l87i/469crhYsD6PsUpCmiUDFsIX4sOnyq gpAxU7gr+Y+DvssUZyCSIC2AQG5evPHCUleQJx+fxjDXRxR24HYHoyQ9q2wEi71bKpoIV/CLyyit +n+EvBsVZiZzsgSN6MBIrgntovxoaYFuXvXZK9RodnD4USVA9yQRsHA0h4Q0hV77f7sDQ+zuJclr pOpZfzoXZQsQC37NknPzwmI/IRgv8iFso1QEKC8tQOOpb40epMPkm+uQRvq/L+vjQScDCjyZDWrC gr+Il6CKVdiDhI7vRkv/Qo/un4RJeSi/yIARMKpv/sYOrBwuu/GSXWaZ6zFDTNxS3YMlvKCnHCra SfxBP77Sq+nN2oO8YkK50PuUWVMWrwHhTsDP6EnDaaa9IhJ4hf01Bb5svId4gdlWxNr9E6HtSbXq NjllsIRKRE4s8LTpYuJxxtptXjro29kc5gG1DjItoW0Rk0kTmEI0ovyNZxldyjnrJvXGSz6xaznC 2S0WXw+baF5uBBKKWFY4rjCWMxHlXenIrwnF1Xj8eVSdszydQi9DDBP+YNTRTW63avFKahtdYoCS ScymkHmdfqSnQJtROlkLQ/mtkHqyxgdE4UmZPRqKcCMXagYKJ5nhepKaD2GjuQeYPt4LxnXnpQ35 ftxHt+DrdrQZvuvUHPZRnzNbhMBmMgRBY/innf8m+ZE6IceRtIe5yFMTApFhjcfjKNa912OCNLOo GqJ3CYZimOC3M8zMOHaUGxkDverEzzmu1/ZLwq1FTXZuW2xGJprgM4sQhKNw0crtbSk3MJNCaW2/ l5q/55QR0QT6g4zcoy7gITWor4fUXFgBQYVLHpvlG/Z7fg2Wlfn3gypZc990uFlYZSJPJ17AQ0cv MzQbtgiwW88yNw4y2gfqP64O/EPqwL8GvoLkVND+rMEqDgSGnZ6csdxyj0T9rIh0lgnJFr6efOoG GYyITW3BJuNpZj28JlSZGdsyp7zCFDohHiC+lG+kWines/ll80Zf2pjUwIkhJbqTSDjK+L8oxX0D Yw0/OBNXGDkb1913XxJUX4eRcNZGKGxvEWWCnsR8R5gXb65lkhXS/3btLFhgbZdiGmKNqvScD98M LoVbVE6ZLR7thE3pR534AJpep3vmrwQgZNMrTj4770KVCPD0j9rm7Cbmgsxg64Dynmar5ox80a6/ 6dXlJoB6iG3hqddnrO78ioBzK7MU5sSsd4Dyuc7D95Ls9XVMseCxRac+uKAmwfJjFZ3ktFARw/mP 822Vq6N+3rF9oXPNru4dXEicCt7QHinbE69MbMiJmWmDKDOVtuOSE+DLIKP9LPJPyKSbUvPuRLpL U9s4QCPpAzX+Sl9ORVMJ8I2GccOPum6dX51rBAN+zY/9jOdHgESKq3nNFan61+RUfilC9vmDBN8K iSuL9AQ8+qncoaO5LRdoEbY5MXTgCCOnwsMEXM5KGKHqlUSaL1lYfg2Y4uqPI6N1EF+gr9dkqNIP 0AgLZpLun5pBfx5HGoGaodjNsYmVUlcg138r6KKsbQTBg78PX8bb3PEeqaAVR8xLwQDQD3PbWh2w Tmb9e567iKLzGyIcdAMmjXF8LKiN2LunTflELX/WlNp2VI1LfB0cmNj4Z1zqcRMMtvfLHFBbD0Jm w8WrwEM07ncg4iBdWERogbHFZdo7Y10ZU0tVzBfVi6uEsau3XOlnN6rEYPrbwNvWl0Zk5/bkErOS nwAwKOXBgFmWRDvVVHvrZ1fB3fN+JdNIkctIwX46i5ILkr9WiaKx63kvKftqnxZJEfMK47DfdPEK 3pY8FgARmvWOZUdjBv2VjrR91vRNVVYVY4PdoE9O+attAURsqHr7j17/QqWO+NKMkZJ2eNDTLh8n LOEU2X+G2kSan9qhEqqrW++qYuJA47HXle1zdDlhChwy5GWRJH9MP6j5W6TpXKJ1glSRiRBBof1f 0nQMvDmwXI64km9KrQpPCDQZNbv/AJRhQ397FBnJXcyJ7Vx+XT6p5uMshhik+14LHECKAbZudoX6 sa3M+IyxZhXUQ6Hov5koACSTFFO4AnIQ5wDvQh8nKv1ngyDk/OL+8JXTBZ66XnMYPPzlZYWdlBI8 bJV90jlZ4IvGwoRUqKlxhODO0Q6JqFR2kuonFGt/gi9ervT+/AU/PWndJ5QPPQeVE13UD9xVenQs lZ4PND7TVxFzNqKuCQ72QryXK+BZYLHGwHQ45maq+tA468lO3aEZ/bLcQwjtdVNgLqh6vwkHkUgR OyLs+ntheu0x5C/U50Xo7jZyTr0T1Z8L1AYTm1jfA1OWY9kPnkh6NwIn+nlfL3+VJ5ZX4BtbTGH2 cU9KxgzzpjwDwlrbOlDZBsnbnariLJenECHqwuMXMl8qShx+zx7KRX9FWfaajhZVrHXttklttMl8 TX+3UIqU3UY5fvYRIZDHFgEM5xxjZ8ZmLq/dQF4/mebRJNYfgbFYl6HGTtT697ixT1/VdY9l99pA mlA84zvsACuK16+7j1vMytw/v7YDxOj7z8c4jj29b7+Jah/+ZVO7ST4Q+K6xbIvemN9+4IvwM44V /Isj3zAMhTQ9yvHPzHPTa3j8QbdYEZOr/Ixx4FcPIF7EoW2EGzU7t9SAUMTuu47FfDQQ85K/b1J3 1hrWgrL8XsuD3mz79rfzgpN+V9y+oMUBLVC1QlvFcOVrr5m9EQNIQNuRHVKTat3ZJur7nrVn3PiN E43aA5GHeRWf7WPPDbBdod/p/DlJPIH+3LcrGOXkwAWgg0Qs+Sd/ZvcLFXyNTg4PMnqV34t6oj3W V8ahNqqJuZzzCTxRrgynLiwRhdurS3ZbMV8YY6T0pe6ECUE3Stn6bdUrn/rRxhJL586GWi5RTn0L Mz/ZValm53UeODwT8o/F7mLjb6FxbMBwF+VC5zRMTNU1+jL8dmZyxwS1mE71f6o7ys7NpW+jYpPc IkVqGc/tc3of8byiCYWtRVE1qvig3g2lzseYqTiQ7hkqrr8ZignayxRkCyz00H7wxZzBCCqCfWYO uvptldO1YHy2ObXDJzBuqegAoBmhkxIDfiZLddI81Afb9PW/J/ICAOtlDnQANuxUjxmA1mMCWkDt AVhD//HCz4B5yixweuWwaQkTvglHXdTyBhqTabw07E2Ep1HajkY+u7yu8HbwjzID1PsZ3RIAhoBI X8X1WBcyXL0SArKQY75MGCDuPQuRMJXCQVQsZKVy/sR+wnXyiIJub9BfXCT3shOuvxYzRFGVo5Sb gBee3eP3iDgu8LQYnLaCr2mqhJKWjLhZD9Y0M8Xi0yuvHKZUSbBVxCQiJuKzZgg0iBtEkY+xa0e+ zU0I4qiOmZkdTS6qp9UFbPVSnnFYn/PW+ktDTqdUU+K6IlgHRWAfSKECNz/4NuZ7WJzZjiAMuDGy Tf8Ivmg0nI8NL0/GSzBxaktf8iLOCJsuwNHeOBZnoMyrlehiFB5iAkeKWwSYoiXvE6mgGItZVq0s GwLOki3BgvmWCSC/d0QYflntjjcLUkSHN8NfqFr6kKTeLjZlOo+QVNr5YVq/6nBq7eTv+ZZZH3Zs Oj3RJ2VYncSIVnNNU6JoTrCxivKBbzuea2yGzm7nppau1+8BbpCaN8jww8g2B8N73iIVldjdbog1 2Cu6FDywjdjMeAi350DYRyWl8QR++YvFi9UdqWVdyhCMKADxw54P2IWc/jQrgro3dYoobBMLC3+J f3lAzHDtDvkWv7v/5gYoZCQgJCDv/dg0C2L8htZ40hKQSGTinwWUFV7HWpfWaY/98sbNqxxfMGa6 jWhwMjdgWsF0Ox3jeg1azMwvV/ZCObJKH/krCGXMtxU734OYJRFZCDZ38CkJ9VrDI0iAiLLqy5Ea lWUhYixZMG25m8ToGKG+mgK0MbDxs6bQxbtDZ5936jo+SH4RuPF3ZX1ks21fd0jJMRvhj76g39+j 3mVCIh6bBtxlsf4jP9pZHUP3LfxyP9SIekjDbqlvd5WQQK5J4OjpovzzXQ6G6NG3hdqTzxbvmqdQ bNghSBzDi4sVU/Rc/S6bkRNiMoehBKfmd0rODhxnzWSayfgdnLKR+brbyL4mc5XQTKCi9uZkWz5J mLaVTvY3fFFx1OECki/AbHRBXNrtG2WGoD44I0Dz5m7fXfTj/ufFbiR1YWX+NwBiIaPvsirdbAw6 AmCN/UaOB+olM0HfXQBNPG0kEnhS4tV6L4F8DSilZUYULLeUKNWmh4SRLj62a1TD//fd0H+cCSsT vSpB8yXT4X1Wt4iH1itAk2jnO6PIw0pCKfBabfFzOQW+trrWvI98irWMTc7obd2CIaEfdtmTfXpA 8AevsAEnxrL945c8FK8QYvVPLTxgyjhwpGaTBRieCkI1ztZXmfDiyMuj3AlXdRb52A5l1W5BYpkz M+iHDQB4sNFyyIfqDDQyLqEzJqvCIexJgEqCUiNWvPfmTy2g1Z7X+3O5de8jyTejjGJhfFsaTeXK WUKocqF06n50C2oRo1XrBUG36Z59Oc4spQwgc3JABzfKQomjNOmRYR9+JAUKEHIUOBdXQQk8c9Ip C8MYu/LfXArw70DAlKcIeP+ZBv5Q5HbTdal4bkLEFa/PTQyFslpN3+hqY6JCnES7vgtk+rHaNTiE y9dwp/SXauK43ta+sBj4eUzHKATvzzIrjhQ0XPnp4+6ZOW20uFvOXblSVSbtZyqlq2XPA95keMD7 Axe81xDDG3FVL/cYu0zCfapO/tf0S01f/NOailAOTB/bvH3dHlSgMDv+UC3mpZMbj7Gl6ulxaXhU fE+dY7Iepls0yXD+9dVgjFTJ8NqKo/GjvYU9ysjYNA6EBR1v/ZGbXnpuP+Z5Yi9/8+4ZK2xm4Fwi F+EBltjnGi3HHrOreqqDwmzfAsKJpJvd8YZzi1+De8dXknQDt1jcp9/jE9LFeDDuHx69/YUijXQE J/RqTiLOpoBohjXDYuxiLVMUbxIcfbfbNtkob311yIfzVdJdEoG8Xr+uAsYZAw3ApHP09WiQQbon +m1jcIESItvTpMaW0Bxy9zIpH9+9Z7MDN9QWoD1gOgwIOZ4z3HfBcv9QFS8TgE27rfY8JVrPsAJE cq84zuQQszQ77hVDqL7XuOHhFs0WepFqQcwvvLtQ6ITtTfVa5YjZfCl51k8cQnVNk5ikEiPSwVSR Pdem4NpYyzB4+IcpnRw7g8UciF8zDvGdj9bx7FsSwxsLv8gvjXZaE00A8tKSo8xd6vq6o6Q7s0me mkQAx0X/BBUZvSvGypyPvzFOvYl5yNheLtyVDemYptHeCWRew5IJt/lFV6rKW3kRAZHCXefR6Ywb HQD/v5jkWrhc/5m1TBcaJQE8nlBfUQ2OZe/t895Qbbh/U67kd7HR2lVSig+GpCv8N/IHOp91423A ZIpL5LQ5N8ky62uUkbxQzAGBTh/1in5AxT9kLaNgEg7u2BdSf0XIZEWwsR35I8nZlRRX3mOLTLlQ BJEuTrMtoQ14pWqK8fNFVDfGEn8mJ6rf/c5eiu9OCmjeJQlonlnq9TF+LjpysTRCWPoaXJjR7sAY VD6i9JJSrS0KF5LMdVgO4J8Ap9WoO17cezEE26uM4dP/xsJXWOos7by7FZImqrFXTxeHyPdgMMmh GLwi/VEtD1TeHhwMC4cjQjUIPpFcdYGOdDoO9mgl5juEFktUkUBDVKp4Hbqib1eTOZxmtIjHeGRt Tick7VxQw9ICqRQZjr/UCvaIafwDJv0XlnSyMJqr9vZe3PxVOMkGB4uQBySfoVASdyyVpwmf7WK1 kM/yp8Q17wXxBx9ct3twn7d4yhM2ugclCZh9JVxkIo4IgM+FfWmXaODYCpXqEdBwMe7kFFnXBnlC eEEPe6SsNPebQJJQZCUeM61jtgLxfl9OIkCyyV4h8Sy9Jy2lom1p69d8LoKrpajUs0d4wPGvloTP 2Uo8wUo/PPDk17IOUImdoUJv/irSho7Rf66WsXAbQtU6aD60gru2CBSpvwXZN/f7pPf12KDiYXsw +3LnEyShp4ngmTE2Yn0MPsv8tO3EpX0LAJr9oOS7uxHmXWNjbV0E7VqfAvm9sAgVeLVzLVXQklpb v7HgBzmLcycwsK1aPXpszCTSM7rH2A4gX+s9KXR2kUtwmGMG/8ASyS9e0rSawHwJE31H4IeA9gaM cCi8Hcl7XcfkATKm+Cshsx2OYd3Uz42JFnJGcPZiOiYhbKrOQRe6f6EJ/2bzSQ6TbnByci8ewROz 9e6N6JE1LO9fvI2MK2wtem+czLn2CefuCujRWuZt5H0fXhrNYGsLWS1/RyfZxI0McUDQ0DSCWp2f O2FjNKJ5TkYZrNM2CAFjSKIpTFzzlU4HgXb+axoKRrr9YUSUNu5D/SCmEIB6REdZPQxluup6Oi83 F3VQPO+ufSQBB/sOWCMGKsOXTHhBeA2O/m7V2Mr7h45Eb/PHKCAVseek+opl9mz1wEpmnD9t7PeO q35/FTkQvc9JWLndStZProCXtkl/YE4iapenypVw0uXWaLJt796sWnQctdvgQ/P0nV9lSrnJwIlB d6cNMTst2imL1MUbStxknXtX5GWzmgOpg7w9XSucLzJEdOOHQN/FPVZ9kaMbyxOOq1czGh5xOoy6 GeyDD6I/KuNarqZFQxZvH/go1/dgrRFoO9k2m2Om930vOGc0xLgNqk9vahy//eBlUHBf+25gwz2s pPqdy9Pg7GNo9P8NBijWeSdhrUm70Nau5na/BttDxJ29NAYU7mSUTC3KzFT7ZwFYn95SaUyUmrNt O0sQ1Y6QLUjc3Ulqcpr4CLYFroIXsUmLK+Hxu54EU/IWtLlghEUoJhCHlP38Zgo3Sapq3PxfmPjz zkRcY7mo9LIbPiPEvKqvlHn6drcm4kcwbHzH2fC7zWc53jDBQCLBi1eK0gSNAhptZmXm+dZlrmbG ow4BSZPKTZ7TbrOJ+LGtcMmMI6zcYpiz6wfNHauamaVkFtyO4sahi74DVz7pQcrZ64qL/nzpq2CX HG2PIzKkBT+tjFw1lsprKziaoTIlVA3T62xerSTjiXAZYAC37s+XrgJQhYBqMq4+tVLppCK8mqQ8 XT3HfdN8b6LuTvwwZnFT7gTOIo/YNtOtdLg7Uu8RcQOaYZgWygWlL6s+5rCyVnwdMRmB2oRG23Ly ozBvGg1l4IHQjTupdIkUEDTYmeYjpQXz/ruObYDx7YV5Zggk/lv5j+KKKiZFz8U4ACFbI25UdI4L LwWZiDdfdlzFsVSKBFJxLqOZ9sa/grXlQ43e8jeNTNP04nyB8DlQPrb+BW9MYN3CDGwF+qhr0f62 cKRLuIcZGIW1tRD7uqVHXlXfijNc5FK/IzUnwLxYhWVqpI4hbNREBQPoy261IEeuiqZ506Ep9mDg E1W2jx+blfs2AtxYPedyNWcnQ6gSMSWn7W5HI6Ojjw6pBA5dSZuQPNZ15Wa+cKcxIcXjgnaJcM59 2VxMS/WJGmuFlyttZjJ9DAKiEp4qpjydZ5BfhvYq2O2VZyDewM1yTbQEjeRoDawqAeIncaVjQNqX AE9cq08h7yJG0fLkNP8MSBZUYHMssKool8j8HagL25EfdotaJlEJ1jzQd3lrGVJMGi43U+zF0mk1 6fLMi0TKuNaOOT/JizxSnlTHvl91jnTFnc+lxZUaIVeZ077zoXIcGqABqgq81nZ96wHId6yASAMu F3fEXO281L5+4yMtbgv+nSTsMv2s6XtDdyFzFfKBgRJ97SNZVISy1csRuGoMXVehfjqMmK0jt/nD ZKHAEdXtK+hCPKJGRW1b1EP76cR55LE440/PGvKFf+HEvVQI2LKQEA5Stuqt8VQA00gYz6JN0BZX uA0XPmGuM0UnfjbemJJim2EE0m53Cfmcm5CJWwqN9GAMKz6UCRVDIlhrjIhqlroH0HJqhwVsXzU2 F7jaPEs2GR4/3Ln+EQiHXyJJcIZ6Z+XVyBA6pNcp5YMOldSQwMaAogh9OmcdFWkEgTo9pGOoFcDS pHN2efFpO40ApbmZTAQqzWgXBFzaiTfzVKotFqWdHb52NMzsRX3aFtMm49rDecs7/qcmzqEAGrka sV9XuNTRFWR5UCF2aS+GGA2R2alrV35BGhQp2QRGuNv1tJ6t4qyMOfniYumAgIbwIcxx7x+ujWp4 uA4NSsoDm9lARY4ayBoq1vgy3kuMl3M1YNjZTX5aoJOFoM/u4FccIR5eEv4wjNvdkQXMssbjKFsn TN716hPdDiA+kFSeUteFivUmmGgxxiakz91kHD3qDZoyA18u9qHP6P4HWoIXDfuXlCD94tTNHdQM EsdJ6pJYvNYCihle2yMgh1XVELZRDL79GYS7VGOWjzhAdomSo9dn01PXICREF34JWcrLnte2LIyn LGqEFtPyfJf2EzcF3SKJo1IJ4eYd0o0fHYkNUbnee2S1OxLqPm++P3Bm7Wuu4Utztv7NL2X+Pj+s x+gNqIl0S9bYTtf8x7fJZkVLpd4+Ek2JkK0iKITIh4wkkkVgYbMGRHymWXuSwU0uj0FQf0nX3DYv RsduTWZ8CKky9iAuNecvYiQYoAI7P5hMVHJTJtE8+fPegV3Cr8HRBW6HAbdFcSTu9MDcAKXBCJIX 49YAmjF9c/m1FEDx2sgaSAaKmf+1felQZSJymQVLgGuoSWUwepVifO2J8dAh2ogtonmb23CskwTW +0LEXfuLTRHNXFkwzPbLvXv2oM8vK8NfyZ9ZMJolpD9gRLnGmj299CDdxfghQyleyYVU2I5FFmtF MuQ3llgLqg+v+M2eSE67NiKV23n/mmriBC/aNHG7gwCXprizIaevukNouYkkDANq1A3sfVQ+CsF1 o/npcNrkTusJhMsMtO27fAU7FnPsBDGC9wZKmTXwZ/AwJC0QBMBPqbLF2aQm/WAAiYbm5v9JOhA/ WcXKeYrSg89eOUoZFYtxjOzXe5W6YglSzmpPHbiIrL+JGAwR7APF/RTKmhSepBua/Mzo1+lZhEXd 0+mjxSqxbUL/3KVSDmFsZHf49rbA2pzmIQnhwOHPbhNGebuCsDMUP1gx+w93LxFuO5WxIM7QXPjL aQ/Iex9Z1F+wS8DzyHbeug2gE9WwZaEMySM7eHsosgQQF7kQt0L9txTnV2TOIzSXYC2EOsCWj9Tv oYLc4GNA/kc/5T8Pq9wKv3giUGKZ6whpdxeuzCuNPnUW8KdETw8N8eXTwxeSlt9JsrJ2KrbnSBId Q/v8UPeqTY4KSCDgPKMTAL4nv+i+DqiFDN1paFahct6IEf8vmvYhkub7IpjsYkXpCuSvC21zKRy/ HdixVcK9YwIsritp5V4I8Rp6fgAHyi4sGQOP321tBRxGmIWIoWLVJJNXQ03f8biYlzit84oWaHN7 m8//MXxpTs+nZ65gJVymaBWL9CN6qp0pdW08hTJ1aN+bImnTTnmBmKY/xXXo2+4aTw15KfLtWL0a QlI3Yno5YeunAuVrRjn+WXJxZjIrqLSgbXBzZFEGF7Ym8SEBRipasz/Th/YmRbSSdxbVL15wkiaR neHo3EQiBdo0hM+IJBL46uBMxd3QipQUbCzJ3FYZwFLx0big1lSJXJchmeyBhrz2pDx5xD85ZP// Pb+mjz2tplRxIcysZdvSSV8AanBrGsiZxIXPm/G4v6AqNKaVID+GQW2+0tCvFwA+5uVvUm5fshys y962D118DkJaEv3dqxjGIif+r5/m+2YuKxasjr7Q8ZcL/8ezhVfHF2v0xeIt8EB2qfjAUWLrKqpp wgOQ/E3Fh83Of276dIEScnI55aCcwvyGwdSGdbdrpidRpEC3DML4nOF+l+vxHHp9O4pwQy6F4i8G KCW1lD659jH5KoHwezrB0SNud9ExP0yZl3N/BNejmRMzW8pg6q8QxhgKU0jIHJc7pmm5742HTLN9 9qC+6S0makBqfx6Xa3uE9dazqooO4Szz6v7blPcvzA39ijUwRqVYQBrGyGb0r69JU8i2kdkGwbZ7 gEA8QJibLB2QQQVY9gTfSptkI2gYlgwWuhoeFR8y1YOACQpeXrJ0+3Z27FNpVYg1rRHS3W/daYAZ BSFFk9lXv6Ve24JCsqzehla04C+aKLRvUq2vSSeV9swiPJhNN7lLfalj34Inz3rOp0WlUrRVUdff CLMle8QKaDi+bDyxe5JugMavR/IL0BPbbkoNnPpQbtsTc0R+iw84hcEQOlaukA5HNz7I9d3pfwca /bM4PP3jh/bfH1rLELM/SOczAXHqgdFUtK/rrkpr+qv+iSrRNrWMZPwVRBYsTYaaQQB6loFWnh7K YbiHvfSUBKthfxtqZ0T+dTW8T3eolvFktxJXhs2jDS4JYkqFrIbbuUuPlOZJIbn5g4hHkQ9l7I2G gM0BLR4mLXgjzEs2V2z2UK7b0OfDO68myuCl1e7mlbrWoK5JWyLuN/5wo9Ca9QNsZ1MYxBh7ANyQ bS1kUKpEXOz5cEhqQDwh/hisS+p2cxdAw96sV/vmXFhWAJhuZ+6Ee5KDo8TBPY58dKWPEDxkvvI2 x/JqAFpDAtnE81iK3uewawcdAdGkMYlg3Ak41vFsbfprI6JwKfdUFvLE1LWg2mpUcdXlb6sETWth fts4m91Bz4HuEW10sC8590IzJ+FFj6DVadE9Hm9NOyAYEUglhkpbC9nlcslt/UAD8rIkpdZ38r3k cQXfmo+TjiYJBGo= `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/builtin/builtin_top.vhd
19
47568
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dpj1rsbRiC2XtvMMkZeaWceey8TRzfvuZghjsYUFfvEbx0wxaUtNO2KtH3hQvHr5R05ZRpFvbxnS y9eflHJ+fw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RxF4+BsurVIN9R6VPOZY6IjRgF7yOLOJFH+DEaCvilnRUUfGXWquiAJNpzEAXSnsWuptbwUxy5M0 I2FA4+Rh4icthIWWJqsNOFS1K2ZEpNoHe2hVsMzmtRpnsPL9VGvgfvA4do7AYV7YhTUgoQfClGAQ vFYxy/RbXBzM3PrDcTk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OvIp9LkjFoctqOSaxZyP7bYL7KElD3vYsFbzOXm+yqBzueGP4aoe0+732BJK3cSRYLmSREwKo0o0 Rv3hIBpxf0Y7nOdTTISL4pJ3qn/Q9Div9rDMzGaVxIOMLNLxqjT1ZbqCGU0LBxVzmDxHhBalP4V2 XUBBBCK3eeYn9YA+pujel3BBQ67ibuZRmgjKTwyT9B3SaGu2w8ce0O/YfSF/l+ncmV9cvUhjGdBV Dsus1J4qhNTtraXR3S8daDpX289UCjsNh8krOgCnmBNlKeEFeTxbhmhnNPIAjDgfW1fdIgrmAH+S tzDecIht4fghpU24F+FmCjpRFfArF8+d7uvxlA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4ZEqShxRoOQpy+XtDUXlHHAe5v38IR2wWpAtAq2KeZ3f4UCuk5LQw2Oc5c9xFXi1a9SsCAzYO6Rg 6iBcvyh5jboOYApBCjz/4VZfMAndhqby+l7lpAzkB6TqAqvqUfdVhSRn9DQMcQZ2fMALj61IBeLk rnvtNe9XfB9vaA3zmlE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CxLbTp2UMBa44c/UwixvnmtRjPsy2Xb+fkOsP/coXETbFAb6XdUuKlopddrCIslByXBY8SiCzN9B XnnZENqObWvYgo2VDZVlPu9SL8ZNuOrh2v/bJ7ztAhTSojfY2dBi8ojKva7J9JwGsRtKubJGASjY RHw8CGw4rdc0A5dMEVmmoAymqmzBjExIxX3UWjtVz457DADxQ6UUgPgr7ysxQXkHN2eTr8eKtbK1 R8VALM11jq0MxZUpiiq5xDX4POkxGrs4QQL6Repo1WUK5V648ZRUZDaWyRJbcIm/J5ref1gzTZWX h3koqZ0X3HGeO0DTx9nnC43UDVfA3fgk+YpVGw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33472) `protect data_block 5bZ7kSSCidLr3jSD5JBW0RPv7cRb3VOGMWb5QaLcvB1A2zKZN0EIx3lTZPq8F/4hApM43HJ8E5wJ QaLMeQzwTGh0ED+qkSTxFoBU6UL5a8vQ9qCn2MikotVHy3xjrq13idvtxFmHqjjro5Zp9WLIUECZ K3bEVaGL0AG96gvef8fycJV+od0vTLsubbhuuCm92L6EM0j9G/f65X1suXMtbrnQ+Rj/aceOFABu j3uSEv8dgCjWKGlGZMiSLX1xK8lmlu66RFg46IkRLTntiBmgl+8PzaDj4MvRNgvtvdJmrMaViw1z EY7kQZMJ7rc/FQGEo64krikKv/y360HfUov6tP6fSTok5EitLcPyPDXcv3USpB1lS5cG7nI6FClG nxysQwGIDmWThWThpjb+lFDTGpRTXeiHHYl5ajJzERAJPiL3ObUR58MRdlSGKLf+Snyvy1xrg6GP k1/iFsVrVPDHORjkrVvQ5T2hl6Sfjf1cj8m+NW2SS9wTLCTZYa80oomzdS2xutuQhgACBxLJ/v2f l0hCCODIgtNnNMDKXBHhf4c48mXaGUgwWXzRf2SXRwdAcHzcyAxdWUXa3fr/zbGdRrTV8F3TyEih 8Lh0BgOXEGXthM9eCyTpv5emzCHWQGLGGVOuAHiNiiuaiP3AUJPbqkH9j0rrdPiBAXyz+oLM0adq C7uDn/DChjW9uBIZc0unNlRAFB9jEjZv2aaQyqhRew6ivUHUMr0fn4djlIHQJBtS29LdG4AUUNzu 05KfhKqaK76v6eu17+CjQwD3D4CXrFquDMv3ydihez9evVcGcoZ2Zxs5x9a7NzC49x5oFu6K8/4f XwOPpjeEPpN12mYzo1Bu1iRJFPUHWCIeslH8qK4kWsvuBJJ5uNcbq6nikL4L1VYSmb2Q7/0ggDb2 827m0j++39HjByHGB65yyh+l6DXOBt4oNe4uaKNoTtukMZaYw1QD+074UCycwbk0kgVDWNQ2MP74 MLb2+feMGdAoVT2bcDVsI3jFK0wv6Thfig/Ywh4MLLr7TFyComPtaaYX+ZGy6QEGNWjWgV0L6bkb xYMnHedBqiVeFzJO4tG7eYh5rt6FOCyA/r560n7xhMmD4Mzv8LpQeA/nU9F85Kx4AmXztGwSCS+I bnbkhL3S75cHoJSONatLxwtvgdHZIxoP7EDWvHowNVSsAbxuWmeQ8RQHBDJ3vEQrvECaFSuRMvdi ZMjaReLaMtFYl1xGkwA551H55vN81zVDQvzUKCcPliMJ+1zt8AAngLclpcrR5Vwbnteli4F3bIEF yJEgIyN0vCiDdR4/8wYmH+olE+kj13I/zdkzKa/olmQXu4HcR7dgUttJkqHFTIX1S/22NfsuVmQm flRQigT7emvZQDzeJEfT9EOKitjKUKvr+ADPTTuLB/k0M2q+SyZrgYQQgPWFjPrVymE+d822pjzN LDPHx2Xkb00A0m13UTuk4gAMpXwl58V0qqmyE/ZXoXWptyZPekENrSET5W2zbsYv92z1zdlyMhT8 2KGWmJagvkKhVt3VkYyY/ReZJF0pkJa2DazoSl51koIaPKh+zeicykYXoPjhkzN/Q0uZYZ/j9z79 ofbOz47XCpAZQiW2hEOjWFnwV9D9QOBnzYGI60aH6IrtgpXC1oyjZQpKzz9LOAZT+TUqjkCW1+w3 QudwtCIsi2EGjUep6vfLd0ddB3v/HjFHyVxwcl7UYvkBa6G5Sb307mh/ESu3lYujS/7bCnx6M+gQ zT5GjaGPo1jLiFwTcIXaNXrFZVHL20Thr9VfhRDKt9vR3NGQMbq5VmCYjlL8wpeX/PXfLNbA3JJK wvYzqtsqtFnzuI0qPLZ57vL+XNxkJsw6y1b/XEtH/GB95L9/fbDri2NN39xzMcmXJJacyhk2UsJE cUg18b4+KeTJd9xgftS147V6FLivDZVtwljkWrHc0B+ClElW/AaclTZZwUmitP0z+4BmLKJBBDnn 493FwcFNlWAZsTpcvTHw3t0FI0WHoV3C90/20kJUUf9uUOjBOYPYC4utZtfmsvvemaXZjueCLYyu SXiNQwC61u+AblPUrkHDiQvjRLsgan8tI6WsqWXeUXwqaiDHNGm43a9O/8QAnxXscUaAJAck030C ju3dFUr+lXGi/rf8XgiZPc3YbNc5qED2nkAMsfgazA9b7+dboEo0eAyPp5FBX4lOiM7nSlNV3K98 gJZK+ppFufBNT67kV17q/yRLw/2LSc09Lcs8F03HPWYMkK8BYxSrGZmTcpipLI9lR6g4mRdXrwsL PmmBMdjOXFKkDlrKUe7V0Ga19F3bQkbg6MYbsQSsZRUH0BzvJlNtktX5zYLfQR69+0v9eU+5m1BW w4lmiKCfI+W/QpNVtPeUhgX5cQenoESBef+78toeFe1Eh5GX1YxObONGPEudlUrY97XytDUq7gvP 6l7igrCyOLefG7PdoFwFI4q27ErV1OZidpV1qxE5D9W6/5CfAVO0eSeC/LsWeAzRSXqhoyh5/XVk 724zurdmjpliDY2lDeZcm6V7RdccLUWxC5Z164hQ7UPB2bhxga17pERwSHx5zFA+kQifi00RylS9 Her1BtLoWugaYeEOXsQXDiobjQi5pksnXru9upGr2umenwZpqeJN6v2H6tq9ThNkCPGTb0pYj1Ki 7I+yoKb61nura6p2+8T3P37XoncXBWu2hWYeBccxoGPzeb/O3FCvw4Xcwp1pqxjr7JCkVsVPr1OD bQXRwE4EcC9lrFnb/QtGz72IDVXnETYdvhhlhHGfS7JfjPbesqIKyLfVeW26mR5VFPM88ZT/LTnB xz8R394jNUCu7esUJ2keyBNFtwsw0gO3YKadSJYYuSawvn+aTottEA7P17Bj7MzFDM+CmuzlGS4y OalnqPBDXwLPNoYesEm7gvdCN3giq/BfxLyG4XzVYPDIwKTergsEF1UxlywHGMZ188wjiCh1DeDp lY5wlc0f+iqgDBxj2dNCGEbVyQ+14golLYjLBZQHBTFF7ZlwfQLkFSkUjOzIKa4QRAvy+D12dsSr NSCjSv7oh6s5I8gexOtKN/0I+NAZ70/yIoD2CUBbSwNy3iN3Z49PSfZLoWwtEChWajiedQDMkPx5 FTZtlc2FqLIgL3VIzH/gW7QcWj1Tlm7s10nX+WxaAtcfLT96p1ViAwRB4AlyH8/ytqKjYHXaoz47 L2wzzKJTR9MIAIh7E3YVe6tHQFblXFWd+G1SBF6CyM7gZvsQnfKGIQubNLi/dG95BnQCdezv/tAA 6oxaqwvL4lrp6usijARTvLbq6UZUP9Lul3P+BIQA7pZ9iDM50jQ8cp7y9TI6tuBZDIkYv6Bn9UF5 5E0fb9wvSOkvODCbn6etdp5QWWL6/x7FokVHLkgKJEvjk0/lxOMKh0jAKVy5/9u3noZjcqsvfYq2 yAqAfYNSRiG0uCINEv30UVMqWl69z6dvty2HINnNTJ6pQ6odWeK9MJPgVy7PZaIc0BEzGEcxDXIw GFyhQQfyIMcaB5e119lZLiO470Or4nUw7T6oek0SCOh31xy2rYzjqqV5HqwEv89IuOxxEKr5MkNr NzsbmcpMZ7qk8JdPqh8SM9oSie/67/wsNToJxe0dmdduRjakEJ3T6Ju0qfdmavvv+ZA0J+rgLPi1 Bs8xUHuXmLVDiFy4Irp+sXckFvQVpdhkHnPZhwPae0N0jTQhDAPo+UWo6/MkfrabBvmkRrDSj2fl plFp31e+48hrrwMSvoutlbXMHKGyUk4IKsOjH0MzJBiu3AwFADYsNxVhFKPvF6iI5EnX0TtgeWvp i8tvSIrx7015wCGcLWKkKImAUr+cmPAqhF0heh/I+dobcSFrT9/jJI0VwXffVMozItNbWY1jnFZ+ 9FRoHnQZL6kRK7iQYHe0WU0yoSAxkoF9DGV+oAVYzCj6kQyxrlLL8BoxJTzUIYjz1U5XV718j1xM PNKhjRDD48uq4ZXgmiQ2P7D0HrgOd6pmRpx66/hPODZhO3ggpQ3tIsrUd/boo0rLfIHd4kykEhue 7ijUvHnNgARtgaC1GuWXpsi6mDDdvjUqjz5gZFJW+vqcKzbbYEYYRq+o23Fobh+QnY8quhGZWrd1 ppn7uHipmR2+cK3k1ddzqhfH79p2MaaGG1jgXuwI2OI1s2Oo3M9paJFeQ75m4PAm7OmnFqI08riS uyQrnTi+sQygaDANd+fsKMQG2hjzJq7hvI2btmn2jkh/kkEcgJKPZkhzU+C4f2BnZBdQc51hgUEz v6YhFF9Q/ZVwDoDqqgkvmE9S5JunTOnU+6D1Oj15mpcbGXjOjIqft/CoVUzO+QDt0Pjs2I0ls26C McJmLK4CFouYVtl6ghy4tr3zydLE5Jrjd/1CXQ3rnFLdVuoIGZR7wRwljD67B/QCil9hEvoBfx3S Ui2svHC3q1FXREQTtX32ph2EQQGsv0WHcx58cLVGzLQ3At54P5FOg1+qtwBQ5WQNZMSnJU/VzScO irdJ1WreLx/zrcvm7dxz3+5JRAmuK9J4/2PlQo1XZ0ahixj8hYsf5+/FvXNKuqp7w4Vwgh36X32D yCr/uGJ1uHAFZGwUhTEZghH5nq0C6Vg7bYYRm2ages1jAbobh+loPnxoEUgmFjwk8ZQmBkDCEPwu 7DLXvUymPiBsouVwu4CMc9cxZavJeFWQoV329ROEO/dRO6nHHFiAqgIvXcxFtN7VXsttvqNp7URH 7rf3EcPM4XNqxeNA+fK2q21Gzas5yYpeEH5ZT9NomFEIbIp422dwFGEF62XfwW1E97PJlSpYI/nM 4wsT3PCPVVmaso/XCaYLonNXkjifIe5ATv/c3dVcPvVIRXXuc9eGPFDLbqc48E68ZDjhZH2vfT94 zoAMZgL/2w4BaT4hde5CEztDPYMT2NfJuPDhMRpOMehe72wxxAQhjXya53mBLVldH+djvB/ij6I8 Vz+TQ5io764TV+Zp31w3SoTi3G9V7zNb/IGPD8dDkNBREzr1Lz07qnrnHE2/fkUNnxquI+PO3l82 BIhl2nwL3cs6fUb6muHmbSHQ9AZZTgbwafm27sjfjGAzcZguzh1OKy2rrcRFDXhC73EH+UA4oTeO d8hPfjRC746wBl54xwrbm80y/xXlmQwlXY2bA+rzfecXnUidi/9EKrDa4sQ3XR9xfxPOC1HK0W3L 4gpEuANdIROCkp0Xq6ovNv5OQvrFJYswyUjpZ7IB8A1ufYBkShPahD5Vzgg60ba0VyNXndUqyGfm yyP1efDKKb6kqu790hAtqR3NYSIA32M7khEduP5Yr2jmHrdw7iZLEsLDQSZOz46peK0UXcWhuR+W oPSUsWxHnG8KKQCC5OGMRWvCXdc0j/tYRxW5CQT+Eqg2dswAImAo1rZ2jypQowPF7uYHj5Qf2lWy O3WJmL3pDBN9lkYk/IFtX26LOaVZCrQeQpWYg+Nt+UKWlo56XHDbtiXRgN2GnaFEk9/liOxte9pc UEnNHxHWefxsfl5xdK9XRRfb5tkdJBeKCTWe12fmCY2H182mvAJgroxFPrH7Z0IYYdDgazQq3Sv6 fEPaCEgeITwq9NC4qG4uBwNVDjli3PMNgazNYGtVUG4GL2H5JeSQLPMKkNICHd9mcbfSoqGLu0sy 4qxqhXgUuUJ24t2OwHHOABdqJYBqmNzWYhVbGvfofEbuTtpSTIheYihDGR3jJyBxgcZnCqpQVbNj omQJ2pvzeW0Fg3hvRVNTuiQEa8XGAZvcTj6g4TaqBk7oWoJ4xlFePCmGO3938xtDspT6C6F95laf 4PN6o4u3CJT1qy0fnmMCH0wNx7sgZF2/R69WE5iGBnd3i1t8wS2D8/Poh/rhYEX1YvFMjCbmsa5c HUMIap3LfcLWcGMHs9mn7Q/xDJpD+OoqR3v8FYVI+4kgS6ByL70VIwcuOBoTJYVPSHc1Rk+KFpnc VaXHKhWv9pbO4AsVm66cfZrFuaLLUXk7YLiSHy4cNAkdKAM7qZX4iPFI1Op068n4RFgvBMSkLvfR BRkoX3eikGTXI16fC/DH36N/qBHYtEOz4BUZveQDkwal+ShRwt3gi8pMajGtcTfH08M6I+UzkUN/ gGZHir9xFM/u3ZslGGPK0RLdmHgQKb4MfpfDSn+vGrcxqaek0C5UsPdRq28loaBMCbN8agV2YLge +U7RKV8Bkn0Ij/tpY6GRdW6ozNYZ1Ih01QzdwiXcdwNPA+q4/xOhI52LHs/pg+4mdMMjXV1/Z+G1 oPzR7Bo848TGbnjg0WBG0dbKftt4vvoOe6+gMX5NQNcnJAqY5dDjGOONhrZaaQrIeeh2l8V9cn2P +JXgtkx7T1XNNHLkildBx8aKCjZMMsecnTFpOQV7D1c5T52Xjjsxi6JTNy17plVX0YS39B9o8jv7 EqF1BBvLiQ6m30SHJUDZpSr1SmtP0NDkRwWiXAYADpdcBZjUy3HTXCqLVper3v+2iJwLfLcF9dlv l5Wh6ypJPxed1B1Gomp1+5r8TzGA1A771NMoRXLSjHw5sp+SBj4oz49fI4RWWjfTxHKogVJD01WC znwDqawIe+PlcD02n/GtwnY5qgnTi5VQraP0+kv0VJhTK/s8W1kKa80nf+sNy8VxsqNhabr6tsAF XihrDZZQZCg8xyWvVCbBhRKtEDVykyFwzYwK+GjexHNaAL6il9IsR8PvEz65tqnlgXsHJ423s/Gc DXzBzzpvc6Qde/BzcxRHXEAxR4qXWDK5wxGsc6yEnnZlkiJLlWZPL3cTBZSmrfDXMvo2KU0CNSvd gDTcd+AvPyrEIlfYMIxdfQPVfjUOx5IjcWxZHakVAonJQrjfLfzmi9c9wmNEsY8WeLBCsbIlreiE gBmSo1RDlZm083VYbh/47EIlT7i91u51QFKVp6oP3c679mdwa0e4FzNOnckaOvYURwoUw48ULk5V ao/lXuKluuI33EagccETPW0KWSz2AhsBffE4+LN7ft4S61NzcA8ksCooMyx4tDGFiTpofvHRqq7N ZOjN64ncAXvZGwLs4v0EpUE1nFbyivsUtA3jzRt4XBVSl4xha7IYpSozubSEQDWrj0UcZJbd3eDB C6aQfJwAK4xGeITzL3pWWs0ylwZEgZl+EWyusdnCbtjfFRsQ5H9G3VYb63igC3djAN1b7CtYV6O3 NU1+WqOYuf+zrB7kG/YrimwJ893VtvfMIIxzsTEWaai6zLtRmyjPQkVO6X3e9gkQ0zYK0YIAHi5c P6yOBnNX6DE/W/5Xl0xhueFYuDE3JgbOI58nzVBuGGrAyRez8PRrKJ2ulFx20jixBVEUgAHtdGIV dC25zo6PDSDE1W39dL5l24YacFkDvrE+Cn5IbvIaJb5dOi4Z8PXNHfLRa9w2hM1cgrgGCmjXIN9f +GFzyfNUWLPtBXuAhnR6NZK42pyhqupDBG+ZJ40dZZROw7UPDnYqascn1X76IHCFmADExNdwonz3 KwFvTqOG5EH1tHyphNxv3PO+Cctz4F6hWUVcMhE0V/PLGByImewbMkPgfH6Y9B164idVioMVdDaI Z13iuZDDuyn88HyoJWQrhmJTeJoZ20340+Fnxb/1uFlPoKPBsDDkt5EQFSk19O2T1YzIgyvJ3GTq T4rlCZesij1fjngmc7tBxqV4hU3JUts8TG2WFtHI/KEJD3Q5jraQISatr5mPtRB5fiF8KqjUUOxe R9pS9eREMFf+skOGtX+k+F2VQqrUsn5Eawe+UrCYQQih18i6JTkgA5yPeLOPjH4d0wFt4GY1w/e8 pc6nrkDfipNxkBcAqyr9rkVRk3geYQictraHz5KKyg91Mf98VBtT8L2elZaBcuHW8MZK16wRSZVK e6o9WJCs/dGSroBscAvPMgWLkNF2w1Zw9P52WcYVTY3TVPnub2VuEzkMRmW8Mqbgaub2B2LBM1OT l/mmlmWkL87eQXnAJOIwG5KfKtX2kSa+dj3qIUVWT/7zA4lHftyFVLadF2BEAcRWfXikLPXA6jn5 YuW09Qphx1joqOOxUAr7TIIvsOHgW5BtAb1eJo8MD55j7VUPFaY5cJi4Ro1a1p9iVlzLoV4uvZ3r iYoykOaAYHaORJJG86nj9QFOixsXk89El/s0D4Ecu9KxuKTAYrYkYGn6loq1GCOBsy4/69fwysFj PXN/I8Fyka/xS3Ta7bU40me957sOuMSOTuJveOmUoYa0c5sVeb/N1oChMISsbaQBaTwKwnb7JeDJ 36LmBGEyZPzeL44fMBEF00/pWi5VGhdmM4O60f5YYYaQagFaJStRIOH2sDBNiNiW3masmA/RA29L ZIrYRxRflUa4sWk649aR8mz6wdwUVZZ1oQ2aL9/SCvTUuhvri8R4p+piDMmPnEuSaRxbY7kR/llD Off3kg2UFhDdq5GvMiBCF+8mun9z8SOxhYN6TyC19Skon7Q31fQdN5P+theNmK1hJjYuPMNNljIq ojYNnD50PUeugFvABU34aNzXGiQ1NC2QpXnNoelrVEVWuxPM/RIySiG5Y4WWKy1X4Gi1ojiIu74E gz4s4/vQ2LnS7AifoUjwY5S/B0hS1NiWJsFP99I5wbmbd1WmZK6LJwyopR14m+BC6Mal9nANr6fr azbykpJUeXF6Lpd3WsrHIg5TxoFKe726YVgSNJsdrdJtxrEQhfMXJWEWxDqC/Z4riQ+FNhX2YkSe Iae1zvYUhM4swO8uME1ZEMtWLqu3E9HYj+R9ifsxTIZE0qA/ui+/rKjjVMSzXc4dVZX56ybJIPXC Gof8BvtXfdLgoUvIqQ4T8hoAcm2AKxdzPGABBuRGuj9wgFs9T+ET8wR5UIGEIFGdWceC/z37yOzb pHy7fo1Q+NZzBHHlAYrXg6bT1LEuYORx27zKYdDv4SxEdC+MtbOc80XrOPHT1UavTJ12BKWuhTyL vNQnDns/HC8EI9Fm3+cG0q3UplSWmRFw5m0v9KgHNZDbBC3v+xXF2u7LpewyDH11G7rjntotZe9/ 0R0cb9FgDDbBOacVEJDarzttnFW5yzH3sYd6buo8KaG09ffIfpVfJzY7AZl64e9YcRBy++H3UEab 0YSGxxFN6wHg7H9iHD4nwXa/r1rDKEPmV55p45w3JcW2dzasayGedhdl0bMI0+nfLTVHMKWGbf1K IpJKFf8bkduU7A2HA93alUJLsBc6WBbXL3GAjIndeJnmhqieqsS07i2JOTDYg90Tk7GaLt8Y8TXO h+tGXdMumPuYop/G8iDthUVQw+aIGaMDE/BuOl+et0IJILMdaW1Xjt6kLrUVSWVJKLKCp+X7eU+i KSOOQie4KEDq0+sMJreNAAcghSZpuFXRQHAKURa+3bvWS6M6+DA0KLInjucZFuQgeqJ9ju3Hw0b5 77x3eTfCBrtK7UWv+u/E8fcDdg87L0YorS1CygI1QsC7R7GhES1NHwwhdVUfFJ1d43g30MgjBW3L HZVydEK+UUWDg0skWdOqdkiVbksBIkjTOAvbFpiQmJnUnNaviktDw7v7IBUmKew2I7CAebGOmPac GTqjcBqU2voJQGu+IbL4VBdRHRPH9HAORxMd8QVeGmIuYkz4aYHxJWDdt1iOVpWTzL6N8RGwinkw ziZoSj4bfhh0cJwqB1dGAQhcTI1tWtnboDZK76flDzjcchWKzb1Jqa1a1e1DHex5My+m/Fxp0gkH oU+9xlr7j9D4O9LOgpCEDzOsPY/6RAm9O4Xb8aMTat1qfkIU7D7ZHvDsOKqvvMwxJiSG7jtzEmI1 17MtZXZVsVa3CrnUm4So442GFkPqiWmYSrhZ4hq0/IPhZPWWo5LJMqUo6solbuuGOC0XgUEsVpzk tbv4tRCVNnZovY4ikqqH8PRa+7JJZSIY5b4nEsnyuZtq/o171+tJlIho1r3Mjdm7uhyqshYfkzON Ju/cgVEwoji+Gce9CJxQS05VZI/fjRrC2ASt7YI6v7Uvjzv4GCvGxBhiPFjwQ+0YY3CU5TSocFuu /FLxo+IENX5t/SfoaIF0sd3SAZ27/g+ShAolRwg5DMZAg7dy/e2FlpOX4r2zeq4UXl/jmk1oFlXJ Pajey0w0bFlz9BvYcDcQUSd+oLgOIMunR/79BMR+Jz8RegMqVkEXGrZRxBpg5uecJO9y0SHmnMUJ KXhErAATKR4xst/V6JHtUihBw4JjRxXewbSkFp5x38xjCjIE2AtnTxKEDbI7vrgLcPRmpbM63U4w 1pqhCMOLNIYfNLUMaAashf4DsFMuoiAf0YJCnw4kiUGIgwM+Kj7lmN8rwxGFW8/zshw72/lQF7qa 3ELsN2HHARiC+AdfjJch4xywe0dyCbXz5aT+ER+Y/QOTPAKx9at1d9641QXSbixvYOzDkwADl8/d UylCeZw0IU/dYQnpSusOAZDm9amIrFAwz90Rrxf5/JKApUf3YDshz0KroZrfzZg45xbyIOX5zvHv pq3Kfk87FTux1Yb+GNzHuvBwkIx3IS5OjQtDoIt5gqGUKoxVG5MticJZN21ssiEoEiowtqJacHZa 6m9hkcrL7xpQo5aVM2Zm62LYA5Oa6hgF10vI2liyERG61d/PWTNu3XztyIbCrBX7vBfDahJiTHjD 3j1KVJQE2/OPDi3sSFcRtIeJCr59o7MtSZgnetIeGj5pBowY398HHDccajo4dEav3hSthYACRG6z VJTkmPlgSbPxVdNoAUeYwxkMs9nj4MY3H9HCzWTQh1Oqie6msPVubVPCkbRWXPC5RDzVcNOn6onY dME3ECf0Tz/vMwxXyMlW7O5W8D0kWDoxz2NaS/pHWxf9AIW0GxnNfgCgQaHk9+lCobhqwMEzBlFc Q2c9Rf31RHZyCTIVKpShLmtsUZzBXdttbxsWpkUtT2RYHnXfUoB45KbTL0EEFdMwj6kPv2s6L5PJ +DXIqOqL6vHF1Ppe/1/lh5WESNGC8cYrTZ9ehyipuU+fYvi1E/MVayTYMvcW6DgX4d/HyBZZnN/v RQZq/d6+RRTdQPYUYd/nCNMMsF7n/peFmBzeJvoSf+1D8xSdWab2t5oEof5HyFAYOOfyx5ObSJ02 5MBMw/6MYOREfIsBHYmD12nKtJl7wJglb4Y1RtI6Tp8ul5RiM2dGvDht/Cc2sVdDurt8L12nKfAX jyKjq2YbLr4tpzzVHBmnUJXzfbIRpBCeFKsoo79WK45EpOEuBkLRR0t9OiEqdv7ozw+JLHaZApBH TgpcNGmljrUtMtOgubl5flB3RKSZST4Podi5HiLb/QJYhBGX1m3qE6OLugV5a5V3UjDikEM4QTUw ux9T2KzKvdyEdFXm2c/NlGZaSZ3klcse3b+OIs0SjJHJjDt+LT1V5zBgNncG2jiGZxR77guRAFKy QOr7Z3w2ia7cHwjCErTZPDbOyoTBfq4ljpMmCDbfqqnrELJmmeeu9OSNUJ9yDgdYs2nfcMB+2/w9 vVMAo4YFgidrcYRfgsTxfLA0Rv7bDVTPwdGhIDcco1xJpD6+paTbeV13K4FOUIkP9/nBiqPDJiPg z2BG/YgsXWq9RAEeuctYy9QafsK5QXQVnoirRTBy8r2LzEI1KgjrqiDMurrciYN0JNJ9B2xijQcL qwM8Mdq83M1o8gfcfhlkYs/Yg4AUdjwC2IDqmIRuA9PIMBxoTUh5BsgNXiDL8v9DrOZe9W/QC4UW ObrOlMttuT50cWkKcJAbwQ0Wu7JK+X4ChbF6maJ1b4kflU46kXxbU6qfaoqX7+tvEg9w96pb4bmH 6KFXpcRC28RD9Z2wfTdxLWO/q2ART+oiT7ZeywnUw4VTFe7QxJWvHpD++ETfg/zfIJuvZgmTmLP5 QbTzsidUSK5UT2vjLIHXDQpCWtj5Kp9HPFBVRN50Q2CW8E394urrrs5Vc2pxiH7xfY1v5O7TI2FM gkLr3yG+yXNacu7bsHT+6Y/ryJrkkRor11gGLdLLFuSffe//smAjid4Gp91BRA8LJ3q6DX+2+pjL dc1GaDNRhDkExNxDlXv43CerW5n/IbeOiReCWRT2kf4hQeYE5uqrNHcI0G7e7hBTnpi/QtMj3l/D gi9X+rJkPx+07OPff/XI9EPGs/HPLRCezEG5ysjJQZZRlgR2VyjzPsCaOg4Z7NmmbLv6uuPTeTqP HkJe5ru5xeAn4crRsnuwpOFhA6+Ase3VrMQpv04dq10NSn4nS9WJ7Elk4nbbfegYkG/iwJYEXY+v w3bfqO719AV4LuMbmBo7HkxFrt649U8d29yiAxhIlU0NdM6DoWTwAw9glvVXd0PMWDD05l5ypqSw EscbumI7zVzYWEh7pJUhmG3WBkiHu3Sn4DiJzPItrHeD0f7v91y4xnmhLoGvmfevBQVzCVyZ2P22 iTEBwDlBi3fSTHRfFH4qtnsYOQzTz582F/eC1/UjGtBbemuazjGtphZz3xjpTLuqN8zW2R+JiCOL 9sBZgRXLZXD+T4YU+k4AZBkWVCrtrp3VM2+Re/fFuFXuVm3Hl7s7gBiC8KOEF5jYuqYu+/xeMrIx vv0vkSLF7EhG9ArIQwRSxRBrQGKxSLRCP9tCpVd0ir0Cu2fJxbWT//iF48CP8uqYKGuu+Xd8OX8P 94TgT0MOcww5EdTAo52kLAioS2imN4Bgzfrvd8uUu02iAk3MDHm1i2CD6XdDwGqoYqEtRi1hzClC DngdCnDFXA229yjsjd3nHMB1t56zqG4FsAbAN0KERInqbGmEf6HWfnVqTDMdsgvJp2oaNiNWJgOC qEIq/6qk6/TVjII2jkGVl05i1cr0dyBggABFTKaEeUqiIduW2v8kQEkdkWeEzmSdz6tEdmBs0pc7 n1fOtEyeBzVP3YxNGmRekVEDeK7Qy+PH6cotZiUcXpc+W59IWA8olus110BmjrEHUGA2ZzHyDnxC J9cQB83wOuKogkXcekTafTppOQBK5qm17RDlG/AbGkpXtxa2Ek0RqIfzIEteBT5MYX7mA0loJiuQ sguriBfvFfL4MUIi0GZOpJQ1qW8X87HXlSlcgYJT9c5xw/R1ZWpvNkIolKKTJ5JjK1gTaX0wJXL5 FyYE1XLcNzLOdTpVPfUXBqpxiCeMQx9WorIaC0sZJXlex4UPjJNFLgUVThVuI+h/xi+18x67fw52 Wsn0iGKg/k4//EK+Ja2CktgQPXIo7DtwEegnUJCH0hhL67mDL2qXVv1EvhioaNZ8ZOeN6rfkG8c4 KaQZXZeiTnfvFzQ7NAcRZnNVKnlONuyqlGUZYdWaG4I407Js773xMn3rdQg3fjj6UFZS6kZeOzHu EROvr7hLwW4Zm/lyrXkVEmIsvCO/j8/MdYitzd2A/sUfp1pEYZzHFRDZ90BJZG+Wc3BzYszh+uGP zHbHkTGn5CVBgHU9CCkME7shX9jxLBRYycYEDYg1zeHx9RQha0Swbu4UsiupGCyYhkZ7EsJtJ/vi 7gtNVajSeNcxNnfLV9mQGqgN66XXW9k1YjWTA9GH8C9jfZA57yoU+cbFJh57l721HM8dYBo6jMsU 1xZLx5YrLzJDfqiopZ7ZIDa4y7JKcc3FbUpqn2/l/dxPxWg6cbCEMlSwxd4KTvreS+d4iSuA5BB0 nM3jrQwnMyYHeXye4YD0oTHL7ligLz2MxSacN+EnflR80RDwZzbeCMhA6yclZtK7QQnZKArNFFO8 /Rh9/HVYGpegyv4U3lOmW5UDlC+sli61bIdZ4Wf5YZizEkHsaUCJqdHNILPfxDKGwPBGg7jzU7L5 C3tIoGelnTyHvWkxHksSuiLoGyS7lejelqE10ufOoaa6kJd9XqjzFMwHsSZsH/BJH7qg+hirpxBw ZslmmFtbHW96soEtFkjmDeMeHrgJ8Vmkzz5NSkvauCy6PeXKs1Z7c/adngBMnr3+Ot3JfJo7kq8j 7hm4/sXodo9ClIGm8/TrWhkfXrCBs7g+4ZAPVp9imZKMiDw+5bLvUPwOHfglRQ2V32+CG3FCfF18 h8LbUbPVcFP2iMGFJbG+zSerbZX5soFEUfteCXON3DQbdsL1LiZNbtKuXMij1Xig1v/8zX1sHVCM rImXxhjFVVK0rrDkgIMMoVAevmxeWfQHvOi9DhQNSi/ggY6+ctwSA+D2dyus5/k+Ci6tjWNujNiQ mXmsqtkhVpii6ZwhmT5DGAvyI0dfOsUwGWWxuqFlcr+75Y40KzK5yZ667xj2GXwvgf0c1B5IjUtM Nms/BiKZAqBc2+M0pxgeimQJO2KhCbR7sM8hqK0ce1gLnBXuY9i4UjdV+VbCQgv8kcKRLVIjoGjX P26ZPK+C4X4d3RefLV4nOTZ/cWD6nw52nxqqlmGmi/OveQHG59RgtErS0ZNYKExA4/WUzjbcvOmE wQTQ+r2gFBG6ImgKj1rOO/TRhjLJarZwaYdKgM00GdF68ROBAOl10W2kfvg4JJ6Y17z9Wf9cf9hu miHE3lHV9Q9v6TCIMzUuGwDtEh4eX3l0xR712tmOaDVXCX75S579a3WtP9f2/bLZmQQ/x/2ZxXOF dP9kJtb9QJoFRFznTeAz9+OGp4tp8hS3rGz9sn3XAmXJ6oRwZ2dYwwRzATrB71PlRiCdJKUuQ9fo tRuD57VDHR/Zrhx7Lf0POpUkLDQIGeMvh+Wx1ntUCbMZLw5l022gaaJLflcoIh9bxqhcVI0ef7R+ ZYKQBT4SluyzXoaztuqeesVXHcpqMjdv8cFk7TD5Aal300+boSaB37xb8C/mgQt/Ar/06iDQN0lv IBKpnTF0b3voYN4KxCbRGomBB1ErtLC9sUn+V/xMEn1eTAJVqqYJYbLhCSQWaY/BY5ges67CLz22 N3VzIJPdWIzp2eN63h9LmUc7XTkv7GXscWaYBrirYgjBcTGawaJXLBGzVOxy/y6cA77105kS81Df N09FjSFBGNWEaRBGqHZtd9lg7EvGHAx9AzRugtat8Ibm+YLBRDBNrd2xIniOcMJMPk87UbvyI3uP UD9O8x50B+egO12VVZrz2+j10baOa0OuptQ+DYr4Ss/Wtt3hY6PbmTiBWmd47eUyYYSqwiG464FX OL/dM1p2C4DvmThShJOnAo8OT5TaDGt9m634EOVqK91s8eiEjUo1Kni83DKP5pE9+dqq1X+QfLqA E8LJ3vAuXHBq7HXXMa8t9HGobf9x5arD69j7xqfuTn1EWmn0CvXwZSLSbphQJ8mA/KFHd7cuZZ1E Rw+gQj6UD+RhqdF29NfWmE8LIC6IyKO8RSdnhKOxi7LblwHb4eJZFbEFakEH/m4cG7C0DaT2rx/0 /umeLmgxI9JCb5Y5966HXNfnNvXKhWUeQlV5GSg7uePxS+/k9t7lDOmfuHjTW65UXzyTfuLShqxi WxohIhXWOJ1IJlWlxrr5PzujrePS8wTVo7gDNG5I6+DlU32HMf9HjRn+17Wryn9Z3m5BlSOgJ4Uu GW7k+mvJh2AAFruVC7+7Dk7uz6FFlY0V+hyNtvbA0CrUx4kmRyqkOMAr+B7rE38zccNHaodmZFyQ RY4CWTJDukJtcF9Z2zYEKEphwTJw4IXIkPK4FzBwu85ddUh6qmnzDCbWVaEa6sAHQycixwBKqDE+ Ecn0zhk74mKl9k6yyraxG8RtgJOEQ+A0JXaz6bWeyJ5nWnkq4yI8cZIOotpDRz6nXyBtb2bk6akt 6xoRnrF9vN8AjTpFqDyCHqf2SqIwEPcwu9/WALUGjj0QUt0/637WN8AFI214JG9LAhRrzNlclmLR bCqm7kPHNv1etnKRj3LH251YkdKLFbVMk7FQW8N9zfBUPuq4MyjcQiEnP2s5CgUM9QKucRM8rzpc JEzyp/vqmAu7WKwAvJEniyMYi9NKftGos5tzHJoyhQAZL7Rqx9JVKruN8glzf2n68BaJLCr44Tov KtfNVl9aL9UlmU+nwrBqF9DJC3B/GUYrt/hodY7cXgtUYvGKXuMVfoWo/oQ/Du4tTm34X8VCXOfK mrxI76t45cCHG0HW3KeXwsPWIIkwHQqPEFa9Wfyk2K7M1WA09WsCna7CdJbBsY0h2j0A/q2/wpON xFdsk/9WT3nZoo+8dG51GhWIy3alcHS7uoDqBiGx+m9oU5OZtA2NH0kgYhKSJga2YWow7hpfojas Vjt466ppc24425rn75goelFBcztbAGo99jjUDweJ3j/6B2bJSAYRLao06vS0lBrEqBHS21/E1unk qEv3dfa9iQFvUY8shdv2ApSpy676mq594tafzx82FYLiLvCICT67uv+KPLFxLc4zKZ09GdlKI7Oq RTjwo+OMcU6YFauGv02bvO3OYQ5dpGrrGbaI1bc+upxItLENa2fzmyRxFl7enimet4qtPSgBOG6c qAfMIBY0/M9klxvlmHnYFLbOHNC3XNqOdTqcy6gC+5Zqx4iac8qFoUauFmWSI14Peeh/DgZVFe28 VfK7FeVEv2wtiReS1moA5PZa9gLqkAJ4iZMnatVv0Fx5xwfBkbBt73/vEzoOZMfAYT2jVWU7j9th G3elKtqDMSzEZKV5qa3O8Lw7RTQXCGylqMa4vcKZjr8deuWJr3d0Prx1MBcJyO84eT0s//gLMSpr v7aw3NbscK1KZ991nr20DUgS5sQysx0/sadxqLCjyUAwUe9mUf/a1sysm1kXuWFBhTfyrgQ7IZHA hXGKMvEKl17wTq//0KcyzQtOu0nHzLUkN/f1Vdh7F+Ti5cJ+iaPj023RuNhAQzLv+61658Et6JZE blfZPdrlbrW6JT9t1z8NB+dW5D5QIFgqixD7817HSmpJ+WlbCYVFEpZXrGg5XAJWHVQToLGQgacV xSE74NS7ZCXlcYYApMBqjw2KOkQUSTcNkyUnkPZxHi25Zhwavh57sVscGOPmYBAOTxZV2HTE0+dQ BL4UJzdHaVqs13kSiy2Jc9xmUSvwHWwvIg9dx0RVGbZxlwQLCmOgCfmj4NNVH3+JepWakSdJ+vJ5 C8hFgmqWkLgkTWl65CQGSxhbx9NUNk+NdiaLTHb+Kzi7TTq9zoETzsmd043R5tZ+3r+dxhE5OWjL FGwy3pSI3PXUSvTxYmQni8lsjS3XBUjdPUpPKpifTBIF3JormGcJUAxzz9MdrjRosYFxHz6wp2Dp +jHCANgYZTXdNaNKekrdVf7292aHyp5DsMG0+MVRT6tjQB/2aiZXZQeV2aLzBfquw1ZRvjC4Cfb5 4yz/6RLvsMRDb5faiZGD1LFA/XHklt5Klz4H+IFETVcogjhBp+BY2zYXSWDMwoTzXaQOAjp9e7E+ iOYOCo9tLymgFgieRprRZjL4/jCxlofPGXcv5W11yEsoP8P+9OnkpdB+xWdFy7aKAiufsxvI+orm 8x3rLBRRsKyMLwKSjHp3Ty3xd72r11v2WzBKLCFRauTJzh5cH2scl18RM0joT7+vz3Zf2JNoW1vD TCED5PdQMTfEtqW4UFhl4gVgrG/2nnrEJAgxZcscJOXeCyU4iujeDBqO4rfjv5S2x5tpJXJwlyuk NNo51AQ8Ij78HcwTzPAqxQDGea576rdGBKIBkfFoPB8EM8AbZyhLk9joieGuMlLxH0vw123+8wZf z8BswBBnITRa+m8mady0+pnaNPe6ATapKuquBjxZR0F6fOkUgJhfqa/R9cuiGULQPL+lmJ8CcIvA If5uuDTaM6FRnicB1wfNaqHl1AvojnrLIJ0qnBxdEWGP5lKV8STv1zH1MKR/7g9r8dmMqwTTefBO 3cAftgbIBNxpGMIbwq1cs41FTkwzrsRiH5vxWg4Gux63Ni6sXkk4wEepfXQ4NraFxulhIfWb0uka g142u/7oUNQDhnPMoSzk7UdeyZfT8JOBFF5DJTJG/bVFABJEO/DGRs4FAooi+44iyr/0NKw7GOFw eNTuZjMQtyzxWB/XGaDfYwNhgvMkincw3ck84EHqolFOMOKf2G8neLPdPCETA+aBap7DIf4YaTDH oi6p7upYBl8y8/GQlr5ffzDpXf7TcXfZNxagz3RTsGLXfiqi3ueI0OgksittcNqchBqcknjZnIJm 5Bd7TZfMig6IfMMUXEVs+OaSvwWk3PQviaywL37rtjmUoahqQ4/GWg65GYHDgqZOeHZDzihtkJzf DQ3qSADd3JrgZbG2XkejoKlAU9r/81OQnS4OAGJ3GeeeeOM4OmL/WXp5UtW3zlWeXtl27aab2DGo vO6tsKt5k4Ck/gk+oua15b2CCWTZzpEPZXKApDj4+Eku2dpp5LXl2/qO+r/I/+Atg06USSNVXWzO JJFTnyCFk2q/CElzU4eCeH+LjCcC/duHJnCUoqJQXKqfJXt02q01wWiY/870GjW6QuNlr97zBHPP zlsnwIKV4hRpldnUMwh2nK61uDv3fro7H1tuvR957eCIyagVww/Oueqy95B/x00Uu0Nv/cKB6K9e 9mXd7xPgICNkTz/+8VkWbljn/WHc4EAyqIs+9OIb7Ypniv2dKxe4k/RU2ebEMfAvZzxUSyz7K/wP anQQvZyhmb4zE8xuoW9u0y0vRZQ6v/niljW6wU709idXrMInZ8ekhxc81+n65l/3UHOmT6CDV4b7 QWi/IMSIK70qmI64MDACljaBEB65F5OIeMwzJ5zUkTyVWtk3bOko0erh0G0aPILcfuWITsoB9NK1 o8ry96ydgvB2r+m6ZSn6lE+WBMp170nZ5Ne3g/8ahHgV1FW7Q/UBfzbqHCFHC4cYZENkt1J8NYHU yE3pace7+nNFCU46p9YHMblWHF/nvUaDPveiRR0au3nH+C2cwA4fC+GdcPEVGz7/WYbw0V0SGvNS beV9+Qe6UCLoAyAH4sk3fj61o5J95MNzDh1z9RZOdzY4PLAZHrnCQOvESSHZCA8QQIWwfkwJpmIV u9gaGGbS37IiAHzyv1m4g3ka03DCdVpS1KPThwEtc5gyc8b4Irrvu0vUivnnkz8gXCq3HskjboH9 X0I95bhnEaQpjfYvuU9xdNuytz2EbvwXMHjQYmrHs28skodJleCytwuW/CjUbeSvLCRUOhwk/gBu tmJqFEFiXOOHTwAhPIUJCU1IDT26uWZEoO4TiwXeJ6kTdZaRwRWgFX1MeokaZVX/oPPQUqAcgwMs kFUlqSX7Z/9iUagzTukhTzRFFLUNacllZ4bi4rtdpkfqeXMfwokhaLSLWi4f79WjJsy1jd94gl5D lzjc9da4pBYqwPFPz2jIQohXblMkNlvl0cEH0sn4+KWTiGEPuJOkffzaBVIeTs3BJhJZ2x2G2Tfe xL5slx1DGuA0h1DYBnW83qGZOptKrdpramXs1jlwldh0Kzr51Wv+nTO9Bjt8QstDTZlFuVVvE0xU rmhlHItt1LEt+u9mQXCPBB/2HVCCEJ29hNVjq3cKJAmEqPtcGSUuk+SoUjj6eFQDcGaGfP6KlMRR xZFTB/F6hk8MEqh96F0zip/O2EMhlv/ymBap1/YQcYkZg5lEQJwo4LfnjIAlBH0E1NH3MKJ17YNL JeWChmAabnW+W0U43bgbby3x5d3jUNYQX0yXPXPCa7VimspkgyPZATIOGgU7OSreP+hB8dLzivSw /cBWPOz+j6M32J/moyMcGveTnShb5U5JVIHbi7QtEvUtzw5op2Y0mO4usLyw076z6Ug8whtTrDW2 7XVl+a3qPW1FD33GC2nYsVXRLLwLANfUtzaI1aQBDZrSXKqHYmukwgU4qrN2JtFziFLx4WU8Opll g2PWfQaxuSfFVJl3e+wdSVcd/A1siUFttjiwv1obRmpLwbYrMkrogasogptKCMx4zh1ZHsJ0iIE9 W5ZIYZxRP2W574M5MSMIQbv+rW7+v2tKb4kqBhcVFMHy4CtWgyBhT5T7LenOFUCnQkFTBV/pm3x0 2yVIjQSLei9MPP0TeTFt9DcjYX6d9KoX7/ZfMQt2DOHgVwarZ5DPznJxzeA5z2M7N0LCwiHeHfgV 6g/mmEMOslTmFw3dYSFH4PGzWqgSyP0AfT7RqZJkWhtxgAkaIPz4oRfWGaILFKsclm6RdJBIpm2c AfhwtJLBEf5nMFHEHKJ8utIxp3WtiMxEHoJnuZ/670xhbsUF7vJ0kcESZOgrcguEI9wBF35dhLEg 0m1Z26FAtOAE4s9q7vCv9fR4EZpCGMFh0yVevLVnztKSkuzbRFyPex5lWle3Jimk+7dpbihxg4br zdvhTJu5CdrgTLty/6g+UAvhEwlbYkUnixWZnv5SJbIVplNiMfD71BNM44B0Y9A9pR9E3F4RIu6W DBW3a9pI1DfTfJxqvdhHCQNbBYsXPwcUeQnpWJpgQyNDETINTDlKtcDh/rdQJ4TkRyY2uqj5kGFx yBK1tmzVCOVD1nTpZf+HsQd196t82kJai8zvpjPyRABLEg3b7rh6Td0yWgYHqcAR5UYk3U39LEp7 VI4tKugMp7OHqFhteICTSfSXQ9FxMIDpsWbZ44IKDhOJXFnmhzJmN3CTakREGjkNQrEKOsA34Baw tYqe+dJSegXLNHF/XlBhB8ax/dBj5xdJAQcA+50T+Fr71i2PLOb8Gbh6NNiL+KGGvDK1EJBW1lOe /TjhYokrGG41D0X8F+/0GFY6O2R5JxTBd79sXujiC2asA2pPo+fbMl0oqraznNUlo81yeaHB6FWx 1LPcHZZPgd21mCWFfzzK+s29VUEimU9KeBszsVFGsaWA/3gPhmGqJwKrQBq8e8h5Kph4QvRplDFw lVu1KN740jbKwICOnC9lLFmnthkAQ29PN/PgJ3Y2csYN/HCoR1By+hqmccSxhrpJO8EF2iosldVr w4+QiGd9IyQykukvYW0OwlNZovC/25YHnJF9tZwt6+Vp7/tDv+BUtfgPP9xCZzTCqDBh6IbrK5zY 6R8+FQXljX+YlOLqfOCjvR3or9l4ROWg+DYJAz73YPHE+jwjGb45/WgI6hol91+7YdVxbFZzL4tb /s15LYHDumrphNndyZ/uBrnRFsWfiatzI45CT7BfKWniYqDHOxgxchjYQRvEjrav8BjglR5KyJ4c 6LoD4vqwYN/BtKdCT2VxQCnE0RIRDLyZQEoWLN3CMlI+b79Iw9onHwZ7GmtBjuqpT8lHSWDVwVxb isI4m+THw9qosrG1u+huv/HFYhHsIAGm0j8eBD1bErbgOWGW9yupupDgkVY7CM3nWTAf6RqUDfJt yQUWdnfGQemUC+pO35l7HQGdxb+ZKgKmCW5pVlSREHI+mm5hio8C9wGeHMZruMb0LMeW4qdLmUvT 7VUylrmnh0mLAMD1guvBioNwUEhCvrgAQP5orTN0buxPAiLrt45lUsLZP7Af2taj96LHXSyaoRb+ 5g3ZM2evVNqOXHRwQ5MIlJGVFYg+k9A+5xL5HRS1F2ONQXHnVRZzNOWE3FYEXcOOO8OMFSgzeUVX yxRKkYjlAiOSAFpXmpQ9nCfSc4fvajrIvv0l5pBID+gZd7+hZoK1b87rVCkN0ccuKzRtbYeT3wOR 3HQpwgAhXgMps4C/LMfcckaErhmt7/yc8vBjDDMCarEmTcZE+14Z83xJEraGv+cV5Lyo/ae/UFVj YjJJvyIwTpXJAp6CXJqZ96sKppXfE/2c8Rxl68MRSDKbfKbRFraRvSNx/AiU2aY6nxm7PKYSsBmK ownXjQCDvXfEuqi0iLxc++J1YIe+mGcctV3Apa6/dT0G/5QOhjI8WtgKQJ+qppOLvU1NfC6gj/5H O5efn4C/rFxmkKdAXyToYH9vn7O1FQAZsMszrg7E7IcNmFaF9mla6G3tmt8r85N/waB2xxrPUgX8 syFN49mwx+K4wEBvqwY7MBkgQlyqSuRxot70qfrqyQBz3C7//EM5mpIkVeMXgahrkj7Ng8batYVl Ca0Va147ceQYpYv3uLYYOg93aaA9BmOHuL0RNstn44FqUHa6GgLw2B4k7WeV6X9hHCDgJNFA1HX+ 4bJOs8KzBc0YuV1aUSqlDXfx7KsBSE7u3mFqB5xYHWtK69bQj4SdPrChSq2oF+jRI5/ItiWFTqxR g0y6PYvDgl/symxcoAMEKiI0/RQL5orLUz/W4bYmvOb6Jyj8BYEGSYcVtq7ChdZ4T1iBIUD4itaw HgNBAntrPDbkE9yE7Moa+mfa0s2U8LELpZ5PFN30kWLpNZvP23fCvhuFBVU7XX3fTGbO3YPClRvx A3+RnNkYq9Dw2ax6a2hP06nLx0u9qFfZGKmiDMNX0TkhXv8h0ArkRo4Byroh8lK3lfprDaiQrpae ic58/V37kX9TVSRQyewxnZsIxpEe9UtRKKOVbM1VQ4D/U+7+1sAsc3wua04T/YotpuLhmcEzNi/5 NC8rhHzct9S30pfsRyAbyZr7e9RvZa64ycU/ruLaS01nZlCulDDM7lwkeqtMgSrZmGhKmTzZH6cF qwS7E1vashqEeTPQwurn0Tvoq7o7xVIx74w9zojkXPvCc0ZDl12L9Zx/jCaj7PSsEeoc6jMsoTBc /EDj5rI7L/1wRtD4KAEl2401iQ6sjkDhZYnj9csgulbWXgsJFbMNRu3DydJ7WNj2sOQHuISEOApW kMJsmygVVEWTJiD1OK4bQSQ/LypX9OVTrXPLfaws1gHlR3M5TKmvuz6XIKb5BZtjveu0iKVvPtm+ hsPf71hPIKDWaBOfN0mRIwaMRiJ4x5VSS8Gp54plgm5aiRgW49Eb2jk56a+b1PCulXxGkUMM5PrI uaB0CRBVh+ggGClev9TVe/j7EbKLSGjcTfDAZ42UvRjsEAq48cI4JXHcTJveAkYs4bIj2WL7waYM ZxaqzIf1lsq2PR11Cu2DtSSGzwIm94SnYskDvuqAWX7HMg6jn8KttsMPKvtJFV/R/aapXOE5y4J9 Cv8MueT/hM//8xtBH69+1X32RUTYOM01J1uFjiCHUrR25vBtGCfwHlr+24ZTfdf2qkV7+Ig6e2uX glAGdJ+/rjYU1sjZbWJXmLQSim14k9Ac8RnOBQpK+VZPVNYttctDbo24bG163jPpApEGuHoSvgZz EoKfyeaUyeENhQY06/rXxq0Kd67ZvfN4hlmfDH02yn/9wjhD+tiyr0hcYByAhaY7zLUq1Otm4qYZ J9BdeE+WMRpQXTDFaB+W+lFmG7iuQtCRvNwOcC52kDZ+SHZD4bwWU8OEGFyRmQ5otpxxoFoQVSQH Xvnk7z0Wcm96OhYpJcHoUbiJyL7KCXsdSUxigM5xauf2vcVfRroctBj+H/NGULgk4QhxO7PDgGFn JJoAoxxgyDKRfEHUINs6L1WyHVIMCbCMAaw7OhjXJZU5oBgfuj4E5nRTbvKuqSRtEYsgKntwbEgU p+QdpzklOi/0alsLwFUuPbW/su2tW6lkyYcC/JbR53F+oYJen48zFJSJNSEykLt7YmppMhHF/Vcd 7ZiiDscCl1JhSJ7DIlagK9/9kZ/WDzimi7oVrWgms2G23/iX0jwKBxdbh+E9v4Ntj+/4/srp3ogP QNDgy0EOE9kUqBaBYDBrSFQOfyhIR6qj7ISYSRueBa1bLnLzojKqOd6nbXW27XUZ5W9JWQ8eU6Ki E6QEUeH39LlEcRqkNb1ADBwmnnxK0QyndBC9mouRztOZlHzjBm8uRGkRtMx/5y4ZFaUOQJ8JQFxA 7HThw1GTcIjxTgncUIvWb86VELwW9GPtEK+zsH5QvkLzQbhRqexqwlzLzxVxtPdGfPwbJIU8ZJp9 pInqWehRyTlmUEpcbli35b+xbaxBD8ACAlMSc4y3gw5vgGN4IzvNHj4X87aolZlb1SbIbLy5aSou rFtSN4HS51U5pt9iuEbQ9DwEfKCPzj+GWPRome2Oe+z6FcioB96BalswZ5almjdROQG4FLcG1A0A 5BIViz3pqd2nKrRbi8HOkTq+XVh/K1WKavJuQi74HtAXJ9Lm05FPubK86AwzFtJ0BvbaK12CIU16 aEqrdIkzPDQbO8BgzRjervT+iVSTLfEysgQS4t70pluev1xrpqI6WyR+ZtJdkeD47kcESTmmALg7 ufUu56gwIJQhbv4RQk/jeMXAXbxKCn9q/+HE+/LAo4wtIrFCiHYDKuR886dZ+h7YXB9B/E2waOPR jEH2KMOjNWwTdlFugaAp9xJjxII4a+kjpflk3NoVjrgo603fN3X2UXYeZZ+guSYvPPV3wz/Re6mS LY9e37OwBEAJXBLWmqiGJYXwSXsP1MyUkpJwqenXEGxWAcJ9ROJaqbBz2l0u6wkR0kYaVtfpY2vv D6i5USCDlc2IWlRoykJxEi6ysvxSvJCSNJZYWLVemFg3OMJjTVmgfqFxVvgZTHX+bCJKPdjUK0Cz T07ePYasFtaE4B0Kfv70zF/up5C7j2MpMWl37r2prZo6FieUR2PonI+th3m4ssQxr/owHpdnKwx5 HP/17B73/T4xtu0RIeeBM6zIclgIwIXn7xHiqbnGxGHvrATTqtLTYf69yCrqB0Ol9gEnd4E/6Qcl V4IdNgzmkxUVpdZmX0R6JnBJ/gWoysIBrSxmB1xyY1sqVEPeNJUZT85Lc8GhmuLDwBt2IXZnfia7 o3mfPWAGnb569XqCkYuWJYUK1fucCnDIJW3aGH39jDtroSOXZsxZhn3cj2Pnjqo8jTRn1aJdU6Af /QG+9eSidQEpxleCAuhqb8qP5BN0ZBxJvPvohXSGxz3387xPAR70Xd05Bri5+zGdFCVdKWhc33Dh ogszdt8+3YX/qffCUhJUnvSiAjcPO2SDskxkIfVGlTxR9IkkdnVibXIZ5mAC6aE06HXdjjQAmLU8 8yuwQL5i7b8BuLb8ig2L/5Fy3wYMOnRzzc5+XrJxBUNgcAljxX+wxuZkil3gxfJvQoHbQE7LkIxF OufsAzpdUopYFOvv+/aYmyFOg3YKx16ajUh2JooBHZroGwuEQLFLgcDBZmDhc+43URte9/+98Wwk POY3fLnPs7kCiSnRedQ3ej+SAdajxjOk/E8/SfLrAqxxQnBYB7KADw/seCozYL91FraZU2xCGvBf ioA6uI/1IToOw1fjIa12eTiOLOGGF16mWqPzLsVZvBadZRdvGpwwnl4EYz5ZAueUxfINrNueAK3G NThxKYiHoBcgQofvJY5O5w74VxzevhVRnFQDvtLs1UNBPgUt0bR5rppOgCbmzyN5RrTYWGxFpJ8X FzuAW1hfQr35Vm2e8GZVEYf77oB/l3+VnF/OxON13OcY1Kf1mHMJYGGJiMDVW7ICZdYMIR+Zp776 ssPMNXzmDP8H/rnE/sKEQlwcyERqMgrqh7Rr4TeeQ/FrmulRHH7McgdQA/JaUi6g1p/QCqsNSqGk 6DYb7YF0GaT0IFvJdvWFLfjL65xwNaKELCcelfqYI8tM+AFaErRsIoHG359/9LRj5i5ARz0PcJcy 8TydnKS2T7GQm0AJ3jixvMUtwd4KMTaHPysgQsGeTtT9vu5ZQHxaowhSJSPea4kyKRPRCEAGyvVB M7ejyqaT6+HWA/oelyidYJKNm75Kyp9MKWt63y0s1Oic0bv5ciKIP1URqj/EPxFy9TwQ8tVvEdjK Rt1sBKuXXTxJlF+5SF/QQf+zXgxn4h+tvWsMOs0lBaJut7XCM8uS7yNxCFZd1sZC4EC2m85Di93K UNa8+YSpLVzpL2QrZRSFDhaip/5n9SRiFmtv6hsAMNbyg5KdqlEeMGzOEJ5EsexncSO8EpWTqWfF 8AsmkdM+pEXlNzyCYBW9Akn/JwZ2OtJJrPJyB0g9PYygiKuq2OKKTTtUFGgGlQP1bYo4dSKb9IEH tcyCI9MAZZsAMRKs1aSOqjo8E8b9vexx0TN8CikMXkqTmB9e2qasc80S7kw2Ya6+rXdgt9RBWr9Q pq+fhEZcv9AZ6dlKi0UABNfXCQB8o5JGzHrkeqTGq4wJmEWZX9W/hhURnxMoralPWcK4Ma9wejc3 rfFFgWGyh8o/wAD0MjNvSz1E2AtD9++wvaZ4oh3fHXElyyBLd1YDcjWYuLzq2pHR4FIdnE4fqKiN MV8IoD3xtzkiuV0SwyjGXU6OmypT4EKYGQ5mOcPznixYNs2rg8bZXDKFKJRMqRwe0zREioKw9Ula lvG0npzEe8XIipIXSMmgtKgc1TrmVjgPq5ikLcHymkC9V06Xl59bfYSg7Qo8UCqxV0vyD7a/AR5e wtX7kE++adIlV2AnXi7egpY7iF791A5jSpQ3gQ0JOut4DA7DsBPwmWNuDkPee4Afeximb3Igxdi7 rwf+bs2QGh6aWMUVSSv72vNPi3O8h9C+kLZKnLPDCrVHvcASyFZiqqLepM/RFSbPkUZvpSkYeF61 ZTvAZxA4efOArSbsb7+l1Jwa6Tct4QjmE3LF5nGeZARkyLh0OLXcQ2Hpwcn0iBrj67xvONuU0jW5 CwYjzibsxHhFgibH9Fp+li52ND0dfglsSMLX6MT1wE3x3xqL6ZLxgo2hj8nWqGLbna1lho2IBp87 GbLhLiFdk3nif7oLqkXdwiXzTRNUOhTixxYR68QgbF8G3wL+R89eQgZUZs19Ta98mhDtgg+mzb2Q 9tbLqiBeuTKS5exzr7AWnxwl+w92NpMBx7Kfe62PwtK0UbHES7Y9ZhtYjGAaKIlgCG6aXKfEOtWK gGQtm3oVD9dH9oOD9KfJIMhjceiOoFOBYEBVgXLDAMoJ6IvECNXQ9B2rYfohANzVP7SOuAzjy2Lc W09ub/y4ANRMVB5bBtEGSfZxAqcwz1oPbLVaRpv7wuq+ioL+WuQ4XmSj+1BDIhNIcBk0L6bsiKlb 7REBM26xPeJN3WINxd2nPB4IHNXwMpTWL6xkNyLiY6dBjmx5wLC8ejwkkTPBralmjzYhZD6Q6OUF 8ORdbj+TA+z1y2gGAVHJyUg1SAfAaAZ5YhqFDPx252ItIrJG2KSIziwIXhHgAlyZGPqEAyGSlFoO ME82yr+FZmek5uPSirRggxdivryPoQ+0sXDpNWYyqtHqqLWynnbHoUfc1OgfrsduJcSF/HytyBkz UV0k7qNKTj9ZOqkui6WaLYtZM0/k7MfL9R0Katjm3LTUqiSlAGzEYUTZQfLRDeR0ZU9vXu/w3zh4 iV+0EZjk5ZUpKwPJftG9hyP1yIL6nw9/24RsP+Ht6gfqhlb2YrEbSFgse4pgBIaGFppIY38Iu9hS CbQdSyuaeetw5CRIbRYgIv//YzOK+GVQwDaXii8hCwCGtCLswT/DyTbP6YvUyIyjB3B3qiDb+ycC /4P7s8f7XEtQnveoJK20dLd7d7gKGfIyb1x1SRFTi1MYo2Bo8XwzmlChbSegn1QniSLoFrt0+yoU ID7p4bMMdaTQsF3w0qdSlCRab5lKeufPwL7ODaI9e72+mm2Pzn/qlmgs4y+UygT2+PDoXf4oXbQE a6bjoLUGBBDFHHdA1A6XHtBDZQwzjWdztJ4PCGa/k0CAC68FE4fYrZHTJCO34guqQDkN3ZmXm+rV at3XrDYmDbPFavQrKRX7f2tQB4+tPdrfIHikQ0Qa7xX483F82ccLybT1mGycYq1pO5aFKwiU7Pn1 p22EqKi8FRR5Mr/091e0yUZOdcGhHmtHa5OAgKJSWew+M05Ygod9+HcHSMkawgLl2xQ+Czc7qfHy AE7zh+VwPUF8wc5eo+Ua5Ph1uhQI2qkx1BWKrjGzyJXalPI9biPrAHauJsmLvrbWC1y0ET6v3GJo /U2MEA2dDgbQetLEpsQUN0ruvbHHuUwOKIXhntxSMalvSjSZuDmtCRW3dFfAaZKWF1IewZbHXWIQ W85NVkwUdrBJ8HiaYVX1dcBEp+7m8KjppIIaP8sl31K2MGo3OkOkxv2PdZMYGowTHnPV3Bem+PfX alC23JMH/V7VrO1+mysxrsvo6xGs+xbACJ7CcCY6KMHot3BLMVvm3AfdqnZhkfcZTRCKj+XCzpUY IFFXu8Se7XyZu5s/81xa9jIM0PkyN80TkzhDRk5H0UuY8ZDPIHAzZUC6aTiqm4PxKIFvFKIkTT2z J/8czI3olmyTTyo1CofE42rMZyoPkoJm9Y8NqYofSjoYXS1bcs49TlehXRKjfWHzc6+n1zz2MU6t K4xCvmbHj6mfMBLtsauxMUSzQtmgb5QE8aTr163yBq2EKlMnzmzRpidEuwYegIZQw9IHpWwlUUSQ sduONZKzh/ridNle5vjPBYAwoMzrjwLkTl1Jb78OSDoxOIwZd+NDwPW+UI/O5dOGYAOkPM4s9nRU mL/4fzUgkkF3q0CkT4tky+c1ZO7wfdl1tnA2hJTgUU0sIHdkEPsmIl0bN+W9lFuAf5tJ/2VP1TEh gxc3OfametApAQzPvqHXjTMtWuTgKimlgqaoIsvAPPa3jTVpV8rIsi0/5ZOx/m8nr7A9b7ANmiXY 4DLOyP4efUYillvr+qxe2gL/b9NMIkVW5Jg7U3cnYCH3oB2zl+KZWtGYjuZysFqAMy05VzOarxkm jU+3a/AmKaU5BPzsJOtJHWBzgQRFWZ8qBbWLa20hcBuIYc64usF1mSmW1Fju03LrDjpv2jW6ShXm /pqz3QRiQD/bP0uZ/JaOBBpnRYGTQInrgQLI7m2BoXBTf2R8sNlhVs2cRKGsc3An2ltprO6v765H CRzzguW23VJB/l6KMhvnBMmsObOusxYF2olz7++e3jsSNLRZ9tfIpmMjf9eWdiSG2tWwVa+m708t Lvlv5xSKiwlrj/8kkFbsWlMEHfj5dFydqmviBXVOvyQoW99vX20r52xZp+fnt5XUOaOtdVP9PsQM +TlZOIETCBIHukw/bj47zhVxBMxDmMXV6snwcBWd1WXZ6EL1Q4AasxqVV2YeSrbpv/nP9nl3PPCy /EjryGTwbO782POyFqWqfA/xw1Y5UpcGKFxCZ2o2hjydEFVSzScKdmPPwUFG7Hw90IeDNmJZZzqF 5FrW11yf14y44haUlGY5wfWfby1UulM4ziziEytB5S3R9N+mSnRlmcUt2m0+un7IJ0ltdkjyyVuy sNR/I4TCBmUsJIfrmsSXWnw7KGbPDfiyNY8qsHEYrrC1H7CZ3nN6F7DvEJdz7RCD8vmk7gFTK2Ez +8/tNxNWLaUFD7jsd75bByYXVraaxgs+RGDRdSaRsg9cy6Vo/MKsL8Kk/PQZaaT4HdkAqx7Vu4gD Hfc+lheSIFGVd2znySe8+eMQYKgZQYPx+RvnTDYtTq9OwK0bBQlfaUXbY20NQplfjeFEcprzV7aF uR2NZsMUeGXbbmbTXVgGQ0sy4VMRwhAtCZFf3TO/OcEA23CanzErOK3OFXRvJed6uD56gzyVSF4j y7GqUgJX0LTrsW2Lpk8lXH+CpdaKqgOAWq+h75Q3oYUus+2q6cCHoTfXu9XpfPr9STH1qqlJDPsd dFepyRrUk0ZUw8v+3zamU1wkT9mGjOt0R0swX3OX8xHBr6Nh4ZDgsGSEofNPE+uu3yGDurtVWYUa ZsncSTLtzAMzY8YYQjOKJpLErlAd6Ul2SeONUMwEzIhik8p1lyKEM7T8qpWhxFzwwKa9THSIS+qw ARVEppoNng9k0PHScJKdvl6gTn57XR60JDZsWHVxC7M/xDRXyE2MmlhJbdv1oDo7NQ8DgTVfXU9c JtdAkKRiY+OouLzxellV/hermD0hZeJR3wNct2VFZMMO0hVskAArJ0HkvjInPd81tuDGtiqm+5Ta 02bgkzmBRlX7ZGN96Im6BO0OJHKrC4nZ871jgG/eyNEma7jdNT0cs8XXl5RAI7VoLNbHUV5XPVjx J0bhiEQKgYrtKijf7dOrtfe/hFGtneF7LkUdQvu+7QLyacabaWTzMyMFmfPoE561s82WNKH2kq9v 6oMRRcavX/rZQegcUvXkFo8Z2ql3dhIfoK9R7EpWyUzLnm5T3nQ3yAhgIfhD5l4K2eh4nlAyco6v Q5s38zrfOOYxFaim/GmPRCbOPmZV0V6rvmuh4nbp950pTPksfInUX1zpLa6KMCmDWOC7YADwn9KY SHs5qm1HzGBA63Mj043LOYUn926P/tjWCEY/WPgDgDA90W5XeQyWwvOpcqgyGVxBPMs2RbuCPue7 ARQazk7wWGyD7qjGGFgpJPOCc2y1IH7CYz0ttxBgTPs9+3Z+xS57H+JsxMUXxps2Enxy4xPhvEjw e4y9NX7jPDnO/BjQZJc3JIIsYGYHHtJx66LZTcsm5EiRvyHmgdYO/jAadRkkTZVmD1UUOlikbJBL tGPFWVGzCa0AIRTky5e6R4VlDmW+GNknxVWysJkvdO5ECESWOOt9pGuy5/ZpkV3/RszbpdIvO3UG OgUycT8f+B+UhL3jeTmkd2xVrHaXqaF/x2chhFuRTMEYyeQrpMQmgg86t72iMWIrX9ItqyfJ9eOJ 1iuOiP361Z28rqqIA0uQhSglYaMNaIiWwuJ04xIQdZECZHc7Jo0jjVzZFAysegk7vQcbd/7HtACX p1AbqgTtgJ9RsqTK7j4DgW2fXsV7HgzG4HYlb/HrFM1K13EI/x0zOQ+EuyuC4a+TL8LxpG07nE0U 67aSsufN57bkXlhNzNpuE7b59F0t1rbZd/KJygSK9Q+yzgzldbwuo4PHoqzBzL9RkQtsZKqKL8Ct XHIV6M3eMWYh6o/4YEK00o3A3aUPHsoI54fVQKPRp+7crQPf59rDNpWwCXGROzZePXZzzN06ojvh 9eBS4b8LE/RyBqcGL5XoVcP4hi6oAVig39G83E7LU36oncLe3JMQ1gAgB68GHChU3hRGza5ckRNj SkiJYkl45tAsNqZ731BKTNPokK7KD/EgP2Vp96k8TuTGpHcnyoIoqkY8GtD4qvO1BfhukKHwLqnz BaxMVJN7zmBQ2Kf4a7lXdcsE9vKaUgjhDnFaWUc59Qk5iXQR7JhMR8TEPRL0MzXB21dTwVj3PD4Q ISjeD0q8ewv/0chZ5QkOtP06oqGtwhYBO8P0DAUAkYupTHAe6DKpTyPk5CAk2Guvi0/cFCbYQPqu YHDbj3QLmXRviQk7uN4hPpbHOi+bV+glVWOGLReRYaJ/yGkHtnpFAD6CHfEHnBTA7KpWrffFjPGG PcCsVL9PqgWGMvEbSiSawyP00mN4Ge3wY9ipKTO5EcOe4q5/jTUADxKjwKfCqF08w3U7QMwy01tv 4TK3WL1krMd83dKICmZmHnPJyljkJsIx+eDjuEnC0TQiMnRGa/Q6KKutt+PFPOJSsmZztvIMcDRf UqmFINaGtiES4i8vAMwmtDkoCHb+nNO88CI5QK/YMEiuc/rDztje2rRGEE5TWh7JqK0p60VnqbZo M1zSPYgtvAYMnsww/d52hVrgjkPPsoP7iXLOIyYWE6X9odW76uzY7kxJ8sZetXMV4bhbYkD2rbJ+ 2gqa0e/DHJCG1KFDnTmxYL3mDvAr0lca1wdCt7EWfOpOB3RlmQ74U5p9yEjJ7tMGt9uLScH0+iDc G9nDn0XPKdnVC6dKtW1wcR+q9KzeiDSKc15Vs9iAVzfZwPvB6jNh+vonMUiJZLwZW034IJbnCQHA cFR9c+MUVZes4vYEiv2cJupqIaru/E8awqtvP48Nn+KCLzRSohmidQ9g9PF7ofr9T9A5VVb2lwwq oMtDAZCrZwIplNzpjUKD9Hg5BwEoCnuvExtW5/3QSw/lfOXAls2g/3K0eu909w8soW7kyQtJI29P KFkLaeNuR/CAB8xF+VwpGHzG4ZfX8DJEtP1hvVIbV27vPMgO1FP1fF6J1tszJWAosKpMDZ8vbKTi WS0/EVVmZGKcNF1aUm7izjbwZnGj5o29OdTBgNfMG+D74UiGqrGg89+M5JQf2DF7dp4FXuSxJ/F0 3NThxwB6OmCbNvkTqNamyi7epkWIe40a8aGxTPaJSdeSRdpuE5W2shm4AA2vxVwQNR+oAGgOEbtA iBWJZT6mZ6tmGl8jCncU5+c5b+EnocnJRLGi1mFOFEQvULGan+BU7uiy/+f++V0u/6egmp21Jpmh n3kHU9L8A9NxVq8Pzaez653QYJvuRRVyhC2teAQ3CiCbTzKk38pOvej9FCc/RAuA7VKEOHaXOdFe ZxyZFxcSwvPxXa+jmZC+bnqevSZkCw8opE3B4I6M6VnAbruLDm5MTnZVE75Cb4+GDV1IDZmwIqes z4yGVrX/SaiOXKpUCirRGqCC5jaq+Oh/2xv7IdyUDT5c5XG7F9ENCa7a4kPvej6Iz23MmN7ndeUP JGE1rp9S0fHGsX0x3n6yEfCiVdgnN95swj3f9SnEvR+gJEaNvcojxnU9ZhmJ2cxr4wb7XIERCKQ/ 3szZW4iK37fS0i9ycZmW445HpOZHhOCvcDsORhb8DoCq/DFSqzz9R6iu8L2GTgRvIrW3Y2PURNqU yxLyjLAcErbkuXJo0m7Aawcdwb2hH5oiAKsnMTrrwsn7GOGW1YnO2dK83GSZKUhr0qUDJQmUafLS LC61YIbJ0zmCU6WUa3HTr1O+T4zLSlXl6sTsmobQu4z5bZwdytTkvdV/TiQfiNqn4pQpRHAGaUBt HFLsNDc8T0kwpB7uRqiMLfqgv9kt59vSjegK7POJbWrBqGfmWVpRVZ8XHQSXKKCZCaDURBl923D7 21F+/HcrMtaBPHJWiDSidN5XxylEJAFB30qKzvgRgqkNAIyHX3MsrqSfQ0h611nTV3r22hMuEN33 6LFJrjIYh/NEkClSCiRUJ+GlhJ5XVWjQWXzX+s+ZJc8e3TePvDI6+r8H1Rv1iZMNLAJl5X+JoGc1 uHFW+gpkK1yTaVJQ5OMfhQ4Sull5aPm9/J1jp6GZUxyu2gT5JoJEGduj/SPDusS5QEiFt/UTxv5D iEGNXT5E4K2/nEmQX//PZ5hZ8mc+lxT1Gar1WPoaDmXMqGwzTq7pGfhARDZJ0ysopzO7GGCpNwpE 9sFLbRcqSvVlRonLtsZ9EwrDcSE+f1UQsJyEGtea/MlRGAVuwCN2TeRpOAPFo2t+SlDdpiUE47rl oSp+Q2jih5WJpncaVaxoaLeqdt1QIMbzRU+sVBZzGjW/twCb2i1f2TdyjnL017OuQnCdhUsj/94O U3jK1kyXSlIakIqrXWtRK2VZQrTNRa4GqWcmtJ/G5ay9kPolmlbwCRBkV1dDeqBMeO2S03srdmih f6XukTXmUbo/l2CaL9Wb9uhVADLhvs+fNJNViUn5+ERKKsaWmSwruwcMGJuwLtk1IBvqNkPxgUVl CLTBwsDdVQrbpD1071Ye7v4x430B7LTh+JfcdKy5mcDHqE3tJ991jxeTeL5nUdkiMCxwdnTYeCqe nrvjEnJsiVa+YPomc4xoLAKNo24zULd/mqh2Iqh9u/ztQx0YmZXYGk0WoG+QaQZt2+y0bIISCfKn u7nR40hV9fGcGmCmphjODLFZPdbE0vsG61jFz8gMQ1zBN0n4G8ALWoeB51dTeUGM7QjjFJVIYbHg scbpIppm2BhV8E/z/ns+dyoAzD3W84uIe7EeYyaRbJ8dxjZzeWG3jxpNiDgkvecBeYowYx0qsLPI oCJxZuKXoMtpcLCusYxEPZs4ppHKmx0Jg36vnEHZuES71LJJzNfuJVHHGdcZCympCNTt8Qw/vahd Kmcw38P6j832PVLCWn7eSm01KCjlLv1dd2T4DnY66lJwb5W5lVaIh8d5S+qrR7ezDRzUw/AN9tIF A1SVfui1coSEtAuvSWzFoA/8RHaKQXqWl3zvS8shXDQhUDyjwR+VGY8z5I74zGLUnBkejf8gsB2u JDSiOClLNrbgGvwJGYVBfz+HmqM8q82xOPhoYq9AbCTpl7X9R90JGAg4JjcYkzcOMMaSqDrdKd7n Wg1XUz8GwrcgpuXzx1X8Jih7S/eZm6Etpl6WRG0XpB2zMShdQVUVbEpnn/rMOYYUrWwI7K+0xdMj k47L8i0xKQ2sO+welD0GIlW3vJmjsWmwFlNA9ocIMw4wJw8newLZrSzx6/tJFTSiaKHDvRsa/QBi NTYoNAXo7HYrjpx1hukPw5rFa90iQWq/iC2p6kSIfzTrOqRAWsTfz4dbjDEQ6pX6eHM5H2it08lM LgadhmGD6Kb3o1yW2KuwCmJByQgFGx84WWB9sl2XHodqjGBYBy+zIzKY0EDzowCVJ3Baxq1G1lcr Wf+Uy1WvodWHzI9UtElE6VwAuBOHOZ0GjbydjS92Lj1opJK8snNiyv+6ZJl+EjtlFQTycxT9443v wBm0YDZNw2DhVTaO51d1bxEbbjQKrMlHgCYPgRak5QY2zQQJOqn7r3zyNaCIznvUwRYoRJwRmbYU e8uEs09FKNF7qbKZ8Rd19LGXBNZy8LLIzX/eGyLHM6liD+p7A1DetLBOyJ/PUor/3r6aLfMbtiM6 b5SO39sr2b2wMgZP2UK9AX1kp9ZWBaJYp9JmB7ayjknzLBV15fo+bSN3/2RKVS1nDzqymHEkfiC8 oE0giywyZwh9w2Hquf/RhmyoOozktEeYq6+Qyg/+o/NJK4Xmixx6UPYHxbqJHpfqOSrriE34EIkV L1pMygA/+C6U3NG3bgTnmV3hA8FublTDh4ERKDxzM3rdSH2rh1RnXcHHEqLLja+DI+eo4FIs+SN5 lp2qJnEyNeOm0nBsT0oHfNdiTolewVIYx3K50xHkQm+UfIDtiOZfzuMZzxT0M0ORJHveOiWeTvWl TkEOk9l36FwJBbWBTbgQ9E5Gh1pVy6WbYlp4wzlITCjGaH1lpH4D2wHmwXWKlV368+4lhZcdymkM HoG0vebnIYq4avk1OTpP4V7WH2TGBZpWGhtNS9Cf5wOLPxxwLa/sj98tQrbzWlvjDzwxd90g4AmR PxO3GF5iwG63if5f5hqrq/+1gYmuoCsAXprnrXe6e+v73vhF32FnzK5dTRc7z8z/QShYT6OMRq36 +vgYOO2C/7iSA70CO6eIot1/EWh6GceR0ZYrGY41KgKJrDcWjjBM1ic466SdZ47rhJyrywUt5ZgY 7lnYMCQeuibvop2yG5HK3QlHk1Mri3UlpfD7V1kj5e5g0eD0/UZCWfxTMf9HtyTR9rsEp2YfWUOa hw9ItRJgNAevOyijhXOL0xZEV8g8yAzN3DaTrOjNF1+6MPk7HZRiwlkGVmj+Z5lrqO0kGiZnN6pn IujpFk2EjLhWEgFIOHKzE0LbKCf+fOtva5LlYs50i9Mm5YjlOKDxQAeJWKUL1RfuAE5GJA9aq3dc 3H/DabLSDXFAC8TpIe3r7i92REoAbL7IJcKpHiaWfmqcoXOJOiNBglOcJ1ELACasX0BvF+HEC9hh jSP+hZfw5o0uR5pZ6KTr7FAcNjSSlGbvDf4MsSNyAoIijCHTc1WAYSqGQiDl60x1N2rNWoSZNa6e zOsTSdESBzunvimxFxgeGYMJaTDMWX4Dp3SLKT4EqRtCIYVVbLytizH9fZmLjER+sjulzVN7VRcL rPL0dYeo85O1kSN0GNpkhNxxaid8vjiI9CwoyNuxUkP4mCGPKWggXN4/RhxWFv+ktVB8UTLzA0GZ k5Xy+XkyvpDHGVWjFcoegqJuTqQhvY9G4Fv3AR5S2XmcSJ3QVYhs+HY466aTyyfsRaC6KpSTJEcW nWRCuCeaYPVk5eV7Kyw1yVoNn4SRHOEIdXPZx85DAQdoQNXGL9XzUvPI0K5EiHy1dUZLg6HHKNby wFs3Ou0lKZKXYf/1pBmZIhP0goBuiGKjapTnW0AV9pay40ATvNSTlAJc7pbeo6qvdrXqrLgJaUPC 7Pj2j3FtU+Wa5rb23ihsFPqwMfhV0AOZJ+xln9MkdxYoXMPzVrdCj4SMVQoLwBZ33xUZ8BvKiGZW Gnr8EaqSI13poTjuiKMus3EUTmMuzVXaAG8Q//40oSx3MbsTeMNe0uqZe+vk05/6Ebpa0LcII3lC sTzSTXOVb3lfd+hFh62FagWQjwyZ0xEVP1GVDAQn9GRF3fekx9J/SI6O65geQ99C/nEif6CVIxZf SswdCBTBw0G/NGU+qz7UGcxX1Eynks01/QHBuJ+/HF+/uEFQJSelhIXR8e9Lsz+VDHIw6PEBFizE Z5mZ+ADbXj6Vei2QVm+9f88d+GwT96yD9ZZoe+uhNejQ2Au+CtMTuj5Dp067NVsBtwlLXWbVgj5u CEAA49wEpUEvrXOfBLMEWwOS4N5/iqC2x92usfKblpVDstG5hZlR4QQ+Rc4KyZulvE4Yts2iFzx6 DSajJb37ztE4AxI9buOHAjCe/OmuF8PbkRE6kcdX4mFSIxmg8jpDkePf65Yl1SiOZzsvFzBelxkL PmYN+L2BxQHzl/PnItn+hEydgJIN3s09RunxK3uNPkF08lyLYMvdEB3lwIxhH0YpgsRPsJTSMOHi 3mYl/28Qqj7QnbLuiXcbK2FSH0zMvbalUNItKnYdmk991kT57Gby1v54R7UsIo6ESe6OVgupWqJp mfwnd94cegU1CjzOr7YRJaWjbaBqNh0K75lXNmrUJZy1S34+WSt6VP94amHZRU+F2sV7mKDbYUnE PkK+0VLPhJ4utSuJGfAnDObTyeeVoWacqj/NPA/nQYqnP31BsYHiI+f7w3WAQkGLaSQi5xuauFoD qn/eLGWhL1bGZ3nMTxOU4kKdX/RCFwll6tWI/spoJGvzUko3PRz1GydlUwbVlkv0beGnqTtzqRGZ eyYNX5jZyEC6v7TGahGYinn2DWVUSKaJJ01leY6G+vN1KiElTw7PIrk1FJZVfkfmRrvKaB9QXvPG 7Vcvm9KOZwit8hSSHXdf244299bgLbS244pfkr4ASNgp8uQMAWnVssN5n6+EDWu7/RxlthxVNhHJ OhWRUMs4M+3RQ7nWE/qnvc53vhYeXZSutIBYHe9HQCgNc3WqvoKplRFBAhdYRUbNGvubIxgK8FK8 Xv0Z07VWsxaJ3Pj4/ke/SXhg4gEoOgT5DfYtw5CQ+SENgffOVN3IPu5ZCzjUBoBAe035agvkEVju J2FiC46COOe4ITGLdhVd8D66Kq+6ZpmhQN0SPM/6MVU58y8PqQHzrrndPLdj+dBj3oOrJDxXr4FA EZagxYg+6mBZf50D+HyWRMSmlobEd2HiLbajumgadT2nY6v9/gWdN15mmNrfg2uCbIf3s4DRqTsQ gNxq8tBo2MMK92IIFrL8BzTv0lVkdRf8Wt5gV80WKbV2qbbPPJ4RptbI1KJWEbkqCLVNSu9/qw2j 0MqsQFrAku1dU8nD1kEYiakancu0Zd62063crnD+YbwnGsIzQ/HSiL4j1errUqB77X6ULfZU7hjM 3GeLUpFPpq9V30YZRfIsxRE2LtUaADg5GwzivuxFqEURXS1bbGCbm8AhamNhI9idwstvqJhmCLXV k6hKuc3bH1Txrl8ocLEgbhaliESVWZRQ0E2l3h1rRS0otjcej0+6FdgHZHfn297/i8KPutcu1hSP bfVEd7HUOpY3fGUqUDzQrWuwQmEdryvYqcLVuV3QCAnxkL1s4mfGZur+CHW1DFsoEwa+3ouzZ9SD yix8ArbnKD+EHU0mC3gX+Js8B1s7antZwThK/og4Ye5WabYmCjQQ6gneIdfcUdKx0XEnOFtltbmC MfLmOXncv/G5p+0fuP+dFMpMu+fdzHdGy/zHz8MG0SutAnlj4pLmNIhvw6ew6krhC7oUGLvLbNgK MrbEIvYpMQa3VlFW0QOr8wji7UleDd+Ia1NRMd436jIDYQrXOwnaulwmhOYv6QGcAmEl8ReWDgsu /6DNBw2CE7l2k4EfkcxGUNaSRjofr+fAJsFJPVmXDAtmxil/iYIhzaq1tahadAURieHrZtkNrBHU WwXdbBx3/aGIpoDFIYPFOYcWKxWUlGyyBIxFCeCbYm0NKrG5/xjTsuxAtMP/w8r/b1GDhzZb76f2 88c3AyMtDO/JEy3j1F5qw3VWiDi8isgbjmXxAqEonKGvDmAsvKTgIteeXupSwBkptkq0TKLh6TLf cPq8pcLoj4yqbqJHQqdzRWeJF03YhkccK5Ml6TsiEZFhxoxkDI6RWJ6BJvk2Kz5DgkVb+cNVXrkf JwXahm3p6L13rLrOXc/xlJHKkg2eRxihIhwK1mpzQmVRCS4bh//NCyEM9W+ozy+uNJdL6i9fC6Qd XzsNxDBQx36iAHzT1mj+b7SbWnjBjBw3meW5c3WclrqXdceirpekzKhyXOYddeEE3/jIg9MEzSX4 S1DIkhDdnLQ0T4FmuXmTpNHp5W/veIs47jNmKzeRUUYg0MLkPe3KLt4EJI0FXSOSAwNwv/wZoXiU /xbI1pbZR5iUCx4eO6OZ8w7EOuM4RkTnmb8KkE32bW83Ca1ybwQVEgsbGK5K9cDYAu2PQgzQGRMa avxr5Q9uWyoBp7+bCozwbXF292fYlgXvzdtMFH1FmfXCbMAKDcMIuxFW6sZZqOdbl+wfZLkkuUPW mvUmqkV/7jLC3TrsekUZU2SnQglxWkeJQLESmaH0M9G9Go651xYc/iAAUo44Wdwqn19PHTeSFpXV vtjWABYoSP5r398iG+xCc4oomRbuknNktXnb9UAOlsKqf8j/5iMuPL2EEwRX6vKzdSCAG9YJBx0L V7D2iwlko15ESZkwRF1XOxVfAMiqIlUN0zaXKl0SKjJWbCyOQ4JlAgf9iG92jWzO0Cg78iy1vs/k wv4NbGR0Vo+BLPXzpndf5uezPFyRnKSn287uykpbyJhrTCUVyDxgVuMCZoFkK8cEvucaNdpQA1aN iGeHr/I9sTvKBTIZvuGe+rdUqOHYX5ERfpcilpGLwZ8tKCqW/NXKZnOagVYsmBGaCxO+ziYSqFmb ifmB5r8ybluhxOWK7oCmIYA69WeEGV4oGSJZEi/XG2KUhvPdlqI5SQTn2sNdSeNgoJICQIYQOQPd B53FzsUxZiDhjBXUrH9vqzNxKKm+CoI8OX7QQ0FciwJH31LJt59F9KgZDPWV0NRrWjzAP1+PGV8y QES6mLKCjCCXKgIEAvaNF85D+RWUJVELebUiUeP1SRRB9DChzjNbdgzwHyy/S2/+hY9w+9+QtCmH 99UfFg8mGvhwxfmuINu3+YT3uQsu9Rmki4ql9OFn8FsPjjgCQB1SyVDDIJBQ5RQNSVfXKBxjAxls OO2+LUBXqFRj+1oc0sWgkUJT6gGQIiJue8OTROP84g4cYd7DlQKwoL0zXRJwe0a3uPEzmXu+GFBT 9VcFuwqKoBR+dNFBzQe1hdn3V+haLE7RybrMcHGgVDDlUxaSdxeWK4BHA1haGtAnZT2yn2b+1IOb UD/BahREga/s7RoACC3kwPm9Gs2Y/DlUnHec07wmtJJG33U7iiLBn+jzn2UkLXxmh6o8sWRBfsze MVrLvRZnGtt0vao1jtaKw00XXGu51JbPOTwZSwdHzHZfitc8S0Mypcf/ieshsbPoh11DBYJA8Vss Kns6r8qhZNe3RilF3JVLMu17JnD8/qX0nMdHXcU7D3FTGt7Dn/+u+HXAWXJXN2VxtToBUBqE6QSa VXnALNR0ewqhHnrQR6E1nrbqDblXjf0K+DpjIRW5IWeYMrtgRX6bRbl0eie9TcrGN9FFZsFIdMRi j63Nn5rDdBIzGPhbniiXsRNb41/HNCyVZqgn4xnOBuCnDRj/zZs7OI39bB8fgiwU7jEs3xg+mm6q obb0Lhh1CuipJrD5xLZg5zfYG/+DBTtwUeQ6MAE2DvF2NpOPGBzFSmPLIG4nwQHI+BqAktxJmFsJ B3ba2jp0g4EtozZszprIXsIBcNd4ufDLZQON2DZzOKLYdSA21RBI7+onRepi6XtF4gZkC3Woj4mU Xdz1u83itPSAnMrSvI3OgoisVMlK7gMlwk1ogfFw2uXt0ca28gj5ISgKNoOjbtEsTHw6muLmoApl kHtiNdz87Y3y57q6CEBpjZy2XBtgvuANZaCXXl8jbSMaZi7H9rW7+TILKx7yp/bVSAVetLxMW9xv /D67v4vdipXMtitN5w4x5G6zs6HyGlo2soreeSujXSB8lfkEQRFc3Bt+/hqTZxH29dU2KdnppBL2 oppvGyLOLUqDZe8anmb8oIzznlcQJzyxDxmvsB6L7LaWR5iSZLz6SAAdASuFtdMvR+Iz9ehNlWfm oWsfzfesAx+s6ZTO1LUF9c5U0kiPhR0BbcaQie6c2Q5yGzqAW8t1DnTO+8L6j8QX5oONZ4/3sNao up9DWHaViTKlvlJNZ9rm0wJKLR+wBNo/oFVs1zsKbu4Ii7QyQZqTu0iE88Ay9ERiae7fD6wmq8AW xJmUvdujNIL32KnOyZtqRl4r2Gng8kkiS3uWbznK+QXJt++2d4fgZPAx2/0TDO6N4t07RwfmEaeV rzaGIUn4Bz1vyfOmtckNP1Q3evarPI5iKN/dove0h5JnETDnnMhk2g5I22jXNYooO3I3Q+XvP1+/ wDGGkHRCmxBp1oOOX3mrne8s5UZnHVogyssVKhaBfR5cREGkcUVhsVA7lmrxJMXYFGOcvGikEcmU p1jC7MJTb7qGSe23GhO/orExexU8fiDmQP3e9Yl1y1SQzMcQXF2ZrbHyBJ9P+jX7mIOlkq2qAvu/ 1nSINH0cw6qsBM7rWgAbMByqNb9Eu6y+H55tWxqhVCbyo7Pm54C0af4d94ok9El/fn5MnLIEI4ye XD0ygRmAvdHBRLYJ73rkI0hI2zBMnNWvaRkTc3A5YKZ2wL9Qd54tQUwjHK508nqlexcy0Yj34rmp MxNbaDCDAOHxl21dlIzcoC9M8eLye27Evsenj8ed6vI+3YZ7QBldlLJJT1g7teV1+7qwV6o801BG lYMYjZEXMCj1k2EFU1S3oeos8Cy03e+qk18nYKKv6aAP0eS/C/53A41O0gNYhy/gFZBsObP51QT1 1JG/oa04fuwQZdVq6caC9Qd5nJnKk7fEAoi5J3e/wcIhQC9ia0riLpfnmPAmEUC65SHkHTLtvEqB jzE5NAttnAM1gkytyQKy1dW6mRlsyxa+Hz5COIUMubBvIM4Z8vlFMJCtgVEzj8fTMkX2CG5XMEkP AzmNFes5RQk4VSizI3Pi5y7f9QnjY7cjgwWq73AI0hZVoSGjDZPAppFq4wvmtpYWGOGCLLmFrlg2 K1fbTUXe3eiAYBXA9vZEaxoqR8VLTG3Cy2BCbE+pCrE46lHjPmpMSWh9ICA9Z+bfSVaMdmi9yGDe wS7ZzjCIkTb4bxwsz3yigelTHyXDTnXC+5RUUa16R9LmPyji8ouXmiqbt9CZklluJkQzgDCHVcDg jA5V7dPWdOfIpSmCsVzh5eSJLcC8zgSS6Eibiy0byHxJ6kJvHBaKZJkQ+g0B3DyMlslzwzW4MOSx D5tlDD9o5En4DbFkbTW/wjP7/mb5iOOh2HIfOVW/TYPT9aV7uMm4TqlGJmIau01VSGHV1SEYMDO8 Z/wQPRYqATcYAk1QQ6i7Tr24fNpoANm1jEfHtOoHcnyv/5WlCun1KQbfJfDnpquWP2ySbZ9TUCEY ZOyl4/BN6KAJPvjGzCJVObyBYtAvXIT1M3MLAm/0iZKFUP9vnXoCm9G/F7uK1jJY+IXgMLN0dBZb 8+fMTmYuS7Al+Di/xfKafaAJm5CNyhWQ6eyGQu2k7lR29inLjUGBmO2CeiAur6wMp9KzswBlVL06 LL/w37G9E92AoYxvId+OhIJ2w2nWRX8RRCpjlqM188RvY77+bn90pNrtp5ymJ0fK0zRR0mvDTKj7 1ACNA5bQvaQUn87VdmRF4k/Fym173M2LKWLFeDFT4qwxZka/iJQHECP9XmNRcVU3g1zOCE4ewkln sTEe7QZTQaZbtfQ5Zf/3jDmQDMgsNnLc9GJ5VnLJdtH+NbOkeOmNv6p5maAzn07NNbYurg+pV/Ga GwLcvfO/QsPY/Kf85WbOIOUsiNiojbyKwOEuEL2szhHs/c1POk0weq/HmgOs3/C7DoUx0uGIOXra itVwzDx0sUzyBLUvU8DepmrOFGfok7uvrUgeDjbz9jhu40x+X1jfyl6Dtx3D9I46UARPs6ouE924 /r0HUXT1MuBPNXBPtn4wymngWZ7oXWrGg8836OubAwCfOgcOyy/YrYtTRHLSbeL7nYTUEcIEI+MT uQTs88sYlDPsyMOXb+MMYUpngtxPFB6TuDgisfi8OE8Wa9DDDlekkzuhMsBvEpgwnpMbM67J8sM2 luBtjKD9rmHkDHENSp5E+oSkw4ADYdqWSHcMXhtpsILpEDtXeZx/TEzqyoNAUUVCaV5SXoKmOFTP BtVRluz0FTy6wqhPy/wwykJV5unZ4ZfKTE5GHdzZZwSWNFlJ+4Lwe7O1JXPyJM8TK/9dLWUyDYyd oljIUQHPJgsIbNW/6aM787E0/ajyV0WaiiTYogS0j3YKhC80STHNlaarXYmIf4dg9XNEDHRNxyX6 b6kWS/gzMa/E9MP3cvfOElA/NiONhXfXGeB056Phrgg01OB04Wiet7Hn4WqY8v9/ZfkV8t6+aHah oKLUs7OBKXYP6DKEkQT+ucgknHsiV4eNoLiX/xGIxu2p9vFe+JQ3NMrM8Lkh83cyliNTCxavib2U jQl7Rf0hz9oezx57TK97doD7rI9yFrPrNaOZmRVDoWIGsQvvDtoIwPY1AFkYv/qpbnrMM23KQM04 OMx94OpV34Q1mi2LMnzMrq/3dMB+l4jiI1+3hEUUqlUejowXbGYr0F80dInUoCZVTh3RGwpPdoeA R94vSxRtVev8e/Uzuhd8+0kADnbuDH3Guy2OB9OKeHHbkc6tFU/X1C4ikN6h6xXVTqaLMz+bOlik 4r4X6i81Li/sk8+KSkAkXScUvozw8AzZvtz32cemQXFrAo1cGmaPR3NqYgxTMJVEOXOT85EDzT3n VO7HYzjCnFzaFjTi0xqq8asntrQhPmT66Iu20f0bK7GVESXw4/GB76wmP0m2dlxDZ9G/l5Zx2KrL /6Y4h+PfA8oHOavj8IzJQsvll3U0IvukZfoIBBEHFuCX1yAU+7dHx6fIzHy8tjM3+iE4vM6dDLvj JH0TUSjWyL/0WKvuLQgvoD54bSwwX5wH/od011ZEeJgarUta5eGvAdor3eEvCTXmQRqnLTy6z8r9 E/5rVWLSSQdKBKKqWVBzmEzQFTim1Xg2mpjYkBRr1wBNoA85RBNMvo31My5UxY6VJn12xifHE4ko XNu/fIh9rtZMuy7L2fCOavLcKNy7ONPCE98crd1oINGsQhDOlv/8PNMjcrZYYlSX+A8+ZFxdQaOr RPnzNbOpnj23pBiMIn6GMxRftxte/F6WwIoWebRyEEwg3sgKy9sby+H3XxmI2t/RNpSMIegPYD6L r261wepZJBXai74089kvsCr53qEWkaboeyFS1sCYDLrSyRQH5A3cIhpVPb+WBBmLSFq7muekcNBb ygHAEWiS0AbEacpdjrBRZ7dmcw8OH9SKpe3nrggQdfrzffmsU4jl6bJ2jW23CP9JiXtj3vP2CrIs dH/Mdva+2chqOfXz7jKm13RTTEKo3CcpLMaKe1EaXVZr2HbfA3GFb8LjW02uIKPPKsS2WbC5bAkp Z37uyz0SaX+D1somzR0yG2gCgqzqyNqntwE2VDnT6qBdL6Dyhgs7qesJ98WrPVmWi8zolq9bbDeS RpW61jf3y8LIBvzFz+z4n8krnwEBZVtAowhaR25+XnlGhTk/TlmUGestQT18fSQw2s35JIy/Fwf7 Mxkel/ligh7NW6wbx8SfhE72oY3c2xUlhHlXYnzQXyOlE5C2rK93xa1koix594lqofVr1/sHrFK/ 4r9mSx050+FvksaWBnbyrgPQq3FbvkidS2Mcc9IAk6KbjdFzmM7AF3IQVLkNizi/6YqntmfIDnHQ 6cFJcy+S10cj2igpWmEKN8o68yjWoX3UrlBDdofSEv/EtsiWtqa1bz3FJeSDlIjFcH3uw0tEEeDq aNiaGXzdT3V655vVdNOc4WjOMvcO+cfhrKxq0rmTWmLLtqqtqcn2HHILY1bngMzNbTPVSrgx3aRS AOJTjACRaCKLsoj31iaXVg0Vc/Tw5emnxDAo32adPHRXBSfxV1znA9pKtS48v05QYxbZ3Moe4cxz KqWrtaQiNqFt6C4uurWKGJSdP3AzO/uuy7aZDfPHdgTTM4Scfayp86yzTlc3KgL2i9vwa70+3Itx 7awaigBmpZLg5EfaSow76ThAn6DfpQODVAqvvMFuQkw8dltWAustRzJ5mIIVPV4A75pBZEfrzr5E wCbeYwbskc0zwH7ac1vzyzDDcUG9bTH13Df7GywKMo37GX0RKyCxJ1qjVRmRC+viuIXDq5HYp8oC yKfFrWC3zqPpyQNEkx5LKS81UoULjwPWU0L/BLXEq/g+FM9c4B2LWZ6Mk5KvZemKVbUbP0RU2s+L UqCerJqv74jekrgDXSM2x0nAcZWapgNUYI/NEjmSfOqGe+iOiWjKyHc7c5OXWyaGyvD7n/I/mgVj DB9mQbCsx1xKgavI9hUx2Klw3Z/NcI046pWqxXF3KwM0p11/uHJbkXVWVEDODT4DcmSebdgunoVx l4mBIc8PGCuXj8iL9LeCC3yIQGzcjc/hfjHdLKoW1BE1aGVQTy9HmDSJRxNg2gQAxxjxKO8kpLEF OSdiA9wox3Wvtngot2SO2ncvtj8tEEnHJMtcb1XfDL28DrChvmJsFvlOjbcJznkLgHDrVVgqwBPK XXPZzA3K5iyQ5tdHG3NYsSmxX6ekdCFWXs155WLLoMMygyd6BP4Cm5Yd7nWtkIFM9+BIDLrbFGRu izWgk6BW+S9gFEm981dVc8Re/hzXj++V+O6xH75Js3WeplFlhJaOit2t/Rl8+p9vv6Wch65RT7qZ hzD3pWPnuL/n1hxh060gwl3dg/z3PzTTinoSKSN3sifqKV5G8i4aS2DuIs5qNaxim/yHZsgIfsYR X/O/ij6Ukcn4GPpv1w== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/blk_mem_gen_v8_1/blk_mem_gen_v8_1.vhd
27
19382
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gQ4CxdvWgnieRLRQ2AMwpJaA+X4QUP23A7mcpTzLH1nina2JWDwyro/SbR0koY81VxQ8tVNBYSg8 3s+EjSEjvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gPnHmBrjBHDleV2Jfu7AAgNyinLiMa4GswbueiHBD8y67DvELbF4ryETXsYzyyRC60JDgiQTY9xS mNBL0n+tguqX8nripcl2WvUcK2rEIU4vEmrY5Xa0k52V9uCE29ruqODz0JXngqZvaosAn7R3hB73 7cI2IgLWPL6sayUHq1M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bim7wErRMWV5FSeSCuJLdGVUUYEH+U9HzwEGlgElmMU1LE1rxBL3MWBw6E1Qg5kGmxPZcrNQKg7b PLZUD5Dv3VyvXW/HR3jI7P5DnwdmPcuCjrrkZwCh4jjzor7rIj0AM8ubprUHwkpicj6rKGNYRGRi +lmT6hjwlretXlYwE1YClKFDSDei0UBfS9a5tRfCcNpmoCaImXf0uTOJ8unbujREQZSIp1snYBqM Q6qvNMpDqcLoVSU7OrgHQdnonXWYqY/ILDCjdL1o02B+xcnkuGf+oGCDs8KSCPuzYvirbLqI8N91 feufkvRKEcc9+CQ7U9kVuEQ2Z+MB8XwJtiWwVA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HhRynIQ+TRImN/1ISEgCruTQGOfZ7yQ0AeSPRr1UgeSXeBV4/j+sqUVwy6KpjxjyOB8/Up1pUaXk C62p4kvtT61bX2llnNuuYjikfaIxGUWJ2S1a+GpileS7Ui7iwtZy8qreshTy7qb9L+4SycH2S0Vs ofqZzZCA27OgdUdAA0M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RhnO7aE6HcfX9+ngWNOvpaRDGHOLotkXich9kwwYcDEBAwcff538vS/s9YC3iM7OnnDBzfIjK9PG hZTnV6Wbh+heW3iD6MhhmPxC3a+3h3Xr7G6V/gV+8tP3qbjwLdyiI3Y3Tl9GXzeddtSNdvaD6764 1AS1CtRtG1cyGvfnXyGxmyDzJ91rqIOqSJbBOVjL0a+NolFyEU0BYVthKlZ39r7JI1kVtcM5XAND LnFrRp5p6iEzVZDFdricPTs3V2FwNDnZSvZ0QADHlENUl1ofRaFRtXOEIahTDRwJJzBMRTba/K/s 3AtKBuzpWzTyvSqo+1PWwgrrClt60fAvHko0Yg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12608) `protect data_block 5fO2PrNmk6DUgNWBFaEZEwdADkRrb13p36yXhbMQkS8YOLN30xaqL+Du5W7ZZTqKopQ6y3mkdnFA MMtYyw4viV7uGqgytEQQizhAhaf+PykQ7hkf/9hnoO1ykkN6XcwFqVXRzfWkuX+18zHcuDYsdHt4 6MR8uDqPQ7Xb1g+wRy7V8HfBE3E8F4PqqpwSFNTBQtzJe9oOwjTBtWl/LvfdymEJgvtqHhzEXvuu brW+IgNzAukQtn2QwJCgBG5n7M2r8Wbw9CoLZlUvKXZujMRLN5LGh601f6ZJzYis0WHK7Bnq9cqK dmkJnZjnPtFzqelobqNMoZABA0yxA+jb4we95c4WfSxcygv+8Lg70/Copt754+3/v3bHE9WMaJx4 o+I2TDl5laqhvojrdOaLURhUid1z7IXOITs6IQG1ghaaQUcXc3ZyoI/pyUpwX5NOSF74EBdPZksV FKRxDsU5mbDWqV4AIB/cWSntq63rsDwXkk+323z9YBF8SGxf5AIfR2J3ekoSV1xU0ELhmsnO16MD xRH2RmmZfurqPn171ddeT+iaX21PO1ZcMVs2ZiIUCTLKQJzqQMSTf2MQ2w3TP4YRRqUYKTKeAw6R vomy4GQ/JZ7A1IWt76Cvoit+ZWO59WYZ4iPWxfPYCXL81+YLLZCZVp2/7Ew5u/1YnYaEHnnhauOz E8GsqTEPYzfrg6oA6SGylqppd5uIwvgpO51J1RhJYDv0ZBq3NwNIR2E6cEKTtsTyqdzape4hAONT NHZTAR9jIuVvERiyB1ZoErbB2DRKeM+TNBLOzWmWDVcj1LprKG6CE1bclU2hY3UctlZSnrvfLkxs fxDIpShLVAcnm+jhf5sGxlf/nz9kGDHbh57NGWV1j0fMyxRv2nbz5lZefYu5/nO0PiHe7/h2RD3q T99goCC/QUrrWyBYh87cIdpRBBH+UV+TcXXNAeY3PkhDie4mH3Uucqjsyyzi2fSsz1quFwdhswUN Pj4+bart22H3n5uPKLORSXMkNEZ1EdSAzu2kovt5YJsr9hmgkgBR/myfN8FQlTcxp+z1fkk5dznG SqegJFWzrefR4AeKoBtGSfHIB8IrbsiUqdOfOu3iNuQI/DlsXe2y0ltWqZZnd5lDjK0s+jSv9uac 4tpKIg8NCR3sG82QWxgSKtzdA6wrrGpQhtTWSVjBOFjYxwDli7Tm9EaEpUv7GgGRqm7wyxNy0vVo m/rrk5ln2gG2T7su+6Cyge4lQzyYH7GrJAxjTwto/TSwTeQtIoqIu+TRTZ3MWEdQufcT6N4sYpUo rxq59JlSfenmEYYfEyv7C+H5E/0pkDYfsTOIRakQf5/uG1Sy1jBc1KFW0YHkxg4uIhiz55goPVra vLoJEThSE9NNs6t3FAKMbLV5L6Wm9DBJ+LdUDZ11RGLXscb+uZa/STyS+waBLHnauRtbNlKL7sp0 1rPCjqmBXjIH+MCC46OI46TWrFQKYJ95Pe7lJnLJU0yiifKY/rBvRf0JGCQ4bugwDQL0Vi3O4wbt hHKzSL7kZet0YsrIvXVlmr6CrpSqwSPb9Eul6SYjE8eyQ9h6S/RLj9IJjcrKRHHBPW9XjtKT3Jvm DTg1BbktNHNiOUGVQZAjSZeaER12HaMKTF6XUeBX15fX9MExZiOplL+9kuKoaM9ymgITG5+ELugA LlQAGWaJBuQWNcugGTdKorJcSdrcqmb8/PuHFY6zzMXlZzj2K2Hwtg3dPN1o+Ao7DF6I2HCllOV2 80WtLZvSCgVi5WW0H+C7QLgk0SIx7BGFmvv+s1z1Y4WA3sG8hi9Sa7Q+kXcHKrbUrHjAFL/NxeeC IXI4YP5CveYd+Xz0JopwTvH2NvOwKc+6QB++SV0PzAjLEVRPg2lcUbKZTsGbk+EWehJ8C+ANiZdh /+RkSJnXnUoZuhHyL369kIzOBBaOcGcN8H1jrq4gg1oyLTsmHbiGODyWTeUfVXo3jvRKDKeO7u9c Q9eqm2YTo9kuVdVrqSOXujb+SUaT06h6FlXGd+KCxPJWUz9LYYheyEf6xVbF8rMZgnt2x/nCml6V nh+1BWBKXBFLqiyY8jFlarY4SD61RgrND+j4KZBVrEISZ2FxZAJ1yXMzYek62fst6amq+e7+2a45 at+UuRiBkMJcysEvmNmmbShI9ALmECXrlYi+jQmrxpFDlsE3hz5Qem/LF7MNbExfGJOSWPFnjqA3 42G79NcGS91jojpH7exT7AKO1fmRlFiVHSW861EUqlYSjnpPWM9BfDfHFCiSrkgo8gpoAcEVoheZ VGaOUBSg+vlsX/V5LzSRyOUlWpgJ8qJT0AZKQjGAnbkFBcLwQlEMjoLdWBTToj409DMaNopCJFND iIYdsJDpDih2Pxo8n2k9SXS6CxAQnNF0IwaRwgT85FCpkeWDKVZmahqLFXcb0VViScto5OLy3FYS wITlxAxkLiVw0fGJNndevGiZGw5EOh6/bDhlesI/OAP1i8yPRtKn8l8LwWmj+aWZmKVGqsFvoERI oP4woF9xMZSRwnVhV/LEmujI3VXwFjLHBsB7xcxFDOs9NlB2YPmsRzEabMOldlXSgE348ZIAS4iw 6QFcT3BS9V0Dq3zbYcx8gpm2K0gfRtowfhwlYDmugyPBWXKIAwiQUgTGxYCBk3AWhJwmR/wCvHd6 q1n35nLYHNUfcgFpPGezD1K24vLkHu4M09HojVvfnt284STcakZqV/aKBZ2lbX6jo1JhGBpDvoxP dFHtjjaoczCOnbRgcqxVFT12I86L+dadNSOOIcYe8S2NskYJEH3969YsAz1HT1xkErISmuGxhGz/ 6DBcKMn9vgF/dXJfn+YuNlvqSiONM40LEf8I67ZrlQbek0pFyOaTjzv2fgmUsOHdTdJ1MS6dUbqb FSWJIin1fBFhpRKxs0pdNmnyjwSsXjx7H8CLUP0+m/4gQAiH4MeRtTH0ArcEniIAES2b9RnALau2 ri1Dm40Vl8ks+GWIWz7y+HzVXs6f6pfD+9b15GFI/SjCVgCan3JMW1UCo3EVMMKMAH0sp/Sf7LcG JOcllQrL4qSWqa+VirFPTLUZchHTUihpEGp0RdFmR6fXGwRBW5b+Sn5FWjWBsxCmR0aLuITMwCGi uKNE/dunvpkdV0ZshLOJnxxbT5M/rB7BQ8ncWOCfluOqjgeEh7OzPv7/xMyCatsErntfDhibrJmY g2yvdDimFylMfpUlAxjx95d/PweAy0a7Xm7sI3TATeC/pJhEFowGGDd3fTyPl9u8Hos3sJykvYsu 9wstZtiC0wXBqWIIEWPEb3i81WRktS0xCdwUXW2BXzlhJb25BocZiBb6yjh7bSPu1cau4j8ZZVs2 Saa7m9HMTtNRy6sOSdGPiLL7kNR79ny0sylkb4kCG225RYUSdj42W4eiHY5i8E2Zky2TwSqt3SSi Gfp6QCbOFNgRhXpG5CzvznAf1Ai7nxsfe/TyNXoXUzRzK8jJ2PLGu5+s/brMgFXVI0qO95SEAM2W srYsUeO/1NSple0XfxOmNn91hk7IXEos/N7LWaqHWF6IsAByf5S9dCH6b22e8/1LTgVZfZnbkqwn 3mRlxQszif5j7b3kgBra7hkTxIL4DymX/37s+98wS3eAOgSYN3qYSYaykXU/EHqu+D6gdGsFC4cc 61O9gQIEoV0PMGt/oO5iIXyTfL8N+/zqNuVnqp/PaVq+zUtUks5drmIUe0t2xZWJCeKw5wKeqWvv iEun2p0rrP5lQG7+9pJJsr4kJonimxnfa6SpvM/8Lib5nl2xHhQLKdezs3UtZEwzhK2SxSacVSuX 4F+awIHYUMV7W6Q8aaMTWIPcqdkMdNcGznqb773CO4c9oj+EsnrcFCDfGYttb77pOzGuTnE1DcBj +C05OZmX+5BNLlWl3XlChqO48TNZYaRSqYhO6VbI23gb4orCUIaD9UcjhWOtyXX3Pq/IgcmxzG+b SiDNcB4I4dT9itkLTDDQufC326lrIHTrmsC0LOSDrfB+KDgalphTIPtStuhkhDyNF+lJtRI/F+3z e6e3VR/1dJozDnvKepRSqNFj83bSSlXwBzjjfF25H6bI61FZDkoHGiZj0K3LY9rKYCYcapIEPsb7 fR9p6CsxkDGcSmxbSNAH47pHQG7I1hqeKpolLzovuAHA4N+RZeHPLaL0s+lOejEDzf/AE/xDHFaq tSfQ8CWKg65LLQYfJqhNmRQOUF2RF+h1+lW0g73Lbdk/ylqzq6HRD8AE035Ql2DKFOZHZ5Cur61x m+L39c/O+tSOEjQDtOock1jRoOJteJPvn4JjN051NvWcIKf16Gvp5D57JMKZNM/01lXRo5CrDF6P 3esBFOi0P8eWRp3pHnmrkJl+AHfIcdAOoLMSReARtZE94CEEy+r36e44OY/mnqAmKXLYATUOpRn5 fSxa6OHr1iqP3TETIBwHjRdv8/YGK45hUIKGEGvRd8l0/iSwkwJzSSP04jfsGBoCCre8WFMT60oI 8rkxkxudoLP9LKUGRJGVwM8sgareov3gnijKTtvStbts4CC4sUoFEOKy44Fyv7MLj59cUvuAlo8X vyHdtFyfAUYfHynAPc/v6HVW1dmeyMlGakwYmxC9i/gqAyyaMH7yebAtDgnLzLyVXu+OR7huQ9JK Zyyn58QXIeV2fxOnluHP7A4m9w1F42xOvRq1Vk9CARcPoQhiv9AHjilBKrDVl6KflgE9kY/l+hIc DIHojNDfRlJz8OGHN9TjV6v02uVeTiRrrR7f4eeyUY5gfvCPQ5GwVIGnV3eP8gI22SL50JGfw8EH M5C8/0DTzWPV3wL22GGSeO0lqonXM7LBI27OutiYktcm2LzR6tBnowC8eWKQHgHGF/t/s3jof+8m FJrhsefpj8LukFeE0X/CpWUWXcKpgxhq9DSR12DPLPDNwhdfKTgCnOLXyr6JI/p+rNX9H5uHuRYj pgKnYOHF8SCnBbpyTuVjb/HVGbQb3zrU4o17Yn57pwVlfnZ4hpoZt/e91FkIh/aexvXPjT4MsJnl AsBzCZhByXepim8JwfhVT7UH93538ECvaZGBR7UsYkJmt+ETqyY8+/8xQOfMsJEpIPBdQJKpiS7e 28lhVyZQUYjnuGKTZZjSX2b0Pg9fMA/nFr1DqLNlYJ9gXm8X+ikNfcNdBJSfBJHYVGlBdViSRyLu oJ5eQGAANpC84jU/V9rITmwEQGRZ1rSsqhv3PlUePPuexUEEPyr3iVZn/L3O+pbCW4srvbYl/YHG Mpjn5zRFZcbA9DtbP4WvlkPpUVBSAIYcKsHwW+TuF0JlOVN65nlvwn6bfNUUUJzlk/TnNBDFRrPo Rz6yKR9PiWLCTXCXffkBX1XLEAh1FanbRRVAvwgOZTA7PFpzNPuxFchVyWLhl/yBkfUjjJb8YzJz K73U+Qch4zM+W1nqs0q0689CU0JfD2Y2He5Gr7cJoigDHbVipugsY+vEqUopY+dptW4YncNZvu+1 NfAC2jDeB5FoOrwUB4ic5mNosoR2Sxn03csQkOZdJH+c2jkdyvmDMM9nqjXgr5/GUEtgrbOqFTfn hfjK19uAl9gmucHeyZlrHSgoIQGxsMsKnY+/cWvP5yton5/bj0ezim/uSdG3HHN/jiicwflCJ80m Tp0joxcYkkBs7tv4FEbhHo2ji9FWn+hia86yzJ35aeUHM2Vf822C5uAfEOy9vdOse+vMgj80JxQz tqHMVQuypGEMjSIntajlFoAhfpMYTLmZMKl+3NBmrNK8VoCpLVnqJrsJyVCVjRCnrW4vcO4BP5LH y1GPLGbTUB0qtKOWAp374Y53G3uDwrhIqvU3FAo4xJUtBiqX1TqnjRgwZ58vot/kLsRMMOStIatF MQuzASAikq7GKKZHAJTq+pBso0Or3/0CvXCSFBfNH7A84qC3CGZE+g+j7IDVkHZeBMmAJVXGBp8e uOiKWIJ6OUJKSaNoUyA/gK3WYGkk0dgcffM7egSE62F+ZYZ4l0tA/qHO1clfd4HWsyGkqWBmiZc8 uSwKcZstw/YSTJ7Gis6FQ68SIOjn6QdhlKYJWYaIWWxP2Z2R/diPC2tzdIgn8/hZ5vIUOnl+Gn19 /pbCAVqllczRomLfZmyrNjjgY0wsO5Crj25uqSyy8C77oVtCtn5tAYKfu+6NbjFzYq9OHkttPtKu ySCWsc4UxnoYmk4WWxVrvW1qypB2H+Xp8Fk/ZEA2pCviskImSheZ6ItBd0gkI0KL9aCrnGqF6nF0 7vlbMlDc6wlhHRykm+x6Sl+7qjLEKC6RZ/9s9n1cWcbjkE3so7r1jy5jjl6cK4QO2oHUU8aBZ/+W ZTkPKInn/g2VzXT8mHtodBga2VPW/o+SpoCAUdalfhibjH0+jklCMnur8/39TQdFI1Du8pKmBWwz 39FG6GQe5qZixaKx2eaozz7bSxXUqGC2TUQktVfw0fRoS5fsxwik+13W5v6aRCseZjHZgFtVuUjx rWAhTjUu/lLuKD+wBedO56CGv3z5lNFI/2b8IrH+Dm3VesfQ4EoH3P1ZwEVOL91XEXn2sFErQgau 9YS3VZXabapgyxp1ZSEsttyU2rF1gOQq17t4dsXPky6tigx2QhlI7TdDa4oTAvng/Ft9Rcq9Ybzr Bg3/rRA4YBE1Ezf6Y8NyN3Z0HWhh75R7CRWOVqB/wuvpvtObkJOJfbk5OuQdpgDTWL/KHvxg6J8e c/f1hIjzaNfhg6i7wsPknnbIrRUmdxUK6DJi6d44AO6sc70Gybf41iruCXeYGcksy3LglRBZqk1r aUohp7Dfm7VUJO1lrQ7rcdjQ98rE8KSNotz50jSqsSUhzdLzmjfd0CJhEq6zveQLdENbh89l7EuA L60fQC1Ges+u1IX8Suv0wMONy/5Dfzigt9E0URmJ1kJu7D4s/X52NBKyAR/5cskUJzFvY6T+/rYk Dlci24Yi8gYiP9TVlB7g8qxOq7HhEygShmCf73jQGS5kE63DhF/SGKfVZcyiGuzly24bGSbjfW7J AetCxZmIR61AI4tOlSkoi19aLKOV0KsQw8Zd8StIYv5M4opVzeLghPsGkvxvBUI0PKTrU10QpOJM nwZzhOc99kDTiv3sj5yAx5BYr14AMfOXTTweB8mPfYSo+yZ8KgOk4EBXCBGjU5tfUXwpf+afxo+X 8KmAuntrH1Lcmn5/+h8fCl//OLI40i1bXqlvqMKT/T6t0s9TNunPrNQEac859QhJ7HK3GYwxpaww iG7pndyS667+Gu9japTCfmIllpuFf9Vlb6AGeu7fS70TBSxoUdjpa6uGwyCb0EMUQclSomwwJrLm csfYXDbEtRtHeWub+dqDCUR7iiQpYCp6Ifh2lAj4cfP3uavVE7dUNvL2Pzdwz/cUg1pxVfkSFLTJ CJj1ajGldV+Pv2hdAEfmqidx340qCmrMduUdZylTQJJ17jqDzacfkOBZ97YtY9EgftK1hBzpZRMP pDUcRz5b+XBT+OKG7IXCrmOurjSesTT33WywPLD9jhZsIvI/Im2Ha9szFtpJyF1f43XyIpEhLZ2z eqix4zx3gSeMTr8PBztgIhj4pxfhA0TyNo6IRVS1f+6rdp6LXnNYrenyQQLyxrkXQ9xrGBFBCB/4 Asn/OgDUbrzqz6dg3Ui0RShztu/kqt4w6xpVa5j4sNpvgucmrNza6T/HyuHEPU0B9yJzplDvEoV2 yfDzi/rmRGwbBsxqGIS2O7wp4vbpgHT9EDSln5ejgEoI44iuLnwTLfMwhJJV989sPD0ZxKF6Ythy j3l4QzrgqShjGKaJYRdXbmeSfwuKoxw6FVBSB6873gchR0fxRTfu9ZR1rJSnmDKSXSugo0PThCv6 j8fucPTUvrO3mPe4Dh0WxZ2DkEriBNcKMYsCROa0/yFPWsKB6hPFvRqJAVhlKsyKg02sCcSZmoeP m85dekaTPy0dTmZZrHUDi8pxMnvCMweZsIm+1m+chQy7xpn+Xid8HQxJma4fmsPHhVhkzDfAbaIx zg9Umjpp3zHvaOaktM+NMYQu2fde6dYXdUxWoRVvqRccE99+6l+wA9eSvJ9lUBTHefbiwMi5GOkr DHhKAHOm+sCMxUoLwhcW5rUrBYgTxxZ0jK39Jhww12tGGSlUHu+nt7pLZb38nq+3B+43L01DzP5s JUnS6M/+C9/qQTITHtViSabjWgQmD02+XU04UFROWBJ+PB22QylYWbq4XCCl9cECXOcQtF9sOzjZ vpSoeXTIt1dwryXVJ6o5DW1CqPAvbETjoSY1a1kcxJj9ipFgzsCsSZDkCkus+JYC+VgZX6QJYLmO UP/kymmJ6VtZE8R1KrM4fMDsHnGm5mSyteI11smOI/htyo4Dp7Fyfqb0iAMIQnM73MVTb53O4Bx+ XpNQU/uYVwDWQszjm7avuLnQ+hphU1+ODVxzOkxrCNzuPBLALvc9eFUFheB6cphFQhKcbEqDC99c Yj3xgBzQ53xNAcsHa11iQNgaZFc9flxgd66A8kZtksJD29r4XeP3Q+EZhyNAx/NNFITGb9bjRhdO MJuNQfSxvSoFj+NrJrX3POpU6B5iaCVIXXl0otTLzUqBFB/xuAvVkTtC8EehBUUwS+Zr9t6Bh4yG nw0Em6CGCaFv4eUnGK1y0FlgZTOd1tqIw1lNnFv3K0fjAApShaYC0o65h+1ocdN9dbprb9Ex+2DS /cRziUVLlIpx3chP6vVc4Tnq+mWQJZXXG5wcAh2wBqD8/cC+eyiw1PYvB2C7d/XM0T64UTsA+HCK jggeYmgiKsPQujrWSWLxIKCoSYZdhUAo0d1S5Ftv/kWjuuJgaaGFcMMLAhvfOmhKLYqr2/ApP/P1 EAw3ljBk1kmbPo1a2yU7C0lEgfqA104lkK4Vh+Blo/eZS33+zdy+fyleazIAOkVM9HJvfMcBHWzj C+F/dvjV918QmJhByXuKml3d3eBEdpodMPYp5TmaU70wg7Hn7URb4SAYhoPUyUoEiZfXYs2IXxla h7Vshlr8x4zwD746BWAYc1gC0OskUYMqDajNJA1CoRSTWJDwnj/dY7Q0+33D6UjfeQ06qLR1Ld7k fH7DjIURGp6F3JNwtxTXH3fkspGbxo9Jma9u/Zh8SxRetwQVykOWUHeSWuDloyKQ860AWKu+ntrF TN7wj89nYoZF3p6H9RpH1CKYftt67vRAlhQPPuaS3X2u3+NYKHPVY5qKD62aRjyMcaICIEkZC4eC za5b/lJQrcK6r1530XrR5dr6YwtMeUuX2TArggVKKcNmUSybY5H9aLoORevcr0zhBmczV4xs6n2i dMbyEoazLV1cHV/4MqPY+mUZwpOpDq78GZ/woflf7CLizr1kExtAhsrnUpG9fdL7RoNqhPO4lWRo db6/WsOu0BA2GoY+spIA6DKGt4Kcge/8vXQh8K5X81KLa2pdEZMl0TEVYiviRv3fn9R+YDMdV+3y 3AwBKt0X3F3XNgelOacxDFX++19VPG6+HK6tYshKeuaEvTELsyguwiXAGnV+Qr87oQhui50e8szT RkMgoJdOscjTxFHeTObV6eiDigjW/rTpymfl5wEPvccSrgpFxwxquk4TJ36KBws3dfOu5xRymudL S4kK2Ac++xzg9aCQDeKc9ScLocR0QSVtntU8INTgVUvTq8FubVwphu9oVj9b7Le7KnB2w7iep1tP WknNzFtyIeKFP2CLmk2Oc0zkg1N943sh/dGZjujxE6amzXz6wps9Jvxe7i4Ld+cW28gCuTz0ix0w kAaxBDruWaBE/YH2nhwZMoudRqIHaLM4SR5fgkbDsfO3uaY80ppMuFO0JpQlp4aLDqKnw+25hwGI pM7dtyHlM96TZyIloJB+9XAEsavBRsIIDXkyyd5SkHDLse6iPv+hJ3Ji4HoB8rxG0ZPyLB3dm4Aa AgAT6PHdsjtNlZ2cHzr4insZBVUoDDQ8pRRqhmkSSKjZGQ/sFZHsVBTS3gxuSR9T9f5S8gC5sfNi btSM9SHXzi5Eg4gIyYwoy+n2TBzmt905GlAwRRWrdPC/VU3Wph3tezTQvcurhSzf2Teba77go+ko 8ZvB2qsT5YqChYzJSz4S3xQWYxCu6ZP9U0q/AzpAV9Kda4tEItURPWkw06dfexqaCkA37aF/jWIE 8cToCfQb7eJxIM+t/kzUT13gcoEltjRl5FZ4K3IBMggaRALtx1lSTzjKJdGk8bDW11H0Q2K9koc5 Po1ZkZZCEZHLrbvE4V0Z8z0TBWQGqhQU2F2no/RoGsocZ7BWOgxM47snMPZpEelnX8ZDh2fgVNOD reN27i1iWqhyd1/f8Ye1sIjuXsPh5AMgnzyfvv8uKae6G0qHM2dE+T+Rss0GfvsUD1oUPnKbaa8S nvPBOPaYTytU2XrucD496pTUUGm7qa0N4agMVVlFShlsSgvKPEt70KdoAUW9Kl0qCulg8YevYUkw WHaDCDa5/It1R8U5nOEocnwI3x1Cos5I43uYjH1oLvPWrLb6rtZtNkztT2sConWI92sgwHojzrz3 +/rL4vFjlGrWpB7HlcZl0foPV6Z6vYAtEVKtq57i0n5jJgc4S6GJ791Tzgl28ZTXU5g/AIX3TwW2 UObtu+n43Um4VpiHzxicI/X7TTKQvvVi4mTBENwSDW1Zl4uTRucD/Jhm/tL/U1T3orOpsmifmGr4 MqoLe6oWeXvbaqJbmktoH0693ZssagDrf1r+8rYovQ4IpAen65xKVNgbovSv5XEhcRy7rtMRphEp JiEe70o2DwM8ewS7N9uYOxhPXAfViJvWiU2UhH23IEKAZE9p6PuL8bUBieUJLvp0gSwIxVcV4me4 6ZxFvR/2CrGSZXJoBjrbErwdKPvuDoiylx1lkBLvrsD4ZTSdtZu8zkqxprrDsd2QwYBHYUcvR3EP bDkXZWd/UH5LAYP3f+SpPfiUWOeVq4I62TfLGTm50JatA3s29/ADGdGEJM6LMn+5ODH8HbgUn3ci YB6Wi7++i4FiRFbj8SFbTQqKwsscQp5BNFLAUuesehM25F/x2PqraE2ZHBs9I+l5QMYphMcWzWjY hl4R9cCKXcbzik7D4jbC7PCtLEFBGHUij2Chdq7FAmIcTcJWJVMLMQJLn6DbmFBf5mTUm3C0BwaN QyeiZvyL71/xdWrE3DfCE7iymi/se0gn2TFSwFLuW2oIuZZHprhfZesE16BYmFPuSKC5G78356Km Z5/nCVkTwW0OpMLUS+jwINkeqVy1rVKGWBqwwpPVSxo3F1zFWgiXzxhiVaTWEHRuuXAscbd6HYH5 9z83zoq1FQBByKoSbk15DFXoJUfmMp2y0rC6ZmE0U+1QdGwhCHbC6pgJn507gQhb/EGisIx7mYce VDrv2MGcuDWWoSFrEVNHSlE+jLF5xnBYFJ64Hf+x/Is74j0NP31dk5ZpZcVDZcxgJc2f8Qz9h6PD o28PVnjB6A+IMfdgzerMpBlS6Ic/AdnLmTTOnCTv+4JyeGGW/G8AVtPDVrmCG4NdC2790+Cf40vj xppbt3+s0l9O8LPUv6zaW6RU7yAEHuzle3BPyAUk/+dYniDoDTfx+MvIrmoc5eQy4VRLRkRKiH7V MCYoPF+EePrJCf3m+JhU05F1Uje4i69tjbUD/mb9EeTGaEnkeU7SNHdVEHpir8FVt/l9aRaX6uVb wKQkIBQ1mLyuEkIVae5rdUoo50HI1vAY5Dqiwv4eJrBUWW1eqDc9hlo6X6u4rBSELaVGD4VZYsUE yFz2BqRHehVM3LeupIKA0waKR1I7nRaokEKhn9GtovIMfmTnBwrv/oq6dfsDmRjeyplSZwuD9zHz l0pjgT70pk2MfA5xuheJT9OjyPA+SMXb4XBLW3FB5C2kz3ddIe0UIwIDMPifsLCKhKSdCTi48hgy hssvaVO/tJvbVbPKGzy5czxLgCA1vDWXSuGzVuPw+0/khqHLcLE0fEN9C0h2pfAMwcoTU9lcsb5+ ejKF5kPsfArwy06hIG6hNGVKib3DIifMn9bVjAZ6yI6AIkcEYk2VmCh+aF8OiQICAHv+yoV3EF2q mlGKEFnjNxW+lcP/dluRP3LWg2Fdxayo9K3Kd7C7DSR5OEj2gLARcVWdO9Kah0CUq5Zvdo87Hllk uJm4MdcugUlJqtRZC8MIgZ7nh7ZQeA88A1PQgUO8z6akWTpTD4tKYMdIve12/rbNzvDqRvNdQjj2 vW4P0XRt6B6O10ccchgACd9hY80B5UheMFhV8bBJVUp7HhGHHklMvPhSJ414R1XS6CK4VOyoXosJ c74wSUXmAg31KXn8GRhJtZVVnPq5q8aQZqJuH8MqD1AgReu/BRlNzaXOXe0WKOk0DcGjZV3BI/a+ 5vt0qfqKe4aZvxL04/ZXrORdORAk7MFibjp/xIKORNLSrnvLtWQ1rPdUkF/28K5Anc4K4N2Ezs+/ 9WCb2R/FtEkSaZ0Gl27z5nmx+sSiwfnHxHUXe3Z3tML1zb8dBgVBN+7i61pvN9N3hBW/ek0CeMZK Kd7LtGS42816O77M3tvzme69AwAAOnhlQcf1gMutPxVCvIvjbJeJt4u1lFKIkWW5cZHgCOna46+C ZMtTERn5YGOfoZouNwpln1nWFzGsQluQ5Gs9yVLfSL9OnqSraRVS5Bh3j6merrsUGy+Th+J+aXPc 6QFFydfTI32EQWfPHBMaqY8qlD2zeVVJ/Vf7vBtesUpw9PLTRXRPYcnEMmN9wgS3VnFXanf5VsF1 P2MwI5wjv1oQJiZU5P+H5g7WaP2VeTuSlyJD1Mpp4t7KVYKuMXwv72627Hgoupwota5PTxyO6i29 dgSTfB2AyAJHfQx4iTjEQ7JJWWbaZHLeWzcCOBIY/OYheGjU0ERfMIACh4LaYbuPcyVhA4msr5NW gJI9NZkDyppCPSn4iADsrcIxsk35OJdJyJjn/Ggfx5cPFrJ7n7oKk9FPMyXagVl0Q0ZWbslEjKpA NkSjuFTEh3rtcuYyH25Suej4+/zg7mLxtkqVaOCuCQiiKLp/dEf5B3aEjOvPqP3F0o5nE+DP47K2 Jozt9dgcH/ArWey0utxbDAlSzG0TyIqt7ASWlAz3Im3heknmgGaPhda6b9FgjMq1zxVZHilpLQzT pv37pyaLwE1ocPgHIYKxczPOb4HNNo0onOEGFNmNkx6RmE8u6qpihLPLmduSShzwLPetepyhHMTn Vd2KKifkRqpT4lY/ZVEhbNK+hcKzwBhQpTMvd1acSVFTX88XDrtnWxM9IXlb89B41jI3ZpSvYeab rMvD8WdaZYqkzTU7sfJ3IdPMVnXDeXhr+vlPcojFjOe7sTMz2UrLFvrefPJResonx+ZmdSEvYkRs Onjs/aJQIsy9bw47TfJWn3I1sa8yg1L/KkZJE2dIEsjmek0Up0ym4o6K+KcDHV8LNuQxY2X0XBX8 1WoNb3tLVyfRgY++QmSFX+IomM5sx7vJ3GK7p2Tm0NBpCXOJRzqQykScJJthb/TzkXyG3ymQXGny 2N6FXnsJSj06eIsEN0HVf/0+wv8mcZ5GMPBE3DYg9qEVD8hrg4IkRhWY7/7+46lFDLsZIDEMDSYs 0dSffHQiS2mBNw4eNu+ievzLQ882FZGGWImNKgr2BQN3al9aoUoz9FY8u8Dc9B9s/p2zp1AZKD6G Q9taTSEWv9MwpEFzzTCA6/E/o8EXzBheHDJ6KnZ+RY9mluP0+phE1T9k56q66exmUWN/xpic0R/z m/R4T8S4wdIE4AaCXElZbL3JYMWyQDca5KjZuu62D6EK14Gtt6FXg2EzeAvPvYYEjDLpqAp/njS9 OtpcyZNQfkBbfWeFmchTNAANh5lVag7oL1fqJ0/9WYREIYyBqMrUTQDc1N5mYpOtoEeEHK8JzKLu Sv4aqtekYGtLoke/hLHZn5srVUwqQMuje7GGTUjDvLWTZM1kLTlEcAfO74hUCmOZ1ch1YIfqAQZv 9FQaZosHQpJGv1x8AoOKt3kcz9hyjDDm4DEAud8Hi1OV7TVnRHZsAJGvI4RKgJtzj8q4xIjcphN6 z214eAo2egvvRtiMTcsDHwkA55u9d7lm2ImUOdaeX3mgxKxMsOp0jlYgcKx8FijParDzDZt79f12 EzoxIK2WRYiQEwrU6k7mUwn0u4gL+aiN6Nvd1NNjEiAaqsF0W+gkTvFgw1Ly871Y2RgxbMxJJPL+ e8BOT2OiPh9CmFB7HuVXk8J/OPkTaFvZDMac5CmX67AvDmjaQzigXy4CDnzklfDUgxiARTDkf5B6 Ep967BNVxv78TX2UkbZlKVnmQWM685pM6+M6enQhubiZhzVret6wTiMgjAhC3gcSBZOof8ZmuUnh quhlD3DzilcLi5yIHbZOKNpIDgroBKCEl1P5WJXwKbaBk1uv7Om/LNy5N1JTg0b0JA5vtQULU/zK DMcfD0SZMEImwX+vvZZeWxtiTRLkIbooFV32MC3n9IAU6/8rDCXBQR2oJTBYWZ5Jh2bvayQMxuEr KGMIoc3ys8ldBvTaTR6lcIgaTugICn6f6k55eAAewWN9qWThPrjc4DNRleK38ILcBvi+JOPcMkF0 Kldi4WSj4AG/B3br8DJXzS/T1AGoqHGHu72vvwUnFgh4b/EJHxz/c0KCSS8uTswu2rgOfPE6p1Fg 7CfIbCnXVY1aKe1p+pzwAjyJg173YOcK13TPtkDKJAPNMXsbgF185F5Kd0i2qxA9KNYRJTCQQioW C73IIZ7GrMbFPrE+FbBvkAF/WW8SRTJV/WSdj2jarGrCyUImygVeVCw35GvnNMte+CXBttSPAN+y E2WPq4Oni+MbVdDcoDIDLvxysIdD+p6MpRznaJMeh/33S6+yWRm44mk0m4bcba+I0S8jx127N1lN cdvWl3iaFdlBXaWa8ajl2sLiOAegJ13l4TqZPT9Ru04tCNLuNen62GSf/KGo8Ud7rhdaBbnWQyaP Gp7KJc30aYA2ALgg1WepnBVgru+C1/6oXZ7GBLUqdcQp8gVg1YF87mCQCZ1jGwLWsFUvzVuqc8Xw epGCXe6k0HyflmGkCsm3QUMxVIAAEpkzVhrViBXWKRVLdONrm7o3Vvl3ZslIqbOZv1OB0l7WyvEU 7qTuGKZiV///NVDymfiE76vq7JDpyJoE+KRA8JVJWm7TkN8QL3d8M1MSgJRW6EuYeYadSRVkLfzo qRhzI3UOVhLaPmAKwCsqhnw7rRJwdmpeCVGE5J2GUpIvgh4dYP67b7NAf6p6ZuS/JZ5erJ+4fsgf 17bnHdBXpf7vCP/MZ4cHBWA1Tpso11/dHiJGTijO/6txhFAdm4YpmgJ52SOiBl1HnpUuWnCHx+EC RuqSfn4ikoBsXmPghLRLKH6aUHnydcWzkYc8CU0vxkFEpeAbymRdZyS5YLE1dGa9zKLdB8CkJta+ YX+S2UdfIGSQsHo6KTALfDCngHajCTKwfNbRWiIXCxRmiaVlm971Fzs+q8dHM4AubzGI0wHSHDu1 Qe2dF9FCVkxxzr1i8rTWo+/uN0RkWl2T3DWW0QIE+O+wqcrBRYjXI/Dixgt6rgy73m5lkVRsJCAP Diy6IpB6I+RUX7oUUcty77SCKYQ24wsxcSkGv9ans5cIZYjcFz1VToADzs1zwqSjq/LcS7ltJm2y zTKRuwJZ5/g0mExv973GGahXzka3P54o6JVi3wPee8dE3XTf+S7h9Bhyh8Gpm3kGZv3XFcIJw7Bz Xsz9Q6s3EfDTdGNxVYdIuiGM7CDVXNN0BJb+u+bOfjnHZN+YsXaJT94WOreGVgNgWNAsY94hJMkM 5wPT0mTY+ziQhRg4uvTDGtj00wT8ktEZe6Vswmli3aD2yGesAi/mQDexoZZ2y1pHtE/3m0fGAFkq +M3DSVWcJBtCzd5C38/q32p3evG1ISOqgyyAeUpvhwetl6Srg5sLrQWfENYoAuPmMPjSCHnFjnKV EFMv1MfduEOxOQrGERCQKQ9N7Nx19zUOZ+uxAh65+8xa6Bl/CnHFbg54vbs6TvkX6ZK0caJONj2n 2XA4PbWDggtdOIAfjxwGG/yezu40Ypr4+JRxc9fqY28/AK0xGPv1iMTM1z3NBacCddjoVN86ku3C 11870p2RFJn55QSXshGOc9f+ohC83nYRgaAL/hM9YZ/mxSqL8+7OH92d9S9hxddS2aZNxJNAld6e fImovMseid+4TsJxSnCe2fsWbXa3ODzBDYAtMmWsc2VMJG529QjhcRNrWoPQrczjNkeCAadpatac aJmqtwPQjroEQvAxb1MnheIGFQ5iGAy6U/49JhP7P1Vbz6R9uAijty0j9/IrX1Ioj31Xy4eyO4Nt aLiyLtkpq9cgeHKiXyeFWVYis7uiY3cre74dvwrWrjl7ZtAAa69T1w0AVvPMNL4eGIjK0ZT+v6Hc 9/AcKyeoO5g8EUEGm9tdeImTARPSNxp7T5gUkJ3IciqOMFBCd1WBKvyfBaxl5AukgTHCC4xlwd3H z/M+tdf4fky0pFbrvdDkAmSSTU5P5PQ4Jl62ArXaViT5wD85ks/99+0V9e9Hp92+XmCcn0tbKndu GDE6ZUhCDiiZ7vZHAfHt5IFL1TnTKVFMmwCwvG4f0eE0zJsGIuuGmYFNW5HpnCIrvlkzKn5nsa9q +qXu24yzr05elNBy5poAmPAhE0vIrzb2ma5/ZNXqh/fd+qoiQ8NYcEjYjZP9cVw8/AoK4alXEfDp 6maLryhr4iutgUlLSTS3TN0YdBnaBeI1NZjdc6Fh6PDkUBDcIqV0JufbvKHkPh8LWvkhj7toPOPn bXUNzD/vKUfMaADP0wh7PDx1gVx56oLZIllCnywfdO+FjLtzN/4oCACGg3JrG/ZAiELgMuSfwlhH zLfX6d1S0C6p2JA= `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/blk_mem_gen_v8_1/blk_mem_gen_v8_1.vhd
27
19382
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gQ4CxdvWgnieRLRQ2AMwpJaA+X4QUP23A7mcpTzLH1nina2JWDwyro/SbR0koY81VxQ8tVNBYSg8 3s+EjSEjvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gPnHmBrjBHDleV2Jfu7AAgNyinLiMa4GswbueiHBD8y67DvELbF4ryETXsYzyyRC60JDgiQTY9xS mNBL0n+tguqX8nripcl2WvUcK2rEIU4vEmrY5Xa0k52V9uCE29ruqODz0JXngqZvaosAn7R3hB73 7cI2IgLWPL6sayUHq1M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bim7wErRMWV5FSeSCuJLdGVUUYEH+U9HzwEGlgElmMU1LE1rxBL3MWBw6E1Qg5kGmxPZcrNQKg7b PLZUD5Dv3VyvXW/HR3jI7P5DnwdmPcuCjrrkZwCh4jjzor7rIj0AM8ubprUHwkpicj6rKGNYRGRi +lmT6hjwlretXlYwE1YClKFDSDei0UBfS9a5tRfCcNpmoCaImXf0uTOJ8unbujREQZSIp1snYBqM Q6qvNMpDqcLoVSU7OrgHQdnonXWYqY/ILDCjdL1o02B+xcnkuGf+oGCDs8KSCPuzYvirbLqI8N91 feufkvRKEcc9+CQ7U9kVuEQ2Z+MB8XwJtiWwVA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HhRynIQ+TRImN/1ISEgCruTQGOfZ7yQ0AeSPRr1UgeSXeBV4/j+sqUVwy6KpjxjyOB8/Up1pUaXk C62p4kvtT61bX2llnNuuYjikfaIxGUWJ2S1a+GpileS7Ui7iwtZy8qreshTy7qb9L+4SycH2S0Vs ofqZzZCA27OgdUdAA0M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RhnO7aE6HcfX9+ngWNOvpaRDGHOLotkXich9kwwYcDEBAwcff538vS/s9YC3iM7OnnDBzfIjK9PG hZTnV6Wbh+heW3iD6MhhmPxC3a+3h3Xr7G6V/gV+8tP3qbjwLdyiI3Y3Tl9GXzeddtSNdvaD6764 1AS1CtRtG1cyGvfnXyGxmyDzJ91rqIOqSJbBOVjL0a+NolFyEU0BYVthKlZ39r7JI1kVtcM5XAND LnFrRp5p6iEzVZDFdricPTs3V2FwNDnZSvZ0QADHlENUl1ofRaFRtXOEIahTDRwJJzBMRTba/K/s 3AtKBuzpWzTyvSqo+1PWwgrrClt60fAvHko0Yg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12608) `protect data_block 5fO2PrNmk6DUgNWBFaEZEwdADkRrb13p36yXhbMQkS8YOLN30xaqL+Du5W7ZZTqKopQ6y3mkdnFA MMtYyw4viV7uGqgytEQQizhAhaf+PykQ7hkf/9hnoO1ykkN6XcwFqVXRzfWkuX+18zHcuDYsdHt4 6MR8uDqPQ7Xb1g+wRy7V8HfBE3E8F4PqqpwSFNTBQtzJe9oOwjTBtWl/LvfdymEJgvtqHhzEXvuu brW+IgNzAukQtn2QwJCgBG5n7M2r8Wbw9CoLZlUvKXZujMRLN5LGh601f6ZJzYis0WHK7Bnq9cqK dmkJnZjnPtFzqelobqNMoZABA0yxA+jb4we95c4WfSxcygv+8Lg70/Copt754+3/v3bHE9WMaJx4 o+I2TDl5laqhvojrdOaLURhUid1z7IXOITs6IQG1ghaaQUcXc3ZyoI/pyUpwX5NOSF74EBdPZksV FKRxDsU5mbDWqV4AIB/cWSntq63rsDwXkk+323z9YBF8SGxf5AIfR2J3ekoSV1xU0ELhmsnO16MD xRH2RmmZfurqPn171ddeT+iaX21PO1ZcMVs2ZiIUCTLKQJzqQMSTf2MQ2w3TP4YRRqUYKTKeAw6R vomy4GQ/JZ7A1IWt76Cvoit+ZWO59WYZ4iPWxfPYCXL81+YLLZCZVp2/7Ew5u/1YnYaEHnnhauOz E8GsqTEPYzfrg6oA6SGylqppd5uIwvgpO51J1RhJYDv0ZBq3NwNIR2E6cEKTtsTyqdzape4hAONT NHZTAR9jIuVvERiyB1ZoErbB2DRKeM+TNBLOzWmWDVcj1LprKG6CE1bclU2hY3UctlZSnrvfLkxs fxDIpShLVAcnm+jhf5sGxlf/nz9kGDHbh57NGWV1j0fMyxRv2nbz5lZefYu5/nO0PiHe7/h2RD3q T99goCC/QUrrWyBYh87cIdpRBBH+UV+TcXXNAeY3PkhDie4mH3Uucqjsyyzi2fSsz1quFwdhswUN Pj4+bart22H3n5uPKLORSXMkNEZ1EdSAzu2kovt5YJsr9hmgkgBR/myfN8FQlTcxp+z1fkk5dznG SqegJFWzrefR4AeKoBtGSfHIB8IrbsiUqdOfOu3iNuQI/DlsXe2y0ltWqZZnd5lDjK0s+jSv9uac 4tpKIg8NCR3sG82QWxgSKtzdA6wrrGpQhtTWSVjBOFjYxwDli7Tm9EaEpUv7GgGRqm7wyxNy0vVo m/rrk5ln2gG2T7su+6Cyge4lQzyYH7GrJAxjTwto/TSwTeQtIoqIu+TRTZ3MWEdQufcT6N4sYpUo rxq59JlSfenmEYYfEyv7C+H5E/0pkDYfsTOIRakQf5/uG1Sy1jBc1KFW0YHkxg4uIhiz55goPVra vLoJEThSE9NNs6t3FAKMbLV5L6Wm9DBJ+LdUDZ11RGLXscb+uZa/STyS+waBLHnauRtbNlKL7sp0 1rPCjqmBXjIH+MCC46OI46TWrFQKYJ95Pe7lJnLJU0yiifKY/rBvRf0JGCQ4bugwDQL0Vi3O4wbt hHKzSL7kZet0YsrIvXVlmr6CrpSqwSPb9Eul6SYjE8eyQ9h6S/RLj9IJjcrKRHHBPW9XjtKT3Jvm DTg1BbktNHNiOUGVQZAjSZeaER12HaMKTF6XUeBX15fX9MExZiOplL+9kuKoaM9ymgITG5+ELugA LlQAGWaJBuQWNcugGTdKorJcSdrcqmb8/PuHFY6zzMXlZzj2K2Hwtg3dPN1o+Ao7DF6I2HCllOV2 80WtLZvSCgVi5WW0H+C7QLgk0SIx7BGFmvv+s1z1Y4WA3sG8hi9Sa7Q+kXcHKrbUrHjAFL/NxeeC IXI4YP5CveYd+Xz0JopwTvH2NvOwKc+6QB++SV0PzAjLEVRPg2lcUbKZTsGbk+EWehJ8C+ANiZdh /+RkSJnXnUoZuhHyL369kIzOBBaOcGcN8H1jrq4gg1oyLTsmHbiGODyWTeUfVXo3jvRKDKeO7u9c Q9eqm2YTo9kuVdVrqSOXujb+SUaT06h6FlXGd+KCxPJWUz9LYYheyEf6xVbF8rMZgnt2x/nCml6V nh+1BWBKXBFLqiyY8jFlarY4SD61RgrND+j4KZBVrEISZ2FxZAJ1yXMzYek62fst6amq+e7+2a45 at+UuRiBkMJcysEvmNmmbShI9ALmECXrlYi+jQmrxpFDlsE3hz5Qem/LF7MNbExfGJOSWPFnjqA3 42G79NcGS91jojpH7exT7AKO1fmRlFiVHSW861EUqlYSjnpPWM9BfDfHFCiSrkgo8gpoAcEVoheZ VGaOUBSg+vlsX/V5LzSRyOUlWpgJ8qJT0AZKQjGAnbkFBcLwQlEMjoLdWBTToj409DMaNopCJFND iIYdsJDpDih2Pxo8n2k9SXS6CxAQnNF0IwaRwgT85FCpkeWDKVZmahqLFXcb0VViScto5OLy3FYS wITlxAxkLiVw0fGJNndevGiZGw5EOh6/bDhlesI/OAP1i8yPRtKn8l8LwWmj+aWZmKVGqsFvoERI oP4woF9xMZSRwnVhV/LEmujI3VXwFjLHBsB7xcxFDOs9NlB2YPmsRzEabMOldlXSgE348ZIAS4iw 6QFcT3BS9V0Dq3zbYcx8gpm2K0gfRtowfhwlYDmugyPBWXKIAwiQUgTGxYCBk3AWhJwmR/wCvHd6 q1n35nLYHNUfcgFpPGezD1K24vLkHu4M09HojVvfnt284STcakZqV/aKBZ2lbX6jo1JhGBpDvoxP dFHtjjaoczCOnbRgcqxVFT12I86L+dadNSOOIcYe8S2NskYJEH3969YsAz1HT1xkErISmuGxhGz/ 6DBcKMn9vgF/dXJfn+YuNlvqSiONM40LEf8I67ZrlQbek0pFyOaTjzv2fgmUsOHdTdJ1MS6dUbqb FSWJIin1fBFhpRKxs0pdNmnyjwSsXjx7H8CLUP0+m/4gQAiH4MeRtTH0ArcEniIAES2b9RnALau2 ri1Dm40Vl8ks+GWIWz7y+HzVXs6f6pfD+9b15GFI/SjCVgCan3JMW1UCo3EVMMKMAH0sp/Sf7LcG JOcllQrL4qSWqa+VirFPTLUZchHTUihpEGp0RdFmR6fXGwRBW5b+Sn5FWjWBsxCmR0aLuITMwCGi uKNE/dunvpkdV0ZshLOJnxxbT5M/rB7BQ8ncWOCfluOqjgeEh7OzPv7/xMyCatsErntfDhibrJmY g2yvdDimFylMfpUlAxjx95d/PweAy0a7Xm7sI3TATeC/pJhEFowGGDd3fTyPl9u8Hos3sJykvYsu 9wstZtiC0wXBqWIIEWPEb3i81WRktS0xCdwUXW2BXzlhJb25BocZiBb6yjh7bSPu1cau4j8ZZVs2 Saa7m9HMTtNRy6sOSdGPiLL7kNR79ny0sylkb4kCG225RYUSdj42W4eiHY5i8E2Zky2TwSqt3SSi Gfp6QCbOFNgRhXpG5CzvznAf1Ai7nxsfe/TyNXoXUzRzK8jJ2PLGu5+s/brMgFXVI0qO95SEAM2W srYsUeO/1NSple0XfxOmNn91hk7IXEos/N7LWaqHWF6IsAByf5S9dCH6b22e8/1LTgVZfZnbkqwn 3mRlxQszif5j7b3kgBra7hkTxIL4DymX/37s+98wS3eAOgSYN3qYSYaykXU/EHqu+D6gdGsFC4cc 61O9gQIEoV0PMGt/oO5iIXyTfL8N+/zqNuVnqp/PaVq+zUtUks5drmIUe0t2xZWJCeKw5wKeqWvv iEun2p0rrP5lQG7+9pJJsr4kJonimxnfa6SpvM/8Lib5nl2xHhQLKdezs3UtZEwzhK2SxSacVSuX 4F+awIHYUMV7W6Q8aaMTWIPcqdkMdNcGznqb773CO4c9oj+EsnrcFCDfGYttb77pOzGuTnE1DcBj +C05OZmX+5BNLlWl3XlChqO48TNZYaRSqYhO6VbI23gb4orCUIaD9UcjhWOtyXX3Pq/IgcmxzG+b SiDNcB4I4dT9itkLTDDQufC326lrIHTrmsC0LOSDrfB+KDgalphTIPtStuhkhDyNF+lJtRI/F+3z e6e3VR/1dJozDnvKepRSqNFj83bSSlXwBzjjfF25H6bI61FZDkoHGiZj0K3LY9rKYCYcapIEPsb7 fR9p6CsxkDGcSmxbSNAH47pHQG7I1hqeKpolLzovuAHA4N+RZeHPLaL0s+lOejEDzf/AE/xDHFaq tSfQ8CWKg65LLQYfJqhNmRQOUF2RF+h1+lW0g73Lbdk/ylqzq6HRD8AE035Ql2DKFOZHZ5Cur61x m+L39c/O+tSOEjQDtOock1jRoOJteJPvn4JjN051NvWcIKf16Gvp5D57JMKZNM/01lXRo5CrDF6P 3esBFOi0P8eWRp3pHnmrkJl+AHfIcdAOoLMSReARtZE94CEEy+r36e44OY/mnqAmKXLYATUOpRn5 fSxa6OHr1iqP3TETIBwHjRdv8/YGK45hUIKGEGvRd8l0/iSwkwJzSSP04jfsGBoCCre8WFMT60oI 8rkxkxudoLP9LKUGRJGVwM8sgareov3gnijKTtvStbts4CC4sUoFEOKy44Fyv7MLj59cUvuAlo8X vyHdtFyfAUYfHynAPc/v6HVW1dmeyMlGakwYmxC9i/gqAyyaMH7yebAtDgnLzLyVXu+OR7huQ9JK Zyyn58QXIeV2fxOnluHP7A4m9w1F42xOvRq1Vk9CARcPoQhiv9AHjilBKrDVl6KflgE9kY/l+hIc DIHojNDfRlJz8OGHN9TjV6v02uVeTiRrrR7f4eeyUY5gfvCPQ5GwVIGnV3eP8gI22SL50JGfw8EH M5C8/0DTzWPV3wL22GGSeO0lqonXM7LBI27OutiYktcm2LzR6tBnowC8eWKQHgHGF/t/s3jof+8m FJrhsefpj8LukFeE0X/CpWUWXcKpgxhq9DSR12DPLPDNwhdfKTgCnOLXyr6JI/p+rNX9H5uHuRYj pgKnYOHF8SCnBbpyTuVjb/HVGbQb3zrU4o17Yn57pwVlfnZ4hpoZt/e91FkIh/aexvXPjT4MsJnl AsBzCZhByXepim8JwfhVT7UH93538ECvaZGBR7UsYkJmt+ETqyY8+/8xQOfMsJEpIPBdQJKpiS7e 28lhVyZQUYjnuGKTZZjSX2b0Pg9fMA/nFr1DqLNlYJ9gXm8X+ikNfcNdBJSfBJHYVGlBdViSRyLu oJ5eQGAANpC84jU/V9rITmwEQGRZ1rSsqhv3PlUePPuexUEEPyr3iVZn/L3O+pbCW4srvbYl/YHG Mpjn5zRFZcbA9DtbP4WvlkPpUVBSAIYcKsHwW+TuF0JlOVN65nlvwn6bfNUUUJzlk/TnNBDFRrPo Rz6yKR9PiWLCTXCXffkBX1XLEAh1FanbRRVAvwgOZTA7PFpzNPuxFchVyWLhl/yBkfUjjJb8YzJz K73U+Qch4zM+W1nqs0q0689CU0JfD2Y2He5Gr7cJoigDHbVipugsY+vEqUopY+dptW4YncNZvu+1 NfAC2jDeB5FoOrwUB4ic5mNosoR2Sxn03csQkOZdJH+c2jkdyvmDMM9nqjXgr5/GUEtgrbOqFTfn hfjK19uAl9gmucHeyZlrHSgoIQGxsMsKnY+/cWvP5yton5/bj0ezim/uSdG3HHN/jiicwflCJ80m Tp0joxcYkkBs7tv4FEbhHo2ji9FWn+hia86yzJ35aeUHM2Vf822C5uAfEOy9vdOse+vMgj80JxQz tqHMVQuypGEMjSIntajlFoAhfpMYTLmZMKl+3NBmrNK8VoCpLVnqJrsJyVCVjRCnrW4vcO4BP5LH y1GPLGbTUB0qtKOWAp374Y53G3uDwrhIqvU3FAo4xJUtBiqX1TqnjRgwZ58vot/kLsRMMOStIatF MQuzASAikq7GKKZHAJTq+pBso0Or3/0CvXCSFBfNH7A84qC3CGZE+g+j7IDVkHZeBMmAJVXGBp8e uOiKWIJ6OUJKSaNoUyA/gK3WYGkk0dgcffM7egSE62F+ZYZ4l0tA/qHO1clfd4HWsyGkqWBmiZc8 uSwKcZstw/YSTJ7Gis6FQ68SIOjn6QdhlKYJWYaIWWxP2Z2R/diPC2tzdIgn8/hZ5vIUOnl+Gn19 /pbCAVqllczRomLfZmyrNjjgY0wsO5Crj25uqSyy8C77oVtCtn5tAYKfu+6NbjFzYq9OHkttPtKu ySCWsc4UxnoYmk4WWxVrvW1qypB2H+Xp8Fk/ZEA2pCviskImSheZ6ItBd0gkI0KL9aCrnGqF6nF0 7vlbMlDc6wlhHRykm+x6Sl+7qjLEKC6RZ/9s9n1cWcbjkE3so7r1jy5jjl6cK4QO2oHUU8aBZ/+W ZTkPKInn/g2VzXT8mHtodBga2VPW/o+SpoCAUdalfhibjH0+jklCMnur8/39TQdFI1Du8pKmBWwz 39FG6GQe5qZixaKx2eaozz7bSxXUqGC2TUQktVfw0fRoS5fsxwik+13W5v6aRCseZjHZgFtVuUjx rWAhTjUu/lLuKD+wBedO56CGv3z5lNFI/2b8IrH+Dm3VesfQ4EoH3P1ZwEVOL91XEXn2sFErQgau 9YS3VZXabapgyxp1ZSEsttyU2rF1gOQq17t4dsXPky6tigx2QhlI7TdDa4oTAvng/Ft9Rcq9Ybzr Bg3/rRA4YBE1Ezf6Y8NyN3Z0HWhh75R7CRWOVqB/wuvpvtObkJOJfbk5OuQdpgDTWL/KHvxg6J8e c/f1hIjzaNfhg6i7wsPknnbIrRUmdxUK6DJi6d44AO6sc70Gybf41iruCXeYGcksy3LglRBZqk1r aUohp7Dfm7VUJO1lrQ7rcdjQ98rE8KSNotz50jSqsSUhzdLzmjfd0CJhEq6zveQLdENbh89l7EuA L60fQC1Ges+u1IX8Suv0wMONy/5Dfzigt9E0URmJ1kJu7D4s/X52NBKyAR/5cskUJzFvY6T+/rYk Dlci24Yi8gYiP9TVlB7g8qxOq7HhEygShmCf73jQGS5kE63DhF/SGKfVZcyiGuzly24bGSbjfW7J AetCxZmIR61AI4tOlSkoi19aLKOV0KsQw8Zd8StIYv5M4opVzeLghPsGkvxvBUI0PKTrU10QpOJM nwZzhOc99kDTiv3sj5yAx5BYr14AMfOXTTweB8mPfYSo+yZ8KgOk4EBXCBGjU5tfUXwpf+afxo+X 8KmAuntrH1Lcmn5/+h8fCl//OLI40i1bXqlvqMKT/T6t0s9TNunPrNQEac859QhJ7HK3GYwxpaww iG7pndyS667+Gu9japTCfmIllpuFf9Vlb6AGeu7fS70TBSxoUdjpa6uGwyCb0EMUQclSomwwJrLm csfYXDbEtRtHeWub+dqDCUR7iiQpYCp6Ifh2lAj4cfP3uavVE7dUNvL2Pzdwz/cUg1pxVfkSFLTJ CJj1ajGldV+Pv2hdAEfmqidx340qCmrMduUdZylTQJJ17jqDzacfkOBZ97YtY9EgftK1hBzpZRMP pDUcRz5b+XBT+OKG7IXCrmOurjSesTT33WywPLD9jhZsIvI/Im2Ha9szFtpJyF1f43XyIpEhLZ2z eqix4zx3gSeMTr8PBztgIhj4pxfhA0TyNo6IRVS1f+6rdp6LXnNYrenyQQLyxrkXQ9xrGBFBCB/4 Asn/OgDUbrzqz6dg3Ui0RShztu/kqt4w6xpVa5j4sNpvgucmrNza6T/HyuHEPU0B9yJzplDvEoV2 yfDzi/rmRGwbBsxqGIS2O7wp4vbpgHT9EDSln5ejgEoI44iuLnwTLfMwhJJV989sPD0ZxKF6Ythy j3l4QzrgqShjGKaJYRdXbmeSfwuKoxw6FVBSB6873gchR0fxRTfu9ZR1rJSnmDKSXSugo0PThCv6 j8fucPTUvrO3mPe4Dh0WxZ2DkEriBNcKMYsCROa0/yFPWsKB6hPFvRqJAVhlKsyKg02sCcSZmoeP m85dekaTPy0dTmZZrHUDi8pxMnvCMweZsIm+1m+chQy7xpn+Xid8HQxJma4fmsPHhVhkzDfAbaIx zg9Umjpp3zHvaOaktM+NMYQu2fde6dYXdUxWoRVvqRccE99+6l+wA9eSvJ9lUBTHefbiwMi5GOkr DHhKAHOm+sCMxUoLwhcW5rUrBYgTxxZ0jK39Jhww12tGGSlUHu+nt7pLZb38nq+3B+43L01DzP5s JUnS6M/+C9/qQTITHtViSabjWgQmD02+XU04UFROWBJ+PB22QylYWbq4XCCl9cECXOcQtF9sOzjZ vpSoeXTIt1dwryXVJ6o5DW1CqPAvbETjoSY1a1kcxJj9ipFgzsCsSZDkCkus+JYC+VgZX6QJYLmO UP/kymmJ6VtZE8R1KrM4fMDsHnGm5mSyteI11smOI/htyo4Dp7Fyfqb0iAMIQnM73MVTb53O4Bx+ XpNQU/uYVwDWQszjm7avuLnQ+hphU1+ODVxzOkxrCNzuPBLALvc9eFUFheB6cphFQhKcbEqDC99c Yj3xgBzQ53xNAcsHa11iQNgaZFc9flxgd66A8kZtksJD29r4XeP3Q+EZhyNAx/NNFITGb9bjRhdO MJuNQfSxvSoFj+NrJrX3POpU6B5iaCVIXXl0otTLzUqBFB/xuAvVkTtC8EehBUUwS+Zr9t6Bh4yG nw0Em6CGCaFv4eUnGK1y0FlgZTOd1tqIw1lNnFv3K0fjAApShaYC0o65h+1ocdN9dbprb9Ex+2DS /cRziUVLlIpx3chP6vVc4Tnq+mWQJZXXG5wcAh2wBqD8/cC+eyiw1PYvB2C7d/XM0T64UTsA+HCK jggeYmgiKsPQujrWSWLxIKCoSYZdhUAo0d1S5Ftv/kWjuuJgaaGFcMMLAhvfOmhKLYqr2/ApP/P1 EAw3ljBk1kmbPo1a2yU7C0lEgfqA104lkK4Vh+Blo/eZS33+zdy+fyleazIAOkVM9HJvfMcBHWzj C+F/dvjV918QmJhByXuKml3d3eBEdpodMPYp5TmaU70wg7Hn7URb4SAYhoPUyUoEiZfXYs2IXxla h7Vshlr8x4zwD746BWAYc1gC0OskUYMqDajNJA1CoRSTWJDwnj/dY7Q0+33D6UjfeQ06qLR1Ld7k fH7DjIURGp6F3JNwtxTXH3fkspGbxo9Jma9u/Zh8SxRetwQVykOWUHeSWuDloyKQ860AWKu+ntrF TN7wj89nYoZF3p6H9RpH1CKYftt67vRAlhQPPuaS3X2u3+NYKHPVY5qKD62aRjyMcaICIEkZC4eC za5b/lJQrcK6r1530XrR5dr6YwtMeUuX2TArggVKKcNmUSybY5H9aLoORevcr0zhBmczV4xs6n2i dMbyEoazLV1cHV/4MqPY+mUZwpOpDq78GZ/woflf7CLizr1kExtAhsrnUpG9fdL7RoNqhPO4lWRo db6/WsOu0BA2GoY+spIA6DKGt4Kcge/8vXQh8K5X81KLa2pdEZMl0TEVYiviRv3fn9R+YDMdV+3y 3AwBKt0X3F3XNgelOacxDFX++19VPG6+HK6tYshKeuaEvTELsyguwiXAGnV+Qr87oQhui50e8szT RkMgoJdOscjTxFHeTObV6eiDigjW/rTpymfl5wEPvccSrgpFxwxquk4TJ36KBws3dfOu5xRymudL S4kK2Ac++xzg9aCQDeKc9ScLocR0QSVtntU8INTgVUvTq8FubVwphu9oVj9b7Le7KnB2w7iep1tP WknNzFtyIeKFP2CLmk2Oc0zkg1N943sh/dGZjujxE6amzXz6wps9Jvxe7i4Ld+cW28gCuTz0ix0w kAaxBDruWaBE/YH2nhwZMoudRqIHaLM4SR5fgkbDsfO3uaY80ppMuFO0JpQlp4aLDqKnw+25hwGI pM7dtyHlM96TZyIloJB+9XAEsavBRsIIDXkyyd5SkHDLse6iPv+hJ3Ji4HoB8rxG0ZPyLB3dm4Aa AgAT6PHdsjtNlZ2cHzr4insZBVUoDDQ8pRRqhmkSSKjZGQ/sFZHsVBTS3gxuSR9T9f5S8gC5sfNi btSM9SHXzi5Eg4gIyYwoy+n2TBzmt905GlAwRRWrdPC/VU3Wph3tezTQvcurhSzf2Teba77go+ko 8ZvB2qsT5YqChYzJSz4S3xQWYxCu6ZP9U0q/AzpAV9Kda4tEItURPWkw06dfexqaCkA37aF/jWIE 8cToCfQb7eJxIM+t/kzUT13gcoEltjRl5FZ4K3IBMggaRALtx1lSTzjKJdGk8bDW11H0Q2K9koc5 Po1ZkZZCEZHLrbvE4V0Z8z0TBWQGqhQU2F2no/RoGsocZ7BWOgxM47snMPZpEelnX8ZDh2fgVNOD reN27i1iWqhyd1/f8Ye1sIjuXsPh5AMgnzyfvv8uKae6G0qHM2dE+T+Rss0GfvsUD1oUPnKbaa8S nvPBOPaYTytU2XrucD496pTUUGm7qa0N4agMVVlFShlsSgvKPEt70KdoAUW9Kl0qCulg8YevYUkw WHaDCDa5/It1R8U5nOEocnwI3x1Cos5I43uYjH1oLvPWrLb6rtZtNkztT2sConWI92sgwHojzrz3 +/rL4vFjlGrWpB7HlcZl0foPV6Z6vYAtEVKtq57i0n5jJgc4S6GJ791Tzgl28ZTXU5g/AIX3TwW2 UObtu+n43Um4VpiHzxicI/X7TTKQvvVi4mTBENwSDW1Zl4uTRucD/Jhm/tL/U1T3orOpsmifmGr4 MqoLe6oWeXvbaqJbmktoH0693ZssagDrf1r+8rYovQ4IpAen65xKVNgbovSv5XEhcRy7rtMRphEp JiEe70o2DwM8ewS7N9uYOxhPXAfViJvWiU2UhH23IEKAZE9p6PuL8bUBieUJLvp0gSwIxVcV4me4 6ZxFvR/2CrGSZXJoBjrbErwdKPvuDoiylx1lkBLvrsD4ZTSdtZu8zkqxprrDsd2QwYBHYUcvR3EP bDkXZWd/UH5LAYP3f+SpPfiUWOeVq4I62TfLGTm50JatA3s29/ADGdGEJM6LMn+5ODH8HbgUn3ci YB6Wi7++i4FiRFbj8SFbTQqKwsscQp5BNFLAUuesehM25F/x2PqraE2ZHBs9I+l5QMYphMcWzWjY hl4R9cCKXcbzik7D4jbC7PCtLEFBGHUij2Chdq7FAmIcTcJWJVMLMQJLn6DbmFBf5mTUm3C0BwaN QyeiZvyL71/xdWrE3DfCE7iymi/se0gn2TFSwFLuW2oIuZZHprhfZesE16BYmFPuSKC5G78356Km Z5/nCVkTwW0OpMLUS+jwINkeqVy1rVKGWBqwwpPVSxo3F1zFWgiXzxhiVaTWEHRuuXAscbd6HYH5 9z83zoq1FQBByKoSbk15DFXoJUfmMp2y0rC6ZmE0U+1QdGwhCHbC6pgJn507gQhb/EGisIx7mYce VDrv2MGcuDWWoSFrEVNHSlE+jLF5xnBYFJ64Hf+x/Is74j0NP31dk5ZpZcVDZcxgJc2f8Qz9h6PD o28PVnjB6A+IMfdgzerMpBlS6Ic/AdnLmTTOnCTv+4JyeGGW/G8AVtPDVrmCG4NdC2790+Cf40vj xppbt3+s0l9O8LPUv6zaW6RU7yAEHuzle3BPyAUk/+dYniDoDTfx+MvIrmoc5eQy4VRLRkRKiH7V MCYoPF+EePrJCf3m+JhU05F1Uje4i69tjbUD/mb9EeTGaEnkeU7SNHdVEHpir8FVt/l9aRaX6uVb wKQkIBQ1mLyuEkIVae5rdUoo50HI1vAY5Dqiwv4eJrBUWW1eqDc9hlo6X6u4rBSELaVGD4VZYsUE yFz2BqRHehVM3LeupIKA0waKR1I7nRaokEKhn9GtovIMfmTnBwrv/oq6dfsDmRjeyplSZwuD9zHz l0pjgT70pk2MfA5xuheJT9OjyPA+SMXb4XBLW3FB5C2kz3ddIe0UIwIDMPifsLCKhKSdCTi48hgy hssvaVO/tJvbVbPKGzy5czxLgCA1vDWXSuGzVuPw+0/khqHLcLE0fEN9C0h2pfAMwcoTU9lcsb5+ ejKF5kPsfArwy06hIG6hNGVKib3DIifMn9bVjAZ6yI6AIkcEYk2VmCh+aF8OiQICAHv+yoV3EF2q mlGKEFnjNxW+lcP/dluRP3LWg2Fdxayo9K3Kd7C7DSR5OEj2gLARcVWdO9Kah0CUq5Zvdo87Hllk uJm4MdcugUlJqtRZC8MIgZ7nh7ZQeA88A1PQgUO8z6akWTpTD4tKYMdIve12/rbNzvDqRvNdQjj2 vW4P0XRt6B6O10ccchgACd9hY80B5UheMFhV8bBJVUp7HhGHHklMvPhSJ414R1XS6CK4VOyoXosJ c74wSUXmAg31KXn8GRhJtZVVnPq5q8aQZqJuH8MqD1AgReu/BRlNzaXOXe0WKOk0DcGjZV3BI/a+ 5vt0qfqKe4aZvxL04/ZXrORdORAk7MFibjp/xIKORNLSrnvLtWQ1rPdUkF/28K5Anc4K4N2Ezs+/ 9WCb2R/FtEkSaZ0Gl27z5nmx+sSiwfnHxHUXe3Z3tML1zb8dBgVBN+7i61pvN9N3hBW/ek0CeMZK Kd7LtGS42816O77M3tvzme69AwAAOnhlQcf1gMutPxVCvIvjbJeJt4u1lFKIkWW5cZHgCOna46+C ZMtTERn5YGOfoZouNwpln1nWFzGsQluQ5Gs9yVLfSL9OnqSraRVS5Bh3j6merrsUGy+Th+J+aXPc 6QFFydfTI32EQWfPHBMaqY8qlD2zeVVJ/Vf7vBtesUpw9PLTRXRPYcnEMmN9wgS3VnFXanf5VsF1 P2MwI5wjv1oQJiZU5P+H5g7WaP2VeTuSlyJD1Mpp4t7KVYKuMXwv72627Hgoupwota5PTxyO6i29 dgSTfB2AyAJHfQx4iTjEQ7JJWWbaZHLeWzcCOBIY/OYheGjU0ERfMIACh4LaYbuPcyVhA4msr5NW gJI9NZkDyppCPSn4iADsrcIxsk35OJdJyJjn/Ggfx5cPFrJ7n7oKk9FPMyXagVl0Q0ZWbslEjKpA NkSjuFTEh3rtcuYyH25Suej4+/zg7mLxtkqVaOCuCQiiKLp/dEf5B3aEjOvPqP3F0o5nE+DP47K2 Jozt9dgcH/ArWey0utxbDAlSzG0TyIqt7ASWlAz3Im3heknmgGaPhda6b9FgjMq1zxVZHilpLQzT pv37pyaLwE1ocPgHIYKxczPOb4HNNo0onOEGFNmNkx6RmE8u6qpihLPLmduSShzwLPetepyhHMTn Vd2KKifkRqpT4lY/ZVEhbNK+hcKzwBhQpTMvd1acSVFTX88XDrtnWxM9IXlb89B41jI3ZpSvYeab rMvD8WdaZYqkzTU7sfJ3IdPMVnXDeXhr+vlPcojFjOe7sTMz2UrLFvrefPJResonx+ZmdSEvYkRs Onjs/aJQIsy9bw47TfJWn3I1sa8yg1L/KkZJE2dIEsjmek0Up0ym4o6K+KcDHV8LNuQxY2X0XBX8 1WoNb3tLVyfRgY++QmSFX+IomM5sx7vJ3GK7p2Tm0NBpCXOJRzqQykScJJthb/TzkXyG3ymQXGny 2N6FXnsJSj06eIsEN0HVf/0+wv8mcZ5GMPBE3DYg9qEVD8hrg4IkRhWY7/7+46lFDLsZIDEMDSYs 0dSffHQiS2mBNw4eNu+ievzLQ882FZGGWImNKgr2BQN3al9aoUoz9FY8u8Dc9B9s/p2zp1AZKD6G Q9taTSEWv9MwpEFzzTCA6/E/o8EXzBheHDJ6KnZ+RY9mluP0+phE1T9k56q66exmUWN/xpic0R/z m/R4T8S4wdIE4AaCXElZbL3JYMWyQDca5KjZuu62D6EK14Gtt6FXg2EzeAvPvYYEjDLpqAp/njS9 OtpcyZNQfkBbfWeFmchTNAANh5lVag7oL1fqJ0/9WYREIYyBqMrUTQDc1N5mYpOtoEeEHK8JzKLu Sv4aqtekYGtLoke/hLHZn5srVUwqQMuje7GGTUjDvLWTZM1kLTlEcAfO74hUCmOZ1ch1YIfqAQZv 9FQaZosHQpJGv1x8AoOKt3kcz9hyjDDm4DEAud8Hi1OV7TVnRHZsAJGvI4RKgJtzj8q4xIjcphN6 z214eAo2egvvRtiMTcsDHwkA55u9d7lm2ImUOdaeX3mgxKxMsOp0jlYgcKx8FijParDzDZt79f12 EzoxIK2WRYiQEwrU6k7mUwn0u4gL+aiN6Nvd1NNjEiAaqsF0W+gkTvFgw1Ly871Y2RgxbMxJJPL+ e8BOT2OiPh9CmFB7HuVXk8J/OPkTaFvZDMac5CmX67AvDmjaQzigXy4CDnzklfDUgxiARTDkf5B6 Ep967BNVxv78TX2UkbZlKVnmQWM685pM6+M6enQhubiZhzVret6wTiMgjAhC3gcSBZOof8ZmuUnh quhlD3DzilcLi5yIHbZOKNpIDgroBKCEl1P5WJXwKbaBk1uv7Om/LNy5N1JTg0b0JA5vtQULU/zK DMcfD0SZMEImwX+vvZZeWxtiTRLkIbooFV32MC3n9IAU6/8rDCXBQR2oJTBYWZ5Jh2bvayQMxuEr KGMIoc3ys8ldBvTaTR6lcIgaTugICn6f6k55eAAewWN9qWThPrjc4DNRleK38ILcBvi+JOPcMkF0 Kldi4WSj4AG/B3br8DJXzS/T1AGoqHGHu72vvwUnFgh4b/EJHxz/c0KCSS8uTswu2rgOfPE6p1Fg 7CfIbCnXVY1aKe1p+pzwAjyJg173YOcK13TPtkDKJAPNMXsbgF185F5Kd0i2qxA9KNYRJTCQQioW C73IIZ7GrMbFPrE+FbBvkAF/WW8SRTJV/WSdj2jarGrCyUImygVeVCw35GvnNMte+CXBttSPAN+y E2WPq4Oni+MbVdDcoDIDLvxysIdD+p6MpRznaJMeh/33S6+yWRm44mk0m4bcba+I0S8jx127N1lN cdvWl3iaFdlBXaWa8ajl2sLiOAegJ13l4TqZPT9Ru04tCNLuNen62GSf/KGo8Ud7rhdaBbnWQyaP Gp7KJc30aYA2ALgg1WepnBVgru+C1/6oXZ7GBLUqdcQp8gVg1YF87mCQCZ1jGwLWsFUvzVuqc8Xw epGCXe6k0HyflmGkCsm3QUMxVIAAEpkzVhrViBXWKRVLdONrm7o3Vvl3ZslIqbOZv1OB0l7WyvEU 7qTuGKZiV///NVDymfiE76vq7JDpyJoE+KRA8JVJWm7TkN8QL3d8M1MSgJRW6EuYeYadSRVkLfzo qRhzI3UOVhLaPmAKwCsqhnw7rRJwdmpeCVGE5J2GUpIvgh4dYP67b7NAf6p6ZuS/JZ5erJ+4fsgf 17bnHdBXpf7vCP/MZ4cHBWA1Tpso11/dHiJGTijO/6txhFAdm4YpmgJ52SOiBl1HnpUuWnCHx+EC RuqSfn4ikoBsXmPghLRLKH6aUHnydcWzkYc8CU0vxkFEpeAbymRdZyS5YLE1dGa9zKLdB8CkJta+ YX+S2UdfIGSQsHo6KTALfDCngHajCTKwfNbRWiIXCxRmiaVlm971Fzs+q8dHM4AubzGI0wHSHDu1 Qe2dF9FCVkxxzr1i8rTWo+/uN0RkWl2T3DWW0QIE+O+wqcrBRYjXI/Dixgt6rgy73m5lkVRsJCAP Diy6IpB6I+RUX7oUUcty77SCKYQ24wsxcSkGv9ans5cIZYjcFz1VToADzs1zwqSjq/LcS7ltJm2y zTKRuwJZ5/g0mExv973GGahXzka3P54o6JVi3wPee8dE3XTf+S7h9Bhyh8Gpm3kGZv3XFcIJw7Bz Xsz9Q6s3EfDTdGNxVYdIuiGM7CDVXNN0BJb+u+bOfjnHZN+YsXaJT94WOreGVgNgWNAsY94hJMkM 5wPT0mTY+ziQhRg4uvTDGtj00wT8ktEZe6Vswmli3aD2yGesAi/mQDexoZZ2y1pHtE/3m0fGAFkq +M3DSVWcJBtCzd5C38/q32p3evG1ISOqgyyAeUpvhwetl6Srg5sLrQWfENYoAuPmMPjSCHnFjnKV EFMv1MfduEOxOQrGERCQKQ9N7Nx19zUOZ+uxAh65+8xa6Bl/CnHFbg54vbs6TvkX6ZK0caJONj2n 2XA4PbWDggtdOIAfjxwGG/yezu40Ypr4+JRxc9fqY28/AK0xGPv1iMTM1z3NBacCddjoVN86ku3C 11870p2RFJn55QSXshGOc9f+ohC83nYRgaAL/hM9YZ/mxSqL8+7OH92d9S9hxddS2aZNxJNAld6e fImovMseid+4TsJxSnCe2fsWbXa3ODzBDYAtMmWsc2VMJG529QjhcRNrWoPQrczjNkeCAadpatac aJmqtwPQjroEQvAxb1MnheIGFQ5iGAy6U/49JhP7P1Vbz6R9uAijty0j9/IrX1Ioj31Xy4eyO4Nt aLiyLtkpq9cgeHKiXyeFWVYis7uiY3cre74dvwrWrjl7ZtAAa69T1w0AVvPMNL4eGIjK0ZT+v6Hc 9/AcKyeoO5g8EUEGm9tdeImTARPSNxp7T5gUkJ3IciqOMFBCd1WBKvyfBaxl5AukgTHCC4xlwd3H z/M+tdf4fky0pFbrvdDkAmSSTU5P5PQ4Jl62ArXaViT5wD85ks/99+0V9e9Hp92+XmCcn0tbKndu GDE6ZUhCDiiZ7vZHAfHt5IFL1TnTKVFMmwCwvG4f0eE0zJsGIuuGmYFNW5HpnCIrvlkzKn5nsa9q +qXu24yzr05elNBy5poAmPAhE0vIrzb2ma5/ZNXqh/fd+qoiQ8NYcEjYjZP9cVw8/AoK4alXEfDp 6maLryhr4iutgUlLSTS3TN0YdBnaBeI1NZjdc6Fh6PDkUBDcIqV0JufbvKHkPh8LWvkhj7toPOPn bXUNzD/vKUfMaADP0wh7PDx1gVx56oLZIllCnywfdO+FjLtzN/4oCACGg3JrG/ZAiELgMuSfwlhH zLfX6d1S0C6p2JA= `protect end_protected
mit
djmatt/VHDL-Lib
VHDL/FIR_Filter/sparse_fir_filter.vhd
1
4158
-------------------------------------------------------------------------------------------------- -- Sparse FIR Filter -------------------------------------------------------------------------------------------------- -- Matthew Dallmeyer - [email protected] -------------------------------------------------------------------------------------------------- -- PACKAGE -------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library work; use work.dsp_pkg.all; package sparse_fir_filter_pkg is --FIR filter component declaration component sparse_fir_filter is generic( h : coefficient_array); port( clk : in std_logic; rst : in std_logic; x : in sig; y : out fir_sig); end component; end package; -------------------------------------------------------------------------------------------------- -- ENTITY -------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.dsp_pkg.all; use work.sparse_fir_tap_pkg.all; use work.fir_tap_pkg.all; entity sparse_fir_filter is generic( h : coefficient_array); port( clk : in std_logic; rst : in std_logic; x : in sig; y : out fir_sig); end sparse_fir_filter; -------------------------------------------------------------------------------------------------- -- ARCHITECTURE -------------------------------------------------------------------------------------------------- architecture behave of sparse_fir_filter is signal x_chain : sig_array(h'range) := (others => (others => '0')); signal running_sum : fir_sig_array(h'range) := (others => (others => '0')); begin filter_loop : for tap in h'low to h'high generate begin if_sparse_tap_gen : if h(tap) = ZERO_COEF generate sparse_head_tap_gen : if tap = h'low generate sparse_head_tap : sparse_fir_tap port map(clk => clk, rst => rst, sig_in => x, sig_out => x_chain(tap), sum_in => (others => '0'), sum_out => running_sum(tap)); end generate; --if head tap sparse_tail_taps_gen : if tap /= h'low generate sparse_tail_tap : sparse_fir_tap port map(clk => clk, rst => rst, sig_in => x_chain(tap-1), sig_out => x_chain(tap), sum_in => running_sum(tap-1), sum_out => running_sum(tap)); end generate; --if tail taps end generate; if_normal_tap_gen : if h(tap) /= ZERO_COEF generate head_tap_gen : if tap = h'low generate head_tap : fir_tap port map(clk => clk, rst => rst, coef => h(tap), sig_in => x, sig_out => x_chain(tap), sum_in => (others => '0'), sum_out => running_sum(tap)); end generate; --if head tap tail_taps_gen : if tap /= h'low generate tail_tap : fir_tap port map(clk => clk, rst => rst, coef => h(tap), sig_in => x_chain(tap-1), sig_out => x_chain(tap), sum_in => running_sum(tap-1), sum_out => running_sum(tap)); end generate; --if tail taps end generate; end generate; --output end of the running sum y <= running_sum(h'high); end behave;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/common/wr_pf_as.vhd
19
27402
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block e5HXux03McEJscFg80ZeuZznrIJptNO1SFQrz1pWkRP7P3QoqpS2mJZRj5k487CXMg1LSvaDqmT2 OL7PFCCTiQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hgCd2yd1Ey3kW4Xi8EYui71ziVJlfu+yPA/iSZYYtw01d1xCQQbb29qdxk14t+CL2ulbT/AG/Tph KVRTNfPiGK79TWiKACghNYtvZsEbOSiWp2tzfhZzsTJKt6Q/Tnk5KS0q9lShCg5S46ZxNmKbnoII YTwtWH6VQAWKrWw0gQI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tPm67AAwZoJgqE6aGdH3UBgFSYY0hEjWFTT4t/9DwITm8ODgcytWQbTKxugKHOWkwgxnsfouuhwt QO5L1ilTy6LqSek7CTlbPwPy4k6tJZltW8YhAKZe6X8IJvIcPyG5jVx+6vlxM+WibCk/roITcPkm 9mxr1ZYPG61/YergLsZha0lMNqW4wq3ID24jQg1utjPuifsU4f5hPPbAaCmkiuYhwkMNuj6VHmIU m/hi3cIAvUetwb+LazrLlZHRjTpygeOmt1PlMgoOOBXow6h7AJvjUUWQmikWL+0eXLxGX1SKnX5+ Op5qf6RZYmh6jR7nN97PHzmxB7CCeLZXWlS7Bw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block as6iakL3FcmLsNV7kgkV+92olQIBIL1+cbziWnl5Jjo3DH55nMZNZI73AcIS3DfwFYnxJCqB2SLa SuhR2kAcUXkLjAVN6C44hN7PokTEYbZ0O/DrWDwmWxnool0q47JMJkAhu6l9w278iR2KPAv+EoYt +JQKH1y1F/+RNrZ1eYU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BFKuZqEfqjecGcxpRGmpCDvmWO5m86XHlx1Avi4sYpYvtXIvQdg65YGdV1jpIV3rjwKZHTLGWY/h WohbbV2nhc+5Ruu6dAeqtH04PeCXz8zphv8vhckLjpwnJT0GWHiaXAcncvq/6wuXR25ASAvhi3Ai lvDf+vNs8eunn+yE9uSpqndZXDEQrdOREqbbPaHrHScG2A0wHmKCr+QTb2IHKcEfLgWtjt/VCXIv 5krerkdmS143EXlDVZB7mfDSlR6bwswWViVYnH2kDpeepoBCAgyzi+PoFfcxhkn8DGVtdsW89QDd rLaMLCCjYMVnBfrYxBWw0Bz0mfZcivLyxd+wbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18544) `protect data_block YipYQho3Y0zdOvNcJ1BUuYyd5pc0Rh/syRh+gLy6hm+lyA54M5wSQ2hPfD8TI9EKi9VNSHv+4JyP tJjp0+P50R7zZOuQqstMvoegiySWsl+FuL5rMO0HmeaDdAlO869bnln4ebhY3LgErTtdz79H2Tjw 8Y+r0jP4ZeQfbBolBNj8K4avqBB6MJpeTgp6c53IZBHu70hP7EAzr9EL6SvxFTIoOzX3u0JAgtBu 7nxR7lavgwwo3EcpUzAj4mH3uoHpnLbLpREI8QpNoCrST0nHzoIeGv2oTeFFzS8gG853y1QLdrix lf0j1pCZ2imma66UmxY7Hsi62ZtasofXZqumk0nhKeHtRugZScgbhWfKqk7Aqvrn7+7yba+mDY0h 8x5R9rAUnJh4He0UpHMPCDdTqv6QMDGzsQ0I1WBNhXVpVftUtptlNJSQHF/AsQI0dufguRoPw3mj Ai/mkVlLS1T2OTFbyw6Lc+8h92jbacosHKGsC7wC4vnxmuNnBOaYTs8yO5IzxgPz28lcYBiBMADm DJGqcrrXGhbt4opJQoo1JXSCYL/PMfPWqxcQt2XqxBvLiHTo/pi/lql9bhYQ6xuatBmkVWlrTdlR BYGZCatUQc+uQqfJx+kRUTLB2dR+472N+ZrKxRYX4tGZyTU7jKXgk4FWyGsnlmNpF6ew+1Z3ko1R ZuGl4+dPZrBoyABi1NUya1QT1uz7uDyCS8ijzKv185MPXjXOqrmTt/1sDmHPSpVI4G0YZugEPkGX s+ku/uOBEAurExJOuWFW/J0AmR3gbnx48RTJt/K0p0wk/XcF2vUzhSbut/a6RcQ9Ex6nVTYcBGrE FJ7op4v2ZUnKXv+D+Cx7HkjHcvpzO7VHPn93zFaBzdErm+2EFrG7KaFjLo8rC6EJEzFH+iudzjJF pRk3WKOjYFPxXbkk3YT1OJIZWUKXGS7SVIKtjK8eY2e3Gc+uHCEs7x7yOGjAqXppk8sF9oYywMZA D7VrQifsv6DQpnHT2cXEi9YMlo1XXtHOZXRwqvioW4M8F9lTWAwDjeetv8HV5XJX58XpN/Ls8u4d dOxyce8aAAncZCceLCCfpTnGaEah2RllP/H+43vNi4OO7lVy/QFsCOPwcCpcAUdG8hc2C9m01XDu 1Kr0Qi2FqO1/mLavIYuk6nPCj7VfUqmg7K+cPcflRvL8JJqFUt4l46MShBHjbSt49d/RsmtJxHsQ MPmBDpRRKwbnsu1YyGzu/Gxck24RFWcGYm0D3K/t495YQLrcPwOpzuAT3A3POKhw5q4fh4ov1XLT 04GQHHB8ZPrXHD2xbreo5FYp54V0QUzAgxnp6JPPNphxaiXlZwfc1tER/znJ6m7jMDyJBKHxieAA i39OjmIQ1IxTysJjch7DoPUn2fghM8pfMcVc64u7eC6umqCkn83tk782L0wI1S+/55N0P1wBsaxl yR1Sa8mbi4RM2zku/6iqSiZVCj96uER6Rv5JqE9xKevXGACOkV1ShHKJLFjHmuge/gNj3Ur8aGn/ 4Kr30cfo2cnYTEPOJMCgBVqAVKzPRs/hPD7H+MrHDPw2n94bU9k2y4hmX0yP06HPZ6U4tAd45BCu plrTbh5lWrxMxoPbSgclb9IVTath03jcwzlquPed3H0naZ5HaywBrl7uA5eZj0V7yiVGtR7gQY9O WXNQR9+jZIo0nMzNXmKoPHFH8OA064xAanN5i+smasVuRINJziSU5c9y4QeAsFVivqD0yF6aZxOI Y3pmTxfvCAznzK3lD8Xx0aDzYOLrk/1c075I2til4x4Vxfrcw17/5d74ifSRmlpOystpgl6HB31z dNNSIUkInsYnhOFT0HL/74/T0okFIkO6RTz7W9eVIixTnn1hvCAd4GAU4xx9zKOYT5YDvIC+XPhD 4iLLiKmqwsm+ga+QqLOl0PdSKzz8NntBuB/64g0K6FgwAUm4v4b1IJSuIUwDluB7pfQnfwzod+f+ cywMMbKWIommxQEQg0wHHC4ZJGmXIHmaq5F0VG2XCiI/iok4H33QPQbsOIF9r4107RC/8AmjwSek FstqPwcnlboDbXPBSGzq4oP0oiUdQesaWyT3GT91q8PBhnSr5NDbGmh7SM3/8MC9hDm7sN0oL/+5 JoytF91n/bs2NpEae7TT5qNkLi6P/XPzZ9tK9aNKXPhMjifEVQupsdgB5X/44rbwd1RZeKnLSeZ/ RY17yREpwDwFX+Cc+V9Mqlf5dZJ52dUSAtT6enchAR9Z6ylkfJt6lCgaB3synvNTaGsQxlVaYm5e wpHYKWtBMOtL3jgirmgvEP8GleBIvcbMXuZaPaC7lOPBAuCfhscA3cwVKMJSwICP7CGyhZxgGFR6 dg12sBIq2xSs2ksndqV+Buemym4/2jACGk8vo08bbftvkDp4+S8FokbzNHtLdsEGCKDziwZzCjS0 BM1tcWXsXu6LSjRiX33jrriSGFq85OwL/2raCfw2Qzrpbcd08L8nnxt/JeeAZseHaSLPVSPjptH5 YCmuLNPhh3EQWEFN/Fir1IhpQILh3Y0lOTdpZIUQbv8oSaOiNY0j/SHJ45aA7l1Ws3Oog2gZwuoE JKlOP62NQfFjBHQaeahI3X8iCognBz0bIqrpXkbzJzNF9J/a2WHVTa7QxBkkD8tVMK3wZxKFP1Lu +F0nH931uEhLPRDhueE6Ii9tSfDz1VNLD7K2YqHKZwIzUfOdkg37QGIKIsrr/ICiv2iA3QcESnFV P9DGTUhtWjNywJr9Z8elIAWAMBhV5Lp6PG9ludqO1FqWRD2VEfQT5nscDgCYsxWEGn0OoN2B1p5X UWadDtZccblFFjrLSYhnIK5sErjILBrRfvW5b/aZw7JhPAZYzBgDRinclFi9sqo/eIV95BajtAU8 LsHxp2Rp5hGBZSgS1FyZcMllJ5NLKOeCkASK8NBTpXJW3vEjS4TGlaEcD7McM63khOIGUBbvcjFS QdWG+gJNVRJDwh9To4T1SExO+K9jtz6KGJexE4TMGlQghxzOTqxyReVYq9DYrn3dA9rAt4i8Q/VO wDqJ+AuU8QVQTUOm/p6zAAuRT5zErhxXC74NvBFEh29VKuaYCjp4at0r849HsHoZVGBc0CEzL5Ve WROLmJ2i/9cdveg7YbZIehSGG65w0ffu9clBVsGTEPp4bNDN8HfvkPpxN5rl9Z2rHaA8TA6fVgk7 mZEzLfjw+IbmM9X2/qWk5wqjH4TTSzt8vG80CAKZmZlL4dDvGPEZNFELLqSxoLa/e+xFeA8SJxg/ vyc/Kq+fDm9Ehz83SaOFpm52Z++plU+hkMmhDESEVru+QGQHq06ILXgvikFjkBNgic9saD3Kn/Ib ttFH6MVhzhlGBD1QG2TLcPdmPF0KvKP4oeH71nR1W+DiFhZye69ZbQtUeBYDD4YYb5bUYpgMGHd/ qptHs2z8cFRFHhvmt4znDt1ucSJ3BQnqV9S/ZyQSlIe1Uen4tIheZosSf1Wu8VzutkNsDv0Kwuhk JdWIL/l5OGqzNYzEaVWXOb+TfSSJa++WpXP8LeIfN5JfTIrG6CHBvB5+Y25JsnJUnbFrDTOI+Xy2 ycp/6GsKuYCbVQeAuhytmVv9PWcqWmDhPmni5/A562hFHpn8+Z07h0gPF6IiKFrskQhyn4CJqjjX Kjtb1k/ukrlSSmXRpRho0MM/A3vZW64EbZVgcynzZ9e5glxmX5HfhvkZmjc+1TImw5GXeevqhfRq z+vXJ3vn/oOHJT+ioSxnChy4k0TBqjkyf8Cj2UGYoZvAeDPii2zAqrOF9cYxoq2B43et5Kirdz/w WiBUya5OMfRR1FjtQcWX+OqTDFPTfl9dnfuvU9ZS6m/Limv3i6QGVnoWAzwZF2iT2ohf6zpAAgSl x0req4Yaq0ScpfO6Up8fqONgMJSbwW/599RDXn7rbTxyxtWG8nvz1QJoV+Pcz8iWRDm8l6VJ0/5E 1phrQNhEe7UZ/Y9QFCldGcQ3nGXlO8pKOx1yjCKkcLgkIO6ArdvqXHSffljEvp/qpJ5F6l/QAo97 gHYfFCEJUwA1A+C2yaByPjocORNAVndoY8rAqa8700AFgq+PnQ2Jg2uGJ8dGIXe0SipF79CyAEty DLHDDflfIwv00PLJ9b/+ERP6n2CE94ngO5Mm23NviwiU6PSFnQuhwtl603M2UFSW37outksK1wfp CvjJYTw1f+t5llqv6hB7YclYqyLZlXjWw2Ac+dHwpKLjpoLSIGOmb0vUMbnBjsWLKrQfau0dip5S qoOqIcn6CEZvFjQwBnwJD61GHe5wg4D+2y23pI82MesNw/9AITDd4kwt+ecU2ozSHduweu3C4i7s RhiuAmgUtgcH/KRr9YKU8nAWrnj3+4sLkV9qLJPOx89vE29z5R7JTZ9zm3ECQkHOgd71CgUGGF3Q FHvBbuT27PqeA+sRqReT9ZZ6Um7DBbZ8sLxqZ8G31ULNtYQMjsHI/ROh7eoaB2L0I5ao5BVVeN5/ Ag2vNSz/N+uW7iTst4KmC/32vGAP5T2aN56iP4URB0e+nmNc83C6oDfL3C6dt2v/Q4U+k4FMSPDx SW422tlsmzQlayjN5AY8C0u2V7uWLcKoNGmDSyAAmiPnkcX/BS808aipnMq6lovQYruuqyRM4Wp8 5nBCkMYruEZnrV9SKVmxHyribcLm3EMIEiFqpHgFkXh9f2fPDeLxTD+x96XOD0DZbRIqxkksKmpq aPaHG/CHcWyUqIrCAqJRDMTALdlM5VeU+MLuGfkNaI8uLU9wt1m2LWrZrYDiLp+eByEpKSKdY/nD T9IVqmcXyrV5jQ62ebf2aWTDHdw/DAEu2JvwNDoc2K+j57FIN49lwZ4m5Oz35UYDIN++jdZ9EqSW zJa/JNRbK4Zv6PESVe7u5jMOcrfyVBU7+OSLT2sRuBQkxgg/6wCdXnz0XA5xK7OQdRwmLTXz3sDk +xhI1uybi8G2tI+StNsVH6BMwvBVfnCoOVO44ElB50VCS/jfTS/iNr8Jm7KK4oQNPF9Tcy0mTCXW 8IsnBWfOMNGPS2QO+YjnwN8DMLCeZ3wjdVBwL7e7elkDhBgbrjbqWckCd/BoLYMGeOvU7zpLqnPO 46fG4nmZBXZYh/l6h9oZxl2zX3qbxspRs9shbPd+ftS0oH0XoCCCLIzlwLRSU/Q3fcWgtSH3U1CS CtYl1ee+W2Q/rXYlX9wc9A5Go9DjqVfuZNQgOZkRnbqWHsbA6diR4gOQVP5b0BlJWjuTCXu7Jw4F 6XZzykRuF6Rf8o7uDTQ4uqqZuRtO8zrDfRYAevZhaYlPu3PR1jFI71XIwigUeD5h88t5Zjbspx4y A6Ow1E7S0/PBMVJ/r1a1OvdHvP5KTMktJ/bDcV9dWo+LwbOkXzsynSCq8kwf6zjtQHpDdqYoZwzE 9i5zGdlyKD4FXGNoDGv0dcxm89tK/1swQok8fi7LHF40o4YGq9xyY3YeqTM2bbkSJt444dEulrKM x5ozW/OcIUrwrA6OgpBOUfxhIe6S2m1w42Ik72WJ6qrsbympIsDvE0jEpEUHMNBuiXITnK/OqnLl ERUjK6+U5PaGdaqh0bjFmNZiX1cocH3X79LjecSIn13DbU5rqiAlZhJSloLwDVLZPIsvRvbZIXSn ZXjYgbTrZLV8SVg4JvjGWlMAvK/YfmXW40JP3JHuBEyYbXu36jRRC7AhB1usF3HeCw0Rw+IqDs7u jOSX9Y9pDJbcYiolRK+xDCRweRonRhLVEnZrFYN4coPY/1K3mnunq7V20R1W4vBshiZQKyKEDRqA jnOvCxOQyRAnS9gBekEfsVCgeGBOzs3HT3sFNrj+UeTLWFhUS0bBxlyZ00LQvTcILczp+u7hpGHa 3VrdZ0orU4I+ZKCsnLFr3Vg4AH126akAOBGv+xll6za/PLn7mm3xyrBhVsnxI8KLtF+H1tO4n7A9 JgNzaR3D/CYOiaKUlMKRXH3uQTDnGImv4u4++9b/HTCKU1yWsrY9k7PjDZ49X0WSp/Hr+CDvMwOn 7SibYGnk6tQadL5QV5EiR5+jTRDH7Mc3peXhvN3vn2rBepuzIQ5NBagyDRuG+a+f3el9mDiuJpF5 uS9RBCBe0IXnOUsTEoI4e/s3j40/0qxjHtQ4kelRJfbQkmD/951U/5Q3SJfLkpP5hApV2FtPEB7e rurY69DDdPL+rZn+AwP1dd/+XCLvNIlhgKB1eYuAuJx/VekvNsaJH0JK5zesa32NHadoSpbQWJAI aHCLtpBIzYe2lIcGKTJcaw77E/+28wHS1RILm8HZDrcUdfIuAbm6Cs/v98xmOabJmaXH5aaSicJf 4smewmO08lGFa717H6U+DZg4hYskK5HJ/ynjnHbxjdb8NNwYrdrSxG5mgxhj+RjBhXq1vy2wM1iR 0mfM3+xU6demY4eEwLlJQoAx5L6ajpE7rePMD1wfaB+NmwQBBQnGMnHzXL16dtSR6QeYhik57DLP c0A+DJU9JD0JahlI1yMbT+YHtIPM2f/zMsyhwS+p+PGndmkMlBHlovFTT7qhk3gMPgckHsZm7sxt SgrntlAa1YBY/RuKOzxNFpSJkdra4ALTbbmuIYpQGrAYYWcUX9vQhbH9I64sjK1bT/24SXOslhSI WnLlaQcS+/BlOAlG3+0eKiekfQP83benhoAXkvtt4u0ujfR/4mZyf70AMRrNJApmopnrnr+i6lQF F9/RMDDheQRWhqSDNls52cY1sfogP+B3/issnBcKWVhhAT2aeRRz/spZm2DhTBWtNkynwLGmpGZH bs3aAMOzYP1/vhUPPBL9osrq3jKOxAWegoiDySCY+NKocJPYiqW+/GtlUHeqxqe6wo2OTmsKn9eW qYPzE1LbdjZ9JSXR4Y0K3OkrlLKo0NjoF4yHocYqxRAoLGB7UKj/cbfmVftPn4hrk0WrFaJWI50J Lm39AC0eEhR2FQ2z/j2sz+xr2ng5Vv2IIpE+wwR3AQ7JJqOF2nUEjHl6f5a+agTPMiaAMMvYCWkr kd6pYWZJW9wBUeo3nr8y4fo0H/BCL9d5VMdyAvLbsSmxxf6nZ8J7mfCptDr63UgalnhDk+CwUeR0 muyPAaO+YcRHYc+mKgORiX3QMIirUwXLaLxv3DM9bzMbGxUBQQVcpY3fRX5ydWW9q9rGG5+Um6zX 8mrX1WMohPEMRCHuXM9yHkwXqj1b7/LtyOX96mIBiVDer/A6GlTxaqhoOXQ+nGgHHnie+EoZ5Ecb mIgO8XN7GfIglgM4E2MT36uux/niXiBordvYI17dlDfdxBvqlD9A0SXpVCLp4HFa4c4H1y1cwRpr jdP0Rwgaxvf7CAW0HLKfDo9dPHhYrgMg9tVylo/VB8HbgulDdJVDgc3u1UMg1pKE+tBNXspfyFQd jgexuVLrhR08HxG75rcCquMd34GQjHt3TY7JsAm0ag96njZywis5EjB8+dSjyundUSLYXN2mHq6E /942tRLCef/2f+TPnhKec3mFk5ET8zbs1r8fxtXVx0ZPQxoePPeNjU9+eNNU/Z2ChW71W9bggZvk KtS0J6zJm9EGTmFyrDMWpkiwNToxd2Kdt/+OoOSnA2S5yWqm+tIi674KCVl+BQ3ccnBZm7vhjZ29 HHLKB4fuJqxQrTZwRPr9pDg1+Zm7++Sg3t/RKctOedsNroYoA+BgCR+POYWZfP8q8xqeWKhINbYD KAUmuguM1KWwEoQ49/5fbjKS0y+78/8k2kNoK1D2PPSNEQUcnlKgEwFVPcSl05OUwPK65xZ85ieT WkhNbWeERbrbiIM8AYcVu+moIf4WmntGzSEcRRNeewFKwwYSit+ukAo9G3KYSb43G6e+EKsNZHE2 dJxUY+a7XHuuMeydeOc1XecjqgtL32HFxRjoqqwn3FZoad16084Tl38I4WS80R6o6wxeanprtMTh 2VC97EX72m0nIP7KPgFIYe1wC9vDZ+n/0oZ9fozNHEH+mvnzcVd/J4uuA4i2BSIl6F0uE4+NAtHN yEV/ExKfz4kvQW24sM2GDpTNwOYdWr5kE+09vt/CRfn9XXT3Hxym712+m0nt3JGmg5iFtwlBXJy5 rWxx68la4QRb4vtOdBrmo9vp2+ehLFc1J5EyWUg5GP9TPE24cZfv210TcIubmf3ei6MOVLezdqCD XoG90uIeX/uyAsvrryo2quIUIF0AbGr8Xil0yEFsZVJQAmealnY/WFrVUzt37dGG22ppBgJjZLAX xCJMwOPQ1ZEI+oO+AJjmBatm6VaUfNXTrJb6l3oOtt+uMvxzuut1YMfCQ1CGPbw1zB48jzqFwubI ijFwPjbFmOSfG6twuyNjKAAmb+F0J1BubspzyRtSXJPe9tQI/15rKL84g4EI049SVIX9a903S1e1 CyHZzk19CiNdU5yewP9ITvGSWlCRCSICqKIQxep78hPJluoTAOh79PDnafj+h+S5E7ch8Yi81S8k mNyNPEWyix8FLZ5+0KL2xP6p7qIhNQEK7nvpsHhhWwakkJ4hWdkGDp601PR9yfiuI2ZootGmGAwV pwivgNrjN6DuQghdNh2ME/zeWQ+VV4XCVrpa9JVsKPJlWviBCb6wONcO4z7AjCima0Kp0b333Iq8 OrWxqHTzrPl+14kKD4VG7nNyTb63zGyL2QOUijP9k4Gv8pHw7PkHNeS5KIf1oDjTK6vgMTJPatEs GGvcxGJh8iAa+c3S5GMtAy2UJYfKL7m5XUUTv728ovLh/5L9twFx03VIJKYIRAWMKzqy9V11k5O/ waHMTwb/gIhwWHlfXh5G/QLhVwU8nkqlQUuPckPO6Garees7vzJKKV7KOZCwKtml/G9UYosKYnC0 EFYkoizaYVJ9yF1QYAjERDGuvTZ/aV/ZN7fOzbId6Xhap8HoxARa//2BvXzVIMsjTIbCO+HoU/gb NoDU0LkAcnj3ONDODg239kZIWFig3kQ+XAH3YinwlkB4pshsYWxkwQinWbuw8qItT07ZtEIv1aKw OKCeL+S+brh2iZcgi6BFgHhr8i6PZd75SWHiQZrad0GVMnNPPxWOPkrhqX8qRRwL4hUiawESCUNv oEDOtS1118RupGmlrkX3gC2BdoSgKJfKKZlOjJ5jakU4gVeCgTBbvbdsXLpD28HDO9vc6BxdMFFK gi4nmM595tTuGfFmhuiHPjqIwJJsyVGVotcBbbz9f0PgNMY2kDMYBBOhaP3/JXfIbnexYB1mEROe FhqHViCTGEM2A2dss3PtLVhPj/YO0iuBf0Y8bvFoZYMLyZDr+ODLnOfegar6dfoy3I7WT7OIUDEs spu+oVGb+hbgXHd45+GsNaD2zqI/BJeiNM6j2AnX27e+ckBHZDRpFCLOqThVNQzg97oF3n3AXM71 g5KjzjRUIhAHML+GuGwaZpbElbScV7CpgI6TFi60126MkPTE9nbfFvkA/eRMdIy7wE0SaHRnhV/b nU+kt5hxJQY63WEYGNH6lRW4gdWRP7f76WmzbkZODc54T9uwhjNkzOAtPid3LIM+5hFkTkxZUwwD bUWcHtB3N/y5i8QC1V7NBBMTQ640SamAdnlGODhLxAQ1DsfQ0abkpHKITVa6IgG6Ge/V3oCJ1KKt epiCyt1WD7Lh1SQUVRFwW39iCy52Jp3CtJ6wWBp/R9+aepfl3zXkXaJ3MKmdHi34aCtAB+EPX+MO /mTzg4yBDFrokwGrk7c4GRMDrPLWIWdZX9Hh+IOQnIB8aVP/5yl/NQSxpr+cO42/Uf6ybZY4hjer f2j9JhvvTIGD+NjNf5q203zkrLEeWiNuu0ku8mmLE3cpo89M5UM/LncGwuJc3TLz7xynpkBX6Z9B EUM7sOBbFKjwwNdp4vqeYcDxEzVUNXC593b3YzW50QuEpKkuy/cnMxSPHVSe04zq61NVTrvBYPYs YYXHTU42zzpS2sfbYUYAQSIC56Y0KDj0zhkE1kMettVIQKfuuSOFNubwju2dIBDm9I3WItPibNGG hDqiB33qmLLOpjMZzgcHQruZ6lja6Y04t+Un2YhmQiF0zInlCT+wcVSWADby1D5vfvLbEXdo0jYT YxPrYZpNgATsqUh0vf2loxOBY73ZgM04Tz8WCQyj6kvWQ23JFatHb3mztE5WY/COIfjZzCM69Gt8 BiL1J5741DYKDGNmaOHlFxM701VHFKabHZbj3S2DgOBUwawxFTb4h8HwjcNOPzi861eRaGARB+l4 w82bxOgdroOpDrxi4h1obQl91d5YW4mig8Rc0Qoqg4ZJ8zjnWbiwrV1VX+gn+KezmbKSrFfr0m3z JJSepO13ElK7SQybWbGkajnNfud7OL144mXlFtDnxFTb7iU6AUQBPMD3hgE2FrHmANw92+i7aiN7 sWb/N7uz+Oqah6RfzNfSitWcMuliNX0PQVW3wSYs45Dy0/osJOoFt9HvXFKfCe60UerkeREnWUZz vCnLFQcnrL6wNJPqZFP7o5UE4vTBWwbhhRQV0W2BjnSTOZyFqE1gW6J4umb6MSeztJP/2YkbJ/11 2nUtuOLOSNlgYImZB+lKSaDEAlTHHmRly1MeXO/3DEGHmYL6lxs0Jek6tm+AmHjeaFGm632pmW3q if1PNt1BM6AIom5lJjMk2MePyU5NKeSuQ9nbmTN/yzRZ17V4GSTt17MLIMU0UldUHNfdiwr5vsP/ Ye7Oc6uAwkeoMBZZ/9jbDOU93/vtLFii1n8mSkxW+iPRjih6ueoRra0NPv8Dm1U4nq8Nsq/iTkgm zXuEdvHJMyX/2UUCNSSEh4sYksJKy7KhDb3F8fkC/p6gq4Qgo6oG8v/Gl3q4c1vW5B/Yn8ywyz1L bLfItrsqSOm8sW23ndaYKcVALa9VGfjn1S0zY2/l7FViEwWeQBVAGgbNP/lsY1I7vTeEIleulNWu 6LFZ939XPgmq6ivVj8SCk55F3jNVCUv/tTklPSyrKWn7KRO4d7cXb907fWbLvbEFA0H7UON4TI5G 1h4aJU2+DYcOKPYQ2sgtYrz2FrD7h0uRnOKJirpozm9qwa3577/qYHN4e8QSNnrShGSK31jMp05J unQ5s3won28pWtCsIOVPE5iyJN9fsP4zM/gjMa+WdOBKPBdbOVkssjop1e2doJZ8BBqAJgew2tkk 2vIxeS8P/oOLclWfwr9mReCehXqRRzlBitRyppevy/J0VPYGCGbFmaDJjJCqmvSFpp3OJpQr+lqy bJZ2BizaoTRSOEKnEMvnSNQ9+YGdRuTKxj158ys8knAGmMhHaELddFlQvLXTiaCLiDNmIhPav16y Hai+J0pLSMGEbgkmspYlZysRASoq1JVvg8vvYbbzIuQVALPRtYXjNVKlJ7zX+hLJXsvy0e8nsq3U YAHLaYJlvQaaMzyOxtrfc+LWfvFlntwCVEa/Oz+O+zGWchh32d1RtTMrS+1/s3reMWfgqX3ejKsx 5swl+uyHn/3MkQWvk4W075gHIJ97DR9MJSK492eK3qegKUiCGDsEOGyWqGF3D/NefB0SSrRZ65UL OrtRt8pB74+3bXbYPwi5mWs6GyYXp/nb0X2AJAsbKnq3VzeBFH49m66MWz+VMtA6e/GLp9lDLHVt O4mTyjCQSOyD4JEbhRQwX1gP4zjmepvBa+ZNvW+GRWiY09pWYaXZuV4qBBm3YCyK/ghGc75BUA6A 3NppoRCVeB3aIS3scdBrmd9P35jrTf3rFS+p5hbGgiQqeim5hi2s5n7SI+cYMvi3uovO7sVFnBku 17FWI2VohmtAZ/WD0oRm0W++j5JdfS6kUEIQMPC1gkxb1WnN330avi+f1VLDdW7m0whI5PcuAYcj GNaF3cZoOpoRWbg25dbmYEb7cIbTfoJhpFppZVmD30DoRchMbB9bXtqUqOarN1hjs32DUDyeNIqO Mn7Nh+fOFd5o/EMFF5S+pny23o/DBtShehL1ceuE0CCLGrxEtg2imPr0qEHtJCgdOrmnPIsl8IEh 35ZtvY2Tph3WdWC8oTzJhkCWcb09fN5CKH5ZyahzoeUtFm+hhXp/+yciZGAUZwzydrPebx69bO5Q AMbSCWH0u2Fai87FDU32ehgXOGd/gTt5s5kr1zawG1FEXnq4XYwnaOVSrpNurjd3Sonbf4VQLJ8D uexXRrvcCkKTgAht3s8W7g9LFdGIkYAS84y4yGdvky6LdBlosuiSTmhV4MNgXtHHrjcGUKiaSvWC 2Wp10R41OUCdzKxjTrIrmWSuPmSCtxZAr9oddmNLv/FCDTObUyegJeuxS41tt104oua4kFTW/hL+ A01iFVnCKNIUNaYL9mNv49fa0l5j9F/p8nU3npFDUbdMNpMQSUkk2p7ZAosaDTsW+gu4JvUoPBFT yRCR8vQeZyRj+STLodZFuDH5o/fLYV6g6WKOu7lsA51cdzEciZvd9Lb1bwJiWeKLoqh6JgMIJenK rMGC8PDTlue2/2MNGUnAymVXQAORFsivMfkrF8iFMxvOpcw/KYQBFY3tei4jXUbeaRLwPscUDuH2 IwWd5mAV3wcID1cDTcAv1YSfea2FRbOu183DjKndB9kkVt6byGjMIVoo/X8ZZHc3Bh2Wm7UhTy1u FUw5YFNoAZQmVhKo5ZZybpYayT4Em2CIOxQdDkb7I9p4gxuRUjZVRdXCHEsr+JU/qqj26cq+zozO Ua0JsHkGSHXsM64SfuhxdaEwPEzURkrw4s7ZWnFvQ3b23wBb16nfXkrG8jP8iE7upQ+b6pxGlb+B mNaVaFODkctr4rNLEs0FLfrNtxRaoth95rGzpM4hNwoX3/ZJEKBKLkIW0r5/Ijj+5b5IubGUPqjd k1GGJm2+xpBVdR3bSsBKZ4DLAOKeMu2VKKmmP7ORZon4JI+L5Tn+GevJt+CKjSat2rus07SZbcc2 jqUGHgTAcO94Klc8uUCwMHHB6n2bj4UT/MaF3rhWNCExEp+qr3opgfpyM55I9ED7/i1GY0EYVPgJ Ap9oVV3rwH+o2+yrOcWZfc6GMoq74aF8JslIULVM+2qf0DojoFJKW5SqLw5yoPTr0KUVuqABdGFx Yspu1tIUcfFbJyrPA0RRzu1QLpuvI42psuWBJeFkA0GQHIVORFPWstxsTcalya8cdPdU3v+iltpl v/SMP3H1Vl4YrgPZn3OhfmyZjF3v8N2HxNujIC/OsWOVeUfdSAPJH9x/U4MdpygcA5KmezYvKeRP xB/giq5JBQg5H5Ujf76lM39+h2PeUb4iwtaS9UEeHBuM05PL9aPFhCKe4D1dHolZgEh6eSa7TRUA 4DoHLQ7olIsBirWz69ep5WkIVSONjIEPswmvovc2mn3NiYnkfU3Gkog5J6v5OvMWQNJFuGbXogGn 9HHiaLgh9hUbczpmozH/nKUbPVwDpw0NYtIb5ADETd/GSdx9QZ7O3P5OC5Pqirmsu4+sPKYqdod+ GbIZVOyC+HUl6WoGFA6itaX6brdi8kfvMs5uZLboDf5tM6b8qTq+zESQsrpKaEw7Nd7n5RQQpKDp yE7Bg6RYXcygwmu6+4V5AaPLvwL7uODKb23D4tGXjFwpp9zaTz+89l+snEQbdFU3ohmw/yyz/EMu 5mRqE+mXyOQBSdAe9r0XlsCOgqc61AKfH9xk3WPhUaGBeG/OWg0AXtjsSdDXyNUw6TlMstvMfsjI toVFU8MPbotZt3OeZDFvS6allPu3Nm2/VcCpo95lpf9loVBH+tWvzeiRTN2wASYI7/aUJb5DROli gtEtTz2Lr674nCqQVV6GytTtsYXqtDoakYFEKbtRjtxUM0DpCaEYvaLOivvnqbFqfmW3eoHUuvjj ci0+TV0fjtWJwYpq+gDoxj7YK1hYXQg5bfSLYbd8128+6gS5z5P4ZPCp9ht0dsXKZ8TA76qRuPhC xrprLRJpaN5HCmUvvh9uriWmR5sKGDOmrBIk7EjjbxCbSlU6f3zBg93EhAMiOy+e7JrP8Ya9kB6i GYtvafyFEuMAAZ68oYwxC5XTUm3G+yKqf3NMcasfdITk+wFDp0ZcwsekmyOn3b+V4kftznm/9YsV oO1u0Pfxw83r2WWXY0HbBKUG8OWky0cpe8uEF42mfplugX+PkS8ecki8Cbj0fY+5OpAag9ztdK3H 9Iysutz6eNtUSSQ3TIMPPUf1EFpIfE4paPGwqmQPkC3G+b+cwGIFap6a+iNQQCE/I0zGvxnRZlx0 PcPafonOBy/7TnGHIZOoVGNO253BRJWIp1szJCpPLkSLRkKmx8VFHwG/5e7v7wWnVypYfxcTRPg8 Q828dUlzi7G+VmkvaE31YV+gqehUlf/15kYZVBwq7zxZL2BrwYKPw4wKrVunBD6p3ohL+jXgbxer PjLE9iqADB7+eImMnxDtCluonDrjYniKpW1LxuIniZO3su2XoRY5pOvjX6C5QisH3aoR/8TJkdfM fN3xgI3P8vLdAfwFYCQUnHfZTVyEqmL+kaRyUA3NrPMpGgpfqPtj7gYewn3Hv0ZhOyYz23/jcSFM htS5iFfqIhyHVKw0UKl5KZM9IE4g3y3IVGjS0U9lKrDumFGDeAZ1wtADTsx9cz2OVDGDuoFEjUMe cB+tEqHe3SAIOckGyfWrO1DWyrT646ucOyX7blIBh0pq9Z8ddeOKHCw7BbEaT19XYUSzl7Ofa2tz yTdgLURytTh2IV7q/YfIL4htgouJ+wXIJNYS+6OT3dFIozUaI7R/3o8iHmokYtYR3tZ+M/TzVIcO Wzdpnwlv8aGyMxtFOoe8Hch+EihjyyuwLrk5gUiiHWWXU8Ml4WQN2CVKTlBw52egmPjGl1e7hdio FiLCFnBVYbwrdbCEZeIJpHkMVBqjtPhKVrl8GC/zPUpKbMxuS6a0v1qNJgqJnLcm1DG+A4rGFfGj uJvIUvTyyHlfI+qPo6q2cxcm0U4+vI5adCGN/7N/rqwbbP/f1Ba9THvJSQugIGhh7oD3YkbE1/zt 6xVBu5Fv1+iu/aFl/VkMhYBPiKsgY7ItdBKQuslIP1Ks8aouc4yXd4HoxHn29mki3Lv6z5gBGtpO QxFH6FPH85u53e1Ddgk/1iRUw8g8oEcerLWpdN0/X27ET0zzjNrDG8COonL3ODpIrAHTfZmYc2cC Gv+7xCxsu5h+WCWl8UL+kyB3jP9GbJys3lnodpQbnEzHTVu2Ihpso7/2TEHuS/yYOnLahoywah9i m06eBcHH7Ss8a4jXSIW+dwQgeEMdg0IJFoP+s+322GxuZ8Uv2hfXrs6f7GdalQdTHcGY/QuRH9GN Pz6soelvpus6bbJjd/AEbTX7eKOS66DKvjYb4PS/i4TTWoPiStCgrzSjjxdJRsIJmIf2PMu5x5Jy wjW6wZ1H43wiWGOwNy4RW+75l9cHl4qnlCmVj0K3ZPT6j/hQk2BT2b4rBVI4G4+Oyw/GGZL1O0AT WC6NIciKZ16Y+bLmWypwuDn6QFIfL1p/S6zSMsbmvYMne4E8m5GSqH8OG1leBnuphCZGEGfd3+k/ MIslmo6iInF9Ib8kG2kBA69xBNNBqsyeiAmeGFiu1IeWJBcDutwilIHK7txjHwJTJLPD5IUFU9oc qqDNP34YfvlP6Iwd7EifSF/3R41ri0AtDKFx376VY3Pdh++A9TCQntwBgQq4/iUptTNugakkSN2K NTpMTS1q06w+6DjVVJy42CVlngYKXHxx5G5ECLQqA4dbyh2Zp/iG6mi+VPrPx8r7P/Sos7CoiP5b ZI0Aywu6B5auRfvh0Ta3XmZpCae6dXSQfc+dqZ1f9AW0ms0sqNe8ZBq30ML0jEiOLgiXWyfATeLI FV+z00O1LspHuttHYVtV/uudwBzhyA0wC+qifzMlUuTY/2eLBZGe1sATwQP+wF2mpCi+4x4MzHen rKrBwc95W8qA/nARNC26giyGPn8KTaTAG41huudPo0q2b8GoWnNeGbnrcOaShY+/XQtz8vm6kMKN MZu+8IQyVR3a7M95Mgi4VDtNRxpfFvEjUa5qoAy3Sk2fRsGI0ArGY/O1x7R/GjtlqlFuPX4QeWCd rmwerj/1R+Htd5CyqIEQlJJMc0U+Wo9rJFlE4hx+ADFsb6iGkVY4eJFFu64Zt05FWnLF0EclikyI 3QG3AsG56sK0IvBpA5zbXacwqdeIoLyzGwV7AHc+07sr5H66t9lblKJXoiDRHOQ8bqj22TuknxbF /zxPCOo4HM1kZuHL+4VNSWYVtZ0YOGMbUjXMYz44wU8iTO60F2ANO/Kf7AVaI2dMwvz9qG+HQHiu jBkFctghK3hPSsLSNPANPA6RP4maOd7/w4jLiquxf/1LTJc32Uq1pROPdIIzPCxKzqnW4srLemUQ crJs4wCoQ9ZfDGI2eeQLofGq82oIKyj/Q0QG26Ydo61r93U5+mz7LBXotrntJI4ExJpUl2LBaJSR IgtnJnowbuBCH/u8jm21fR4VnLz5Ud2OAI5MG+eQcxTlLSOLrm1Wd56hbrsh5jiMD27PD1HHIGK0 elLwT5xo6uUP2keGctjEMIl+Fps+sxUppy4S5lstiq667L3Rg2RlB8yvtc/lPYDG2RKlAm9JKUKO j+K1rdw9tjUbFZz+aOOq/T38Yh1J/2+3fo7Y62r0t5eQKBUSyIwRaIm1TsQn0TOlgxGcWzkVSACo U0Fr7ak08sknSeixwwN3CU2W7CyIkcYfMwe8Gj0tsON5eHTcoAt8MyWwk2vVGEqQWgDtK+6jS9yq vyaKR+4ud4HyFnW/TIiuSkqI0PiLmy+AtmjIu3wu6Snsksz0BZ5Ti2nDlv1GPzyI6L49e4iyjW40 lF57uQuTC4duaK9+8FdWFntk6DZHEwIHpa/uC3vFz4LVg2DkIYQmksQFeyWK62sBhrwBZ3PUosJW FMPC3HQZ/12N/e+XXwbOjYXlCw6UdWZnAG2NgWw39atfIKuiu1WziNuzgAVZ+LLT8rm11+odC1Vu 1CFCwwHpHyT87Rznd5LQf5/G6CO1M8lRtG0YnMQmVWK0vj1ldtE+Z/UmuKMrhiJDfuIC5wzfP6Zr RBmKLvfa1cX5PrIjKjKxXeWfiO3+WiWrL0h2IZSRI9ogoySUqHNEAE3iOKGL1P2uDarMDxmBS51V YzaekgIo2LCr90n/OQzJSmN6FZgy0gz6od8u1YEwLL4hRZjUjneaaOp2mq0W187pYrhhU3gc3gpc pH7wq7PLqtNAdanGLR6j3O4TEW7cOpTr06cM8Ls/Zj9pfvQodlr0OWrtPuC3H2oG7RAODs+g1aqN 4+BLfFd8g7bP1RMsxX73s1asyDS0jWVPAbF6bHEIDzgJGc1qOMBgUqQU92fDhl4Y5g1i/g89I5Yc 9QnmWPtlNsy2lImY3vfu+B72mnYZvUM9SgpAjIciAxw+iabSiR/uqaGmDqHdtfzEwwrR4g9pMYrZ sQ58OtW12qyahXUes1fctAFBaWwePq71t1vv4PA7UoitcWxW0Cnd5BfUX4nzmjgVNSdj9LkPs1Bk G8adsRTLfm7soYiRCVSRj6s0a4bumqWMKBT14dipNoTMQy/E1IT1FLNY9p/pwp/uft8JYR7RXgBQ Mnq6OkZLQD1yo+tzY9J+BScRQRvxxSdBU/4BA6y0KbMaVAGIGD2MKso/zvgbao93TcjBtFz5sLGC chgNLYqnKBLt8ZDmj+himWSzLCRcSO7nFS02jIZT165umqdiCnv4gAQGxvfeYdGUaFk350czqxjp vVUaLC1yJ+XTfnsf28s5ENjtU14zZsMPzD8aaMlqKoOz4MOtqQxkBQWDjmt1tQlx1DQ0NT6jLc3a EQQoZir/5Bdfj7W4SVEm1LxWumZWOSiuVRlLxo0g3ZyocAG2HBJbSBNq+7Jf5ODTMHCcjpMVulmd 2bd1Gs+CXuOEMrUCwRnZOOtqCR9UXJmkQqr2XgPyVsO5Zjw3f3z2DMxS0zzeWBL7Y5JC3lU3s9VZ 0S4ah+Kpn1ii9tZ5o1/deQN+svbL6VfRYZPq95+mIi+fu1giImiKR0gdCvKZm7zC20+1uJFBeCft kAKGbLr7VqEgyyK5wV34HdaTOp6jnXbUa2tG3sIMRP4rtCv+X9UadZxSb6+ys+g5F6Q+SQ176RHs MHNAWMYlm72aIzM97pEB3GZ6TQoHqNTcR+1LTLPwLK+pBPvjY8Hk355zpMrVA6bmal9vrxDs7B3y U2e6C0ofqkgms60AGyJng9ydZ46kKCWybRdpQALdH/FUXnNC6dFRx3HZwQAy4JcrcboxMvGvxUZv aqlCwL04z7N/xLg87eBtpWOiUQ6V5y36sVorObNCXx+GVtowpiFHCPAk2xGsUFlEv2YpFX7swD/u SAH65YDgQNlF39nLAeBnzRvuvZwMOeUF8OPWTC6vMsn98j1u93NG9AG1UbRUipMf6+Ihw08tvq2N 94UUvk5MHzGzkOmSkH7qN1wF93tKZm0q3LGmChx9VMVHJtDg6dmzQ8ZzUsBHCFFudnqqz+gPpaNm 22qBDooDKvHVuJIPbmq2FWd9OQ5Ta/A0imX3+El58RdAPN8tEiZsgIz9FKVA4Yr0l5tvwNwBnk2k 2pAyhA2HJ/Pv5zJNgeXqHw2phYFr5nJRDwW64CW+YU1ZkL3ulWiIgnoybMOTPnZKAlYaWKrqv0Pp H9IFKCDjBigdknflwEb4zeAm1HGUNlcR6bv3Qh11nO8oOE0EtVbBQXJ7yb3F+6fwSlErtTGbMGMz VQSqgFBXL+XT2pZXwH5d/T1/KPfXji7TyNqJVcIf51hMgnjQMtc380KgMMeyVDEPsJnTtjNSrkjM SmUvy/dBbgJFWpHUd21TYlCbO1aiiej93AG7xtYMc+b5yGmZ0gqAcZTIgLkU0U2om5BD2xRCtFwp HfWdkxKGATPsS/y28pPAroViG8FCOq8+iatZGvDrbpdpMpLpDriF/ijP3z1EqF5DBnVQq4zgF55C AzW+Mrs/tq5IaSBJC9PX5u5gWMLMbt+70+xixE/Db16NA5MWUIIVoJDwspdy2PmJqu6e5ki28v+d hMD2KKXe4VWb5pNXtNcbuiIeqeXOgUR++GbtN7rO5nZsmb8o2yKPeGdrClnAjlJUbKMkDN0IGkgk 30LE7f3pAWipUA3BYTSg5RDUyFVc6wGAVorMZ3JD+HwMXujzKE6plhredEiG/UK+o/m7bV/LYRg0 /pqk1uMXUp5qDMGBeBrncBxvGx1fx+8jJ8iPIgkGOGNTybGR6aV4kXg12f65Csn8CaVn2ce1PtNl hG4okFYSj1Qc1wTEPebmDV2YCab/VjuSKIg8WXYNsOpCp9iAkpD4tQJxU9LQnkkfcD7/QT0D/tkf ASnX9AhsIQXFKorgpEmvKDfgGydBTFy1XFUVZyvKzAXboYVCeSVBe3LobsXEdJisART3zrJ/6d2f nHdbER/jyiJcDsXPhChOipP6znzTOMtdz8RB1BdKeHW9w+66ODe2YOsZ+sBBX7VocBXIHXuSowx7 s2tyVMzy6jplvYyIgLXnax7UilUkZJu/rOhPRwKfaz7I0BGEExaSWW+mQRifrTUILb0nQPjR/t+v BZ4zKKf5jAM7QFRxT/m9F4ZO+vKRX5luBWfOjzmeOAyud4tgT9OUZrJKC9egFdmpuzpSprXAF54m qrQANwujikxf9aREYMN6W2PcSIOI0pKnp0CE6Rd3aOAws7GKa+nzYD7leQmJ5d+TYZLSKGzsTehx aBOduxYz4CeXUcLP8Pb5G+dRP7Zf3K9Mbj5qwYOLBAN8gNEiEQeLs6vFa0H9RvthRA1r9btg/zTO LR3SxjM0TO8MRR74R9zdqpgvqclr7fMC8iByO2jwLScKUoYrqdnVU0DMOk51sWr+rGXR5OC+deUP hfjXo6jX6h8j66Ld5Fwr1UYIKfTX5/k2+zI8plXAklF9YkBIhJ+QPfT2GnU9L97W3M+vkfBjOXl4 L52Bnw4uChIFzagidjKyFE2vb4i3MyA9mVjT5LMmL3fRITfyH9EBw9kyrt4hTYeDzez79vNWmeiR M4OSKQEe7jJTtrjXtX+mlLCWbAFw5xeXgYYL8IpaSTdvOMN0PwlID5SelMMM/8xi8R0hwIslh1SK r6CP3f3CwpbVIQgE99MfXFkBfivoVfbWeZxfkcckw7H4lJfn0LxsJvq4UdYcd+DNdagO5qxX+BR7 qApSgDsRkLB84YAvfL6an3FfQUUC1FwX6x0kkBEV3gGj6XtEqqMT6KnRVyJxNVeU96d6BTaQOiAT 8bdai4FXDE6s6tcRIlcLh/sNaXO6iTaGi1Yhzka81Sq9ebor6VBkJUDnN8Xg03k7P3dNisCtOkQo gOME2bSj4UOxk/e1ti6fXfxPzDCiCis25qIDpZ+Xl0jVt45i0eOrGLI0JSbF/z7ky9tUymqZzS3t TXuSNPHNEvS25DRuNypCHj0B9dv7CFQIy6fiTff8tFk/xXQqRiHS3IELQF44pIGXiIuOar/howjq K7ZdZdN7aUv+GsiL5izidEXVcza1aF32sNuW88KTMeDpxhDLaNcgSZhkz4Ms0ci7cvLEXrBi4GKk BwfirJSkfa099qogTndYFWqaUt9GyskPMoiLvPexTx7FMSlTo0KKgrBMTbe7rVJMl0stZcAp0rLO x4nQr9e6z/M+SPF+cvcARB6h0dfK58BTmMBsKIOiZdihFjKCCcWOAKTSsYiNK/egshISgRrqNTL7 LGIoEhBfEqttE7XC6kCf7/GKigzBEZou/LmbcwmmhFRRjqZUxSeHF5Zeamn3lM+0T4nun14IwzQY VeM5qfVYHONQXumXilteDqLIYc9Ome3XakyB7oqAT8j5pksVndyqKBrdW0IF3FZxm/6+wpnJTDXH ghzoQzMb1BP+DJXIwmgnErmc5SgeOZlIMGuHPYXHz/4XIwQFAYkVnJQymTZwna7LVDVsi1TlX3h4 TU1VCod0BkKCxVx53WBkPuh5i1rL43lcmVO7WIe7Dr3Wt87nnFyvy6ssdeUKgqfb0XcBd/tXRpD5 CaRTC6DtwsZs7EebtiNl+mHpUtKoesvuebTWQsiqYu8CY+3W3z6gSaukeETMCel7gTQA+TUAdDr4 gbHxdZul/OdXIRciJmKKtMJsuT+hykoNRa3jkjg8WZ/k6Cu+pdrfKsKEbXmP5+MOtrzJW9rY5LQa tI5OzZHTBvXVxRjrT28Eb6If651aNwRkVxArCTkULfZUg2uuz+VlHE3hPmvgETeCmj3wOwIKqf97 viQ9i/00dsTOVaLS9WpsDN17LBB0M/hOURQT5p+d0EJWT8fT+rC5siwBlaqH52trhquKDDUy/UV/ xMsA8D22392j5O78P0B0FncTHMvVzYakAdBJkF1F+dE/I5r03Gu5y3mWK2xgb+9Vbpmun5Yz+Tpn aoKceRFWWYBLuCF1CQP0CeD/kegAhxaKoEFGVBFt4VS1A2P9H2MzRE3wViqc6QRZWDOyxvc7m4bo JphIV/1YE4ljhRqcDTeGEzb4rxcVmYvtC3rOld8jpcVsYxFUZ5srZHPo3w2vOUbWjLO3DPxUoCDp lfs6mjJr2ZUTUFH5MT9Su2hzeCkukhFucBFh6vkibyE10MBSd3lJABS8C6YZ469IbJ3a4ngvUOC+ vIEj5G+C/xGM59+G8CT5q1GCKXvqSuuCvtFRwJWmFRZg03aoFDi+E3lIlQwS9VyDi69/Ha9Lb2AD iygU0qipv+gpAAWFwLys/E+l/SP2upOsyt1hCeSgw3TM0hGIO2G/jF16Q0wpQTFc8nTU4mHW/6Ln BqLlSDJuODUXE6zpaLxJeP4B8kNSyDovVRlaCgwQkov+kbJDIBBjL1JpI+aDKpjJA8yeFnKSVstS cR196SbKmG8sb/1RzR7Z6VFKyC8Vf1DnUXC19tCKTFCYY03FpxxX7HPzqDzyQdvGwjOyTjoV5UrG Q1OvZvIFsubkHQVX6AJ9LtBDbPi5SCft/xqb3hz4dZ6Ne6XVkv1EkPlui2iTuIkmEJHyF2rIuD7B IVtd19xZ5iyXitkCju1iWCVCBsyFOjKsz79kYH4F0IA7uiyOqOxGDVYrAxXi7JnWPFN8b1+ofJSg v5Hr5UH/V61aenxKbjdoBloUfKQXs09YI0njydkd4c1HVSVgVfGcKIr9RnG1IhtvButVbyLQ6ghg hO/LDEV7unEGgYuX6ztcOFAVxUiJ4V+6rBtgng7UXpuA4hYAgt2PO3z+QnbYEzalPKvhxwQHbhHm cYhA3PCscnUavhlQYkgW2h34zYjk4+ocLVmglCwSNTbxQu537HR3sUaXPJehTmVsyIHQzFbDcFnF KLV8NsZcbUXdLt/mzVxLE1jVsWmLL1N9DBcJGoq5XCzF5brUTzdjFNwZVU9docsEHbDjkTHUZzlP f44DqU869BUkpOoCaJIpj5/FVLeUI7aO0QkysUr9H3mMzREQxLkI+soWwwrNniFpLf3OzDuyFq5A iBvuzt7Ts2d5wmVfEIZX6IQ0kWNGxd8OawJwCgoGxHniarRFzFpkh4Ij4+x8NzxRkfyd1bZZ6G2h 6E9LIlhJFXRKPvLFvkE1XaKAZW6L4OlbZY+dYc9wqpoOQfNVVfRLdwP3mMoPs8eU06Dl5K5gY7DP +k2/NT7w9zx/+Fbh+KP2hehLfDfZU+6x2IEZ7MvJCGK2Y/K1Q0w8+z0IGcQkPVy8lkgbTfJwEFBP HpI+QEug41RrXCAcGyXv2byd/lxgWSo6xz6UP/vWBKhpLAjo4qAYKTeTtXF/FRw0EFYc1Auo3qUb 62x8o67bYBUZzqWTWTT7Ku1knFuXJ88qVokwshaqZfI62KwnKoquDXtHCnr+SsymjiaEDrcaSFBR ZcMoeTHnS1zDpdnbPPT+weXsD5bek9UDLjkHW5hrF/Mw11lM0bp0Ar52eA07Ob5SMTlOPbnL6T3f JUayb0S08tpq7gG9q0gMRltUEbQaBpqlgKP8ALSjJ92GxYhTaio8RxRV0pCZZ2dbEnOhkigMTj/6 JNA9E6yS68ZMoAhDGZ/Fi4imfvuhaFGmGtoZNSgpERcmx22J5OLpa6Z2WTJFB1+/oVPV7kZjQYfc S5NmRBY0s9pPguQADkqq0YBKBcg/LgYZMDoCat0g8NA6AAHmgy6SH6HX4oVMWpqFsCzlQz/LPq1W SdStPJx7F7YwBtLG6Dk6bt2Mt/dUNpu58W2Qqbu/1vyG8B9HasRhELV7psh24b+ED6hLeeTrIcyh ee9jjEdW8u0T4g9TzDtKOsIXejiL2lQp8FCJ7IpxZs5ocTnHAh0UopNhSSEwYh0m91t35NSXIecl EgIibEXF5JOrISpHNtTRpztc74fX3edd9snJapnZE92hGOQos4K6jDwKnRZ2VxsLSSlCYcsL1rP/ tDQ1MAWgMuBHIpR4XBDNFtOHbn1PV21DuqSNVqCfEqW3oaAE5Xi+XtcBDxkIflwHGytq9LWyv0kb ZSTSkaowbR2y3ipWBTXWeKP3iV+72C9FrwEq53NffwTxwjgXWkb6G02GTN/h6liLN/suv0Q7ywjs wvV3xPeFShF/uxDLs0iw/zycqXpTT7SMOiwPWCfop/vnaTdL+ENBzDmEW0cmocdeSAR3IGkfn0gu NYh+9XEMPqoOsINc3VpNXiqtTWarog3U64AaUo4Sw8Yb+Ks67OhQ0a1F6T62XFpN5g6O0oubsX3/ POA6PV2y2HTR2jeIvNXCxFG6/cDesff+1QJVJ9d7ELAP7cUJkagmTC/ND6Hmmpd17npY2XjsTMsR +mu/WwR/fX/Q6hpiAX3NQDQ/D878jYL8tNVc7XXhqvWQZ/TRQe9U6eBFDuOyRgsL4ynCMBf5MAGx V4ha7nZ1q5jQ72fkrN7/ezqf/Gyh2heAWxYBa9KOLDpXmGO4cWHMdUaJGMA91qiBddNUIHZ0aEix cPUxGWWuoZNLo0/SCfyxupsWXglKPfCOvmsOXQS3a1SoL/G67ts3KaajiACYBWjDeyO/H3pl7Fv8 kYan697bHVbEsx1SIV9qXxTB7q2pJuJImRLPDDsajLKkx8kWERV6GPEc4qGPjX7f/t5QCB0/n0d3 iYJeOV88/ewKZdxP/g4dLfkmRK9pEIgMUo+oy+pu2yRP+IXekD1t4je5XBUrAQ9+kDfty2GGEAjc TnSV+xuI7GptX021w8nw+KYEPz7UOJBESh9dVqLN5xBY6QVT8IItMnkTOclM/hyzCB3dija5fQzn jaMwbL5GpCBhaVRH40psPDtTGADDdIXNrWk0yeLynEtOKTklqxNPHCRKQqlAmijwqNuoNnkm2lt3 vPAA72U/YnMRWG/Xnm1BN8HErE9U0OkaTb5dYVkTVScqVoA6SYff/K7KtSBt8zOgctbwTI89/UzH sreJ6hz0onLUOHJnN+qlhwJdp+5twkUlHDE2xy8xXwTGhWgupOKvrCamKVk4TVe2IQ1R6nN+tskO Z2J5o9ejZwY307KbK0H5nbDLux3j0lcKtshdLfGgTrswCXmBg6VxZELcNoLiAbQq76w2iDDOjg+Q 8WBp3haeMVHjZDSi0pMWmxE0tjnZnLBulajQ8ixMcYW79UsWE0bYwWAcV9ckaCNSYuH7XL6C+5YW a1aB/kHIqz5FzwhDOt0m1NJfjiLseBTCw7VBOe9bpuIWc9ii0DAO9eINDY9j+Kkx/vydu8xkuHRP dOxteWuyFOGOPRQWX6nLgHh/JQe9XW4sx3wx3J6j5HCd8558sFaGRWNMLs+Uz8chJLWujOz4nZJN c+KnIxCGd2Zlqv8IGAJjyDHJXLV/uWdvwLXyCV5BD8g49MAKFrbLkpeN8s/zyV09QDdu+blfB9j4 TSBFoIwCTqx1dmlfoQ8QU1Xqnw== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/common/wr_pf_as.vhd
19
27402
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block e5HXux03McEJscFg80ZeuZznrIJptNO1SFQrz1pWkRP7P3QoqpS2mJZRj5k487CXMg1LSvaDqmT2 OL7PFCCTiQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hgCd2yd1Ey3kW4Xi8EYui71ziVJlfu+yPA/iSZYYtw01d1xCQQbb29qdxk14t+CL2ulbT/AG/Tph KVRTNfPiGK79TWiKACghNYtvZsEbOSiWp2tzfhZzsTJKt6Q/Tnk5KS0q9lShCg5S46ZxNmKbnoII YTwtWH6VQAWKrWw0gQI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tPm67AAwZoJgqE6aGdH3UBgFSYY0hEjWFTT4t/9DwITm8ODgcytWQbTKxugKHOWkwgxnsfouuhwt QO5L1ilTy6LqSek7CTlbPwPy4k6tJZltW8YhAKZe6X8IJvIcPyG5jVx+6vlxM+WibCk/roITcPkm 9mxr1ZYPG61/YergLsZha0lMNqW4wq3ID24jQg1utjPuifsU4f5hPPbAaCmkiuYhwkMNuj6VHmIU m/hi3cIAvUetwb+LazrLlZHRjTpygeOmt1PlMgoOOBXow6h7AJvjUUWQmikWL+0eXLxGX1SKnX5+ Op5qf6RZYmh6jR7nN97PHzmxB7CCeLZXWlS7Bw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block as6iakL3FcmLsNV7kgkV+92olQIBIL1+cbziWnl5Jjo3DH55nMZNZI73AcIS3DfwFYnxJCqB2SLa SuhR2kAcUXkLjAVN6C44hN7PokTEYbZ0O/DrWDwmWxnool0q47JMJkAhu6l9w278iR2KPAv+EoYt +JQKH1y1F/+RNrZ1eYU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BFKuZqEfqjecGcxpRGmpCDvmWO5m86XHlx1Avi4sYpYvtXIvQdg65YGdV1jpIV3rjwKZHTLGWY/h WohbbV2nhc+5Ruu6dAeqtH04PeCXz8zphv8vhckLjpwnJT0GWHiaXAcncvq/6wuXR25ASAvhi3Ai lvDf+vNs8eunn+yE9uSpqndZXDEQrdOREqbbPaHrHScG2A0wHmKCr+QTb2IHKcEfLgWtjt/VCXIv 5krerkdmS143EXlDVZB7mfDSlR6bwswWViVYnH2kDpeepoBCAgyzi+PoFfcxhkn8DGVtdsW89QDd rLaMLCCjYMVnBfrYxBWw0Bz0mfZcivLyxd+wbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18544) `protect data_block YipYQho3Y0zdOvNcJ1BUuYyd5pc0Rh/syRh+gLy6hm+lyA54M5wSQ2hPfD8TI9EKi9VNSHv+4JyP tJjp0+P50R7zZOuQqstMvoegiySWsl+FuL5rMO0HmeaDdAlO869bnln4ebhY3LgErTtdz79H2Tjw 8Y+r0jP4ZeQfbBolBNj8K4avqBB6MJpeTgp6c53IZBHu70hP7EAzr9EL6SvxFTIoOzX3u0JAgtBu 7nxR7lavgwwo3EcpUzAj4mH3uoHpnLbLpREI8QpNoCrST0nHzoIeGv2oTeFFzS8gG853y1QLdrix lf0j1pCZ2imma66UmxY7Hsi62ZtasofXZqumk0nhKeHtRugZScgbhWfKqk7Aqvrn7+7yba+mDY0h 8x5R9rAUnJh4He0UpHMPCDdTqv6QMDGzsQ0I1WBNhXVpVftUtptlNJSQHF/AsQI0dufguRoPw3mj Ai/mkVlLS1T2OTFbyw6Lc+8h92jbacosHKGsC7wC4vnxmuNnBOaYTs8yO5IzxgPz28lcYBiBMADm DJGqcrrXGhbt4opJQoo1JXSCYL/PMfPWqxcQt2XqxBvLiHTo/pi/lql9bhYQ6xuatBmkVWlrTdlR BYGZCatUQc+uQqfJx+kRUTLB2dR+472N+ZrKxRYX4tGZyTU7jKXgk4FWyGsnlmNpF6ew+1Z3ko1R ZuGl4+dPZrBoyABi1NUya1QT1uz7uDyCS8ijzKv185MPXjXOqrmTt/1sDmHPSpVI4G0YZugEPkGX s+ku/uOBEAurExJOuWFW/J0AmR3gbnx48RTJt/K0p0wk/XcF2vUzhSbut/a6RcQ9Ex6nVTYcBGrE FJ7op4v2ZUnKXv+D+Cx7HkjHcvpzO7VHPn93zFaBzdErm+2EFrG7KaFjLo8rC6EJEzFH+iudzjJF pRk3WKOjYFPxXbkk3YT1OJIZWUKXGS7SVIKtjK8eY2e3Gc+uHCEs7x7yOGjAqXppk8sF9oYywMZA D7VrQifsv6DQpnHT2cXEi9YMlo1XXtHOZXRwqvioW4M8F9lTWAwDjeetv8HV5XJX58XpN/Ls8u4d dOxyce8aAAncZCceLCCfpTnGaEah2RllP/H+43vNi4OO7lVy/QFsCOPwcCpcAUdG8hc2C9m01XDu 1Kr0Qi2FqO1/mLavIYuk6nPCj7VfUqmg7K+cPcflRvL8JJqFUt4l46MShBHjbSt49d/RsmtJxHsQ MPmBDpRRKwbnsu1YyGzu/Gxck24RFWcGYm0D3K/t495YQLrcPwOpzuAT3A3POKhw5q4fh4ov1XLT 04GQHHB8ZPrXHD2xbreo5FYp54V0QUzAgxnp6JPPNphxaiXlZwfc1tER/znJ6m7jMDyJBKHxieAA i39OjmIQ1IxTysJjch7DoPUn2fghM8pfMcVc64u7eC6umqCkn83tk782L0wI1S+/55N0P1wBsaxl yR1Sa8mbi4RM2zku/6iqSiZVCj96uER6Rv5JqE9xKevXGACOkV1ShHKJLFjHmuge/gNj3Ur8aGn/ 4Kr30cfo2cnYTEPOJMCgBVqAVKzPRs/hPD7H+MrHDPw2n94bU9k2y4hmX0yP06HPZ6U4tAd45BCu plrTbh5lWrxMxoPbSgclb9IVTath03jcwzlquPed3H0naZ5HaywBrl7uA5eZj0V7yiVGtR7gQY9O WXNQR9+jZIo0nMzNXmKoPHFH8OA064xAanN5i+smasVuRINJziSU5c9y4QeAsFVivqD0yF6aZxOI Y3pmTxfvCAznzK3lD8Xx0aDzYOLrk/1c075I2til4x4Vxfrcw17/5d74ifSRmlpOystpgl6HB31z dNNSIUkInsYnhOFT0HL/74/T0okFIkO6RTz7W9eVIixTnn1hvCAd4GAU4xx9zKOYT5YDvIC+XPhD 4iLLiKmqwsm+ga+QqLOl0PdSKzz8NntBuB/64g0K6FgwAUm4v4b1IJSuIUwDluB7pfQnfwzod+f+ cywMMbKWIommxQEQg0wHHC4ZJGmXIHmaq5F0VG2XCiI/iok4H33QPQbsOIF9r4107RC/8AmjwSek FstqPwcnlboDbXPBSGzq4oP0oiUdQesaWyT3GT91q8PBhnSr5NDbGmh7SM3/8MC9hDm7sN0oL/+5 JoytF91n/bs2NpEae7TT5qNkLi6P/XPzZ9tK9aNKXPhMjifEVQupsdgB5X/44rbwd1RZeKnLSeZ/ RY17yREpwDwFX+Cc+V9Mqlf5dZJ52dUSAtT6enchAR9Z6ylkfJt6lCgaB3synvNTaGsQxlVaYm5e wpHYKWtBMOtL3jgirmgvEP8GleBIvcbMXuZaPaC7lOPBAuCfhscA3cwVKMJSwICP7CGyhZxgGFR6 dg12sBIq2xSs2ksndqV+Buemym4/2jACGk8vo08bbftvkDp4+S8FokbzNHtLdsEGCKDziwZzCjS0 BM1tcWXsXu6LSjRiX33jrriSGFq85OwL/2raCfw2Qzrpbcd08L8nnxt/JeeAZseHaSLPVSPjptH5 YCmuLNPhh3EQWEFN/Fir1IhpQILh3Y0lOTdpZIUQbv8oSaOiNY0j/SHJ45aA7l1Ws3Oog2gZwuoE JKlOP62NQfFjBHQaeahI3X8iCognBz0bIqrpXkbzJzNF9J/a2WHVTa7QxBkkD8tVMK3wZxKFP1Lu +F0nH931uEhLPRDhueE6Ii9tSfDz1VNLD7K2YqHKZwIzUfOdkg37QGIKIsrr/ICiv2iA3QcESnFV P9DGTUhtWjNywJr9Z8elIAWAMBhV5Lp6PG9ludqO1FqWRD2VEfQT5nscDgCYsxWEGn0OoN2B1p5X UWadDtZccblFFjrLSYhnIK5sErjILBrRfvW5b/aZw7JhPAZYzBgDRinclFi9sqo/eIV95BajtAU8 LsHxp2Rp5hGBZSgS1FyZcMllJ5NLKOeCkASK8NBTpXJW3vEjS4TGlaEcD7McM63khOIGUBbvcjFS QdWG+gJNVRJDwh9To4T1SExO+K9jtz6KGJexE4TMGlQghxzOTqxyReVYq9DYrn3dA9rAt4i8Q/VO wDqJ+AuU8QVQTUOm/p6zAAuRT5zErhxXC74NvBFEh29VKuaYCjp4at0r849HsHoZVGBc0CEzL5Ve WROLmJ2i/9cdveg7YbZIehSGG65w0ffu9clBVsGTEPp4bNDN8HfvkPpxN5rl9Z2rHaA8TA6fVgk7 mZEzLfjw+IbmM9X2/qWk5wqjH4TTSzt8vG80CAKZmZlL4dDvGPEZNFELLqSxoLa/e+xFeA8SJxg/ vyc/Kq+fDm9Ehz83SaOFpm52Z++plU+hkMmhDESEVru+QGQHq06ILXgvikFjkBNgic9saD3Kn/Ib ttFH6MVhzhlGBD1QG2TLcPdmPF0KvKP4oeH71nR1W+DiFhZye69ZbQtUeBYDD4YYb5bUYpgMGHd/ qptHs2z8cFRFHhvmt4znDt1ucSJ3BQnqV9S/ZyQSlIe1Uen4tIheZosSf1Wu8VzutkNsDv0Kwuhk JdWIL/l5OGqzNYzEaVWXOb+TfSSJa++WpXP8LeIfN5JfTIrG6CHBvB5+Y25JsnJUnbFrDTOI+Xy2 ycp/6GsKuYCbVQeAuhytmVv9PWcqWmDhPmni5/A562hFHpn8+Z07h0gPF6IiKFrskQhyn4CJqjjX Kjtb1k/ukrlSSmXRpRho0MM/A3vZW64EbZVgcynzZ9e5glxmX5HfhvkZmjc+1TImw5GXeevqhfRq z+vXJ3vn/oOHJT+ioSxnChy4k0TBqjkyf8Cj2UGYoZvAeDPii2zAqrOF9cYxoq2B43et5Kirdz/w WiBUya5OMfRR1FjtQcWX+OqTDFPTfl9dnfuvU9ZS6m/Limv3i6QGVnoWAzwZF2iT2ohf6zpAAgSl x0req4Yaq0ScpfO6Up8fqONgMJSbwW/599RDXn7rbTxyxtWG8nvz1QJoV+Pcz8iWRDm8l6VJ0/5E 1phrQNhEe7UZ/Y9QFCldGcQ3nGXlO8pKOx1yjCKkcLgkIO6ArdvqXHSffljEvp/qpJ5F6l/QAo97 gHYfFCEJUwA1A+C2yaByPjocORNAVndoY8rAqa8700AFgq+PnQ2Jg2uGJ8dGIXe0SipF79CyAEty DLHDDflfIwv00PLJ9b/+ERP6n2CE94ngO5Mm23NviwiU6PSFnQuhwtl603M2UFSW37outksK1wfp CvjJYTw1f+t5llqv6hB7YclYqyLZlXjWw2Ac+dHwpKLjpoLSIGOmb0vUMbnBjsWLKrQfau0dip5S qoOqIcn6CEZvFjQwBnwJD61GHe5wg4D+2y23pI82MesNw/9AITDd4kwt+ecU2ozSHduweu3C4i7s RhiuAmgUtgcH/KRr9YKU8nAWrnj3+4sLkV9qLJPOx89vE29z5R7JTZ9zm3ECQkHOgd71CgUGGF3Q FHvBbuT27PqeA+sRqReT9ZZ6Um7DBbZ8sLxqZ8G31ULNtYQMjsHI/ROh7eoaB2L0I5ao5BVVeN5/ Ag2vNSz/N+uW7iTst4KmC/32vGAP5T2aN56iP4URB0e+nmNc83C6oDfL3C6dt2v/Q4U+k4FMSPDx SW422tlsmzQlayjN5AY8C0u2V7uWLcKoNGmDSyAAmiPnkcX/BS808aipnMq6lovQYruuqyRM4Wp8 5nBCkMYruEZnrV9SKVmxHyribcLm3EMIEiFqpHgFkXh9f2fPDeLxTD+x96XOD0DZbRIqxkksKmpq aPaHG/CHcWyUqIrCAqJRDMTALdlM5VeU+MLuGfkNaI8uLU9wt1m2LWrZrYDiLp+eByEpKSKdY/nD T9IVqmcXyrV5jQ62ebf2aWTDHdw/DAEu2JvwNDoc2K+j57FIN49lwZ4m5Oz35UYDIN++jdZ9EqSW zJa/JNRbK4Zv6PESVe7u5jMOcrfyVBU7+OSLT2sRuBQkxgg/6wCdXnz0XA5xK7OQdRwmLTXz3sDk +xhI1uybi8G2tI+StNsVH6BMwvBVfnCoOVO44ElB50VCS/jfTS/iNr8Jm7KK4oQNPF9Tcy0mTCXW 8IsnBWfOMNGPS2QO+YjnwN8DMLCeZ3wjdVBwL7e7elkDhBgbrjbqWckCd/BoLYMGeOvU7zpLqnPO 46fG4nmZBXZYh/l6h9oZxl2zX3qbxspRs9shbPd+ftS0oH0XoCCCLIzlwLRSU/Q3fcWgtSH3U1CS CtYl1ee+W2Q/rXYlX9wc9A5Go9DjqVfuZNQgOZkRnbqWHsbA6diR4gOQVP5b0BlJWjuTCXu7Jw4F 6XZzykRuF6Rf8o7uDTQ4uqqZuRtO8zrDfRYAevZhaYlPu3PR1jFI71XIwigUeD5h88t5Zjbspx4y A6Ow1E7S0/PBMVJ/r1a1OvdHvP5KTMktJ/bDcV9dWo+LwbOkXzsynSCq8kwf6zjtQHpDdqYoZwzE 9i5zGdlyKD4FXGNoDGv0dcxm89tK/1swQok8fi7LHF40o4YGq9xyY3YeqTM2bbkSJt444dEulrKM x5ozW/OcIUrwrA6OgpBOUfxhIe6S2m1w42Ik72WJ6qrsbympIsDvE0jEpEUHMNBuiXITnK/OqnLl ERUjK6+U5PaGdaqh0bjFmNZiX1cocH3X79LjecSIn13DbU5rqiAlZhJSloLwDVLZPIsvRvbZIXSn ZXjYgbTrZLV8SVg4JvjGWlMAvK/YfmXW40JP3JHuBEyYbXu36jRRC7AhB1usF3HeCw0Rw+IqDs7u jOSX9Y9pDJbcYiolRK+xDCRweRonRhLVEnZrFYN4coPY/1K3mnunq7V20R1W4vBshiZQKyKEDRqA jnOvCxOQyRAnS9gBekEfsVCgeGBOzs3HT3sFNrj+UeTLWFhUS0bBxlyZ00LQvTcILczp+u7hpGHa 3VrdZ0orU4I+ZKCsnLFr3Vg4AH126akAOBGv+xll6za/PLn7mm3xyrBhVsnxI8KLtF+H1tO4n7A9 JgNzaR3D/CYOiaKUlMKRXH3uQTDnGImv4u4++9b/HTCKU1yWsrY9k7PjDZ49X0WSp/Hr+CDvMwOn 7SibYGnk6tQadL5QV5EiR5+jTRDH7Mc3peXhvN3vn2rBepuzIQ5NBagyDRuG+a+f3el9mDiuJpF5 uS9RBCBe0IXnOUsTEoI4e/s3j40/0qxjHtQ4kelRJfbQkmD/951U/5Q3SJfLkpP5hApV2FtPEB7e rurY69DDdPL+rZn+AwP1dd/+XCLvNIlhgKB1eYuAuJx/VekvNsaJH0JK5zesa32NHadoSpbQWJAI aHCLtpBIzYe2lIcGKTJcaw77E/+28wHS1RILm8HZDrcUdfIuAbm6Cs/v98xmOabJmaXH5aaSicJf 4smewmO08lGFa717H6U+DZg4hYskK5HJ/ynjnHbxjdb8NNwYrdrSxG5mgxhj+RjBhXq1vy2wM1iR 0mfM3+xU6demY4eEwLlJQoAx5L6ajpE7rePMD1wfaB+NmwQBBQnGMnHzXL16dtSR6QeYhik57DLP c0A+DJU9JD0JahlI1yMbT+YHtIPM2f/zMsyhwS+p+PGndmkMlBHlovFTT7qhk3gMPgckHsZm7sxt SgrntlAa1YBY/RuKOzxNFpSJkdra4ALTbbmuIYpQGrAYYWcUX9vQhbH9I64sjK1bT/24SXOslhSI WnLlaQcS+/BlOAlG3+0eKiekfQP83benhoAXkvtt4u0ujfR/4mZyf70AMRrNJApmopnrnr+i6lQF F9/RMDDheQRWhqSDNls52cY1sfogP+B3/issnBcKWVhhAT2aeRRz/spZm2DhTBWtNkynwLGmpGZH bs3aAMOzYP1/vhUPPBL9osrq3jKOxAWegoiDySCY+NKocJPYiqW+/GtlUHeqxqe6wo2OTmsKn9eW qYPzE1LbdjZ9JSXR4Y0K3OkrlLKo0NjoF4yHocYqxRAoLGB7UKj/cbfmVftPn4hrk0WrFaJWI50J Lm39AC0eEhR2FQ2z/j2sz+xr2ng5Vv2IIpE+wwR3AQ7JJqOF2nUEjHl6f5a+agTPMiaAMMvYCWkr kd6pYWZJW9wBUeo3nr8y4fo0H/BCL9d5VMdyAvLbsSmxxf6nZ8J7mfCptDr63UgalnhDk+CwUeR0 muyPAaO+YcRHYc+mKgORiX3QMIirUwXLaLxv3DM9bzMbGxUBQQVcpY3fRX5ydWW9q9rGG5+Um6zX 8mrX1WMohPEMRCHuXM9yHkwXqj1b7/LtyOX96mIBiVDer/A6GlTxaqhoOXQ+nGgHHnie+EoZ5Ecb mIgO8XN7GfIglgM4E2MT36uux/niXiBordvYI17dlDfdxBvqlD9A0SXpVCLp4HFa4c4H1y1cwRpr jdP0Rwgaxvf7CAW0HLKfDo9dPHhYrgMg9tVylo/VB8HbgulDdJVDgc3u1UMg1pKE+tBNXspfyFQd jgexuVLrhR08HxG75rcCquMd34GQjHt3TY7JsAm0ag96njZywis5EjB8+dSjyundUSLYXN2mHq6E /942tRLCef/2f+TPnhKec3mFk5ET8zbs1r8fxtXVx0ZPQxoePPeNjU9+eNNU/Z2ChW71W9bggZvk KtS0J6zJm9EGTmFyrDMWpkiwNToxd2Kdt/+OoOSnA2S5yWqm+tIi674KCVl+BQ3ccnBZm7vhjZ29 HHLKB4fuJqxQrTZwRPr9pDg1+Zm7++Sg3t/RKctOedsNroYoA+BgCR+POYWZfP8q8xqeWKhINbYD KAUmuguM1KWwEoQ49/5fbjKS0y+78/8k2kNoK1D2PPSNEQUcnlKgEwFVPcSl05OUwPK65xZ85ieT WkhNbWeERbrbiIM8AYcVu+moIf4WmntGzSEcRRNeewFKwwYSit+ukAo9G3KYSb43G6e+EKsNZHE2 dJxUY+a7XHuuMeydeOc1XecjqgtL32HFxRjoqqwn3FZoad16084Tl38I4WS80R6o6wxeanprtMTh 2VC97EX72m0nIP7KPgFIYe1wC9vDZ+n/0oZ9fozNHEH+mvnzcVd/J4uuA4i2BSIl6F0uE4+NAtHN yEV/ExKfz4kvQW24sM2GDpTNwOYdWr5kE+09vt/CRfn9XXT3Hxym712+m0nt3JGmg5iFtwlBXJy5 rWxx68la4QRb4vtOdBrmo9vp2+ehLFc1J5EyWUg5GP9TPE24cZfv210TcIubmf3ei6MOVLezdqCD XoG90uIeX/uyAsvrryo2quIUIF0AbGr8Xil0yEFsZVJQAmealnY/WFrVUzt37dGG22ppBgJjZLAX xCJMwOPQ1ZEI+oO+AJjmBatm6VaUfNXTrJb6l3oOtt+uMvxzuut1YMfCQ1CGPbw1zB48jzqFwubI ijFwPjbFmOSfG6twuyNjKAAmb+F0J1BubspzyRtSXJPe9tQI/15rKL84g4EI049SVIX9a903S1e1 CyHZzk19CiNdU5yewP9ITvGSWlCRCSICqKIQxep78hPJluoTAOh79PDnafj+h+S5E7ch8Yi81S8k mNyNPEWyix8FLZ5+0KL2xP6p7qIhNQEK7nvpsHhhWwakkJ4hWdkGDp601PR9yfiuI2ZootGmGAwV pwivgNrjN6DuQghdNh2ME/zeWQ+VV4XCVrpa9JVsKPJlWviBCb6wONcO4z7AjCima0Kp0b333Iq8 OrWxqHTzrPl+14kKD4VG7nNyTb63zGyL2QOUijP9k4Gv8pHw7PkHNeS5KIf1oDjTK6vgMTJPatEs GGvcxGJh8iAa+c3S5GMtAy2UJYfKL7m5XUUTv728ovLh/5L9twFx03VIJKYIRAWMKzqy9V11k5O/ waHMTwb/gIhwWHlfXh5G/QLhVwU8nkqlQUuPckPO6Garees7vzJKKV7KOZCwKtml/G9UYosKYnC0 EFYkoizaYVJ9yF1QYAjERDGuvTZ/aV/ZN7fOzbId6Xhap8HoxARa//2BvXzVIMsjTIbCO+HoU/gb NoDU0LkAcnj3ONDODg239kZIWFig3kQ+XAH3YinwlkB4pshsYWxkwQinWbuw8qItT07ZtEIv1aKw OKCeL+S+brh2iZcgi6BFgHhr8i6PZd75SWHiQZrad0GVMnNPPxWOPkrhqX8qRRwL4hUiawESCUNv oEDOtS1118RupGmlrkX3gC2BdoSgKJfKKZlOjJ5jakU4gVeCgTBbvbdsXLpD28HDO9vc6BxdMFFK gi4nmM595tTuGfFmhuiHPjqIwJJsyVGVotcBbbz9f0PgNMY2kDMYBBOhaP3/JXfIbnexYB1mEROe FhqHViCTGEM2A2dss3PtLVhPj/YO0iuBf0Y8bvFoZYMLyZDr+ODLnOfegar6dfoy3I7WT7OIUDEs spu+oVGb+hbgXHd45+GsNaD2zqI/BJeiNM6j2AnX27e+ckBHZDRpFCLOqThVNQzg97oF3n3AXM71 g5KjzjRUIhAHML+GuGwaZpbElbScV7CpgI6TFi60126MkPTE9nbfFvkA/eRMdIy7wE0SaHRnhV/b nU+kt5hxJQY63WEYGNH6lRW4gdWRP7f76WmzbkZODc54T9uwhjNkzOAtPid3LIM+5hFkTkxZUwwD bUWcHtB3N/y5i8QC1V7NBBMTQ640SamAdnlGODhLxAQ1DsfQ0abkpHKITVa6IgG6Ge/V3oCJ1KKt epiCyt1WD7Lh1SQUVRFwW39iCy52Jp3CtJ6wWBp/R9+aepfl3zXkXaJ3MKmdHi34aCtAB+EPX+MO /mTzg4yBDFrokwGrk7c4GRMDrPLWIWdZX9Hh+IOQnIB8aVP/5yl/NQSxpr+cO42/Uf6ybZY4hjer f2j9JhvvTIGD+NjNf5q203zkrLEeWiNuu0ku8mmLE3cpo89M5UM/LncGwuJc3TLz7xynpkBX6Z9B EUM7sOBbFKjwwNdp4vqeYcDxEzVUNXC593b3YzW50QuEpKkuy/cnMxSPHVSe04zq61NVTrvBYPYs YYXHTU42zzpS2sfbYUYAQSIC56Y0KDj0zhkE1kMettVIQKfuuSOFNubwju2dIBDm9I3WItPibNGG hDqiB33qmLLOpjMZzgcHQruZ6lja6Y04t+Un2YhmQiF0zInlCT+wcVSWADby1D5vfvLbEXdo0jYT YxPrYZpNgATsqUh0vf2loxOBY73ZgM04Tz8WCQyj6kvWQ23JFatHb3mztE5WY/COIfjZzCM69Gt8 BiL1J5741DYKDGNmaOHlFxM701VHFKabHZbj3S2DgOBUwawxFTb4h8HwjcNOPzi861eRaGARB+l4 w82bxOgdroOpDrxi4h1obQl91d5YW4mig8Rc0Qoqg4ZJ8zjnWbiwrV1VX+gn+KezmbKSrFfr0m3z JJSepO13ElK7SQybWbGkajnNfud7OL144mXlFtDnxFTb7iU6AUQBPMD3hgE2FrHmANw92+i7aiN7 sWb/N7uz+Oqah6RfzNfSitWcMuliNX0PQVW3wSYs45Dy0/osJOoFt9HvXFKfCe60UerkeREnWUZz vCnLFQcnrL6wNJPqZFP7o5UE4vTBWwbhhRQV0W2BjnSTOZyFqE1gW6J4umb6MSeztJP/2YkbJ/11 2nUtuOLOSNlgYImZB+lKSaDEAlTHHmRly1MeXO/3DEGHmYL6lxs0Jek6tm+AmHjeaFGm632pmW3q if1PNt1BM6AIom5lJjMk2MePyU5NKeSuQ9nbmTN/yzRZ17V4GSTt17MLIMU0UldUHNfdiwr5vsP/ Ye7Oc6uAwkeoMBZZ/9jbDOU93/vtLFii1n8mSkxW+iPRjih6ueoRra0NPv8Dm1U4nq8Nsq/iTkgm zXuEdvHJMyX/2UUCNSSEh4sYksJKy7KhDb3F8fkC/p6gq4Qgo6oG8v/Gl3q4c1vW5B/Yn8ywyz1L bLfItrsqSOm8sW23ndaYKcVALa9VGfjn1S0zY2/l7FViEwWeQBVAGgbNP/lsY1I7vTeEIleulNWu 6LFZ939XPgmq6ivVj8SCk55F3jNVCUv/tTklPSyrKWn7KRO4d7cXb907fWbLvbEFA0H7UON4TI5G 1h4aJU2+DYcOKPYQ2sgtYrz2FrD7h0uRnOKJirpozm9qwa3577/qYHN4e8QSNnrShGSK31jMp05J unQ5s3won28pWtCsIOVPE5iyJN9fsP4zM/gjMa+WdOBKPBdbOVkssjop1e2doJZ8BBqAJgew2tkk 2vIxeS8P/oOLclWfwr9mReCehXqRRzlBitRyppevy/J0VPYGCGbFmaDJjJCqmvSFpp3OJpQr+lqy bJZ2BizaoTRSOEKnEMvnSNQ9+YGdRuTKxj158ys8knAGmMhHaELddFlQvLXTiaCLiDNmIhPav16y Hai+J0pLSMGEbgkmspYlZysRASoq1JVvg8vvYbbzIuQVALPRtYXjNVKlJ7zX+hLJXsvy0e8nsq3U YAHLaYJlvQaaMzyOxtrfc+LWfvFlntwCVEa/Oz+O+zGWchh32d1RtTMrS+1/s3reMWfgqX3ejKsx 5swl+uyHn/3MkQWvk4W075gHIJ97DR9MJSK492eK3qegKUiCGDsEOGyWqGF3D/NefB0SSrRZ65UL OrtRt8pB74+3bXbYPwi5mWs6GyYXp/nb0X2AJAsbKnq3VzeBFH49m66MWz+VMtA6e/GLp9lDLHVt O4mTyjCQSOyD4JEbhRQwX1gP4zjmepvBa+ZNvW+GRWiY09pWYaXZuV4qBBm3YCyK/ghGc75BUA6A 3NppoRCVeB3aIS3scdBrmd9P35jrTf3rFS+p5hbGgiQqeim5hi2s5n7SI+cYMvi3uovO7sVFnBku 17FWI2VohmtAZ/WD0oRm0W++j5JdfS6kUEIQMPC1gkxb1WnN330avi+f1VLDdW7m0whI5PcuAYcj GNaF3cZoOpoRWbg25dbmYEb7cIbTfoJhpFppZVmD30DoRchMbB9bXtqUqOarN1hjs32DUDyeNIqO Mn7Nh+fOFd5o/EMFF5S+pny23o/DBtShehL1ceuE0CCLGrxEtg2imPr0qEHtJCgdOrmnPIsl8IEh 35ZtvY2Tph3WdWC8oTzJhkCWcb09fN5CKH5ZyahzoeUtFm+hhXp/+yciZGAUZwzydrPebx69bO5Q AMbSCWH0u2Fai87FDU32ehgXOGd/gTt5s5kr1zawG1FEXnq4XYwnaOVSrpNurjd3Sonbf4VQLJ8D uexXRrvcCkKTgAht3s8W7g9LFdGIkYAS84y4yGdvky6LdBlosuiSTmhV4MNgXtHHrjcGUKiaSvWC 2Wp10R41OUCdzKxjTrIrmWSuPmSCtxZAr9oddmNLv/FCDTObUyegJeuxS41tt104oua4kFTW/hL+ A01iFVnCKNIUNaYL9mNv49fa0l5j9F/p8nU3npFDUbdMNpMQSUkk2p7ZAosaDTsW+gu4JvUoPBFT yRCR8vQeZyRj+STLodZFuDH5o/fLYV6g6WKOu7lsA51cdzEciZvd9Lb1bwJiWeKLoqh6JgMIJenK rMGC8PDTlue2/2MNGUnAymVXQAORFsivMfkrF8iFMxvOpcw/KYQBFY3tei4jXUbeaRLwPscUDuH2 IwWd5mAV3wcID1cDTcAv1YSfea2FRbOu183DjKndB9kkVt6byGjMIVoo/X8ZZHc3Bh2Wm7UhTy1u FUw5YFNoAZQmVhKo5ZZybpYayT4Em2CIOxQdDkb7I9p4gxuRUjZVRdXCHEsr+JU/qqj26cq+zozO Ua0JsHkGSHXsM64SfuhxdaEwPEzURkrw4s7ZWnFvQ3b23wBb16nfXkrG8jP8iE7upQ+b6pxGlb+B mNaVaFODkctr4rNLEs0FLfrNtxRaoth95rGzpM4hNwoX3/ZJEKBKLkIW0r5/Ijj+5b5IubGUPqjd k1GGJm2+xpBVdR3bSsBKZ4DLAOKeMu2VKKmmP7ORZon4JI+L5Tn+GevJt+CKjSat2rus07SZbcc2 jqUGHgTAcO94Klc8uUCwMHHB6n2bj4UT/MaF3rhWNCExEp+qr3opgfpyM55I9ED7/i1GY0EYVPgJ Ap9oVV3rwH+o2+yrOcWZfc6GMoq74aF8JslIULVM+2qf0DojoFJKW5SqLw5yoPTr0KUVuqABdGFx Yspu1tIUcfFbJyrPA0RRzu1QLpuvI42psuWBJeFkA0GQHIVORFPWstxsTcalya8cdPdU3v+iltpl v/SMP3H1Vl4YrgPZn3OhfmyZjF3v8N2HxNujIC/OsWOVeUfdSAPJH9x/U4MdpygcA5KmezYvKeRP xB/giq5JBQg5H5Ujf76lM39+h2PeUb4iwtaS9UEeHBuM05PL9aPFhCKe4D1dHolZgEh6eSa7TRUA 4DoHLQ7olIsBirWz69ep5WkIVSONjIEPswmvovc2mn3NiYnkfU3Gkog5J6v5OvMWQNJFuGbXogGn 9HHiaLgh9hUbczpmozH/nKUbPVwDpw0NYtIb5ADETd/GSdx9QZ7O3P5OC5Pqirmsu4+sPKYqdod+ GbIZVOyC+HUl6WoGFA6itaX6brdi8kfvMs5uZLboDf5tM6b8qTq+zESQsrpKaEw7Nd7n5RQQpKDp yE7Bg6RYXcygwmu6+4V5AaPLvwL7uODKb23D4tGXjFwpp9zaTz+89l+snEQbdFU3ohmw/yyz/EMu 5mRqE+mXyOQBSdAe9r0XlsCOgqc61AKfH9xk3WPhUaGBeG/OWg0AXtjsSdDXyNUw6TlMstvMfsjI toVFU8MPbotZt3OeZDFvS6allPu3Nm2/VcCpo95lpf9loVBH+tWvzeiRTN2wASYI7/aUJb5DROli gtEtTz2Lr674nCqQVV6GytTtsYXqtDoakYFEKbtRjtxUM0DpCaEYvaLOivvnqbFqfmW3eoHUuvjj ci0+TV0fjtWJwYpq+gDoxj7YK1hYXQg5bfSLYbd8128+6gS5z5P4ZPCp9ht0dsXKZ8TA76qRuPhC xrprLRJpaN5HCmUvvh9uriWmR5sKGDOmrBIk7EjjbxCbSlU6f3zBg93EhAMiOy+e7JrP8Ya9kB6i GYtvafyFEuMAAZ68oYwxC5XTUm3G+yKqf3NMcasfdITk+wFDp0ZcwsekmyOn3b+V4kftznm/9YsV oO1u0Pfxw83r2WWXY0HbBKUG8OWky0cpe8uEF42mfplugX+PkS8ecki8Cbj0fY+5OpAag9ztdK3H 9Iysutz6eNtUSSQ3TIMPPUf1EFpIfE4paPGwqmQPkC3G+b+cwGIFap6a+iNQQCE/I0zGvxnRZlx0 PcPafonOBy/7TnGHIZOoVGNO253BRJWIp1szJCpPLkSLRkKmx8VFHwG/5e7v7wWnVypYfxcTRPg8 Q828dUlzi7G+VmkvaE31YV+gqehUlf/15kYZVBwq7zxZL2BrwYKPw4wKrVunBD6p3ohL+jXgbxer PjLE9iqADB7+eImMnxDtCluonDrjYniKpW1LxuIniZO3su2XoRY5pOvjX6C5QisH3aoR/8TJkdfM fN3xgI3P8vLdAfwFYCQUnHfZTVyEqmL+kaRyUA3NrPMpGgpfqPtj7gYewn3Hv0ZhOyYz23/jcSFM htS5iFfqIhyHVKw0UKl5KZM9IE4g3y3IVGjS0U9lKrDumFGDeAZ1wtADTsx9cz2OVDGDuoFEjUMe cB+tEqHe3SAIOckGyfWrO1DWyrT646ucOyX7blIBh0pq9Z8ddeOKHCw7BbEaT19XYUSzl7Ofa2tz yTdgLURytTh2IV7q/YfIL4htgouJ+wXIJNYS+6OT3dFIozUaI7R/3o8iHmokYtYR3tZ+M/TzVIcO Wzdpnwlv8aGyMxtFOoe8Hch+EihjyyuwLrk5gUiiHWWXU8Ml4WQN2CVKTlBw52egmPjGl1e7hdio FiLCFnBVYbwrdbCEZeIJpHkMVBqjtPhKVrl8GC/zPUpKbMxuS6a0v1qNJgqJnLcm1DG+A4rGFfGj uJvIUvTyyHlfI+qPo6q2cxcm0U4+vI5adCGN/7N/rqwbbP/f1Ba9THvJSQugIGhh7oD3YkbE1/zt 6xVBu5Fv1+iu/aFl/VkMhYBPiKsgY7ItdBKQuslIP1Ks8aouc4yXd4HoxHn29mki3Lv6z5gBGtpO QxFH6FPH85u53e1Ddgk/1iRUw8g8oEcerLWpdN0/X27ET0zzjNrDG8COonL3ODpIrAHTfZmYc2cC Gv+7xCxsu5h+WCWl8UL+kyB3jP9GbJys3lnodpQbnEzHTVu2Ihpso7/2TEHuS/yYOnLahoywah9i m06eBcHH7Ss8a4jXSIW+dwQgeEMdg0IJFoP+s+322GxuZ8Uv2hfXrs6f7GdalQdTHcGY/QuRH9GN Pz6soelvpus6bbJjd/AEbTX7eKOS66DKvjYb4PS/i4TTWoPiStCgrzSjjxdJRsIJmIf2PMu5x5Jy wjW6wZ1H43wiWGOwNy4RW+75l9cHl4qnlCmVj0K3ZPT6j/hQk2BT2b4rBVI4G4+Oyw/GGZL1O0AT WC6NIciKZ16Y+bLmWypwuDn6QFIfL1p/S6zSMsbmvYMne4E8m5GSqH8OG1leBnuphCZGEGfd3+k/ MIslmo6iInF9Ib8kG2kBA69xBNNBqsyeiAmeGFiu1IeWJBcDutwilIHK7txjHwJTJLPD5IUFU9oc qqDNP34YfvlP6Iwd7EifSF/3R41ri0AtDKFx376VY3Pdh++A9TCQntwBgQq4/iUptTNugakkSN2K NTpMTS1q06w+6DjVVJy42CVlngYKXHxx5G5ECLQqA4dbyh2Zp/iG6mi+VPrPx8r7P/Sos7CoiP5b ZI0Aywu6B5auRfvh0Ta3XmZpCae6dXSQfc+dqZ1f9AW0ms0sqNe8ZBq30ML0jEiOLgiXWyfATeLI FV+z00O1LspHuttHYVtV/uudwBzhyA0wC+qifzMlUuTY/2eLBZGe1sATwQP+wF2mpCi+4x4MzHen rKrBwc95W8qA/nARNC26giyGPn8KTaTAG41huudPo0q2b8GoWnNeGbnrcOaShY+/XQtz8vm6kMKN MZu+8IQyVR3a7M95Mgi4VDtNRxpfFvEjUa5qoAy3Sk2fRsGI0ArGY/O1x7R/GjtlqlFuPX4QeWCd rmwerj/1R+Htd5CyqIEQlJJMc0U+Wo9rJFlE4hx+ADFsb6iGkVY4eJFFu64Zt05FWnLF0EclikyI 3QG3AsG56sK0IvBpA5zbXacwqdeIoLyzGwV7AHc+07sr5H66t9lblKJXoiDRHOQ8bqj22TuknxbF /zxPCOo4HM1kZuHL+4VNSWYVtZ0YOGMbUjXMYz44wU8iTO60F2ANO/Kf7AVaI2dMwvz9qG+HQHiu jBkFctghK3hPSsLSNPANPA6RP4maOd7/w4jLiquxf/1LTJc32Uq1pROPdIIzPCxKzqnW4srLemUQ crJs4wCoQ9ZfDGI2eeQLofGq82oIKyj/Q0QG26Ydo61r93U5+mz7LBXotrntJI4ExJpUl2LBaJSR IgtnJnowbuBCH/u8jm21fR4VnLz5Ud2OAI5MG+eQcxTlLSOLrm1Wd56hbrsh5jiMD27PD1HHIGK0 elLwT5xo6uUP2keGctjEMIl+Fps+sxUppy4S5lstiq667L3Rg2RlB8yvtc/lPYDG2RKlAm9JKUKO j+K1rdw9tjUbFZz+aOOq/T38Yh1J/2+3fo7Y62r0t5eQKBUSyIwRaIm1TsQn0TOlgxGcWzkVSACo U0Fr7ak08sknSeixwwN3CU2W7CyIkcYfMwe8Gj0tsON5eHTcoAt8MyWwk2vVGEqQWgDtK+6jS9yq vyaKR+4ud4HyFnW/TIiuSkqI0PiLmy+AtmjIu3wu6Snsksz0BZ5Ti2nDlv1GPzyI6L49e4iyjW40 lF57uQuTC4duaK9+8FdWFntk6DZHEwIHpa/uC3vFz4LVg2DkIYQmksQFeyWK62sBhrwBZ3PUosJW FMPC3HQZ/12N/e+XXwbOjYXlCw6UdWZnAG2NgWw39atfIKuiu1WziNuzgAVZ+LLT8rm11+odC1Vu 1CFCwwHpHyT87Rznd5LQf5/G6CO1M8lRtG0YnMQmVWK0vj1ldtE+Z/UmuKMrhiJDfuIC5wzfP6Zr RBmKLvfa1cX5PrIjKjKxXeWfiO3+WiWrL0h2IZSRI9ogoySUqHNEAE3iOKGL1P2uDarMDxmBS51V YzaekgIo2LCr90n/OQzJSmN6FZgy0gz6od8u1YEwLL4hRZjUjneaaOp2mq0W187pYrhhU3gc3gpc pH7wq7PLqtNAdanGLR6j3O4TEW7cOpTr06cM8Ls/Zj9pfvQodlr0OWrtPuC3H2oG7RAODs+g1aqN 4+BLfFd8g7bP1RMsxX73s1asyDS0jWVPAbF6bHEIDzgJGc1qOMBgUqQU92fDhl4Y5g1i/g89I5Yc 9QnmWPtlNsy2lImY3vfu+B72mnYZvUM9SgpAjIciAxw+iabSiR/uqaGmDqHdtfzEwwrR4g9pMYrZ sQ58OtW12qyahXUes1fctAFBaWwePq71t1vv4PA7UoitcWxW0Cnd5BfUX4nzmjgVNSdj9LkPs1Bk G8adsRTLfm7soYiRCVSRj6s0a4bumqWMKBT14dipNoTMQy/E1IT1FLNY9p/pwp/uft8JYR7RXgBQ Mnq6OkZLQD1yo+tzY9J+BScRQRvxxSdBU/4BA6y0KbMaVAGIGD2MKso/zvgbao93TcjBtFz5sLGC chgNLYqnKBLt8ZDmj+himWSzLCRcSO7nFS02jIZT165umqdiCnv4gAQGxvfeYdGUaFk350czqxjp vVUaLC1yJ+XTfnsf28s5ENjtU14zZsMPzD8aaMlqKoOz4MOtqQxkBQWDjmt1tQlx1DQ0NT6jLc3a EQQoZir/5Bdfj7W4SVEm1LxWumZWOSiuVRlLxo0g3ZyocAG2HBJbSBNq+7Jf5ODTMHCcjpMVulmd 2bd1Gs+CXuOEMrUCwRnZOOtqCR9UXJmkQqr2XgPyVsO5Zjw3f3z2DMxS0zzeWBL7Y5JC3lU3s9VZ 0S4ah+Kpn1ii9tZ5o1/deQN+svbL6VfRYZPq95+mIi+fu1giImiKR0gdCvKZm7zC20+1uJFBeCft kAKGbLr7VqEgyyK5wV34HdaTOp6jnXbUa2tG3sIMRP4rtCv+X9UadZxSb6+ys+g5F6Q+SQ176RHs MHNAWMYlm72aIzM97pEB3GZ6TQoHqNTcR+1LTLPwLK+pBPvjY8Hk355zpMrVA6bmal9vrxDs7B3y U2e6C0ofqkgms60AGyJng9ydZ46kKCWybRdpQALdH/FUXnNC6dFRx3HZwQAy4JcrcboxMvGvxUZv aqlCwL04z7N/xLg87eBtpWOiUQ6V5y36sVorObNCXx+GVtowpiFHCPAk2xGsUFlEv2YpFX7swD/u SAH65YDgQNlF39nLAeBnzRvuvZwMOeUF8OPWTC6vMsn98j1u93NG9AG1UbRUipMf6+Ihw08tvq2N 94UUvk5MHzGzkOmSkH7qN1wF93tKZm0q3LGmChx9VMVHJtDg6dmzQ8ZzUsBHCFFudnqqz+gPpaNm 22qBDooDKvHVuJIPbmq2FWd9OQ5Ta/A0imX3+El58RdAPN8tEiZsgIz9FKVA4Yr0l5tvwNwBnk2k 2pAyhA2HJ/Pv5zJNgeXqHw2phYFr5nJRDwW64CW+YU1ZkL3ulWiIgnoybMOTPnZKAlYaWKrqv0Pp H9IFKCDjBigdknflwEb4zeAm1HGUNlcR6bv3Qh11nO8oOE0EtVbBQXJ7yb3F+6fwSlErtTGbMGMz VQSqgFBXL+XT2pZXwH5d/T1/KPfXji7TyNqJVcIf51hMgnjQMtc380KgMMeyVDEPsJnTtjNSrkjM SmUvy/dBbgJFWpHUd21TYlCbO1aiiej93AG7xtYMc+b5yGmZ0gqAcZTIgLkU0U2om5BD2xRCtFwp HfWdkxKGATPsS/y28pPAroViG8FCOq8+iatZGvDrbpdpMpLpDriF/ijP3z1EqF5DBnVQq4zgF55C AzW+Mrs/tq5IaSBJC9PX5u5gWMLMbt+70+xixE/Db16NA5MWUIIVoJDwspdy2PmJqu6e5ki28v+d hMD2KKXe4VWb5pNXtNcbuiIeqeXOgUR++GbtN7rO5nZsmb8o2yKPeGdrClnAjlJUbKMkDN0IGkgk 30LE7f3pAWipUA3BYTSg5RDUyFVc6wGAVorMZ3JD+HwMXujzKE6plhredEiG/UK+o/m7bV/LYRg0 /pqk1uMXUp5qDMGBeBrncBxvGx1fx+8jJ8iPIgkGOGNTybGR6aV4kXg12f65Csn8CaVn2ce1PtNl hG4okFYSj1Qc1wTEPebmDV2YCab/VjuSKIg8WXYNsOpCp9iAkpD4tQJxU9LQnkkfcD7/QT0D/tkf ASnX9AhsIQXFKorgpEmvKDfgGydBTFy1XFUVZyvKzAXboYVCeSVBe3LobsXEdJisART3zrJ/6d2f nHdbER/jyiJcDsXPhChOipP6znzTOMtdz8RB1BdKeHW9w+66ODe2YOsZ+sBBX7VocBXIHXuSowx7 s2tyVMzy6jplvYyIgLXnax7UilUkZJu/rOhPRwKfaz7I0BGEExaSWW+mQRifrTUILb0nQPjR/t+v BZ4zKKf5jAM7QFRxT/m9F4ZO+vKRX5luBWfOjzmeOAyud4tgT9OUZrJKC9egFdmpuzpSprXAF54m qrQANwujikxf9aREYMN6W2PcSIOI0pKnp0CE6Rd3aOAws7GKa+nzYD7leQmJ5d+TYZLSKGzsTehx aBOduxYz4CeXUcLP8Pb5G+dRP7Zf3K9Mbj5qwYOLBAN8gNEiEQeLs6vFa0H9RvthRA1r9btg/zTO LR3SxjM0TO8MRR74R9zdqpgvqclr7fMC8iByO2jwLScKUoYrqdnVU0DMOk51sWr+rGXR5OC+deUP hfjXo6jX6h8j66Ld5Fwr1UYIKfTX5/k2+zI8plXAklF9YkBIhJ+QPfT2GnU9L97W3M+vkfBjOXl4 L52Bnw4uChIFzagidjKyFE2vb4i3MyA9mVjT5LMmL3fRITfyH9EBw9kyrt4hTYeDzez79vNWmeiR M4OSKQEe7jJTtrjXtX+mlLCWbAFw5xeXgYYL8IpaSTdvOMN0PwlID5SelMMM/8xi8R0hwIslh1SK r6CP3f3CwpbVIQgE99MfXFkBfivoVfbWeZxfkcckw7H4lJfn0LxsJvq4UdYcd+DNdagO5qxX+BR7 qApSgDsRkLB84YAvfL6an3FfQUUC1FwX6x0kkBEV3gGj6XtEqqMT6KnRVyJxNVeU96d6BTaQOiAT 8bdai4FXDE6s6tcRIlcLh/sNaXO6iTaGi1Yhzka81Sq9ebor6VBkJUDnN8Xg03k7P3dNisCtOkQo gOME2bSj4UOxk/e1ti6fXfxPzDCiCis25qIDpZ+Xl0jVt45i0eOrGLI0JSbF/z7ky9tUymqZzS3t TXuSNPHNEvS25DRuNypCHj0B9dv7CFQIy6fiTff8tFk/xXQqRiHS3IELQF44pIGXiIuOar/howjq K7ZdZdN7aUv+GsiL5izidEXVcza1aF32sNuW88KTMeDpxhDLaNcgSZhkz4Ms0ci7cvLEXrBi4GKk BwfirJSkfa099qogTndYFWqaUt9GyskPMoiLvPexTx7FMSlTo0KKgrBMTbe7rVJMl0stZcAp0rLO x4nQr9e6z/M+SPF+cvcARB6h0dfK58BTmMBsKIOiZdihFjKCCcWOAKTSsYiNK/egshISgRrqNTL7 LGIoEhBfEqttE7XC6kCf7/GKigzBEZou/LmbcwmmhFRRjqZUxSeHF5Zeamn3lM+0T4nun14IwzQY VeM5qfVYHONQXumXilteDqLIYc9Ome3XakyB7oqAT8j5pksVndyqKBrdW0IF3FZxm/6+wpnJTDXH ghzoQzMb1BP+DJXIwmgnErmc5SgeOZlIMGuHPYXHz/4XIwQFAYkVnJQymTZwna7LVDVsi1TlX3h4 TU1VCod0BkKCxVx53WBkPuh5i1rL43lcmVO7WIe7Dr3Wt87nnFyvy6ssdeUKgqfb0XcBd/tXRpD5 CaRTC6DtwsZs7EebtiNl+mHpUtKoesvuebTWQsiqYu8CY+3W3z6gSaukeETMCel7gTQA+TUAdDr4 gbHxdZul/OdXIRciJmKKtMJsuT+hykoNRa3jkjg8WZ/k6Cu+pdrfKsKEbXmP5+MOtrzJW9rY5LQa tI5OzZHTBvXVxRjrT28Eb6If651aNwRkVxArCTkULfZUg2uuz+VlHE3hPmvgETeCmj3wOwIKqf97 viQ9i/00dsTOVaLS9WpsDN17LBB0M/hOURQT5p+d0EJWT8fT+rC5siwBlaqH52trhquKDDUy/UV/ xMsA8D22392j5O78P0B0FncTHMvVzYakAdBJkF1F+dE/I5r03Gu5y3mWK2xgb+9Vbpmun5Yz+Tpn aoKceRFWWYBLuCF1CQP0CeD/kegAhxaKoEFGVBFt4VS1A2P9H2MzRE3wViqc6QRZWDOyxvc7m4bo JphIV/1YE4ljhRqcDTeGEzb4rxcVmYvtC3rOld8jpcVsYxFUZ5srZHPo3w2vOUbWjLO3DPxUoCDp lfs6mjJr2ZUTUFH5MT9Su2hzeCkukhFucBFh6vkibyE10MBSd3lJABS8C6YZ469IbJ3a4ngvUOC+ vIEj5G+C/xGM59+G8CT5q1GCKXvqSuuCvtFRwJWmFRZg03aoFDi+E3lIlQwS9VyDi69/Ha9Lb2AD iygU0qipv+gpAAWFwLys/E+l/SP2upOsyt1hCeSgw3TM0hGIO2G/jF16Q0wpQTFc8nTU4mHW/6Ln BqLlSDJuODUXE6zpaLxJeP4B8kNSyDovVRlaCgwQkov+kbJDIBBjL1JpI+aDKpjJA8yeFnKSVstS cR196SbKmG8sb/1RzR7Z6VFKyC8Vf1DnUXC19tCKTFCYY03FpxxX7HPzqDzyQdvGwjOyTjoV5UrG Q1OvZvIFsubkHQVX6AJ9LtBDbPi5SCft/xqb3hz4dZ6Ne6XVkv1EkPlui2iTuIkmEJHyF2rIuD7B IVtd19xZ5iyXitkCju1iWCVCBsyFOjKsz79kYH4F0IA7uiyOqOxGDVYrAxXi7JnWPFN8b1+ofJSg v5Hr5UH/V61aenxKbjdoBloUfKQXs09YI0njydkd4c1HVSVgVfGcKIr9RnG1IhtvButVbyLQ6ghg hO/LDEV7unEGgYuX6ztcOFAVxUiJ4V+6rBtgng7UXpuA4hYAgt2PO3z+QnbYEzalPKvhxwQHbhHm cYhA3PCscnUavhlQYkgW2h34zYjk4+ocLVmglCwSNTbxQu537HR3sUaXPJehTmVsyIHQzFbDcFnF KLV8NsZcbUXdLt/mzVxLE1jVsWmLL1N9DBcJGoq5XCzF5brUTzdjFNwZVU9docsEHbDjkTHUZzlP f44DqU869BUkpOoCaJIpj5/FVLeUI7aO0QkysUr9H3mMzREQxLkI+soWwwrNniFpLf3OzDuyFq5A iBvuzt7Ts2d5wmVfEIZX6IQ0kWNGxd8OawJwCgoGxHniarRFzFpkh4Ij4+x8NzxRkfyd1bZZ6G2h 6E9LIlhJFXRKPvLFvkE1XaKAZW6L4OlbZY+dYc9wqpoOQfNVVfRLdwP3mMoPs8eU06Dl5K5gY7DP +k2/NT7w9zx/+Fbh+KP2hehLfDfZU+6x2IEZ7MvJCGK2Y/K1Q0w8+z0IGcQkPVy8lkgbTfJwEFBP HpI+QEug41RrXCAcGyXv2byd/lxgWSo6xz6UP/vWBKhpLAjo4qAYKTeTtXF/FRw0EFYc1Auo3qUb 62x8o67bYBUZzqWTWTT7Ku1knFuXJ88qVokwshaqZfI62KwnKoquDXtHCnr+SsymjiaEDrcaSFBR ZcMoeTHnS1zDpdnbPPT+weXsD5bek9UDLjkHW5hrF/Mw11lM0bp0Ar52eA07Ob5SMTlOPbnL6T3f JUayb0S08tpq7gG9q0gMRltUEbQaBpqlgKP8ALSjJ92GxYhTaio8RxRV0pCZZ2dbEnOhkigMTj/6 JNA9E6yS68ZMoAhDGZ/Fi4imfvuhaFGmGtoZNSgpERcmx22J5OLpa6Z2WTJFB1+/oVPV7kZjQYfc S5NmRBY0s9pPguQADkqq0YBKBcg/LgYZMDoCat0g8NA6AAHmgy6SH6HX4oVMWpqFsCzlQz/LPq1W SdStPJx7F7YwBtLG6Dk6bt2Mt/dUNpu58W2Qqbu/1vyG8B9HasRhELV7psh24b+ED6hLeeTrIcyh ee9jjEdW8u0T4g9TzDtKOsIXejiL2lQp8FCJ7IpxZs5ocTnHAh0UopNhSSEwYh0m91t35NSXIecl EgIibEXF5JOrISpHNtTRpztc74fX3edd9snJapnZE92hGOQos4K6jDwKnRZ2VxsLSSlCYcsL1rP/ tDQ1MAWgMuBHIpR4XBDNFtOHbn1PV21DuqSNVqCfEqW3oaAE5Xi+XtcBDxkIflwHGytq9LWyv0kb ZSTSkaowbR2y3ipWBTXWeKP3iV+72C9FrwEq53NffwTxwjgXWkb6G02GTN/h6liLN/suv0Q7ywjs wvV3xPeFShF/uxDLs0iw/zycqXpTT7SMOiwPWCfop/vnaTdL+ENBzDmEW0cmocdeSAR3IGkfn0gu NYh+9XEMPqoOsINc3VpNXiqtTWarog3U64AaUo4Sw8Yb+Ks67OhQ0a1F6T62XFpN5g6O0oubsX3/ POA6PV2y2HTR2jeIvNXCxFG6/cDesff+1QJVJ9d7ELAP7cUJkagmTC/ND6Hmmpd17npY2XjsTMsR +mu/WwR/fX/Q6hpiAX3NQDQ/D878jYL8tNVc7XXhqvWQZ/TRQe9U6eBFDuOyRgsL4ynCMBf5MAGx V4ha7nZ1q5jQ72fkrN7/ezqf/Gyh2heAWxYBa9KOLDpXmGO4cWHMdUaJGMA91qiBddNUIHZ0aEix cPUxGWWuoZNLo0/SCfyxupsWXglKPfCOvmsOXQS3a1SoL/G67ts3KaajiACYBWjDeyO/H3pl7Fv8 kYan697bHVbEsx1SIV9qXxTB7q2pJuJImRLPDDsajLKkx8kWERV6GPEc4qGPjX7f/t5QCB0/n0d3 iYJeOV88/ewKZdxP/g4dLfkmRK9pEIgMUo+oy+pu2yRP+IXekD1t4je5XBUrAQ9+kDfty2GGEAjc TnSV+xuI7GptX021w8nw+KYEPz7UOJBESh9dVqLN5xBY6QVT8IItMnkTOclM/hyzCB3dija5fQzn jaMwbL5GpCBhaVRH40psPDtTGADDdIXNrWk0yeLynEtOKTklqxNPHCRKQqlAmijwqNuoNnkm2lt3 vPAA72U/YnMRWG/Xnm1BN8HErE9U0OkaTb5dYVkTVScqVoA6SYff/K7KtSBt8zOgctbwTI89/UzH sreJ6hz0onLUOHJnN+qlhwJdp+5twkUlHDE2xy8xXwTGhWgupOKvrCamKVk4TVe2IQ1R6nN+tskO Z2J5o9ejZwY307KbK0H5nbDLux3j0lcKtshdLfGgTrswCXmBg6VxZELcNoLiAbQq76w2iDDOjg+Q 8WBp3haeMVHjZDSi0pMWmxE0tjnZnLBulajQ8ixMcYW79UsWE0bYwWAcV9ckaCNSYuH7XL6C+5YW a1aB/kHIqz5FzwhDOt0m1NJfjiLseBTCw7VBOe9bpuIWc9ii0DAO9eINDY9j+Kkx/vydu8xkuHRP dOxteWuyFOGOPRQWX6nLgHh/JQe9XW4sx3wx3J6j5HCd8558sFaGRWNMLs+Uz8chJLWujOz4nZJN c+KnIxCGd2Zlqv8IGAJjyDHJXLV/uWdvwLXyCV5BD8g49MAKFrbLkpeN8s/zyV09QDdu+blfB9j4 TSBFoIwCTqx1dmlfoQ8QU1Xqnw== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/blk_mem_gen_v8_1/blk_mem_gen_v8_1_synth.vhd
27
68532
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FAkw7gRmEwDx0cT0lLfFXgH94E+u7pXWs5ahSt/pzljIAtlVd5PhOu9ztNGUELVfoO4Gol+zPLUh TN9yRctY4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OsI56UKE4Z4O4++RpLw+Gr7y1Sd3eUkdDGmGZYBu0aWjoj+iDwzKGBcBG0rF5D+4LwCAgnpAGiys xLyYTz/ObATK7L0zNe+Mx/H+/j5j5SXpNvpcXkGCWx3Mtg6EpqxneRyrD34svh6fn9QBg9AkFvdb eTcam3dZU+Gacfm2Ivg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qc1VB803xD7sVBXVT5KuCy+daGAjeSNtMgViDKH2bpJoW4aexvjdVOFa9Cn3ZQUudsfzbRtbOfND 3qwRkfwGKGa/rWJp/b4u168LG7R497q3mKgxz4wZrw5VVWth06zATVCPkvVwwcP1aVCYV0wxe3+F BcZo/LoE5dzRftELWM1hbxUlZMlSl/apI9c5DLD1ZPtssPXqyfH8yGBCJ6IwpqThHkCcKlxPWOFY XBErOYYrcO+fou4DBovYWIgQB0ZKOhCR4cvN3q6rg5XOYT99xP70Y8jdZqXKRq3PuDDZEya4uwav 9zgp9xA7sRjUN5/fcIvFMcfDutvNPIc7IvkzWQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xeydwtnivo2IBZhciZFfy3r1qoKk43zuwlyfDAWr7E6QmSwqVQF5VHmc7oNu8/L6oqsi8CW2guof n3LQZ6J8fPLN7CBNStOEImWoOU09vnECk8Bwe5gJEo2CSwnqojJJlM/jtH5jKtWnMb5YecjpsAkT 3bnS2U0oIgAvNLFItdk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QglgmN/aSMz0M17AlWb9oRKStkdBh5nVOwe4/WnjlbCHuTNXWcMIzqLlv5JcAmIdzL/13EAMS4W+ LbXaFXFMcWHAzC/5AZxX+CZbwE46qfB6uGUmUBTFEckk+Ba1aO38uKX6EDual9TqDkiz6OPrjmC5 MifvdDzh7mlaB+rYqb5sjxUWUfJCpXIOgO6lavL3535AS2e2hAYpmi1PB/ejGTuva2r1NRmDkiUk Uq0oiyBI4sQwmU7gFF9pADJRyzpgRQuSICfI5NAGRTR3by64/5TeOArBdjuY9arezL4gMGXoOIu4 E5vrAQOLZikLF7X3/wpaihrUarYdJnuPPVXNaA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 48992) `protect data_block ALca4ZbZQm56On3bvuh5B0WS2btoLMeZbel4MsS/dwMbEqvPrwO6pq97L5wc4EmhtS/RA/5CtXS0 C/KdIWC7BkAWl92/ez+4/cbcWd+7u9DrpphsTFmNVafiHzkS633Xmj5EI1L5MokAFlz/7qoPK8XF uCmJORXnRP9WqVtN5DAKcMyDjAXYfIHl2oV5D/6ENsCrGMoa9Fxl5JWlLXlVbtFdZXiNEzAO2YOs WmhWGlxmSQcyPv31c+Xy4gkwYEF08+L2utwShGYQ5JXcipkSg6PO+U8yC52LDJazFtR+N1T5Vky/ eubJxwU6PMG2TD8v3hb1hJRn2YaZAXV1m9EibBWmTng7Ymbl3W491k2gI3wApODYTEc8/k/Knvf9 Vbp7ct6B/gjJt8UycvRJrm8l+AQILxR2gLj1w0lLMi7i9dKmp+oCsjTiNCjoE9cu92epiyx69/JU HI2dNM7AUpjhU6KPrOnawbSUyR0MV+IPPbkVGBsPqb88VLAmG1gwh7YPx+5QePYYVl3gZmMWiJ4V 56sXjlNKYqfkB7eldyPywnd7jOY+QqR8I4OODMa4uHxACyozIiCg/7DDRYXhyCYWrRpHyDrpm8Vh DmJRxUuMXwwYVvWNSuvAsCnCrFBdXg3ReeXO2yJw44+XgVhiIO8jRUDRlnWHAE2m1nrW+CimWYJv Tf1b+Y3nDflswoTr82hf6JYK51yDq47R1LxQMh/5T+wIcfD7OUFYJ3zRoJ9JIsXaEBJ9KHkj9jsz yYyo404FUOEziELp68UywAY6lDyPx6sPfgyk04upxwLP51cnLwy2QcQxKZOPT6v6ZBPDSeRbptHu /DZ5iBy6VShj+ylN8vcURYRbjMtnH56etbifolU7n0y1dTa4C5uMdFfwWnwyhOxyaCPmYXwnq04B cY6b1Dl2htu794iGSBo41Yw12LZSYbr+miyQUeLrQK/sVL4pxuOOZ2EDRwZFjda+Jv3Zd/zUmatx +xAsvG9GAv3F9yqhalThADdHufEq6C+Cw+T0af/e9LszcL/b7VofxbldRy0w5V+rhSBSkTnF3I1a ARZki3RFkt3gFCUrgzcrcb6w+/F3XnwlsN4dgrysKTtzxbFuw+dz+HKcFt3uIoguwhusiNG34VL4 vAKHJmqHA0/Vx/+7dCEQMG87aWAa70MHd1OI9md6aOFUIqe19CrUmXyhk3M4XXf/kb9Q/MF35p1C H4i6/XObXaAdPiaJMK24+b6LKeVbapy0cRRZeGMwPMvJCGC21Mde2JPBge9FUSfCpIkL/2JjDksD LWpzTtoLnS5fpPTjKE13OAYPIoYaS8nYmtgIw7/Nxs4FsbacqgO/2iJdXuyNugIOxpomJ6boBAo9 sYqUyiDmdymApOS1auimjrWUhcDMsWUxv1/pmmF1LrT6BN4LnyLVoTT5kpwNxY+kelNGVXSBCx5v 19eT/6TQ/te2rfhTECMhuvDd9NCpzGAjZBHB7XkRC+rvVwba+y13f8wNQAPS+Y0ypM01Tellvt5p P7lMKTqNZFELLUeF06fXmM2vMbumbbSTtnT/IBXCW7sbOxVqoUrSCtZ2CNNtWso/RnFvf1yVFo2g UUpykimRpicia3Wo9IoGyw9Ud63WYOiHTBG0i7aYzcUl7oNfnxtVWGa/RhJ1TGplus1NfNwpGDBk amGmbFQaVHiLUxV8XY+fbedmbgZ9U+GEM6xeN9nRaC+j75F3LSOXyDCHN55plhIFjk5jt4ImCb2Y /viODwcGF6jjsbEIGvlNw+BTGHQoFic51RlvqnBttmq5zs4gQywjc+Yh2PIhe1Amj5cwuVr3rJIC s4VzAby+8LpLKMyubMqESnnEnPcGCTbmkwtjy4PhztEErC33rQ2ZxdszJ1AL8+JnHP5h1/9RfvCt EJPpkq+2/RxUFSBhwrNcqTqnkH7VjKlj0neoLQpc7iRPJ9zllQh2fE/Em8rTUFBDbzZ2IvhE7M+h E+yxGUNsUejNAhg0EpwwE9Ua13hDCjYFCcOxKjZzoEymXKUeCNpp4lNjQHssaO9CWPGbJ7XFqBP5 OKlnsMzaPLJ4FWgZf8RMp//3Wp1gb+tv7o3+vp3Lfk2w2AZqZRH+RvuN7sRnXlbkpWO/LFzgvyB3 FHkNHn5LtLipcr12t0/1LrpfP5F4reg6FA7orVoUL8ylaGZAj0eu0mwYwy14GmPjXqtUakr13uVg fzO6a0KsNNr/TenRKJfFT0l62GSc8wX4QyuFaNQh3MLqHSBmehCFz7GfPMw98PGflSoA/FKXURr/ mjBhstDqfupUPTbboft4s7dETMmuEgUe2udSh3qk1+S1LOtcVLi4g1l4jl+vgvyZOKoZYXX8oa9v +Qdl5PTLe+eQZvd/yUZXgrH2vUOV36F55ju/ma5+yRvqNgPzyv8ze9et9mxouEIyIs3pxt5bpl24 cl5lD00j+L/agM5azbf7Ph/XeHenADsv/RAiSjGUwTBlIBswOJ8k7eh99wpOe9iyV8fMnkd41RU3 H/AZyQ8PgRy5uSJvf3IPIaHd6Mk1boJirPuNuoG0a9eD815C2SlpTCXuov0sHD5XHw2z+5aXXnYN lbt8NPrGICTSCf8R69P2JAVughLP6YAxj4j5ubQbaVHIf9pkaoaWItdWnbHj4+Zz7euXYCbMGo5Q vzsO6rkD5QzV5yCVbf9Ovmop1cM06L8T9B5S1jqmyN/5gOtYAeokl/yHjjY/WCUSvyznCNvAGzsY QRtJk9sJJaV0dE1f/VNj/CLlkJ98GfEu69uDtNATpVg1/u0BxE8LjSjysgimjPccsX2bin+kgcQT Eu+PAa5OY4GyukBvZwYZ9+6BW//eDd3/r4Tln5R0g4erFQz8X2ZammT5MwCyERksLQ9po4bmn2ml NecRqHubA/Hf8SlVLydbhqVcmi3Sjmgu36EaAi5N7NwgUbcJYo1EF1idYsyoGKyPmy2Zs1+Zv9be HNQOFM/NNnUOWgGTyXAsUBjt3gBeSOYkc4PAjilG9fJzxrh+mNEskJ+7ItgWvwVo2HHrJa9ZL6xm W6hEpiqtEBGtm17V5jdDZ70jMIZ+KIKk9vkrgdS9GzvXIwIJCVufMJ6dVAuHG6VQqhDw02kvuqae hYbz+JNuDZWmE4lQNBwjdFzq9wkOFvgPKW9yUW3jZZ3NOaFYwaEemD63sTU1FQRt8GjUO63ZmOLt BmMYZ298N3+O38N0LjFnSyCkKp/RLNjMn5zsKp549hA5eUcHWL64dimN5cu/h4kO1zCef9OaTRL8 zm0PCUpwQZ5QBKVhBIUEAgK9GwtU46Gi9fc22c4HpTya2owqZih59E6iln4Vo4gaOzwfU+a/lTEq jZ7JWWCllgp221tXjPvXOcmCB2D+d5Pl9/KvAGlX5Rcfowtv3ilvj1lS+Mtjm0Zou8hpSBCHc+UV rn9OChxDPft7fowtE86eu+LyfPQXQK41sUi2RKwfTIuqu1jLjVvoBFYK8exmDmWPmHp4HbKFLnnA MjxExZ2QpfLnrQH/1rU3eFTCS1QZLdUTD6hg/HBlWcK1DR5nNro5E7r6FJ1rQdZ2QWH+YM87xYvr qyBmdzTPqDZZtVR2Mlip0F3Vli6+KAjGVimC1qvBDR9Ckw8WqBdIeIxEa9Krm31PbNwYn3uKDhFS WlDhlfi1IeJyHO8wOBN9wcSvRmOr+IfcWOF30q7GG4KzgkrYoXBX8sC4TnEsFTya+kV2htE8lgOy 7ZGYj7OPC/xqMp3ZAkXVlPoYIevJhhFfP1VXBYEUGaSnmznC+PbO0KcIKe6CoO6sbnz/NYgfaTaQ jqUFSUAD6gx7YTO2/P9+soX3PvBFVhFv1fvz6gdYdt1yLb5m6HMadktuOoY7yFaghzPaCtbkkZKh qgSlAXRbuqo4uYMmMBscddJDuGiv959DRr/w6Ge/BP/ctup7GV/JgmxbNBef9qL0U3CnVUeTDaoS rUGRZSaPOiNl/fkNgFintu28HJDksqUcNI5rTBDg5Ri4EdUZdbAo0VXCTKzAwxZMxnUAR0E3yhqF vuf1YlM9TR8edADnEh8UnrGKTaYem0GxrvPjoxwyFsFO1QsFaiZXJxqnmNCIQghbhzPkD1bWhz24 7oJNYn5AnQ/6VPBoCyfxYAlvLS4hW3rRPZzhDjxmdHOXhIUdusqTSdDbhTXrKbJLTgMKcPGFRS8f JgeUioFs+lu4MvI8q5f2c4OSfY2yaQJDNxbXfQ223ypEIf69xYt5bRvjUflpxByBZ5dU7/1ky8E4 Qo36qtA+XeXGEpEEEr/dbfou+C+aYjBSAAkTgwIdhBeBXKowyftuJofN9eMYpZta0PvkHq7NAhxD Kym0mV7kXrabt/EjGgApoIQjbucyFXpp6vVyTJNzgDCclfhBWSLKfEvejjYUn/ICjcKBbGIQm7dX hFHwGTcXriRSYqaRE35oyGVMDYZ3F8sMwqPTTbnUROSqF9dtQ3qisGx142QAiF96AIGmAHITzLg0 KwUEmCXxiuVH1d06ApuO1JFytULrEQfJUdEsf2t0Ixo7XxYjJ4en+qCGaAAw7hOWC0rQI53ijrcs rwvUFF2x41X6bP9m6zXOdI5WmhDebisFzDKZzVv/kMIANlvPQsTd8Pc2VkMDH8qknaQyxZstV5wU pE2tsuMbU+CIAoBnfc4gw0zDkh5OyBwHKTtFEACCdzrWxZZ/eqRbXEeUfr/bSr2wj/PRA1YnDJpI Y+Qrwda8TMur6e66cJzWj/oBzJRdq7YP2+X5/sPa/tN9c/yw5B3xFPSqCAWxMSTaFMC9VRK79fTZ lvTF+YotY+PcBv21N3wqCEb6iWkf/YJcuU1KZc9QGCV8mM+40z1Ni7QPdnyoSPUuP66vD4Tn0tcF 2wBMauhQnsB2bElI9n6utOiBv7DPpzXyZgl0s0YB9+ZSfjvFHDRecO/Pjk7iLCS/kqf1TJn2ni25 jnvDpJVnX7l4eR3OwlWItGrPFMQ7R/pneuD9oa4K3lSSZs+Au24LfZDZQwgw2ew+x4SVLUp9l/s0 OOCqQY/577d2C8zjWLW4l4JFmAqdqotm/48uRqVzJXcFHwtUt94e67pBlaej/Piagpsi6fq6soP/ 3jdfmgvMRo58eGMpooQReVJwxq1x0Wtnlt8OOMM6psBbJXpiyra99lfFrQUOvQtgNyioADynmrcJ lz+cTW0421V45wrWyrPQbBCSSZLvM2tWJ5HCJjRAAPsWJGPtkHFG3v2HEUvE+mdlCTWK91o7pVH0 6z46V8MvPEPyhm/PtoN4C02AKRjpwSGP0L62TkAIWSPKW+IONeUNnlCErsbtpIbV6CFwjestqIYm xRE3ZNeIc8lehJcvEIFRdrUJ+p3D6gqWCxYh3RDsYqy1CiXuGcg1apazd3xTyApHPD3cUTKS8OD2 XkCeK6m6JJn8tR/sznVLaYtg45bTuNd90Y2J9t5Lh+ccpnUdidpjEsP9oeHYV7c3crUaNcRBWysU o192cqX9RH7g3cFp5UddEkXRWWLE/AASWkQ7N/Uc6aUlS1hxmSvrkaJUX6zUOO4Nv9l0+9kjr8nq TEgoT6RS7IumOSruMcZ78upSlKp+uvVG8Qus/XSJi84zp1MI9R1sV7mLDV/GQjfFkrx+IgQHgZOR GyEVlXRVSBaInrDCRn95gFCpmrtjkk750Ele6S9XVbu1kMiMu/rQuGHdZhw2R7qSGiqF5wz3pQ/9 gwK/1TidkxvMwLg8bItbtTFUGhRx9RN1oUe6aBq4jgbR1oMUj5b9Ak+kcNvDSBvwDuPqAKQ9ovQR /HOHL2AsBBUgPismQ2brZnHHX9qB7xPNUaHka1+gX1zNShFlwh/lyNGHEufA1v2wTtupRFWuJ3t0 BKCoCWwu3STOuVzbqNyxk9+40w6ZEI1WlFk60ydX8ge1y8LFfPRry7gQ8eZko0S5iTV1jiZC7zmU uT/K2IcN1+U37zwBznU7Drhan0QKUdQIyB15p8248hiz3EKlTrNtQ4KsWlUq+dmkn5lfxJiVzu28 AccVG8HngY5tC2c5PMezOQnMh/4BdPu+6eNudZNN8b/ey5Nzomc9tk+O8xTgNaKpakHO4FhmcIeX o2GdDy6OpytDGWlmh5g3Ieke+Z0n0aqvniAoCvxqRCxd8p1HVlRqgiPzEMMFNweiyPDVypaQH+iM 84idfzIRIQnQIo0QXXbNUYbb4eIhlVvw3Mh+XXSfbfIpV3LOTGRfw7ih3J06xqvm+Pi24sA+VUx+ Trk4mIHX501MmP9Cx0TSs5NTUDt25v8uhoeLdgpkXjKRTeSeIaUoiKwm+vkSu2+dMOK4GTgZaPMm ZqQ0tix4PuN/C+C13ZtOFWMUNv0St5fuvG86SXVpv/GDsy5nvMHq1VwbYV3SERSJaLEOtKckT+gE myC/rXKj/TNO0noe5h5UeU1Mq6R14cTWUgATsQNAYbn6EISJZ6jLez7jij1d29Q13kfLAy4c0VqT XRt+MCNb57aHeA0baCaaLJiqJyFjwa73hLtiDeGqdA86KuTjrNdGbtGmqbwwF6yc8fLs1j9LJID8 BMRmS2JUwsk8Ky7tVPRo37X/+Yz8+H7ewce8MMsf2qLdvXwa3TdrRnYGDSxsD65sDZ9mRMfKHMn0 16nAcXupwKII2cG2CADNkbgjyJqwALQJtJYxL7V/ACHkMFYyS/xd9hm4oEO2KUH4sRGJueeAB6RM 2YeExxhc/NzT4GyEK61gXLeaOvsDFUZt8SbfICHC8rdMxkJeO/lwatE2K++hfppI17aR1fIVCVo7 hbe+1bZLmw3zmESyKbxaR8VZzCERvC1DHoSVodxdNbkMaN+FPf2USRrfo2zQUFFtW9DBaQvm4f5K 1igfLVyIfe/OwBBN1TIVy7eMyQu3z+KqkT9wgSkAbrn1vguKIEBMmHC1BQ/SGUIcr6SqhLrlMvV5 B2BsHCvw7ucDuYF+2cvGSWZBkwn1rS5FNv7/zRvoVfeWfu+2VPzmKor7oz1TynaIotyJigDnR7nH EQXXf2hVuIcr85h5WcsAkwYtIrwAogXD/1pGEK547VwPXp4vDXOBflF8myuo9V3ktOKfnCwU3rBl B1ewAc3LM2OibpicdYk41gt7mSotKIHoY2KEjfYOWHDTru8Trer1gdqCcJ9MZjQHaODpegmKdlbm wng1bMpbBiVGNlvV4ycHl3VGOGrjb8ohBLaoQfMn/0dg3LgAelRDYw+ihZfn4ARo5enQvyLeOT5C 5h9vCIhFW3xYYH5A2SUg07Ci5bmw1xHkPpdr0ex4abF5fsaseJplhnanLkCsEOiG0VIbRULPYWww 2rGYBJU9xveE2+J9YwUQpFpDWBlNKUrPBopNNuG29cQZJIgfhj0loZQedzbXBhlOqQhfCBbf8pga s/RiGnW2RRuuJPlwI+D0TaxMnd+PMrBji/Qnh70M06tmkSFwDdYb6TL9NlAZnPdSR04+t6oHzdLR 8dxraLYBYNCuQ6phe3iRzYUs27XWKKN20avtkl3oyyFXPg2+Cihahr18Lth4MdxQVhnpLT79W/cl Al5yVfb9UlvmF615kh8kOScVZHhdHtGNYQ6mQt47+lC9SiTLcW4NFMrlFbgd9yXqbB2OOlZkcTgJ CCgneH91Qy54HIP8ebdULNXSpDuds0bJ/jjZ7/Z1U/nM8ty7yBY+A4BBzq1uKUfOoqhL4wZZNUP+ QUnuM8I7CQfpaVrTbKKc1RVr8EPS4SJVgD8zLwbCVYVd78IgaqpRDD57pjYNjmDLyU1sl1uh6dup oTytFVJe6QBt2hRIAI/YMs9vbbSa3Y82WxFklhAB5xrmjrUhpbRXdWBKXhM4SYLdm8wFlDpYo/DN U8G7M7+JZhZxGo704qDgTltPlrSQSpujqp6c990PrPpwvtZHIUTQQk22H+Xot3n2h/KiQ7fYo7XO hdzFL4ujisXwGQQyEozKcjyVfFYoscCpjMYc1TPtAHrtbVnIbQgeodQP4cEmwMxAFVPsRaN4f7gU +PnQSUPof+T91/82yG0B8IYHkUHhm7K/U5G9ZHkuDE0OBXchBBFRJaXesSZW2iWct1fJAu2UycS/ nRV6L4VwL13TszRfcoTWGxIUPc/g3c7G7lR/2dQRIiItHSrh7oSJhXUEDeyjSCTYhMyz328BQrSk zXgYo25TWUgXM/fWJhKcUvPf3qhfsKKlJ9hlLJ7m5ntZ+0FyAzESD/cNvKGtbEp0jZ7asb5z71wk ngmn5uqZ4btWVhYAZlxJhfbmphoMqj/0NF07vpvBOtUZonAZGXaNEgqXoCFjtFW9b8FSqVYG0+RZ pyE61gSgxBHIcr/EAhX1FiUiqGQpIi3iO/WbduYQpvXT8T5vpQWPF1uLI/69Rb9zqIQIyJ1vk6Yc Fkww+xfnbMfl/LxUx5hoac603SIJmI1v6cQLrDjZoyklvG4TfcHvaesbXiyQguFG/6BCjl3zdI57 OT7tmofymNjdV1uF1wJ+iimcaJx7QVlGUyJ0dHYk4F1w6twxYoVfd7vocR530WW0E4ytbdUHVil8 E4WSZbE59ruyPW9mRAe46p4XQvnjE9Y0u1tLiOJdxhec8cnMpjPCnA2RQ0obah1IJ3cPXdF1S7Ud jwKOEBYfaFuFwrLjWt9K1p5hm3CKk04ge+o5LcXDnU5yyshuwu5YbKWtx8O2Hl2wdj1YnSLAFvoH Bjwl1U30P5xaodxC6H7TUaJbrtZYRhr0IWioP+ZMWVIW/kaEhabTvL6vlQfhrcd+yTFFC5xUr1YF g2boWR19o2Jq7TeMVBPuSC5siQFIL4KrSzDRSE4tqou2d1OQOnE+NcjclYYgpIlLvInBH9xZlscb 6KZlHa85fodCnaJBTOgLRO+hPU9P5+X6+p0s1m+1bFNluMKQmWN8el3PDSil8xggsKG7f0fJBuzn Yf9KXYpUB9ukDWnSaYu4hGntFdUTagmY8nWI4AiAh7ervKe0Ch1njIM/En++5pLdaDOHPoZFfamr DML9uNqj3c+voVP6Q5LSj7u30cVeIdR+vhnwNRFy2atgakfs3KJAAAVPNmUARjg4EIqFnulA16K/ O0zgX1/E4nK6Ksg80y+kJmiyPhwaV45DyNxdik7o00JUtcfgHzHCsmHZYCs5IiXDCKzmKNSZQ5xI 6WkS09vew2MBa4kDwA6Fx2sxwI6/4mQJojFu5SrfqlVCDMK64e2cjBT3JPGXPtQMKBT41Lz1E0mu 0TUmTD/6syDnP3eqvfVtTb5OaO45vh6xJIgCaROuf+2jMQM6dUCeUddIiHaSy7dW4mdP7vQPgdqL 1b0PL2RWGUgbHrk2SFEhAqUGgMz0MI0nC3QaUnpAfa4Y3f3uJgIXSZgprsHgpo9TN+TClUdosbJl XxoMxaSq4dPw/7vePgyKf/86l8UJpAWKm3bLBNNxItqYNXFMEFww6DuCDFxAJjm6FGHtij+dh5KG iCRvQ/w1NI8xyjKsvh7nnWnVTBmNVKzqUx0KG7gBEEUG2vq9aYgbYvLU46910VIt1rpipfwcEv+t 8oNpUI+WcYAf7GhbE2EQFFQTvK6O4u2bmW+rCO0F2V9+m0uTaSD4NTbEPIDT+4RZ8hvQYxHrpI8s HYbhfrS4MIuz4V501uUlPHxB3ZIoRkQZcufNowWCB8HslFm9fm/6O8P1e7kLGk7JmLD63g1Kjh6m n0+v4msBzhdvoAN+I2ESGPexXMgWRKZHcG1+0ToXmVlbKyc8lQvsmSfpoWrLpi9HogxIfUcKSafs yat2jus3E0u5EfuOlodTIEo8O6cJh8yET3i2WJZPxbFck3LI/nbsm/7L7Kl9kE+1jI35yC+o2CJ7 0wCuOsd1Ybv0SmFPlnRmDPmETWiRalO09KxDWswAwiK9XjlGDstLDMyecccPcvqVPkA3C1cxVa44 znrZa/ccBHwvbttSbvN1XXrQINl1C113m1TelIl1agBhOvPE8G0/qAS90+1XZ0pi2pMfbkgWBDRG qgc6f8nK/+RqvPvWrNpCynm/q4cTpDd1u2Sv63oh6HgYEN/3ZNBt9nbI32mhdlLqH3ZfmExypaI5 EWe3jO5AIbMBwPcpM+Iiw816xjFNtQvdYZZw4X3YCmM97ClRXlR8tIcXswAHpHP74L9D14bLgV1l gqVYBxFrv0f+/g5kKPisEq2KcRxl/AvzDzuF07hwpSPQYTziJtW4mXCsWtru/0Ctnh5ywV4Sp3PM CPoRoElJ51d6r2jl9ZhGTTn2+vmrmfuEm9S8RW/B0TRrB6XuHSPOmu6LASuzc4Or2OoLapYte1+l vynsS+wm8Ppnwt14kvoGddjKrFJFtEDOeUXNi5RBoouVG8g+gX5Jp5ipZfS0NS06tj+2Q9g51ZCC w4oodXycXwxbkAq1ZNP9BaaxdcLlG4jajKeZcxIWkcO0dKJThN5nq6IrQjhuaMPLsmWgaL/dal3d gVzGndTkT+HkA3P9dbipfGNjd6eIIxNFeahhpfukUO6KRBZv6jnDfycmV33PfjyrqZt951XZJZ2u rlm/k4qxsGUMQatyI3KXipfzFGHrLc+LTTJeL3OIyJ2Tybtvcq0BPy1BjNxhCxu64OfVw3VtP7JR Giujk9F3uR+IjWGNFdEPdVUxcDvlUCKtIRT6liKk/5RZPOcQUErikHKmZBj2GSO8st7NuhMjrB1T ExiLlvO8hVFMfckeBApub0FKg3KmRs75Lo8Ob5djfZdWko6zAIZLMyFgQcHXnUpYikJseym42My6 ubtFWrtAXtRhGRhsRb8MwB6uvE6Mm5I/ZlGdM/PXbXjOAbnYc5CfBTEZ96+rZW8Om3d1EVRPm2Oo 4c5oPSX+v6w4qV7MBRnMZm+JYEUSTIIwoU+WUPKxp5R+Ne5rmJn4h1HYNlWTqajHLZmhA0xMfJSJ 3JYRMX6wMPK6k3M7IAqikwboxKPKFO8462zA/cjJ3I+ig+rBaX2/kkOwUzqWO89pmwN6wR+7CBZa xrCjeoEFrPqP1ox1WV6gMnLIvQ06WAbGlp+KuODcvaPsLinUYjH9RuuBpJAZWI3oBUkvBHXu3YH4 JZSjAZ7rjHLwLlNSS7HR8tlLNb1QKRRDHbZMJdJnEPzUstt3ZYOeT3QXN5MQsRalslzjtYM0tHE7 xHU3xyvxHKTt/Ik1s7fGTZ31Pb5rEL1ZzMq0x7cDG0C0dsCR/xezMewUmdOd516ft2YQgdeE0jOc Ldvc3lvS83TbwSD4/mYEX3Qqy5krYiCzYKvHmJTJXDlh0FDS5+SydczCgKo/SeLxDtAgvUM/RGbd kof6CkFXU4swFM5z2s9UmqCLnXQ17Dvvy3xBngjvZlPsNROCFecCU7fGaYp70jW8ULfvz7Deeuku qK8918CiUuS4zkkkNX5iBLJS5FwXGBMpn1tUdMZvh90E8evKoGg9osCP5MAnh4l0AbKgVeVN02RU eHeTTdECnLJ340I2bzVlZ5AkB2KgsBoLHS1flsShG9akJqGnncN8D5uEkVJ2yR96bFEHWYPF3Y8L zdKRh4iXoBVOOvMQ2D8ahHs5E3EvOMHBoQ9wWhJQTKEylc44z01Xfy+S+K1Vc2ht3t57J/SgG3vY JxgzpmqwaCsdnWjE52LkMIQByIAFAErd1JeMqPnGhyRZ/P1XAL26ZPmFgqf2jMFgJFVIXv5H9bp4 K3Mc9QWUikt7aUqfxajTpfIFRKIs+wldaYyqgfL5B1pUWqOLR4SCEGb+H7Nh0RhpPPXN80qH3t6e QdD9/91LN27JMe9uA3GIq7wQx73bcaFCcK5OloGErQ9T4PgYv6u+IEOlYSuwoI2bUPJQW0RUBGdx 9AjPQ/LQFuKjtMIWktPRJ6G4VA7EtWr7LvE8UkcCxbBl7u9T1MQnrLXO7njSP2mdW7y0quvrtrJj kI0c6FdnVSTeKIhwElF6z8+9KM7WDGGoxqC0U2iibLfUCXJ2s68LPWsOnQ/5TRSaTEow7XMj91ie vIfTnKtQqyuGoXOGsPnh7s75xjd5aT/vEY0tRl3FncwNCyzVJHNXv3vXavB6xhzKh/I3E03PQVma VYDZ5jzzuZpwg0R/hy74SxfCEtGe/9qmJW9nQRSibl3BIfmgwajKk3Mg8fxUIBCknPlsz2zZw8vi qxmDDEtVglJSsCYP5zSnEIsEz+09F8bSlKmGwWqsf3DEiNkWmSh/FCKRZ5bve6qYjjenSBi1leFi 3sAg1usuKjFmOqcDaWu+UumVP2bQHXxB/6tLVfBazcBFrp3SegLlO9hnZeMSCfgxc08mT+a7Gn4V PYNR+U5Cpi7ycsWQAlbbFtJIwfaOdQJE6qSYmIFAPnSOjYzZB1YviW5ktRTDPAQUOInHXsjzg8Ul z2thAUmvjuJH5DXUPpH+cbvSNnzC8064hjEUJsx4ZI+37dLg9JiNIti5H+JvBsO1S6QOszfUeuBp ggB4lYlXM7mK3oj3M4rfsNrP50IiehhE1EptYJYVjL/WzizZGJMU88zClEwZHEZa8SGwnwdY+IAJ mqfTFd49lyiCyTycjw2c6SgYJ68848/QAIk06vZYkgQwTQU///8nUgSsStapxqfjLqunckyezJW+ 00t3J7ic0tONwP/ZTulrRi+vrL++mMjXUfifCc/PqcPNwOXhmh3qD9US/8xWh3YNFc6S9QS8jl07 GA6ubXZVP1b2ctGotbScCffYkPKWGK8hTE4OmN43Op4Tkjx7xrMlfBQeZw9B1LnR3ZyEY/krlFLI JNI7hw0RoH9iiSGkiaBGOMsDKDb1KADwiyfCk06tur7RNzetpmI8CFWEj54oKTJn+5IxptsmDlEz m1MnKPfF83rb6pRXG5OX9z3+E1KzFCgpJFOGtXyGbpgtAr1wZa7k3Kit9LO2KZfpzl+iBfL21sAf iR8V9p4XGlBKinjMfFD8xVOZEfy9APDJo42wgXHucL/CNe5573g0UGrtCetsqMFupqOZuX6gDaPK rU9+G/3wYiXKDTA9fy2sm4dM6am2ahzb2J+xvWhcRV3nqZGkw9TE8F7P0z6y9qe8JwVoA/ZEbx+4 n6/Mh31tdOOrSD9WUwyk63LUQK5XUwMqHyZOowjPieYpIaApKLr5BLOTbBM68tcvHW2UoI4vT1rR tV2TsezKN+rxwoI70Q5wI3vjuC+qd1ji9r2A4hxxuFPxVX6NTq3Fj/GNHrtPNMyQnDMUoc8b6r9u XibjG/+rlGf3lywEs5RvaK34WBDk/ZIubBGP2Ry5GGuvCFw2Q3PO+nyu04ZM/gJrUqfZAjAlFSlo Im088+W4QHO85h1AxJkQhzJTgmur1c1cDmllPc7sgQRSw4y911+x4z5XLkHrShXIaE9OWmaucQ2r bCdoEWSwT6wGq3a8rxjL3qRlRbOWG3+GthlOqQKyqwv6li5VFGOF58r23F9JBNgchg4nKTBDw8su 6OpLtfBObd0h74IrkQJUU7xiiZG6kFaAPfc9nAMpVuFxZ3tZd5gpPLM0IOCZNVz5kD4yWmXSFYxU j3NR0Sgoade8nUAGxHgWoeg9innMySrqu6HFgTtPoGvP1DPhh1yFyxGOUhHzxYWRPX9gQMdnQkiA JhOtFQrzTjVFP/7DvA9zQxCFgokKWhT8GOtKaSNCJeW2MKCrwCAwBuXPbr7r/sE4uedY3KFPrAHc V8t9c50W+qsH/2Zf4ODr+1RqBEqJRg9qh2aXhRjne2ddFPoXrCRXGNylczn8jjRU5djQ5joB8lGE Zx2SiZxhVXHeQ1LPuBiBAyuRO6WdoloDnh3cynBiwakn4RQvWCh41IPEM/RChg2EmaApHFqfIslY hRvHI/qBZG46EV6V38tpi9oNOA9v29uy6em8IjM6pkBxZIUWuO/VrxOIuJR3pX6ZI9pSlYPPKIUm WErXfZqhKAq7xl/+mBCqlMoL0KzrNlCEEMyxJ4JkgO7rzROth4U6sNLjTHSeYbm9qmUfDre3eIW4 LXppa4LHUi5njqKT2lVQV+FgXEJU4HjM71t3xIfpLVDsQBa2V+qHQp+bXSIjvAzqTg9fqtvVhJc9 44NjUOzKPsIGsen2mPk2uGCi1BUDhryENgFh7LNK+p7P6QG055AwEKobnAZ68EMuJBeh8AgGaWXh tJM0JDmdimetJXIOeA4vvhmqAAgQ0CZ2l9gHehu0bCG2E57gL3KEfnyRVMTteha6e2jrfX5b7oGi yydScJbnVpdF2MKuHWrr7gMlxrwu+5gipYxJRYMbDOtgHk1B3P3BKLL0XBX3kV26VMznKqfnwkie rQ/yJaYMSA0stNKHyC9iwxrS0eaYVQjUeZaM1GYrPUgpf1PLHeg8+rGZTqhLgezbz8oPGANshlHz PGB7E+IwlQXFnB4xwMMWBxqozXw9bTLen7xkhxVWiyUerID5NHomuEVzeGKpEVLPkgPn7d/em+D0 kbgYZGG3J8vkHz29mUH0u7wln1/koYvZmtcRPf8VYbk1YVR4rd+ldH99qNcfwdxuyzTxp9r6ggy8 V5C6IYDmBHkOsgkJ9o+inHbTKOHiHPBSCkINMRqI1+XolHVf6KvyPXHfM2vUF2ocbpJyFsxgibws zZGwNU3bj5T0TsAC7MJJrtE8HPoG08K+fIqVSMuVy+WzBh/61iAjGMStNY+KGE0IQ+HSFn19ONur Y5z4TyVH/t6yPtn0X5APf/pHD4HiCyxqGhTiBhmgJ3kCMMev2V1+hf+51n2YPTI70wwUgncuotk/ SKeEucRMkIJO2rtOm+X0AC5RgVkVC4MDutTLQDEpnaptBwdGDs7dUdGmT5MVmDzFNXPzyANS9soX 0tTvbgoOZlULO1yWUk5egTkmPhK7MNdUpXnJDhkcnyeCMEzsKQGqfhaYB2TfSTaPTDfRVPzD+vv4 m0oDumhl6gC/rf/2pDuEF8Zv7CiZDpMqBzhlOV6cmT5tkUzQ8PmBVXbu2sFvAkEtgQ+5nCvBBTu0 5En2FFQHICbO4Sr8dss9cwd8m2mR7Jtquq5RYUoQyA0ANo37QX7s4VRLoDnugSpNs2/OSBY9f5ah pl/4wpn0aCSSHzpgr0zq622NCvUsVcNp5Z/wFWDzzlLL381wwYRiOTPHvEJt4P3/bUV4hcfaZA2Z AfG8pUA2vP3dR2P2vXbB0EY2+0yBtCTn9zIGlzdjSjGpOnl9plnzfQoc7uReXVKrLBK/HY4kRNb4 YLlIpMEtceXaF5lxnwFyjfu5Jz7uW73tWQi3ZyJ6ZgCUwGsNsI12q+ZpWnSS/OsHQrXgL/SYrkNx yslviOY+Pon9KYfTbL+Pj5Ru2tXZmIWwZ1YvR8u1fn6HRWfGZi36KIpBsnp08PtsQBGGzJcG4p2V /wkuHeWpGcBn3kUYvPfl9G9qqSRi4yhL2JaVupCmWu3S7cvAX4lE+MtffWyekGDpyNv32Nk+gtyn nOmb2skBjQgECEE1zle3SjrEFZLB8jH44Hv8QDThx6W2WayADdugQZhFe94vMrvH2y7AZ70JxUqD GPBQw7rn/wq6PzYfzwk0bx7yaCxVh05WR2h1bbnh0Vy5vxImOKIxNQm03H4etBQy0oGNZlE9q+eG JqywLBR4wgUY883DK8CADYo5n66yOu8r0ScI4Xv5AjKYspfUZ14hQCLy/5FsM8HmwVhESC4ThakQ jqHi0DPWgVFSvdmIePTlO1yG17P6o1tRvUv7a7HNG+czC2YW89eURrujgZWUDMBgpVElfg1VX4AR W2TwaHHIHKlQCmH0IV5+6/v5NHBWEQSJP0YpdPgELky6nL22MYfCTA4lF+mrk+o5uQZfcFr7Oe/4 TfYdsPhfMXonWbxrG4tvGoNK3kMQ61/6Gti3CCShOXhyxtTJuiziYpw0n33V7l/U9F/PbXPt40Qa BC7vtquJ5zmXudON8TZag6ZQV21hOZhbBWwZbcF2EOCk/BmQy2UwAbPsddtZXvkViBdfenf8e1P9 Sn9bH3HX9Dz5E7y0RE6qJm6aWFhFXmgt4SWyEj77gshu+xoPHJgZZu4UZF2xSbP8XwpbjSZouCTa Hsj4ksgPHV4MGNKQBZE8wkFBwhCiwSzUDCqP+WeWzyMlPxq2EZb5SArElXPthtnQ9xFkxfXuxKj0 5VnUmQ6k0FeaUlnfFTr7OIvamxIozkq06exneASElI/gppDQPBTjNUOCP0nu23VQzAnI/of+coA6 C3yjdhaCN9eNdJt9IQ5TlzLp8yrFGXAWh7/9mdhouAgtyW3flSZX13lNG2eBIgnEtv/yhFv9zm7F uEOpECb2doAtoRfPfWA7SSTDavto+NkdzMqcQm7D99yq3lIxb4f7NMkWONBBZ7gdlckEb4c2YBRL lU5WS1a1NZ5fF9zlHOPkyB6YpTE3lnRnbZnSiH8f3vN3gOUafLYM7FzhC0yQSBhRXAj8igC8b7X/ BrzuRWHiAILHS9RhQyjylaSPbDc2ZUMmW0faXGqN3FKYq9JNcmnviaKAQFHepD4svApQRWfl0vZM mvn0n5j2NxdEmhAlAXrpcanNHz9TKNFpQy1reEhVT91P1XHmUhtqASaH8Mjh7bog1WhHw9E/T1mn DGJ9lE33KVyCqAwz5xNkP7ZH8elB9mFjygojQ+flThV6CxhY7PWg1ahpsvas4dJCRmQvD5ioxdNT 64VXX7sC1MO2ZDwxGheI1RrRJfW8XjWD6RQq8OFTQtRvQB4f9xWYXNH3cHUhbIrb0dwgIvidsbdK drjjN9StMRvnmNabDLLrwWJnXd0fRqyfeSgMw/BF1mUsyDYQHOQpdNMzoUNPrs7YqH6qHFNUQFwj wO4c4nubfPxW8e08A2PbGj7OuKQzKoRuprvU8McxLVBxMxqC1En4zjwrxwEOTk3cgsozDGTV3P4m 2++QR6lqai06XzUtkS57dRlbasyeJtAcELOZNZujaoWSfc3bBaOX5/U/dCdXbG9nUwuXIEl3EA5C i03y5DgA3VNWudsaHqtNqb2JOqUKCTJenBUpZkmyY8bPIAVluosnDXQNg0ROIkugZB+C8zk0eLRt v8CQ1LNIGKkijdke4TcDs8qL5P7y0Q9zF+dS7p89qwGxmwdftui/SLxOzgImcukxSDUVRdPQzyXG zegBIGnuTMT10Q1qI6wr57vm7ecmIEw/zO01h/UsPP1hsd1/A43UT6kaCkQkH7gxdAGrSvJBIr8Z DKvtoEkZvk9xT0VsmYkxRNef9e7Ap2XjyX8GEzekCtjkR8Rf6iDBOMc/6EkO+zOr7D145gUxkM8L EFHLVTTGcWLAqpocqjwGOc9cz8mqwlecStqOXEGprkxG0LzexqMEHdJGnmtxFceKv+YGnQ+Lfmat +ibttw6ylFptvk+XWiFXwqce3JudMIxpKhzcrp6MgH3LPAQAA8NO765b4J+655umlK22Bu2yCSvW QX88sErkUyjng3TjHfcRedywkWJYDoxRwhvxX0UNbILWW4Dpn41o3sCGOZ9d8bJV9zcwDNm7RITF mMQlWguLnnob5Tv9foAK0gd6BVcBmSevkYVz3978JfwlQUHre15wsGmWpKOIDg1wMLo0+lMFdJlG /0V8S9FhaZXjOa27Yi+0O4KgkHW1aKGuZOa5VpX3pJ5Qp5Wkby78zJo4+Dyt4bGUX9MBKMykbhNu 3xBKZFeqmFJGbn8tVBJJTNgaW1IMFbXpmSgWfKN0r+6EnoKFhOwqKwIjdu3KJ0PaaqqYtxhiWASn pwSSi72y6DP+bXBnF09EAVB8+Q0cEhqK0ZEiDYhkDgpH5DO3236QCJEGg87zkZII9yGcvghorZDa M8yOGiO3H+45ChV7xfPlwSD8AL+WLFZvPoEpVLVhttWyv5Qsepmvbj8l3HfiJHE+Fug0nEPIOfac SpilA5YfLjPLBGanP3grHvBjukNiH4wtaEOu3GhNaIHbmn1Jz7+BEzlgk85c0hnuTEjtEHnXBxff 5nkN6u7A1PS8NwTCOlheNDbBwevD8wueByhEREa9vvzFyd9wb+Uz9COO2yppTGKVlXiZlOA/j/1R cCYtf1+6zfVadpNlGKqRknUmqE+NOMvatOlH87n7eKlYKI4Iq7keLS3IJxoes8j7vn45lPJCBtW3 q6y8HikNr/Vvx0+0yZDQfdHFcDzARKP8DSPQY0JAfb+Ns1mhJRzRaGeQhhtwruEMdBKhgAmDfXrB 74OnQhMkU6ia9D3LkDaU5/0sBel1vls2MB3OGbLvdV3atP5/7TQHMUucNjqZqAF7XBj6Q6CzzYDo aQDvoK12ex4q+idihECBFPCQIizdaB62x6i39Moql6jd88zAitYKzj0jOeH3zHGvp0YkC51AX4iA Mdt0mBcB9IKgZyiPubH9j5mXXZASKVXzRbZ7QrHH8gTl0w1rI+GPSiIXkotPVxVwVMiez1bX0dv4 53Sy9ozfB0gqUbfGjYR6P7FkTA2Sac5lwSb8ZjM2laxt5ba2Bdyzhe3EszgRbtwF8v749tX5X662 HVS7+0N/5P4kDQYuOwbY/IAs5Nl/PZivTOL77V8ccmaqQAQ+ThSHiJDz/fvCnZJFP2WGT/swE12v wsVpcLi+nOn7KvMLtDd5USrFRJtKeI4lmn6zI4kZROGEA+VqB4B/EqP34Xw3TCdwY7AG6CFL9qdM W4dlzEvNfiShJcwMK51jC10D3RjFTf0vV/4tMVXEKebuftjEBD3V2DFInfY7G1QkzrAcEPSpf+kM 5HF9DGd9kgzGUJCatY6GV+iUNraCs+hd2pg6loFwRbiiY3ldgznFyU3WsHO6ndcxzLlhMXfAb81F zjiPI/NALfw4ezhiO06cn8hLfTb5o6biGWB7Ny8NbAJrx19c9hGzz7kSjLZcxIJmEDQgRww0nMOV 6tXcArmJBi66BrTarZV6on+pBdmgFMwP3oE8gfqLGf9kCSIvwrG3poeYzAotC98htUGfNLJikHDy Wv9J1KUeptkBU9neSRzc+Ajg62greD0kB1vaOH9l7aImwnQe3cTwyTNUbpSueD+G3sLCaO3RdKqr OgEOvJYrOY1qwJHM9WnDavm8kRSujKcDsM5NEk3o4YbOY6akcGj8UyaH28topZOTCsv+udmLuq4D bEc23semOPMziNKOMDMGtEq1fuQW0D8fv1l7e9MQfZt8In3hmnMvnHAqu9oLm+/qCjl+G01oJk2Z YBbkGTRg2d+zWBcxK1crqbnRBSKcGWf3htOnTfzfiK0sacQW7SQPfjq216mUOmWPA78H596HqOA8 vsb+Le5y6EnDZV6XYLW1EECa9Sfsc5e1Y7yKpxRJwvPwqn6mTPezmjsya35bEu82fORHEhyYUSrV FPDTegzW/DAq5Wynz4MTc0EkLShXeEw2JAlHm8nK9G7TTKmAEgA4s3Yz4D+Do1KwtuFtetAz+pKp n6T8xNJQZ/4w09jptSBHiy//WVsxqo3loLhMe9RoiiyGuU2s30Ns2lPnZd1JCzoYnSVj+afQx/+Q B3CdBpoH3pYwWPQ9ayEvv6PkpNMH/EYxIlMrMBOXDRKDXY1Ate3nIa73c1WbWuwBa/GBQEUJ4gpf 966lBb3kw1kJfJWD7sXrgafEHqiLybgJ13Cl95W2RSzpBS9LK6TwjG0SE8Fg+e3UFudb0Nsk3O6K zz5MH581l6/0cmlnYmKpK2vVscOS7OnRiQ7TXwxFH3/zVqcQV2wcoQKTi8CdRC4bvQv5kECM8GTV 1GJGqNJVaGTUr9SKISZc6uOzcXyOFFHlHMiW/hI7+ShJUDIPy1kXKgFXynlpk/1YEuEp7tF/Sqb1 cvE+8UixgFTp+pMtCdh72VI5PcUl9R4iaZZfQh5xps8i6ctKv9ICrfoE+wuoHMafx/IRYUqdN1WD Ih32NhaGzaSwZ3yk1LRGsvUHrXZdNATdPONTZSkWqkFX6rl1A6b6tSglyoh973c58WWmFiGF89bJ xVIWN8m7GR/8t3c5Mw6CL9f3HwaDGWVlYVymYylcD5MgRF0VgqlOnjVUqvsNGjmCTpWQPF21pC2W KoNcv2qhX0P7vXriu0A6F1IYLXZqvaS6ufLNG2Iro+sSJ/rHTojySDdOLxh4krTOPSxLXygpPqHf C5iN3sHgNue+igpnM9YfnPRcco0O9rAp1L+mVeOwUdB7oPQgiVcJUKrBGTQ9gQG88SkrwM4reAvK F55C+H4lBtF8r61ASE4zDw2cJyx01dLeR/7PMji1ctNgA9TXtVdTB0LgTTqa7MsAfqeuNLb9rpXf LUTu4nAseJF7E5uIB2aGRnojV4pbQdRuNMk2cbnTp0xgjSiyQ6FJVniB79t0/JpR5Iwo0xwxd/SJ IIuu1i37uSy29DQBWXzYR1nhGdN4a9SqRz3GePemCEXxwUUFE9PH39+BGw+7yRiLlzH9h/gRvldF HFpAXOwmSwtmyV3BMb2f+KqhaQptVzevT58Hj9DclbEGlkjUu5WL7hhVOCEKT8TDFP1J2ZgAVqjX KJn+YwQUCn7D6344wbC5+HtApJbUMxUkB0s5sqeQOPQTlDPWFFua7kA44mSn13upFqjP6Z65bY3H e/KSkrjN9w2mWq9RAtMTCoLbiszmBfjDmb34ilVlHa4+bj1m6G7h0vj1Rc/AftWJ988vt1aJAms4 A7btD5EZIQTUIr4Bz4l54rhOVnNRQTrfmJSZxWrwDPygJgPj0il1KjJh53c4vBlLBgyYBvYn+q5w MOBeJnML9FMkkSUbMFENypdd9uUoRx1J57Ck/YJNUEGclVRT0Z5D5hmtV0LD+DeckXD04IhS8IvM GbKFxc6QKHRfW8ZumNgyGymE1Ulz7Vdnxo8W8kPZnXyw5dINXUdmA0/Agl3SpecUFBRgW6EIIxwU bf4syIQ2jlwR7oC93Y6eAYcSbSSJE3fv7UAB8ELmQDtaqZhs8+HfIWFjkNjsnA+ZUX0AsNK7iimD 28V3V/mQV0UpUwJCoe/83yow1+zXsY+du4Mu0wCvP9h6LS2MC/RlfQadguVqlHremhh5ZK9TfQsd S9x9MV0Z4mJTOPKIpWO25FssyFBwRgQKaLfREqxV1oej5vG7JRXOeXdZUn3yU3JUYaAm1QiLpBm3 kWh8G60/1D76wAotE1DKUM2C4jAAdX6a8936rfRPWyDDBcg0ojZmrcbLuYh/daDNy6gAvoRdl/DA 0x4FIuLJ9M3GN8uywQoLTl/mMWXXaufYhKYNMfg+sZjWu8Z8K/lqjosdYGf0EGNkHh5FVAbWI5L4 fAtyr9HVUUpnqzKIf0PshpeTFxNpKm9s+TARzmsjDbhLkGi5nkqOglsfIPIhOFuPlzxasB5JxZAA z1Bg43T8NGoE97Xd2QkYB1ox5gKVN6rEkhH9CVn9dCnBaEQs82NQc5XVcnjG/dJm5MKrwiNNupu6 r7TpRDr33xqFf1EzFo9ga2dR8QQl6l5sBQUjzfVG8NBol8t9n95vhQih5Ge+v4YZMp3cU1u24J8/ dNfVyVYkg7J2kO/FEANfjrm3aW1jphLcKqiWaPdEHLzCazkqAHC8WNfsqGkIC5aA0cSdqPDH62cx a4/1CRoD7eS3zDrt92V4jTv3mzqNQUUuRr2wWJ1KzJs1N0c8M61bFp5b9BDbnP5QjWhdKxTdMDJu hITPLXmhNU5BGWseuSSHFk/P0WKvzubC0KOMCGp0eEtHimpaW5z3yjIAnfSELJ50MNkE1QDCDhUy apstgu9T/xrclLMA3Z8xJxUxduQtkUJBSbb/p8MLFwm6ds26r8Z265bsddujIC9bxkQsEq4qVjac DavFpDz0JRYquGzC4YZbq2tMDrqIGas/BH35Hefc3TZKuKpoK7c937bW1scaq4SeG44PdlmDgd1Z KUfljucd0Tc81J8MevYSuuzcOeFqI3dJska14R8S//mrswgXOWcPFpmEVSl+ySeTUCrPrqUOoNxE /FjuymlLq4lqYok2K8D3H96WkuH6hDjVLh4DxKk5InDXkY93tY/IhzdM9hz8K+Qv5ywIngLBdd4n QuKYDGkwbh2FLFtJTjCSMDN6978/ATCoUNhIE7aSKGk1A7MO9VchH6B7JkCIXF+Pz/9nm990vTmS KCj3HFFU9o4fLbn1njiCKTyuNFwHaYs51gX1IBRNtzG5mCqCH1mwty4KH6h37ZRH+l+oGI3m/tes WGeRP0bdrth9UXCfxvdKN66HcmQMJZd29MMxd9xe8f+6zcUmS1yQv8AT4kQL1A3+lLwYN8o4ZWDg c4gcdaFZcwlfSy/NVSqx+7bdrSmxwELWfpHDyEnouXnNi/8+7gDK4svWwChCbqKLmnow6doAl6VO 3wfb7DtzPyZy/GgeX25QPzweTS9dE8/76ththZyiFs8cXzS4ox/J5UIjl6lAjJxhLvUlVFGNF6P4 EdVKrMoc0A7hgpA5rulEsm+vEaV/UaYJ7ClS2EAkh+gzYFoWo6Le74cH6cTrF7eXpuqOe6kwTn43 UA3hmmUvvaAqMC0nK4h9FhPXkbWCpEnmBicB0mOi6KdrmVTQ7wLaAw1Rqo2nrVhYlk1SKemCH09N DSW6x1hmJBuVd5nACj3LJj5bMp+C1/vUNiTFr6xnN241N87Wkd2ZyZXPATIo+hsdwOXgGI1+hg47 8ba3+Q9IdTMSDn2ucjJ/7NaLT3qlyfMdofxV3sMNqOZJ57bHebpV9t4AakQrsLS6vodr3oCXEJVv jLl5V3U+WaRec46DdnigyfkgSWeMQ+cDgxNGBlmWn4jk4XNUIJRLQ0OB6x14c40P/U3PdlCCMvWB QUvIJv9383PfruPwZxLHcwCfFBTTMLSEx3QdoHDqqiIniWQbCm+oRuQWNcOaUvYm8sNb/pZU+cMF P62OUuX6kUM2AWwI4fYr6rzlsc5sjSmZXh9nbcqwZEF1wTGdqcHUgetKtWSncVbM97t0KREcAWOL WFVNrZeuHK3dSmxgCalDKvh8XlZaOhOuHgBlWZvPDpn6LvDSyJnly/aelcDFd1Z6aGGzLH0RGOOy 9nTYbXcZQe4OYssB53LVOxZVCCt1mZ4eWKlcTqlH3W59f4omRn0lFZkAVvcG7WYSen6RipXC00dY TKwW1awWMlLY9tvJCkbEH1/NZ9V8t5jXMFLbne+h4XJoBVQucX3LWvaLr2k2rxnpbr1qoHuUBEe7 zPaBi82TSRkBYCx5vYk4kwkns/8KArizuqg8DRGrmb5sT2KT2vm6VHpCdDgrcPLh3ryasnmn4OaO w13KFOb5WlFHZj2zX2sXSFcUVLauGkLfs+2A+7iLGTcvpMF9bbG5FCqiqcik4XEpEakyQpMe1W6w WttQqk94E0miW6hMiOgVzEN+fwDPcnu3CrI5fFDsXXuFdE20nvtfTD2mfAkjjB2mASDGPKVOP5zX RAfEgR4BjjeNjR0jb9f2ojbh8p5Qh7aTxXjvg1OiNBAQfjcreGmw2Xt504bFBtYqhJ886GpduCiQ IZB5zC4/++P+AmeVOHcrSqqAPMO8C/4Ms2zDGmGp9IoxHaZsrWwBxrE8P9odGsxNjt1YSy2fA7PV 2SituzdeVND/AGSCMNYHEapFkKMDyL/UYWAO5CuVEkp5o/xBY4898Nvo2XJzdQ6EpHyI+3pIOUXj fmkIgyf9fnG1dMQStIAlR4gmJY+mprmbAReOSe1kTrXv3ARsCglUXa39NpY1JYfuISmtun4aAylo RXyNXnCzTRfY/RmG2Ylqxmx9n7E+MXgS5uGrNS6J3UzZex6n6dMHR62OHS8QulZlBZKBNwDcXNoB y0dAysWLLWJA7cmEu/S54jMHt/0mIQbfVDmSSApZxQ9ZhgxWF7Kvy/ha+VVCFOYTbUyeRqOH8TAy BCefEIPby+6i+pyiR8jeguHu9R6HulNkYnO46NdqWeNHtzyDyUbzNDuyV4p9VrFKiuRXkX8Bq37c rB7NA01T9bXLmhJv7NIp5UwLqK4irJ2wPQt5Y83qkGhxSqmLSBAuRpkAp1dJTgeu+G3tRmSt/Nui mRLjPjdXK0vOmbNP6VCqiAScuTzWhL+BmhQK2Ekyti4t97P2YymsIUXV9m8q6hGXgBDjHP1NdMPz EVv9YDId+wSTyCD3nhB+FnTzqNXwwHm4gVRwgXqjbBnvKXVK9reOLOUOAgO7vte2lZ96gDAqMTFS 8B/Et2gp3trR7VBXDYOhQcIfsYxnFzkn0EJYYnOW+R64X4RkAMDlhIsDcmIZiBZf6twi793R2Z+j FHptocMzJcqK2Awxvcp/+nMiD1qj+hhHopIQeB38wkGjb8QrW3YKwiDNn000S5iYJZWleyJyZ7dR M8hUwVEPf11vqny/la/IQtiWZ5phG4ySVaSGnBNx50yCbXogcFkT0kzitB3Q3WrtTN75vo16kJrJ oskkbjG8ckPHSjL3AeIWl64CTtYXhKMBPonHY0mImXYo4kaHFPEE7WfZoRQzYZ6nVILMB42gbkH0 p3cyYTO0MFITO/f0j5kgfqRmtJIyPOlpymMAV6vMd85gUGLUKyQt3IGUtdnlznXnMsYrjegYCRl6 L4lptIaW/nLUPM8dFxL34wh/PoO0z1pEc31Y04i32E+DThxgPVJzqptZMFzzTHwNSXbM3GQL+z9h Hx+5m9yVipro/LbIskFNzD9ocdG+DF9yX1O9Vb9Sf8OHAkWwaz4YUdLB+/8BiuZ1cKiMxlxT2wUQ rIb5oo3BdipjjTrTk6PAgW4qoyNGsxvsUOl1r5F6Ot8XX1+liJgSzkc3NRZgmbidJtPZbFM2ubOz 7ZU6+kQYR/xZ18aZKjcT2+21n4q35BUz4gnfT4JUaz9fyeuZHxGns3I0jxtfesXAy0z++AZsmiCU dSdW/EMw7fItBsPJwZHtEXWp/GyXqHEbC1ueu6G9W1HsANIBiZM9WJKzrw1UaO7BbEhQWGRyPJOq zvmNu+pgTX+kGju2OjrsqPb/1eLeE4ASnCYMmP8RYlO1WtLtSoylmzXVjIZ6NHYSqpbGZxSu9wmj N7s9skSFmNl5dT6ZbgZWKpjTQQLKQcMI/Py5Gta4eo4rorolnrNkB7oFnmhI0UnWvxa2cd3G5CGU Qx+EZfVQ7cTOKFR4DyoHmBMWQ2BujaCyEX8JkRKOPDc6cBjReLVwQ7Lrd7z90QQ0qzvyHYtU2CZ7 X1rrTn7zalN+xXVbPcWBPUZzuRoJ1AFMNK/+BHEHjMnr0lV5vubKVl665QX4mv1cw+dQRTJTNjgO lqnksGaWawZu7pzADFd8luk6shARCO4K7DAAnN5ro+NnAq4oXjoG3HO/NFl2wxiIUJdio+y948rS wJ9aK/qImApG7ZCP/uwhxBbc+OIQRsU2m10nwYAg6MJa7rMrNq5q4MPfi7ghpXhLcgCyDYnQsmIg j3tUIlgFanOlY4eHADM7aYbVUcg6lkjnk/E2QyIFUv3ZddpSL8m2pg4encWS1uT7zL6XMF33Qv3M t1LPF+8yXPjRTR9sYW+RSxk/TjIh1on+athDGCU9eInTRBwFtzPFQtYW40Dfg7qzgfpOkimPj2wC 93zufRjBxVj1rpHp5ycjVHOm8RXK9nO7UjRagiwdQfV0I3P51Db+vyCANAYfc5YR7b/Di3kvBfaw jv/PkyZOD5dlTlWrtOaD7wHZIAvTt2EFR8ExuyA7Qa0MtpY3JM/rgErwDhhj3lIDabbVRM7sAr1Y +08y2oOQW62MBEdEXQpT8F36XOPXNnglkPadWDLR+scmM01jajIAoj+VaF8SS/KNsG9zJBxveIK7 fq/9iHTVg32a8e3Ryd1m/oIW1T6eHIhUAn2WG/A82cgRzkYsmyj1bZcXtlrLqu98Jx7sjrfbPsTz 1cwRyE4QJ8oh2C8gciAHGUaWmH6oVhbbz/YETVxf95OhXyf1q5CtgNkLAW7WbQmRCkMAEkacgPr2 a4a4s1h1J+DwWhi2pZmUvuH/qb84jrrvih8CMB9+O2odJZ77bTybosSSVZPKxy/rcDdh2aVcUXCZ aoBLpcXqOOP6cRcJVznJ2okFrEr3zVutrW2Y9Ra9isTWjUHU2gOj1YTVS/Psj1yo2Oi+5udbAkEy ebqNP45OZxqZZEOA7eN8B2us/7rMZiGHDDRZxEzLZOeP47nbjJ/jZmsNg4D1pxD8PBrrLNpHWZPQ BDO0Lns8TKmN6/VjQF7KJrXzDxfAXIIasTA3Q+AMUaCZPj/zOAMbwv0NW2/9X3nDpWwQ7t/MY7Rr rGTG8A7eLD2bBjhMNm9TVgb+RpGBt05yC96kSbp7/A47RkmaF0VHVphnVuViilV5Ye/8nFLKac8q Vee11mgsfzBpyxwGEXNeR7e++kMmgQRcRT6V1jmkSQekpgXZ2PqkDnOCJGM/IoRkxH08KxJfbzu1 Slw3ULYLio5S19j9tnQnruM2D/Nf6iV0O/0EAcPgjrpeVICGrApbsxZNqlH7V2Xk9ZXjvw1UwBL/ XeJRU0CAvMIS5R5OY21Kr6QV6cjnYuyfXEFXmv1XYBKCeO+/m21qq5xbVW7/Cski/vFn+TETmI9B uN5Ma/9FV9ME3Nki8+dUDwwvONg9kugkZBrFSPgpF+JIGArzHD/I4AKWYyOfjneJorc8HSgcqgb3 06tYrHboFgjOBO7q5luMkz1jDbXBnUOnZS08fhGWsoin7Fosenb4+E+GMNndLecDplF0E1CJWtsx MLZXm1Xf8U9LuLc6Ule448FaYcxhfU4h5l/XVn6y3td8AltcX2Be3GZ1t4I4mVuu+93ulGMOfOeT QdsN6xxiGHZGetjH4VI99j/x4MFNrdMCZUdq6Re72/IIHTcyBeLzKhIcAM6Ido7iI3eRQerOE1i4 1lyoHA9webDMnuNOmrKXTmEiXz+IqKTXXi409CIAJrwJK41DBxDoIXRp5HbAvkMko9o925UIM3Bo QSUNHpkPOFGoRhvfWZCBQxMtPnKqZQvZjqS0p7QWJe/iVrIK3DqC4ylsXWr48uJQjU+Pdy3EeeDb 2lBiNL+QOcvl01Dw9a6MWDHuZxgFgaDJqVffonb0TELeG6B2fbEoOG/HxJqYerbxJh+gBVOqKV27 7Fqk7c/M5y7EX3jBw1tn2pJIA8IzLmk6eECeMUKJva8NrEpqvl4Ng+dLFecS5CR1PPKdrEHITLtq gxFYf5GGzr2gjOK1nUy6t8aN2QQESoJbrU7kBhG5IIaPHpcdaS0tytBx6kJeaORU58Yw1KPB+xN0 GyDKC+6NMEywSiNbEo7WO0jUtV9/qrgSR+dtzg70caGsBqPctEF+DY5/nBJNgpR4oX9z8zIXnv28 JnP1Cl3V1UWG25VXnEjinzT4kGySky6taJthgTJZAhthJq0CcnuvEin06S2QGliuqn8sRa+DT27n +xI20MRpTaZXba+xd27KftlggfeTOFY6iVVBNNzy+YPUZSfNzHLqylBMRn9yPsKExGD9ONiNFueh gowio3Bgbe46yUshMDGQ9Wu7LNK1Ss/BQOcRfV5qcJ7ZaHRz4SUDF7FX0cT6QnNTPF763NOxn0/M L67GRRMhc80g5vh5qWpA7ItP/iXCUc4e1LfNLhqkcdp9ke049yw4qO15sDJX9ZRJiJmKbEuZ1saa xCHn8WjkcjSc/NxjOoMw2YRNBD42s8AOziYKIDsqSUsUZJ+7/ZJ35Pm2JUxnumKEpXDiteJuHKj9 OdkLDmI1Fyy4mRMvus7ijf40XiGcG7CyGMdm7QKurWv3KiQNTWpHYpkEhlqMcyXAUb8yhQzhs3+H rL0GGGLgxzOP43mLaMsvjPyN+WY89K3i4UkfrtDB9XXyt/dcziQlg3lzqlOYbFWLApIWpfvow5gJ 3tTHVlXIBM/Y0IerpJfpErBhd5keN6YtTJ/O4PobCF10JgIzulXblomt5hN+2cOyK8GHL8V39OLZ dzz9I5YV5pBk/5Nw4qYfe5L7/NaNIeWFZBxL2K6p87REwbFsZ2OhrT1DvEw+v9qslw+mUSs8sR1Y eRF2aQRIPPkeku6IQUvzx2E1VjEhk24DCGxVjXN1XIDHTR5FiIOzD+Gbl9ZFstXAPluC7hkWgKOj EGnC4VUfEfZ5yvU71eywS5tT3zKP+JzZphVbhuBOY7KSxtlqcUWfJ833b4JpibvDKwT83mvRl8Do iCLehSD0LRENma8jVaCAWS0RIeqoDzj/CYeJs0oMg9X1zpc/nDeshTpx9RQV2ck2dlm525JXtNrR lWAouEv5V11N6dQ4TPe8nKcZq9nwCS+m6asWc8FVdSr/PkqsVL/PtZPuOAyzTK/LXsOhdMb+XPPq V6pl20/a7w+OD9SDhetVOGlS+ldTVWvC/PmEcF8nNlznIklhiPbp2jTXOPeNI1pF3s0pTsbirBHR 9s/lnBqHg2j0/TybiqOlAOJeR+KHVPJQ1Y64B2tn5rTN1uCbYLqTuNnhUdD50o/MTZWpN331AJ2y Bs3bhBsbUWOw8pshhEN+4U8+qJkMviWTeQKa5VggjslIaztN6EkwQbAe8mx5asXNnCuohZMy0YCg IJEXKSAw8dGMdBy7Uij/wXPsIpZmWDgEa0ny6Y3aPa6hA1HQQGDdMMDNYY9qXPqnW76iBRtg8gy2 cl9/aePoqq4pj3Qq9G2GPF0dSAvilVd2jcf97mXTAt8231u9ZVJNTR5WOmNLYYEaOd/L7NuQTpJC KDIz9MrcdiszJDJIbXg6TW3dCoGDBTSM0o6ZBxxL8d8YNp4mXGQD/jCNC45aV5Q3NpWFMWsuPdBX tSJC82NPaxU8Dr3fkEHGSAGCVO2T0BeKy6cv3xkJko1rJ2YKPtrMRsSqmYOOrS+/yLHhKKIxEuCC lYCX5mUUl25IQpOU9dEC0ltpBwfvy2Yen6OoRmhOF+1Wv7CS1xX0j0lCEnBF+K2v9khR9kNo5oex 0sx6MVh7CxbPQ7X1VNw+FoE5BGv0LAi3aDMlDCDxmfyElPBGyMqv4VZxOBQRU/ZAsU6708FFcahj Ko6cSbeVOT141Zfz06IzsWX0g9BGuywmPJQt0Id2h8N6i8JSdG+u7c7WRRAVO8uU1PXzkBiucleN sbnDlcCaMpJtLpz1+0k4qm+YYJ9P3WmQETiMZy7hAwz/tV4XgWAgbBpaNVcLIZFnUcn1j70NppIB 8uC0mK2HvXPMtwjCpesAvzpE8ujntF8spDEZkAqWUc11xxqCNPhi7Q8yyYra+9SpMO+fyw0LY2Tl kBNPoqx+ifidQww8PcSj7Eg+3MpDegx1tDQiYSRLuWtUHKV6v9fCWM0lhuhq3/i7MXi7L3Le+toA HBIYNO/+wPMOH4guOHo+VillbRJ71y517zOBPEk2UMmHkntfksugmZkp9/rToJlElen7GDmmZSP4 zy4VVAPlre2skuzws+W7KZ1IKEMu2n/za4uj3dcg4Gq6nCAFtJDJRzxsnjlGqaTtjfBHX0E/+Jeb NaSKVNTBTA2rGTvF14zkvRV+lSDQB2eYII3szI/iFCfFTSVeK18VtRQlHh7McBIdx9/MMChfYKby aj6fFFyuvrjdUxAETmqG66zfTqZqvK76yn6h0HD4x8JfkAIKTMGW8WItB/gvaVw6BmCiFFr1iU4/ UNHHolha+3rKvi7KeebNE4z0SSVKKK5WnJPWgPegKgaDqUKc8EF+9/ltLL9b6rTncJbufAvkt3G8 zywMGWG2dVJYSwecSJ/+HQ8tC5R44kdvVVqwBSGNt6F+xYmuvt0xarQFoeAG7xlA3WqiiQEkxfDI LbDElSnhopPQ3yiEEtxUxp1YFW7jrdQUmc1WVbJk9bjMlmd7ErwUA21s6FfILND+iJGAZ0/JAdup +nq7jq/gxe+XNray7JT1WiT7ITj333zvPVZIgMLqpzAoChFDiPVayUAqP4ySD908RG8HPxVWg8Gr IaoNxa8Xt6vueAyB6nWhmZvwV8iPkB4PY0IwSYzZl7Ug6G+Ga1hWDFCLdauu/ugsKx4gAyafAGbb E27HlOgyDitNIwDCL4GWK/PmE0BeUsJl7DXv21D5QwTvntQesVPJ2r+QNlxZ/jnFemBZ8f1rFKjQ SHmDDjyGICy1o7T48VbCpcQcXi5DflnYZwCmfaen6abLtHdLIj2swfi1/QxVrlx9GQ/x8F9m59jr NDxdLXkWIPPbHOGD40tZMlPlI+V7TwdAVaxblZjN5FrvGY1DE+Sf7juKDd5RSOvZ4HSU08hGdXIF sHQn810iOC7g1Yz10Ue5rZntVtrH/Qi8+0v+VT5kJKDH7glBpa63z8Bj5OMV6K8VuK34L6n/zJk2 2aGbZCLou02IvJ5iiOizuA3DxnwW9lIXkAPWNT9Oz8u1wDmV4bDjc2/QfVkwYSWxASvkL4OPLVbD sDFQF04bOUf+sM+xzQ+oE4XDolch9mHlr3BRjkA7HSsf547LQj+Psgnc/XO1yo2mP8j28DJ/EB+2 hfONzJYQYkJALt5ocRmFVGNX5eMhLTW9NcgKIFWq1K+47DmPM9WWYUtGIJvpDrr/XORgOcK+vnG4 zG/D2m6eZgfn8cUrkXzK3nxqa9Hbp30xAGhhqPCXW5kFf0TL6vgYUBOwhCyvn4wo2aF8aQwRNXpJ rQKT/F+djK5FdVEPOai0Ra+/bScImQUpdwbHl8fgzSCnVUKQqjg9OtvwRuqPdQHt176P5Roxfd+4 CM1Vk51VVZMrj0tkhxu/lsgh6+5e3RdS4NcB6itftay6HJSt7lymhFM1Zjda2zwN4NR8jJEf/F7z okWliksvLNwp96Zq2n7ff0Ma57ZpIh7le1rEJbEpkw1bXzUA20KMHYwrJJfUS2o/4sCeSigfmp2f E6CNLqsy2I0un1Fg3mAKEdRAtrcA+ij+X8obk0si7YL1/QGHIheQq4Z8Zy1qsl10EXHWut8LV/Hh 1gYsPLtyiPNCdEJvrKMSzBiH6RrUStnNjvrTYIV3AFymawkOZJDPfswfnoz3TenL426ReP/9z/Ps wvuVpisjlEvyDIzHBXrFrMuZbayBh8ON6EGb/18TyEeZdhDCWUg3Wk/zyS9sjUFo0EKuRPW2ni38 PtXzU5qrZk3sFqgDUIHX+gaTkj6vvxAzVjMrvO4TjsDWcZWr7h193xYuOxts3/yenkk/VIjb9xM8 ShCxT10B7TqsiQbxmfElFhQODTlXkB273S0eTDkKC71COs4dvijwF7fpKkaSkSuD8R/4uT1sRFYb FRhSVp5fwbZnRiHmn+M1XTEeVXW7DVlMTKmHg+HxE7tnzLLdeAGmp7/+i4Lb5902C0KI3V/z8l4e YaQ6EQW9tOKiGw3L6rKK3PWdqjr8LTbMhLsZGxasEL1f7ikpgA948NiQICQVEe00GEBWZ3Cz116j OzMuLpp4cvZIfjSAu6noiCi7KCdiw2zknT5WmeYRsVZnO5kebXbJxbQ4nzWdzwcvA1VDqEqubM8g PLa0iw4D8oHPsLVIYCL6AvirxgtnAprkChjsIVFnQuXLVuqrQZANdB2wI9mJNDUzOqnMt3dS2maC 2a1z0Ax/kZ+E3wwjfRXq1hoSxQ5AzjDD5KycwBhpyzxXP6kxvOQKlaMHRc79+odOZ633tAwahEYR xFd4VBJDQeMgJKNYjVCozt9pxf2IQPGNOtsBJK6oipQyr0vLIQN6Nl8n1wY/QX4syrXOPBd+RNBy KFF1xuND6O6QPHNmGClR5q3H+wS51LfHl/K3xqFBl7A316rXHCPMYluhmDR3whPSChZYWJFi/Uw4 6gEHc3Ajw7m2gzeOzYGGyKnboTGucQyxPrz9KyI6oeO4KPmnqh352mugTbDpf1Owwy8LcM13fnxn asPAWQ9B6v22I6OT7arE8oIvqBuZDvjH+IF/wbGHT+NaItQPnDYSllAK5SwvKGPBoITrJBLoGm7c XypPZ74Lj5bxfpidPUftQBJKNHMzlXeZpJCBVrC2glUTFBd3IjvfBYyhsKxuJ/UgHG8c6fDi0Yhg pNv1xFRSneF4Ewo8bYylu2Gt2+RvtIYl2f7PPt11yMNnYlv0sWqXjrr11p3sxo6FW+hCH6PYqHxU jEEo6/bdaf/txlutlrfbbYAC0d1FN17yVgmYARSvTKGxMIhxujhM82jo+0Xtrn0sXDMglJfjaxJa S9tfsRVgDgz9rPTmBoPkJxsVNIO4Dk3+MuWV5QF0+k4FqeG/Utkx609C+e/HLXfKTwPTdShQPl5a F5eJRfO7bhn9r0KvqfcDQupPN1xDUiwyFiDSbbRHn7LpIBqFOqbeUx+VthXoUnP+B04+hUwho3Ib Q9JNucFuirkYBQYRpbT+d3DU7oEnIm9JEuHzw0Yxl8GZPOxPsoz7suctGvBGMWBxFGHsJmCNzL2X NfHVZUkMkCcfnnJN6UOTR1DjDJiAJQlU2PnnHLSR7OP5p/BPTMjK3xSKLXMKCtLR5aOVqOvIWD6C /7/YY99YuaCytdPHF6QdM3lBHvu/jNn2C4whUDVr5rQxyGybVQWldwhi2+7/1MRM5GhoRvHHHcAp t7CP5j+k0qAgTN6n2KVIlIHqi2V+YtA9T94RILh5zScr3sjcWbet3/p5Yeq+GpKwp+5Xyct18iEw Rh3SQtbsi9v+AlkfBbAxM/EmFx80ab8k5ycv1Puz1PA2Ykr4hNrkqlJ+wGT4GI0iHyYm5VxGDwiG cdbuY1X2l+BYc8HrTQxJVBlC1sFt2r6/5yUaqjOXd26dK/Xu9mGmMifjgSmYYPYpbSuBlJgTiVyr 1rBxU6KUKuKKjc/wPhP9EiYXuPFJdPQ9I1AQODKlnIdmhSpQ5Qc8zr5GyhIqgRA5JJYjOmjmoslU lwTd+aopQDgar1Q5lWHnic8dn8ZX1q3yAxtdqztSxGyRrL2E5hNGiCjhZ7wBr6ji+qyKSwc8WRnD 6sYT5Io2DumOw6ckFCaAfpZFwLeM6Yy1F1H4SgsGQbTUTz4U74oIOfA4sw9UIvq9x+6xyyl3e/ea A1yIGTW0NdxYAwfosDS7uMx+3gSgC0l8eHzrbNcyWKiapQmcA1DnoYXrYxBpJD47AezxRkHD7X5v nGy1BlASxTRLAZRnJ5feEtPpyWRtPVeeI11kcMqRYWOQkJAon8eLvdRRNrcU9V2FcNNmvldX89BR oYN49JrockUiIVWGsaXXcHE8nmVr/Lb/yI/lnAaiPoLyul4sLSafxz4Rb8Yizzd8uQ+mOgp6MOu7 2Q7Rv8nLnh8iA1evqHAB+vRpN49ZEGjNnuGA0YK/COAa5MBHnr8zE8escEf8FP/UI8+xueZrcQxH 0IxOaakzdPQpJIvVz1jAFjmYn1F/rnTA0vgN8vMsMTYlF85KeHy8lGoS+hmBPQVTLRw4OJ7Q+GwI UEBlAM/rPWSjE8F5K9RugNFwoVyUKn0vbiOvnczUN1JnbiXfH24rSlt8glWi1oGH4k46XZQl1pYc ZoLXVxIJNzx5zsGvy9mZPvtDnYHx0nVAFXv3Qq3F8mTB7yIjgImSqwsDJhmbBzPFsyt4DKVUxdL6 Mfyuu+6gspUP3Jyv9suJ1Fxvn28mkZl/l6J3nWDhchpL67QJ0LVLOElVkNHeDR1AAQZNZUna7hfu ILw8vVhJPNFFwcyznex72ucl5rKGbqeTQLtAczD5INfWCkVfeZgC8mH9ZOsaj4FzFXqljaTnaFfv qcFPunS0s/8/NdmqDYKYcs0OcpULl671wESVurLdxvHXIxEnBMvOv7AkTryUEHwF/iMMobvXXaUZ IddgDqg5ufIgmFXDQgslsa+vJuzdPBTrDm8R8NR051oWl4aahHKBq3/8TuyQRfoIv8RfK8SSNijU OxgFdDybcMCfTBaBhfAWiVBMwHm1t7JbecB65WCxNeyPlOoZEt00UApNY/tN/Kf4lo+CrOr5FNk7 jgR/WLjFuVAd6XFUzIpRbjyHST5boh4AFw0y/+d2RCldXduL4bEcfKco8jvQvlxwYYFfSfUnOdTY JTBCpXGAiFSvBMuWVgwPSF4cqLJn3kX36zkjz1aGHSxxdl32CHxdN94XZMq8J8+11K67uQXgsQ5j cvSmPuz2smLwX9EyitAmoQsprteQEqKZ2IylNK6SihCEmm5RQJs15ZgQ9ZcepyjDdMvX08S0Lgyx Sv0gV8Cio/L5YYICFFfaQkS89b7Cnf7YhnTbU43y4GK2vgPBjx4MvvWPhkBjn0JsuqnOyg6oCaU8 hIAQv1JRGXT+SvEXM2KfaoLRRx+8gbr07pt22iZmZ52QKO0KM9lPtPcvVWJ4j+CMbK4z6xXBzlQ4 uh+JRlbJlW4Ixuviqfncvs//5JeHLV4NAavtqFzl3XM8MtqJc00tfmDLRFG37kxUUF+BsuBQogya QxnaG3c3DeDMM7swmqgx/ijqTF0A8MXxlVDGGkI5GESiBPIer2GlKtCDjgnjVSqoUtYCqqazZv9p i5UWViKcHjPOTll/pc5dV0myP6TimhXVaUAvxnNaYytNXOwaoZbnDGI+SWGzcPXCavDBSFeaYhj4 UbqleZcnhK9FjXwPk0FKcNrWUZh8Wtcv4CREmoQ2yrX32/XHXJQS1wRfeT++1mPETDXlR9QH6A9V yjwS7RVscN5L1Kmy7yN0Y1cScikPL3Kxl1F2rjOlUf8AUePdeEJM2QX3+013Zm39zngcQZMK3ND5 MPSEWp56YIqF9L5OFTZ/iMXMPkuOwyzoaR1loL1jcnL4pE5adCp9bQRBOgG46gyQ7A358gKNAzZO FG5dcf8fmpLeIbVaTqwz5AnAEbeHBSogihs22749hOGbaXVJCwXSWWVNCnjD+ZtveYkl2uCM4VvY aeGTsg2rU7BsJ1we5h6p0GIinpBheSzfAoKrPUpue1BnuQph9JW15uIljqomnC6yNqlfnxYJfEwt aphVD28K1GaxokmRA3skfCx/VgEJ3jKTsPGDm4AlDQNKFPhnUyEd1tFFrSp9O4WwLnzA/4fRAQOX vvZs8Zzq3suMJ0cKyvo99k1WCiaWpzgceN5GkGM8HnqSgDlTlmI7NPNpzkEqXQwOzc7pW8L9VTTE RiXGp3w1kGygEghW/9rCW/ZVYdDoes8UXC/3gQlUGgNG14m9igeLIP4K3GMKbM8mgVGxQMaQ4eFj 7dX92oTkJOJ8F3Vw0ZTYSkLp407imNyfyeet1wD1sx0Hpxw2X2j77//9flHGGYRjjtbCunrT5ME5 CDvXzCBwfofmSEhQoFczhxk6wU7MGEO3RAiuMMAeG6AHuC9gFjzniP52vUT1gCkxPBR/kYY0eNbL qsK+IphUvpZAcG4Dsj1cyuk8lz00+dIaflpicjyFp/XupIqk5qkD81Wdk7723ywSKfW2uwaahE5o 77Q6xHUEgOLjm9qHTwLftCEpp7cKXDPWyUuvVxvxGEb9CpuuIXo5qRTeb5Fj0argmKpNY7sjj+YL r745NTRjofpaLtqG9Jtnbmrg9LcADdyIHLFt3FzsTHkcpNAIm30F4j56H+DVlp/Ncl0blPXcWPtM HXz3oGpVE+j2E9W03Fhnpp/nL840UBfRBpduZtBUvNJ01UWfyOr3F+MtPaMHJCw1xHQ4HbwpbJJr vPGUwBgL+h+ATeiXcI/0LpDPGmnRxsQ+8k7VzXW9lvP/so1eDwx1sUK9sVVR1/YP3CZaE78IDlxM 5oiCvqTcNN6v5WEUVhlA/tslfPb42VOADeCSOTDxxlWXr7qLqpmXvtz9u5QYetBcuyOyNMAGWzAP aJErQ9i8SLfDMXl38f6mLw6ydUaSMR44lPZrBHIH2N5s0OiZLgck8edVJvafWyhpKgT2Ksx3sw4t whHYX5emC9g3fJ98XaTX3HZi2UV6QXcPhAfkPzXC6LktQ5y3boK9Esa0I6SUanj3pyvD6Ygnn8rG jB/VTh7zbpPJUBLjCYlwWspSPoKJS22DsOtlFEwfL7hut1FlW8fZjaGIn4bu3dzaHGeRYWBpPGa3 AqawykSOHWn5x0y0sgYP+lqeCyuFgHyxJOqHUI2hunRwjay/wouZDZ7SSB1ibpWt1zBRqGa8Y8zI t/wHS1lrF3cxkEpJCx+yG+k5UUcEnm33Meyg1HH+hMu/Onbdmy+pCjDUq+YxK6oDNaUEHj7F0yC/ XWsJFjzzhz4kXEjkOazqe1PCjF2IrqF4ZN83bCAVwjqcwRdvFQifU+gPBK4NpPl3RnjtdUAyVVK6 8SWN/szlH/05O9vOmD2+hR6ZRERZuwgd+oWG/EHov9sufD2nQ7ENohN5nF8SoXaQbm9CEOwHMiK4 1TanDFbocVwOnWNrbrlR6o+QhYGyYcG5sXcrI4qebFilQL7o6+XBzXxpab5inLS/1Q6SdXFheCTB B1gUD647fAGVFfwlejWRTDSQCMXcprxvTCXYcT8xlwSUNPn7qTOhuXRivjGlAxmBqo5iUu+sEfFa avOE5udSuWuS7kQKgx5A2aFPyjhVdGEeFmLBH61+OqdBRDrrtIJHbxLjRvLRP5uizXNWV6cv4SdN YnmnKFTIakmKTTEY5W8BWL+jNjISdNQn7SIM1xMcgiJ4+zoJWOTtd8qCY8ZoQa8iI5OJM2Z8zIuQ TWxGA1WCWnysYAZyNdgvylRrSJfqL2hTBtIr31Hj2KwCt+HT/nDE0fxD1AYh+0nxLbChhurPKEBI M/n3fzwbg5+Ur+UQEv9NUyRCJcRl9d9cQSLy+yIOwTo7I0LGhnXFoB8qYGNHR35nqcKOjBqLLPTr lKW8SjPtX3/OIX26+GSDYtRMmkHQiWuKnqam+HIGFfaObbhWrNsuFreTRp/AKlUlI3g2pF4jqF6r EoQoF7owIm/bbbrJ9/V3ZawtlWfMzkRiQlsIKCJk1AL0kyQhWFu9xDYvL6zmSZPBNLolPHPsUsbG Crba6pXWxVmq+e6LzHWzUWtAfOep1YTM3XHbHUYZLFpIEWohtFUjrf2eLQPbvu31k0FKYJlqMr24 f/F03dBhlUrSBtaJelWWXIZHn0jwPhrfsKEXBbUI3RI7xEEkC8YiHeAxFVSTCnyw7u9+yW5/R1Xu 7YnhNpRMyDe1HOVg8Dpb9tcowJMlvSa9slxQW4gmFZhhH4sEAt205R2xiejywgFucJGpilmAxRU8 7wycBfBwJf3Qnf2EkmsOSDx3rqX0RPcVPOvSjidOzd80cV3CoOoDDAdvKrNqDkXV3yLV9h1M4RO1 03EF4JYPCLtjiY5omB+MEfvzsBf4h2LWWGhpCKvqSygiq3U+un+dEnXXVqh/QzTb4D7RVpT9G5d/ yueVJT3GG5WKdI9RjgwUCagWEaiXdjjNM1+h5jAZ0mtVfh+FoRky9IQxLqxKNFazUXil2wwdSsBg BEnmoZC7TGFKr90629KpSt5uJy6hNmsGQoYy7Ty09tfMQyH2CXlMJ2QcNCCRTvWcxEgBIARs1+3m rx9NXefvpR9phCoNxzTevLa+SWE+eilt1cpHRyCiasbySnDOHpG6KU1VQZQhch/NZQ7VaXui31tf 6vLDf6IhCIhU72RSGYrMMFNbOYt+62Y+Pf5y8kt68G3wcwkFvFinQn5SC8rFuoBRemj7JV/cgWnx kNGOCB4R5MPQp+xA57nQCgotmZJ8Z4Ewz4VL9PSFrJ9GW0C3YoV51e76kD9rfhwKl6vLHJD+RKTh 0xSere6PIQfJe6b+i7rBno4/OIzYfI2FCpXZC6kLHRXlOXlJBpzcTL0U2M3hMRQxzwdgFlCGWVCf 4l+Zk1iDnizvB5NrlV7nmvkoWyw5t04z81fcPtG0gb1dRRjU6bIXeEC+bMXSpRwWBThYnVpJ7UyN i4vkY3ggsTDWT4DUULWSwzyp9/5UfiwmdkmiAZLbYMnpecW8q3q4DTOBoWxycK4xFZ1EAAMmK/Jp lHS9VXO/kBr5ntP8OgZdIYi4sOzPtwV7FPOhVF8mg7qeNzTC8POEU/0WpAoua8Yfc7lEDYVR6PsR XtI/E9KK4OAMbHtVVT7GhaPqrcntXFhRZFRdDsoAXjSMzD4JnXAEfgQUnnexNCrC/GHZCtttLcrC bJzN8zyYgjtuQGiCiH6Ml3rc9WijQfQC+rUl30r29U7OEGYDTmeKqLF8p+0Lb5L3R6YQaH4+0iJF aiSAnOBez58lcLg7p9OIiTg9V9HnCeEc2QwEFliPix+IBLRTMnNGDnixi9J/0Cpfo0Uh15eHWC5Z 8Uu24H1yp3VcrjT0Z84Lcbecejv6kzEdKplWdfUFLrPKZUX8QdaKoJC+dE5IwHqpx0cwk23Skj+L Z4DNYEoMWRAJBLGp/lsEXADTMAGWtNc+fqHQi9XygV/rtqCa9+TsoEpq5aDfUU6cbKjhwCoOmgN9 vRjR3/wfaEcuzRUmC3//Ij7fYeE1Wg9A8uhlk40RJWrWAIyzk/01T4fDrLF3666YIx347Lmgc/OD ssc4lciCrSg+VUyH2xwEoSmdmvj+Xpqa9q2rNxdb2pS9rfB02gRv69xGZeWstaBdd0sxL0uk9lv1 84NG82nO5kTuKBF67uZigCptWRfvy9l1zqh/yzkLhBIkSXtBl0oTU3jxX8Q8TmFmQCuHUs+3ua86 oZOtbeYJq1mcj+F/73TYZf17yiSjvRMrByGdajqD0wYtyp3Ikqn9UO3Zd/LwsH6XdBS6J8f4hj/d JzEogZv3alRu3SPh6rKpMZahxiwDpxgrSFcQ0bD2Enmj950cXf3kNWKiyT69fSAxiAe1yScy88uK M44OFH2DT9n7d3NxBTDcK3U92DG/WWcJv5Z+EqJvfJd3PNWxq+GJLnentyaxpWUxH58FtMTFmyCC vJcqfl8J0mBvegF3qJDzgNBi9aeQEU7p48h3pH66mUizkIoz6X9v8uwygwU3d8YciaSmDhS1Qu2u fkf4t7SYS/oG+IKfAJZsNB0IwmeqdxjcAs/2kHX+SW3AzquwonUX4mMKbR8QyPC+DjBuc+hoa99D /D1t0QJsqYzjLbJv3gmkcb/puwZUNcPiNT4S4Xn9nPA1oZK/79lYG0LpOgC3I+yEGNL8RSxAabA2 D6uJKNJi89FTssTmZq3QQDproEeM2ROS+F/vHauRLQMGEgH/HzbJY7yHTEelziicjiwNwgw+LdOe wlZLgY4Y3Nofy1zjaCX2XKGPVTmF+yyYQRWJ81MvaZL5Ro4uc6ubeDpjvPytOWI6mDLwX8lPMU1d hXN6KkyOQ/HT4lZ7VNKiziX6geCiqAnTcF1I5foVblfVTfPnfjb/p+e/C8LTNvdu3uD38+1Uwh3Z ve+1rp3+6bc6BL/JVCF+IU2G6tzMobqQmSYN1dbn44GSt1TMCpEKRraw+tMfD/rifMRAsHdHotEJ 88omZry/szNEHxNK9CfsU2iKPpd2PTshNC2EyZn9esFK4PqWw1Jr+GQ5lovlSiaMw640VT23YIRj 3BVptSML3+C58QZAQ7WLCFpBjj0y8iyOSsRt0//TDygYvSVu3iKxR9wPCxdFyGtTc6qvgTbGYJIP p/fQEJQboa/cRKP13kQXauejC7F5IYKqkEXbYYmKXogeqMANyPhLJOzMc0RmDwh/XwXS3R6Pz62s 3EejYtxFnWylz8PB8vYCSdn+v7u6LCIKIsojfHyd2CbvhMMd+pVyZI5AG+3qYMwykywwx8pC2M3V rewmwOnTfpqxAnjcCO/izfXtfJ/bx5t4r7309IVa/EO10N94D0yXjmGCNxDeL2ELiIISQC61qfv3 /R47icBEf2Djfpz5kYFA4aVe897O5zGjZmnlQiWxpKPTX0P+F1CatrUXsOOZ0eSu33J9C50xYk5g IAI1y4yloAGyxaCXt4MgR7Oy3dsiYMjkIm3mo0Pzh11v8iiphtUv7R8dFQAMoHea0lR8Qh3Ft5bh UafgIA9pH6nyWyNTJ/FaRfJ8l7X0cNoYlylaegikxK6IYWCWl0CVFxiXydLztVqlDUK8wKndagm8 /Uc1jbXSI6To5vqUnKE4ftAms/d4AVPn29IgyvAzvx03pliNQ5nSX9ZVxUj18/hBiQSl7fJ+9Y// oHII0V+aFDJx3eEJnKpOuAS7kw9lNQLt0eGPUzyVq7Q1hAzH50tBTC1rlRtlKaukqJx8d0kUEAuH jG/wuHzln+psnZZXaAOA17YiY+Pw3e0EZMwssvDaUeHGX7SFk1dNKiqLaTlnpiAp2IGtSLb4M9Sx bJvLE/jx0F6rojL7OQMaA1LDiAjJLGfwMt78siBSqhGL1Hh9iIOuUul29XCzTbQBLOqYOh4MG/n0 Ma3qdDR2R+rpypl4A0qc/31jJYfmc8rIZdM7x5lMgIbpExXbo4LhZJLL45vL7T4PrxvT0Zzg3VOs 2YXiZNLLZtl+EIGp903XYkUe5pq6Yrp5dRnJ0+IPssqS7+wrrJyoKGILNS+dUm4ERWJWPpl1Q6TC erJZWUl64R7GgAhwLhoRwacl6HxJO93S2yTkTkc4UPXDD2LUp5/hfmM8yadCp6bQAlq/fm4VI0Al ifqatMrWXgIgEVbZI99nun3xm5LwFv5JCQ85RBd0TihZVkIxYjZBv//WGcve7pCvTawZTBmvviGA lJlf43Eadz54Nvaj4/fw04iuzCyNwWmqkKYPCZYocbu6Pu87FHgzORKrfGCV3qyVp6gwe9h2yP+X 3rfgrXNFtRJA9H92ntrl0nf4S2HEAPPtT2uz2zJYSPOgF5dxTz9lkgZuqPmVHIm+GBGVblXtUwb4 89/JM74Hnw0RgwB0JfQk33UdaBKu0zpd2OagMj8VcDYAZruTBPcNE+uvUQAwyq1Qd/Uu6QQx1Vjl 0w8xYfi36EEKSgH+IS04uCw8WXFEXsgOzWP1RO2pb3XsWtUfAwUmVGr6y+/KiHndPW6Wa1c0IjgQ prTp+Fc2dtamYjLoO22WnmDJ0EnizSD2mQJX1TMCl7a+V5BgFxiPO0ScaJdDd2tCxHYbCAUheSM4 7HI3Hwhs2yrPLNBk1aoCLY2cVdfoR4ifzm/tCrZiZ7PaM9rU8EGeX2f1l6nvt8gJ2cxottHGS6l9 XGZJS5Azq5ZP8b2YGNyPjLS0XWWfI9464ZyM77xB2eQ/q0Go7AevoCrrOdcLbvFik3BnA3miLKtf Hf9FTBndxiv83jz1Olv+nMxpyTaWqkfJd9TPIsRLcb9e2Sz7F37JDTW3PWS/tf3kyXsnYfeG+PVi CRfTAJ/x2O+u+O1GlpPLshWCiSeyJdMMeTrmdltfug9KtGVqxuzLFYad6rbYCrl2cEKPivKmw12S QnCRELmkFU5uhmSQoW6PVdAQxeFa8YD+YeFkzGj0soPV0xAPX2qFJgwKgI5v78WWG88inBFO7Lrr SJPY76j64wvNEdzHjV5wQGdT6u0x3h0E7LsoWx0ASMUifrVJ2tFWBN14o1NuwBUCxOzNBXvJuf3D AcijjvM+knRtFaqtXpyZgLZzkuQFlHakmlNTWp5OyuyLL5IVHllTb72SNQpxtmBS1nFS0S+MdvI2 qkkX5TsP5ImZHM3pIIRgd5dFoGOKxOPmUJFwXSDUhwQ8ZZa5Wz1IEP5GziWLWse9bAbCmdpW9Evg PHX7HtCHxc4YUb8FoV3pPj90TvKV/SrhvaMuNe1GzL0P+Ik8oEIPdCwyLON/Rb4NRoJb1XH8glbz TmXzJ71DWFJJj/XJKLHt/OTX4go7Zq7HC0VZDoO2mu8nrJZ6kLD2NkMMQ3TUIn+SSztjggEJqElq nvOUW5xu10hZaReep+6tRcQQq0Afiy/mVBLSN7eWz4C3TIiWtdtTnjr8FJYppMsIC+Wn+2tC7Qvq pcgb9hIEoD3cQJqIsL1M46afZCotIf8cS18YoUG8NMfjIyQ89DMQParWmSvjzbRWY19Frh9GY4JY kPdi8arRuLEoCLRZGDs8iCSNxU12V3cA8XjC9e2wAUQfnfHtQkl01HnuH3oMtPI4B46G/ZTXgAwZ zvBlt8GkpbH8od83fiUVY6Wdnf/wOro2Zl9YH/ib2dCW3YgoyaIApYdcxvIGOJWctVlXQWDWQEe9 rYnYDWI98qiA1TmRWI+vMkqMUDGH53iCZ0Unx9iFl5VnFjOQQ4t0Hid66RdnEstLDZYZmU+S8Evj 9p4a3gVCzfgcsVjIXNmpECVG8W02f2Bz2blFLKpZapp/RoJnEg1LxmxTJsN3MXMxNnYCCo8qKIE+ /kC1UXLYxyMzcxCupkKsnCiD26b8pgX/ZGG435MVqmH58clNRKdT7pVA2Lnf1AUn7KUB4mYwvjen NUiJ/EvWZPNX3X5FCVmjIrx1bDs1rdhrK3j6aFx07cFDH0F/CAORw38sVtzNCsWc1XTEgOQmEuOs 0ZsV3S7msJePLpSeSStz4MYKshd2VTA+EC4/w8+rR0XFeagRfwUmNX9ZkhwdyIyNPoCLVbcEiku8 uCBJBraqdKtPAb/LYX8OEKMk1ook8cqrAFv6wAOs2KWggON8ymvcoAoiCmFFok+G5byPTZYv6JGt YTG2N9SC3n/Y0QsF8F5JuNyJ2PTP0kMOdvqE5IhWGNs6o4+I4vxiKvvK/qDKKruDlRc1ec+B0wnm mb0jJ8uPiP4+4RwNZIJK9eUNjIfMgwn00ib6VfgoL8Kyx8yzfp2GDX0GEK0pAqZyE5yIawzaeNg7 NpVpSMWArQhM/n+iajslqn8BPaainhWXArZY7zAeUzKdd46gnjQbnEw141n6JnPCT2djqz449TyH ajqxMaPyT6P+S67TctI5nQoPKcuPQNDgNlheQ0UEMBkYx3kilzMZ1BD4aoTkEd0RyFkAsIKKpOF2 Sfdeiy1pU0NIXe6nLJVN8HI6cqyDr6VUe0nlGO7mjFT4BXZyPv/H/yVqCNDqmgFQyMRv8lE8IFJE ap58xA/ecKONMsen7NhB1Qt1wHj7YJppXnD6CLH3yM0kauQvaHrykNHirD0bnnnkmdWb8TIfdUuX dsXwFX28J1IV1bQBbu5JQv3WT5EzAZYgkb79jHJFWXFPUxtg3iz4liowaxpFExb6EVxpI5Km5zZA lFQJD0TMzubbHwUIfKWQKQA2mqEQrZOO4sOEg5sBGJc2udyCaur0E4eh896kl1NK1VBY6L74J82M pt9smL6pTlOUvmjzmNK1FikGO25Ya5sAbWSUMJ3NiDh3+SZ/DwiELfe9GX5shmWYKq1DnLx4gazL 4SlmNIJPmayJGLuWlQTFNvw0Yc4hYaFUWcQ+3khS6UQKBHFvtnnYEGHLmIkLLZ4ZGMmaf3Zz8twk FAX3N2np6Fjf+m+y+f5EAGLmtoVEzvc6YEPJU/QzvZvyWkwETIBz+oKve6ZNT6BbZtgW5cG23eSs +C7zglfmUoYOhvgWIe31P0CbIy2zBap2RN5GzKpg+oCkI28L/KVR97zqunRlgJuVlgNmC0WGigU0 9DUV6Jernq444RDi9eBPzAlofR0vhCDuAT3mVFtRnBQtP5Vsj9DbOpoJTK+0Svzjsq9YPjo04E1N 5XrDU1CUZ3vZZoKj5/n316Nrum3A2f26I2YLabPW8LTpDY8VFZswZw21PGGhrQ9eespfjk7U4JXY a4jaWm0ZcZM+bIMzS8aNLXjdi/WbNMleN4YZtY0vSS10fuvBVTJC01nwA0AQZmuErlNlCmnIIWYD 5IgsKG8mFSKsS5zv/Ib07rFU2+W8V7zpy5BPx+OiCWTPu1cyu99ER15eupeHTMvSmbCwrDPfh3o4 5D2LiypycKUbWPFacWjbeVOrsPFw440MCUjrwgHuSnFrpJcBSb2TBmLiHUnCbaok5g9/eT13JYyz 4nrmu01EMGFsQmS3joG2Eqdq7tYFljRfRfjWupkhlwDvvSSHV9vBJNBW9E6F/3iwMxnHLieviiS7 MIS0vQobPVFByPLaIa2Uk5I3iMa2SOxaCI3KWztG1fa2+dZtSfy1DXroFVc8ImzBuv6sHk5lOteN Vjy+7aaCh3FRNS0kVvpwAjZWdzIErLjZv34o21djge4XKCxyo52gHYmtukmtQPGqhB8AKpIaoDXs nnvxB5agnCHQ6aKe9H9Rp7KpFmojI2+Ovc89ukovYIpjiycG0bOT5RJ0SroMjlZuPQRrxuW2FwKb P7/2+ZavNCHv1KetQfFz6zf1Z4meo3OMrmY3DgaoNi0YQzeOngch9ZjzkBSCfNzGfngINEXXcWyI uOTiPaQRzqLpNCUas68ENwMlWopjI9tZR1IU08GriorjqJIBpqlZ1qB/3s7y7Ixl0P4IkelUHb6v rEs+payDGZe8yxTtEjYn6pp05M4rFfJ8rWYAvJGQibtgBmsJQ1fcZhIZHSWo4cvVUEkGXcMlKnjo PKQCAq539cLFyIywh+oeagmbAwVddGdeCNTXogRAkIz2/nKHMhR9UUpqxkLTevaMkd2WGMZL/Ffn 8roothMC7GQxa6MYIDQXhm24zmocrp4YpmKbigyn3obWCI3v8pKzKVmbgXTKNpS9IAdh2aKSad+Y ZMzDH91mDTMRIHwb9OEkVh1+q34kFW88iwAAnnX99EWf8TsCKbUqRQuztcch6m/somsKm4ohY+jy AB9/rYgiU6tL+cf7/PpHX3U6+e0XY55AwYFekQGxxKfAGM6DuuTGs8Zqx75sDUMetlfnVwOkAjzT tCWPGR7tyZ7oSAGJ/7vxjBtVSLAdgwIbZAT8rekrxvoSP0J6vnUZscyP/9x2ggQSU2gKwteQYwDY oedsHa2boFjEN0taImQk7QpZ0zciuWdqF6QPO63fxun9O+VZnIYvbxkE5s5pk2m2GnrfslYCl0WB nP9Nm6kL9lOYqHt7DKSzADD+5nEa9iGQ2DXU88inqlHKLgUUNY3JckEvRZUYrNEwQ/PckUKX010Q YmbOPkmL2T+gc0tfxLX1EevkVLiKIx7D8cRq2dDEdl/i8gCMyuNbKEtSz9riSP50vPtqon+3iGw9 CT8CxksMCpku5cWKpc2OX/+5HXPR7OJ5ntmOfxgnh6eFsS+/DvL27mLhXzbiZa//G3F0FhSf2BLo Hbz+fhooIZIzfC/lcDJnPb9tJHdrOBQlsHj3BgFFzSn8uj6BnHezIySKlhgUY6TQhwslMstdI64t EonwiUhi23VXj+I5gOWY8RaY53Kolzw2MK8ZivxFUsJwkDIEj80rEMrZAbnenjdz8iQWa2SqP+Y2 ZR97+bho0/CSYHJIOkf5dx1savuFbp7Vpo8lb5zSWy8WoVX40/34ebWTIDJ8zybMtRf63DWpksEN aJkv0Uqu3UVtP88hynNW1Kl5Z3Smm+sIpEnWEdpGKVnBAKucWlLVNE54g56oHzQeUMpz30dKnbu4 CsA8rv0fg/wSRRfVUzas13wklPS54Bq5AFEZmvYu91hy652rogWUlNo9LEv0QhNaHQGJklYBXNbW BIZF+Au7AehriFHu9fobFdgWR2xmF6zFD1pYSd0zQTCiJnJElxNuYSFQwjkPIQHC5NNmoh8Vgur6 o8agvv4qYMW0CXhryHFvWfi2JIMwAcyfsUB3/mhbXkntJvp7u6cdZfCK2Xaj0gMiqSLPZzAfTnw0 8mUhG3JKvmKBeSC0CyLeskFp5AzUpCcr+KdyTnIUY3v2EVBQaSQbLG0+8qJZKiwjW3NJ9LMF9vrz mmupCGSWIZPiLsLlpOWPOTIHyG0keupP4vVpL4O0s1coxn78NqEVIinWx4G68chRe+1PQ5tGfq8Z nN3zIFkL0UcpkcYGx9p1ODzDgMcRRCpm5+LjkfogIVs/ctnnzSBSvuH6lfNLHj7L7Zfw4a74eZJ+ A8xKUDTzHuoHyXlHZMx+pfVemdobELbrzBoQaoYJkLQ2Cvm99Rr2ek+DF8NlTFL89kSwcRi0T7Hw +SHvCwmP3Nzv+HJAsAk3jwxKKhJZqCrudcsgOACx57xvWH2PyZ9YeXg6n7VZnolRwTTm5xiwLqt7 pNwwYQJlDHIiFZ8+xVGESMdaW/uALkvy9F9qPGBSzK37lgkweyyr9OC24xoXgSmBk9sB1RLbLO9I nVlloc2xbApjv24Lj4dZCMw9GL8pyQNnSdYkSwqz4VhpT/V9HHj/EZOWtrBWVbFhCVehy2W4mauX 42qmxN1sZWDOmXns5s9bbTzmLrzT60GfJoRwbmfaVUD6y3s8TJN39wFgPjugdkTSTbMnMVp0VzPy S4F4xMRApjX8ftpDgvqGLBunXkTdA6R/U0Lp8krYIqN/npWv7AONIhKzkhBAsLZ4T6FlS2YDwhnd gJllV/aeywD13MguH4hy5OR49LKHGTXt5V30pcHBH48W7BGdBBXVOu5cjOn5xG95yNpqSIvkYu+H DGkqwcG+Uzr0fkZ4ICp15WrY7ojJBqXPAi71aFCLDKh/4jemKrK+ItcPHViI4yEkCdGklJl/m5Ly H8U77E5XYt/eFv7y+Lt/myrkI+x3h2vhrTTi0oYKfLiDGwFBuVp8D47mqVgGDjWRyr5hlI6IqTM3 aPrsLSp7pNzI8lITGR2Fg100a4nQ57+MZU+ehlRKwnmG6VZ/Xhnqd7RI0hrqXNgQAl4UnMNo/weN i4zDaYmXZ8nuVQz0PUymjBTNawskX3QBctZ6TkuqiQw+ZTaZfasKBiMakTf/Y26PKgvOYvRDg/5F SV8J66wevyIwAd39cI6A0eWUGn6ucVY7yhGf5pQ1mEBgBfh59ot3ZDASKrqoxCP6w0IfVk4wSSUv hKjZs8yTr2SCH8LvLLQMom8mq+U5fK6aIPLsFwQ0jm9J9GYZ8G8snlks/yglLA83DwglnHLVcXrM q9rjbLOsIeIgeYPcmJxOyPT14vOuln/quohW8X+AekFTyqfjVuF4TYslhbgTkjjESUMqL5l844jH PxbF0eIhOI2PjpGidYX0x6H8oOE/hF8HDAxoZmxAhzkz1HB6jqZhSCylghbvssDaAPlKV9qksNpB 3XJhifuiK74OwyDWlwRMakOTt3RzeFRDDtO6yHAGDR7EbXNN+0QkbBnPxj/DbxR8epz5C8Vd+mGB Sl/OYTbQT5GIwm8o0qq6rhT8+A2bBXI8ARgZrphi5tH/e7AOXO7Ar/3HuVqF9sGjWPkplF/xEQDu k92gBIDuAeLZr1nFyesF6bVo+Xwm2F9RV/cqFSwTMTMTvQe+S5uF484rD05ajWBysGCeDfqh7pB3 XYoNNoWhxfpb5VpoCO6upoQjr000hb/fznSau/8Rp09DimQao73jRVCu/l61w1ddjQQJ6lUMAwlW +qLmwWIbgqA1uJPecIbNmNA3JUWs5lq03ENKoavjElTsV1dFlJyite1APmN6dRNYuvf4SUSr7EwI x1h/gwPJjhJoJepCjD+h1Pirzsg7vg7u9z8FZSui4AZk6t+kmty7w7Oh3lhc/N96GL6XF+2LbCYO mW1FYWXxor/apWuAIUMrKHJhabtcLgYSBmDEEyl/hXXzbzs1mCVJ2iKG5dKsp6zfQUeaHnM6f2IJ gRw4hFlbnv5r5d5ecrtD5UIJmF6FAjhwBLBellJmDizhnJBQ5gU2zueDZSriyVgPKKXGtK2MXMvM nix+1Suk5lv+FibKD6ZuRjDzfehoecHKXgcKw5O3LW2PUOs8vQOYjgWuv0iOHiThVXYugkGo0fvE xmVL1/zfdh3ntDDYs/RdpkgdygHbQ3Jt7C8Q1TGrvL00sLYSLB9ArNldJvlV4Dlgyv7e09PWZr1U y9ic86uaJd/sL+slQ7ZIktSRvrZP8t/1qYRZCtq4Xj/xrw0BJIRFLQG5Yr9cTL/WQJWZCUe4cFZ8 pbgthlHof37fb8jI0vh8Qc+hrmQHdeD0p6lLWXqH7ZSYGF5ndwGul0LHlMci14WHMxY7FnJjIa7p HaC8vwVq0umk68n6fJQ2k+9ZUbM2OFzAxEK2Zm1R/WGELmPBJxqRwe3DFulmvzMME6CPWDck2UlS pY/AdHHtwHTohpFhGRQPQl83aTWAgkVd7O/xa4KA0b+ZCdkJdGpawAbWi1vCbTRT8LEoevt/NLJC zT41B1JoJRWZTj53SNn+tMOf9g0MSavd9pA9AhF1eGAebxZbjiZwJYAAN0kA8Rrwol7f/T7KjY9h h3ml7opL+6qYgUTTCaQcLDi27uaFOk13oWxFnkJ09bnYiWGJRP4ATc1W+SQSYAodLzgmyLG2Il2v G7t55xG7mJB1Tnj/w3ErE+g4ud3ot78sf4seRY4oMOff9wX+2WGW2/JEfHFGmS4yr9FILBqzxAfg 2+mKsET5eWurXaYPBn4cRk7oRzAtQCgm6FgX/DBag7CYp/CkBdAhauZhBE6qGjW5JCF19q7hcsrF njSIsqWdgp/JuCvx5k7ecqh1H+wWyDgRcUuUvoiRFYnztg9ruRoJAcOI9x0T/xlXwko6ljMKqKjI j/I+S8JJzYbh8HylrU0G0FmXmRVY70RAfzFP+Qpb1A3yIRMKacC4UWxL5xIfTzO/D6swDWwYSkd/ ld25yIZs3q1u+hsw1zWUnyRCDiL0b3Lnd9L2VsiLHkTfntJOLleJeR7B8a7ArIKuPtvCfiNV1i3t pNu6yplRUiBMBBylrNYlZg+GbDFXSo6ctJ1J8aiAJgoUk9uKqj9E5K9jC3T0pQ9KdbVqM2EWO/jz vYiakEQGeAskQA5K3519QDHeX5wCuKWIhXbozcF24IB7CWVAFI0Hy8EFY2rdQz/NZE3ne0udaGVE i2p8D/Eix+y3GcrB9WW2b+ikdKLNqNL0yDU6V9FKf8Y91mx2gomrL5QMyqqBg9oIEmMTgAwGbMSI Shx3XLcCHH2irMhMnr/JCCeavBAECtHs7tlsvX+BzuzrmjyhKx2Z2nurCn7URp6xLufscpIEEzEy I470HkZ+iJNpn093RDFMzcpUUvwGqvdtsx3EBJaMUtxrSxDLQzXJXJkKbRS4P90KjMW3gQapDJXA VEkohs4C5vsaTanGWGwAPOGYlsiPKnhOeB7nYXAm3Z4WQaYu/9tBaVdSC9L4g0HOsdvJDi8cJ1i8 ffbG9XhtoIel3fqqIw/RH8jRfVr91+QiL2+xgDsB37x9C8mAcNE/pDqInmCAjPlZG3LtWOC3wRv3 M/VslWHPVMPuM1dFWEotZSviiueOFeGDl98sTn0SHVS31U7se3X0dbNF//ftW7ckNAQ85nsH2Ti9 tlJ99Es9bfrCa9Jy/mMzPjjjNxDiDoLE5xe0Qlm1xtXkYysKYXNCnthw48mnFp1UTXVYbp/38Qks km9asKgy2qFA4QoD4bUDvT4pXZLmB7HTiACuh70uE9/5JdWvJGXNrrMvF19N4vn7O4LjObt41naU m6dR+5T8yYbTwM56b0J8vuETFueMIDXpaRDUNDaDCH2cZ/1Hx+2f9N03Zs7xQ+A3NxaDIkQWQA0X 96mM2HIuZci3Md23xpSLoaDVbSYyT2PqrIS3pFBCxUNR/S1iMyktNVjDAsRd+0pJG9dRWbQcdSK2 w+i6VrFITDuxqOshvZieLyLxw/Vvzw2K06gyBdEUD58pjXhdyshVaH4GuOKzTkVsPqqUXk68pLqw 9zw8EPQiDhVa8j5qscPhsc2h7K9HCqutGyz8tZpsvMS3LNg+1F/4Rqfu0sIoitEUtNJjcWXp0Vt1 2gOAz5YtlfI1xWHb0UbGj4a3/bixBSOKKXM2sDOY/4WwU396SiqrqNlXqC4nnBIF04/KzUHP5xQO EwNZwEnldyOF1M9qJlHemmsZiEfMOg/eaeoQu793XJg4C4gY9jQ1jTAF0mhy8U/H74BqfU4tWb/+ MV99Oxg6N0LyKpVm+OI5H2kQxZkBJ2zdvXeW7kwNMGiBwKPbz4pT9VUzwOWD2eG5+dMkfP4G73CH reHFPZiImaLJ7ma+BKxj7419jQ1J2ofBs+z8WmvbwV4e64N09v9gIbIGDqWQ05JCHQcMwKYuQQY9 IAajworyPvmeaG1ze0IsONKXavNxB0ZTr12Ggcztfl4ZwHg/VzFeJKnipnqqilPh3RWAw2KZVi6K Ped44q/J1EO8mf5+AM7cZwBSQ3x6HdlJs9QpdCRx0mVgLUxuV16AdqqEt+LKH3ITx6P++SiTDbU/ /M1uKtOaRR4ZuczX5iuQo98W8viU+VTvtA1O44BjXKaHKUxaq+aMetqPjcdfgYa6wsW9MI4siHtF HbC4R/N6M+oBq97YStSCSVKVgq5g9a+U+7EZppJX6XBc1G2jSk3pkVPZ3QsqPbjBlkDGmnjj1tXh AkLTl4T5Smn7SCFEzWeOFE3z7b/ScL93sTdAk9o7l86kRIsnG83OUHhlZKGMZep4Gf5+3Cxpmp+V xg6YUBgf/KK+I4nJUK/OqiKhEM9FG4GAvOeDLCl8+7r1y0defUspJwadL9rf4RJp1700v+RQXB4i S6OyB6Ld5jhV5gMPFkgaD9xci1kYd8nZotyxVD8ZIb1TCb9ApvvjBhuX9keqtJE+dSa7DPpfZbmH ZZ56Tk65FKfTbFvnM0h+P6VS2jmPut1Gh7f+AoRKQClLoZc42YMuQgEXSAYKw9Ac7MwaQunkj7Af x8OKYnzDH08CmZTkJaHqqe3ofLEHmwRAFVvoqxWbtB8lDTK40NQTU7T2M4EMCFfPe9NNcEK+LB0p bijkl18NTp2xc9LgxYeJHnc1ibFDt9yn0TYPWxX0a2sGVEfXvTpi+ryBKm/kkr5VZrCbQQS93sCn 7lqCHMPfYxWjpZ7GTuzJsL8BHjzyoX4W17BGpTSeFtJ1+iihHBJmyQ5Swii5C2DXBqWnuelagBVd Jpe8gomt/1J58Mh5iYtu/7taCYcczZd0eprCzTv8hN4oKrPfk2nIASt6gcvXFr3Krd0EAWjJqV0Z CtpDO+FYsKyObn6VDG9Z9IbIIyP7uiq6kw4Nu0GYQD0WRhGg7uG+YOy6vc241K1P1dy4Qdn+rZoX RR76qInszABrY1lQARFxqDWLoGIMUVRPQV+9GtU1tbiMh99/ZHXGlqouRRJ+YhYU0iD04CziwauE LTmilNXj28XZ2sz72vmqI6816rTISFSIrBIUZuHYEw620cVfnoQd1aIat3zYP3iA89CX36RnZ361 Ic/n9AW9WvB/N8mdCoWu6uDRg53AxaZqjFAa9NYy4JCmoI44T0bSWhvJzvk9QKF3wLWgAgX8ls22 BC6u+iErwF14ky8Ed2s8vgXeQDhN1hpd6VbVChjz7igF8y9WoF1uxJ9XOg9CFTZWyTKKs/3paLk3 f26KUnuSThBjWpgiafN+ligcdGTzM1OgqU5PTRiJrF1hnAMoFY9pSXTx/2ASLRLC419z7SrN1PUk /TGCP8lujQCX1cNHNPOWFfCkzuCddUVIlfPWUCMaP4HeGgCC93GM309avjmBfDD6dFLNu0UdDaVS a1pz2c/i3VaM39/ajSSnqwy6QyZhMLfA+qzK9KUfZYiIReuMYTLaZjH+7xqW/nbZU737H+2rmg/6 IB7LWfiW2ggKllFx7wslCtsdu9NHT0r0u8pJRvWa1g8o+ucfRTz31bivHZtEryVihJw6rIYrNFoE MHa+AaMK4rKc/IzIZClOS1fCkk3aj423w+LY0uB2+2hGEy83SPZw8KHwAAmOx0BE6USbkE7bl9k9 Vzgj2C/PANZR3XRuHo5nOQFhcRsv8+RTExx/WspOu9DWGl3j56+ccFp3ApY8qT6qvnbkqbuIece0 3+86hQLQEO4CQuWiMcRN5+FoaoLYuJreTbG4fa6qBvshe8aNzo0T4r6nKt1UI2nMWDv06sKa6jgr qqL2RRsE4rJaFB3VS4szeOcPdJUTmjh3YS0yR03rRmiA8meWZrHPPq/w+zXZrcLARuhEKZm7lB8y aJJxOGmT6X6DhIaDkmqBplADUb+paWSoh61dEpCwbuZWSJuV38nqv6tX4owhRwVOw/OEedNDh5Sc yNgQm2HfPft5fCDXd1Sd5XAPRLOf31lAHUO5VWqDZ5FxKfbSRM3wOhWlrKY2/av3njW8+OqoQtLh mv+jTUpt7PavFGkUrdQDOPTaYbEz39QhoMyn9Sxp+S8SriCmIq4bM+Ajb7Dbo7ZRzjwxRgP/IsRH gVlEB84vtuuLl3rkoPWcu4ysogu+MJtGE6H/rEG1cUz+9OWZ9+0MqP0xhxg3k4H5HZQZ10H75Itf 3wpkIT/IhFZigTND26000ck0E6DvHxhn4DhoaZ9WyNpt59PeSS+xXSGUj+Qk/1IkzC6zViKPvCnL 2f4++Q/3bzYTaLRctP9sHTXAloO2sWoHrCIeSfh4O1u8yaJjLfTG4cZqQ/kcy8AO3saaJQedSaNY j6Cui6TUXW2xp0DRHaYZB9N5FhNQT6REUaEVYwTVib2ODyMhWPLDKzQ4EQMmf7IYZQ9HqWgZo9uj rbSOC2PRWQGjEproCG+FDlEJgsBRaWIq+ILS7RPhsOWqIJiWuOtgrh0qFvkp+Bg1uDP6bsJFaApp vWAYv0LASeEHsPBOeoUjRFx/LZnqOPFiFYLYjUhctgIZbYZrzbu4kAOS8XnzOOiT1S6vJU5qzZy/ aGGPpGYHin6AXwtwW4jM2YNgXNs6hvpQUKs0C8wUacSBOyv58Z+Fl654ohCFxDc9I7eEuYpzZlpT Qvt3pEDNZykt84R0xmHw8JFOlNo0RwTRcS+rDsstZubWfJDtKVwc0pZt3TA93/C2YuzuvXBH6GW4 o43puI6r1yn78/EWrjSwxnRlSWNesn+Q1jneTEy0V3cd4e+wWCDshehmagq0YDT7vIIuJQKr+MJi Kjw1exH3dnk1JbOd2nEhGpdg519Ru6SwI2tXnNzL/nhTEoDpRTzjnvMbG3m2wSFFrv+F39RyHjnO 9TU2MRNqtjxHMyZp1LTOxUj44mLvPqcNjfqaXLjGEHiI3jtO9i4ue/ONnYWfEAj6e0rPaM7/JBY2 4tdPH9RdeoWRidCCcPnyylt/A7xD4klzXRO2FdHBeQtWZvxV076iF602UgeVvPKOvT8k9MRfMKaO fFrefGgy5/c2aUe+R/cfuExxX8/oktks5o9eQ5RDBJkM4sMwGJkrsGdHcBW1/AegwlS8NM325aCL iHWNrhM+5B8DdQL70yKoPMqgYIcm8St19fIEJgDc19QS7TIxpZuCCy1hbdYI0LRwUW3nihq/sHGr H7g0u2CUpc0LdLR8y2J17AqvY2KP9shjFw5oZrchL+UrnPA8lLHULa2AyqKC83XMzQvZUjAZoInN MkKvbu39hqIyx7R4s7xXFC7bw6ScEYM6JOnRf3566aKDuPvAaJXkFrqyPVLTDZaVddQf3Bl95arH PIsPIEKelJ7U/4iXpGT4SwUI30H2kI30M9d9Wsg679OwrYazxhxqM50BbsN0/GzxW6LckNLSxz54 iMo1J5PaN7hRRa+Q07Lf9GSb6UosrjOMx48ZnvCeuFBtIrpVJs4N+jbz/++WdWKh0mY4RUnRUBvd p8OX/DSseQuP921b2bMTtAyJyjeAXm91DT8jyjWR1JdnFnIJm5aRD1LAhlPrYBtykmR/etjFgJVo DSQB4wt1PzC8cHie5E++fvrRddUHAIAjNiLMGo4gTFbgjw03HK6mXQ/txj3r/8fjh7cVNDt0PKrN 17dZ/VoU/0XciQyoJJkcFy9iGkEfpu25LoIxCZe8/567bx/xEF62AOGLsm1QFfJrIF7MWu6tG9oH +3WGva+n18ig7FVaHjOrmVwZt0Hr+aR4k86fZk0BbRF1ka+pSzQ6lrc/9DnextqasTMENmxJvY4s tDlQAbT0vnx2Mbn8ZR/oHrwa/e30M0G0R57SQe1Jivi1ZcMrI1OpZp1ZGDksxk7zI2xKGumQRgkY v5uKqlpAXrXfuLmnI0Eoim7QhXJ5yzZPKU0zGpRbiz7VykA1s9tCgxm1bfw+HodD+ykO7HaPMaIS 1W0099ovfgV1SPrCOURz94J80TnODy2tc9VAqLleH2DUEhq7BmDzCoQnJUzVesrYm3d4A1olwQsq CooVJBKsCnEWxh3IaReFj2lUxUWIDEyU+MnRS+SzLVwplEVgMlvrban06EPc0gnR+mAweitkgSrz 73RSehwOvSAJ7i9G0AUUKGxw4Qmuj/oqfJkZDEvrpmeq4VFRGBU9zGw79WwnpD+MWcjP9HfpIrls a7cpCJuVDBazbGJJ9TIkNuxS36a4idSZ6+jcluFK6bGNvD+yJodIIxmabvgjQITMTZvwmSoJlyhY jlnHok3bTx5TrdX2qikdoD//nAtQklx0fzPyBJUGtydEO/nVLqJ66QRKXsQuQ0nVBzDKaEowB+26 FgcFpBnzCVKNNC7teJlrN+YRkB7fXKb9LfdwA0EylfbWqkklvVt4CzE29wXN2wdKg7aSXZ+WcQEw vye3K6W5SN6gS+x7kcGAFn52ga/aj/AJVwHbk42fRUHSYdZVpjRTYh6i29g3OFOn4G2Zbs5KKhlU n0jYZK6a9NsKKVF9X+rBqFw7VYdADzwq6d48MbSSy1lg5WnJPM5DN5k/LiXeBrlXNXgj1D93Ll0r 6Zo2DK08VdVci/NTg/WJ/kRwV5YvQUxekdOLdI7zFMn+eM/aBf1+MY8EIsjKDu5P3S8rwKAF9j3y Az9a5l6G3GleGKGz263dIlo+dgaQ/7MivFTNOXQefhPDS17hpS9J6YZAJAIyTpIqQhh0e3PRQdcm W9DiYLW6m2u1Q/6QNyVga0MYXi81OXcfS5USjtXrsE0dfHJLhNWii9I1O1FMz6cpyfmQko4peQEY FXRY93Q8ZIos/g86oE0pgN/nFRzuGeNp6YkkfKcOUseSUjHQ/K6fsMQuhFHD5gAfaKUyN1IBsE9U 5peMY0dqoXPfNaDYawE9M7S2GUF9IXO1OnI4Ob23oWUplpFLqM5zGwFlRlodpsPnrF+LGJMvCYfy fZB6f4Q2+N5ohNM08e2CqpvdErX7s7/V7QdxEio2/aO2wmkVyRuaP4JBod7hobaNIrxOVEaWs7CL ZrxS5V+1AYjkyC4nfhA3zqHDYR/JQNEpfyFlLzIU1Fl68coEUv3Z9mlFYgPYKsf4Cxnbyq1EtmKU 82WJHMn09C8uul6MYjT8KoDt6QIBGUpda5UaIEUpszEvDuMG+fhX+Z1oWUbCJ+PGC/2yw8MPlHBx 5BaV9vmA+zqjOmZkiMIt5eC8th5gsDMKExcsWgWfu+j9POOqvtJ2cX/tCkM7Gv0YHtp89CKV6CC4 SuGmFTerO2lhJ13MYAyy+yAqjuOBc8SIPN368K67byp+8SObAXRZqHl/T8kAK0o3Z3DxSaKP9dGx tL1MWRhbl6ZqQKeso9ygzzALZmE++k+SZ3FlrQsnNonevMdUkCadJt7c3v70Tn1NZu7eVjC+yWNh 5sa7QJc4587p7DdJz4PRKA2+YmzbuoUfUXjM0xseRIBPiZ1/yixBFVuDuzBWKdv2SUGf3UZ/+69Q whgwGwUuFxMdLxQ4EHdnnD3Ag1pGIJSYuBFdoH/9h/DY2qk9mCnPA3EpIHl5G2GJtbiu+VA1HQ5o FbFqCSVBX6sXWESVBkypmhuyiPwQchZKqD5pbCgM23EZa9yDmYME3leS83DGlynf2OecbqQqq02A A1SbgF8aq81PIBYAb5P1bUjczZiOGWI/F3Hz8OLp+UphCUbCl0BebGPZPhq6/OLp2WlzBs6JmZjf g1gWPnqbSJhlKoCOUQYkSmcSYNAkEPY/Lk19WgF8+VEe4zopJHRpGC/Qd10s7aR/SXNe/+Miim2c LztmaIFN89qZl3UlbMeFQEjMl8p1QBo1iYRWPCVtha39SAMwY6rpFFgiO2KhtVBgpYOp3zOVDPlV sKFvHe6jtw2KhFOvYCSnYrqYi7vqlChi0BrY6uNCu4hxstdmRAKDPsORHi4pREoS5XYDUK79DwlP I1Y2y50gp7Q+75fw+dtMVxoeda+oycvG2arMB4LnUyGx5TeW4fdhDwWI1485rgXVHKXuAP5L3Wuw TdpuGztZjG9mjgNn6/peitOMEApPgnm0MWfLSGEXD3NVPA2pFcf2sg7HPg0PeWNkU9vj5rJ+xiLT ne7pdBbSkG9/+Z+g5L2uAVcdXo46Q1Q904rQU4SZ/S9chz/JyobE4oBJTXlHKsY5LJW+eu9bb+jR aeoP1tEHz0m65TVK9xJOSFLWu+jn4rvr32lsZFMAVRphRe2XSO6L0vIY92rdpTMRDD3R9iCCZ47+ oCw+94ETGsoG/X+jrm52n2mhocI9mk918YXjzgFoUYJ7Z4+3R4jly7ym7mx/TOcPnt8o7Kv08hj2 FaqXSx+QBqq8947kXVzC2ObqjtyLX7Ss4QYdtX17sYtILSQWBzFXo8Lf1GkuWqcaqhWOM4N3jiq9 Sc0RzxLztFUYrWmeIO3lHW92ACrdPDT1esHB1cTbB0gNQBpLycQSeSATm9uekt05SZ5c6+KlcvMo gAyVTyu0tdOtSaw509EkkGN77+UsMGid98lUkTOz8NZRolAyHINjd3J333KoBBXw3N2uoOjQgb16 x9cP9gQ/HMPLTyvmcFn8uYVRZ1EjSwuS0lwdQYw0nOXdYk4xchfpH00PbVjlU9MV9ctTse0HW3To KB5oZdvDmIa/Vu4UhjXQAn5Oz8GIflXd6kilg+brWT041n1bJyk5JCFTvxXiq4mVtxKNJrJV4VXT PhZrN95ipP9v+AOv4xbjfpXX8XEEc2gcY3IAqpRprCEcY28wZ9Vu7SNAOV//nG2WtaPbT3kCHXNN oqAKKIQPhT4yV8NMjTA72TzI6Z0IKip9YYsbkWj1DHNysX3AMP+HtKcIBjci0VKE9vwXFnq3fj3U MJzV96FtOllrztUoqi5kQPkh7s8H/8MA266rfpOy8RPuuJUz8bvS0YsKhpwv5bC8hVlgrpkpKSEI c5Qp9s9t4zMPx1+W3H1jPVaQyLYMBOzSmR+dFENMNwBXPXbgqtarRnRoeX2etve4hRgK9uh1FjhS PgCLa63zaenBzSDaX19fjpsDPpSQv6R6n7E8+ywypqinbIN65rymqXV1jnfthUGX/dAALSJBkE9a NzL98cmWX9LykXx24mUWlr2PbNVHS/JWLAvTDmydhUvBSdE5100Zw5RIFO/vMxysXKFDefjHr70H eE/ZF5Ln2UB7d6wtN7/icHOG0Y6y6dsYDg6y8mV8XDoqFQjcWVQ8DMcR6kflpN4hCUxtLp9k0PQ5 L0ASeiLz1u2oZi4YTqDPzU6lrK8Jbfuf4JrmvgOsZCwodmHKFgnXL8qWgBJWc3hx8hJ429b/ZHaN 3Zg5/Qr2/g3p3jtdpXeV1P3wSRBiGHO4KVhT+YG4W29ChrqOm61I+tYa3tSXS93ha9jQxzMfzP9u qXlMTs+i7pprRmfWLcjaq/v2FI7oGDAaMDMYJ4kdibuHDDZqgmByDYEOM7gjaBaPR2NaLqUOtUEc 42K8QcT9nsQFkMjMaUBwTAHc55Kn6d/AdihnDe+AWvnknI22EdNItd5qATgxGSgLl8nWaRdwhYvC bxqK+XNh2dpnuxtykw/SJumVjkLu7t3t53jEv0MaCG3O37wJU7JoxdpoAtMPltSPVSU/7f1CcegE loRTmDJwWaBPLz43ZxFq4vS8NHp54+aE0G9fI93DYGXCwBn9k/CS43FQM5ah1qxd2oL7sg/woGFS yORN6etCfe2n6gXhwqHz0Ld6n7P4SMsOPQkdDBKayxicdNFE9H4TkYrl/VHVBcP8Sp9t8d5llJxV dNOtnAtANAUZA+/p/2gnHpkidDWnxxoMMGV3M3Ad+qydCUHNgjC30UNeEyOpV4mUbWrWF+wEzF83 5NIEnLrK+2/MwxNd6/1pDWtRqsSTFDtcdeYK+KJSYUK5gU5nw9oYV5v75eCTkJ2W/f7/ezBR1A92 iuRL9qNVVUR5xrpAJYB1HwZ5GNFuDC9HnZ5s3wwNvv4o9kAfZPsPVLoTNdF2jNI/CfnTmiZkJpKd HAhbd4nq7TVBTApgrJCgKNH9g7P6HNNtifPwk+a7qrLMsYXb66RoNtjGqys6EqfDzuI5WoshPNQ5 ihJ1S3avCSh3ikV2gpGlZfz6JihjbEK1i/p3ULlBWelN/a+NBWrwCgzTOqxrgWYLxrcTSZ2qu+23 Ht/44EEQTzbI3bkWgkE1yvSNkRxNXdzpcaisJzoTAQ4uYwGh2gexUzaFPloRN4rDRzu69+1hcF3E JcO+FfGmAHgCheWgx+97Q53+hClXE9LZ7VnJ4d5fvYyP7NCL8+Afq7zpQ+cvGasH3iWLiy0MEFc5 TDHcLCqImWmsxtSRexHSMDNXhtqhKUnIpZSq1hhtzPCb10QT7NDdKnJvwZwT+Iox4YDlSS5q28W3 1Ty69vTztY/bT7OLv5X0N5kDiIEtyNgjNkcOK+VjMt1OSQpU/VVG8zNoDNrkCZnPaQy7WV/f9mN0 eje7b+uWQGlTYwGIsiUK76AhKegvWQtdzDi55Ahh0Bgle4h4tWQnCkG4RE/OZ63u84EvehrTL6vw VTpeoUDMs6Xtpz5GdzutsdjFONGH64GZWfYumzgAdxKh8b0XZAgGnSVHNaceGmMIviUDKme6lUlt eP4ddZl1H/qnzNHDl6PWXw4A1tWo51A6+pYVrXuvA2Enx6g5D4uL0zvyHjSZ8gJMcJSQ3aU1DQP5 2s2NzKQtcoSAAmkctV/3edXU+vC83bwpCPZJKhUwRk9nVJ4B4SVylPyC/FNH4tJDdDRI62WQJ/iw DbuP2A3CrjEP0zVW20TLT42Y9+0rS1Qpl+WGkQbCMXKKQJsx9RYuZaEKnlir1OU44B/Qqc8IoCC+ nRNLc8kIrAhDBi/XXqo213TEtez1xgr+Xy10mB2aCZCaSmW5PcDXNTKc8CZ2xtO5fk6qoTdsP0/9 J+fak4G95n4tiEycxz0C99M9XSDk9qP2fvtIlsuXfxR4ODLXyMRSjuBYmIgQ2NIMPAeFkONTs3nw Px/eO4jaygFiI23mqt1+sY96rcMB97NdUAFPbL++dX2A7TY9zGKqmMQCrWkTJrcN8qiRtbet+R1y gE86/fIyQhhGRhl77jMqbaB7wYRc3XsBqvJre9D/6AEf4oC9BV5oSswV6k51w1rGTIfijozcVeo4 JeeIN2bdek5hTLOohHFS8v6n7Su1RBoD5PasHIcmTXSiYMsyxKJIvJaJBnz6dmkuP8MWDeGBd3+E IgEY65q8rk0TRWelO6Xz77l/Dimtz98Q6DhhIkPrsCkfyMCY14SNOX9VPiAcUR9Y+RRXUYCf1Tul 2jSe/T4owZnDiWye8BdcVNUYMAx4IK7LsvZaOSCk+R8FmEbyB+YFmGHgQxDnEYgD2lc1cp9YPQqZ rKPu2MjaZ9r+z4n39pu4ZTXBevf0OwZ5hB50s+Jcad/7irKQM/ET9ILdLGuZkWv6Ftq/aSJKrWpv sM+YlfY1tRBZSJ7+3jyErbuB4KsVi7VXJO+nrXXG1rwbzoh96cSO3l72GDoiEdGZ5FkyQkyxZHgW 6sHgQ32jpR2sc3RabyGWZS4JbFubkJ8d3+gyx60h9qMwS1iGOPXbwkDHkgkPFPDjfwWuZAvmq8ox QEaQms6P2nNZdUHbuao7pw8Bx4qRASANwnK5cYREQx0isFCecwGZFh0lVKKxGaJmMVUfU7BWEi/I rlmROxi3lbDt6Y7HdXjDHDUWmIsQ6E9LWo/eUf/Q6DhZ+EmOHgAvPJMMsuVv3MRtNqd2va/jDA+o gRvqSDYnRQGj6etNGCZLcuITHeKfdnC7fC/U86VI534mlcLktbSENUB+Mf+0R2sCeTP8EXfc2YJf vP+pJpOdql4Q/QrsNtNUgNjewq//LdUv7dk9gS3nv7y9t+GVCk0Y3Q0SmvcFBhDDTyiHbYk4ZEAu Z7ciDFJlgWGTeNeY43+uc8XLXMSQnZYsSK8pY/edZwbV8W+iFj0D5ShKkbZcEUr2IlBJhCiXYFlT V7xuYBmL/iR1Ve6qlDcXJiprt0GyeJqnm2T/VC6AUk0f+7ydtqRiBXsz+6WFfJzMyd8hfboy+Kpb TCCvVerzqnUiLIaowYiM7oozAAbOZFa3A4M32s5JHwfg9enY7yhd6eJgE8p9PYByasssRnHgm9xg YkMuCd/uX/89iy4aWGvOWLOL8QcO63fGE7js0CrUr+nCTf1sfeV4Floap3XhGiTke65VkM1Svlwl 7npIjv4YmxL83wXJeETyRhKA5HB11ZETtTmq/bvab8ILgTLxAieEp8xG3wiXGFkP5nzmeoJ6oydv Z+ri6uYy3L019/7QYy+ISkPpYSZObcFuuX5B8vZCpk1tHyaV4yjKN041erpDyZ9ygZsb/gzp71cm DObgbe43nVbywiWXKJpKM6E7Qd3f7CyWSzfT9CMeXpW+Jak6QirlMtOG1xxgCEV3kGzQ+gc1HXru czVg6IkVV654EuxRQEclnJph+u9/Lh/XlO4bT1KkspbajntZPugZs+hhnwmhDAtigG62tbUJs9S7 yiHmLJ5eM1ASgOH0ImKSPa1O12TMU4Vj7zem3vMfCBPAumMHddgJhZUSmb5Vam7GzyClRhmXonDB aaAqS6PdCUMwo/rFlOVfQljl7i334zPCYz5cIhLOolnYfrl9BvdHEZPW5yGGgAzNgFlQOg792RMs aU+5mQhGHiLU8FhzT1zFEJH40KEwABdKJr/8L0jbNwxiRKfD2xf88SKTeZOiNc4DOMAyuB+8yf0O WNzhqrUMgP+ALcTVXvS8EAWF450l86aWvKaqwVbmTAL7rhmjsLm3IH46hUZ3/HP9diJpJB4yEYV3 3caPJBA0rpkbgZgb4+ioCu9L1c9+fEayoxH3rKQflOJHHUhThviR+6vE8fxjrLONXHCtwtdnPaNq ym9c2Cz3A29oTJ1jSaDgwmnwSzjJgp/H48kXPm2xIgesmoP8ZjL/xJayNXxD4Hs4Ykx+nl8bVt+K KdQrdkd3h0mHjx5K/SvkBylQeP7d9BKTj7UnXoMeOKMjTrzkrVQG2DXQBu/O0CjTVLi0WRRUcv6p lxVh2diz8IJg8wtyLiGXawzbwmUjfp5Pcy/SAOHq+7Cdv+mRRa28cbXxM1p+Cncb9lIDYBI0ET8B RWGSOIaArBt/DALGFoonDl/P/mHQKBJjftJ7AF73EsHv+/DDEBh9yG4mBD6w2dZe3GBSuKOtaCbH l6sqFvRUgy2WjDK+etYqhgnvkVUAY3P2cm7BHMpltMSmpWOU5o40mI0yQ1yClq/KQBhr4D2GXlXX ZKu76r+PFcVT2+S1Rq3PxtGMIkkvVKoxP7/akegJjBhrGCwxErUD983Q9pZQPe9/GtKtDQfVl1no j2PF4KXBkqPHI7jFpQEtmfWwF/X2rUuOmyaMT5A/GfDA5kzPv8OQ0zUZYBy6WHka0GQLGx3gzNDD hLBdKjRb/dfifIla4zdAWWwmTcltXaEY61a4gUlM8Y7qe0nLGfp49nP6KBCJarlPA6rFoy3Tosvf bi36Gd0yo9dT0JewuWPJ1OdZchoarYNV967anGs3+xrYaqZxb+a6euVT4iBw7AKxRH+Bc3Guh6Fr 7bdbEoGVlzmoVgms1nktMmSbEw9ioHhqoIV13puwZY+rJ72PW2pHiz4gFy/6C8jI8oyOjtbDJjUt IRornPeC8PtN9itu/ooYFD7I5PEmGg5cGGQizScZZ1x0qWcKA4UNwo/okYWCApHHjwnXEP+nGY8X rNPa8jXJyc9xzd1Ha8N46+yalaTVR3a7TrggXhe1gUz8MYIN4lOjOUj1+qmS2kZ5uC3pRhKXV+L7 hkqVmlaDfihkUT+C4StXUP9jCqmdd16sPg896qHricRaS/QXBa39PpT6C26kZ1vGDYrJBob2peEm G5S8l3+XUAMM9yk33/7Cq/BvgjR1OEAq4ve+SHehGTMJRQRvZqQ7+Pxg5eEg+6t4tJ+XpvsHSwk+ VBLUHk4q8XADEM9+hGB9htUw4itEnN1wrwYwdJLvkpucuAf9fDQVqJMMAvsZaTQqxsBf+ywEZ5X7 o5c1GJ+USK8Z7wIZAScM4NEzS16n/yahWrSkKNU0Z4Wbj2GndewxmZwfE5TUYlUvYgFhdDbkmr5g LOZSlyD5eYLA6eKYsFmfxhx7FEgS7ZKRwxLf+AK4iGTiMXoeZM/272jKdTY+L7rqFqIIje5RB7xo pSGdR3dpNsLujjzbJ6qG8x+xm7ah2vyFyotJrGYUmQ4DX2ov1Mt4fz4fuo03Hi2BnSpGfkfzeNz7 uAU71J+QjnRt32xsTzDsaI8GQY5SpkjCCzBjzyAcfKPCdnAILcX5pw5M2xvewiTj4AasSrHcBNdU d6E7eziygfRiL+hjY5TkB49c22/LpuHZbegPtp1/Fz70nX6mS3uH5aGH3LRv5KTL2XuKHb9Tpasd dlCU23wqXaZNZuCnv4yn5ybLlMnj/rx6MdlFMeOUyQ5dwMdRLcfKzBwlNuWBPFfqvibyESclySoK mDtXZi01Nbk4aeezthTjNecCLwRGHSNfT9BDqM99YfurSCoWQt4TBf2OQ3qNNXaDsS/adKMbAR/A mHDy6Ay/ucK8dw8suLgnLJSM6/ICEc8/ThcvQDAy5EKmhb5fdKdlqTY8OCGp6aum5XHsIXZwK47y VBuKo5NPRnGS4s7aXgJonKZ3jXU48G4iUwAM7WcOqRTAaUorzgJV50uuzkmlbgO67jQHWUI65JP6 KFO6AGKw/w/5R0a29RrDy5jB5gLZMsIobzWQ8Trl/tZP07M8Pa0nP0/7+4eFe9Ilq5kOi5olF80S E7s8Cmkd5J2rC/SQZ2x9XXPTm9ExikBLtMiUJam1a5BtsVJrvwPIHid6c7KwyENP94olLVNGaWD8 BaKm3el3FA0zm/1pOCvHE3Y7XPto/UlcrMRmO1aEUzqMTRaiD4dkKKqVEkL2GKiKwO3+DOAnPhse xESpU+Apy+b0pgCmEnBK1tjBCsc9rz4YpdMWj0IP/U7Tky7HR8F8yEKvn89W1yr5AECSDVm7pshk +a19r+D0sEjG6TpYtFcY2A6WTOeaQJy19WSPc0wukngLwHfWyts/jKgPEpmtdr+vXBdsagC+wTJa u2b6MkykS6Cuej18xmx8Im52sBvyrXwjvu+8Ok3ajbPWgF00g/8zDgkQeRFKEs/88zcPgPYwFvKb 77PhM0NQ8+N2COZNaIjK+YNdUZ3x6V82ozqRuQNfKwUEY5XrLAvkSFODlLq+z1wYyGlCq++xAuVp 6lADEa58CFgD5Le8fh6tOzvVKaD3fHMNRTCK7s81K44n8nvVIfR8xjqqHeP5NALfz61CEvYOBIOC wk9Od0xjfqgbP+WvIyw5BcbxiX1iVHm1k820R3XQPkmDddpqH+AvBIVWKDzKCsePINv556Y3J2as Sy+E3O0EO2dEkGhyKojcV8kelmts8p/CZvngOiW/S/HGGgjsjs51Aexc8kWLZK/h3RyfYVEfp1vv vu6EASpWKXWCyRG5/0CgzfxoEi1U3PA8k21dgNS9QQOfTqBMaYFRi7oMX91XbvZeRIZFjGEVxaAw UqDg0r32LeZMrbdQGK8SpijMtnsDNdRg7mG4+Dzgm18DNlCgqDelhdOKRf5XwrNg4TFLQNytpmVt Wm/h5P96wuHUTocq0Fju1MMpSP6m9hz6m09rTaOGorxLaisGHajSUmZIo1PKaTBcRCiyuZcvoi39 0FuTE+gAYmWPusw6RV6osr9B7etns9HB/3QORocep2PU6MIUXxG0DHkeR7PQZq56tU/wFTN+G7+n 6ZUJxm4wHVrRkZrCUR+5pTptI3KAjxSZYOPybHQ4tR/uIPCzyIYEEv36K2rs6Vn4Whg7oGs/anaA gFMqxbdizRhbWq/VftS0laCs4B4PujLBrNXBsmCs9Fh4ZPZFBFhovoxV2GA9VoGn6xD+rBJXi3ps TGt3Ye+21risnE7xyHde9bpkn2kmHAL4oHgErJb17ywxnmyHvSYkR56DHWlFCQmNxkv4ETp0HE4x yQog8SWDwUX7Or5hXbJq+Itu5t2Wfj5XFPo/UxORuO8TQ1kGSNs5pClpwOPpf8QfOvr1VYZxU0kj JuLlkwQeXQ8RPYG8E6HibO9BcEqy2UvfEJmRFNg2VKYoc8VUB2ak8/BFWbKYO35WkW3SqXMye/R2 jvf7oMIMnZRuiYNSInV72r/aNfrPhzq0587ufs7BBSw3psz/6/tC3qEYh48HXYQvwFv3NG23DTkW kbVKYg0Bj/WfpV+RZ+U9KtJdptrZWurwiVVc3wkNfo85j7+wPD5+88L1cTf+B4eB7AZnJFCCSIpQ 5HFVK97AnjHsG8/v2Jcs4mkFEQG9N8momOogPVcR/54bo0lXmMhLdC5WSDKbjtRGGf+SRxAGgLj5 hwiRJd5FxHUozgv4Jlm3Zka+eHaivpRYKPj9A46uMoBOAL3UHwc4vugjy7fHC1r37bOhhfIKWw3z cmXgc0Dm611lENrEftmVYohBElGUttlH0FLujduYtg5+mds734ahIMnQUgqikpTMFaZWmTcTC/lg iUrDFGcxGKDhnT6Ex4ONiLVxvb2j8+Olrd1iFDlTAs3PrLXUvbCMR7PgsDFDQJgcV5TD/XiOyQyl YGSaPAcbFkalT1G5qgNxnwJbDXp3w/V60vF105LMb1tF7yxwlq6dk6qLrj1oKxHFdWx4aElDKDgG QC17cHnwLZ1kAhSMNY5eYmQux3vx8aRfSRGId8AN6nOAiizcSXgSsWr9iiTcns45jXHIk0z7FHb2 hKl302VApT11wbBhrYWRvYVuu6r7gywnwvKIWx84MlOK2tliIdStTf3ZAOeZdEHJO9FhYQhtoUQb /GBMbhWbGQlbfV8Q+56F2705mf++is2bfPgvz/FHUNsvNZpy/3iilOYoZgaaUwL0WDvwNRQxCLET pHJRQTfkHXUZH7YsZL9/O22p3kPLLUT6zEWg2J/oFFysAwHzkmc2XfJyi3uWqaHfY/04SW7PrLCG VizAoDsketh8VWQDYSOWQC8PCnBreraTD0c6EQlIb2QKFQ/FQ6eDSh3qcPTG4Z1eSoMd9c7paGzM O9lBilGw02Nx9x0NjMWWRjWjatFA5dSe9qk9eB5xJ529hmXSPOqAX0BbMedACg7Epr+GbcnzNYHy BVd8/V95rXaPsK9jD5fjGyltXlaRiKRRCzZhq6IfACiaH3lCI7Gd/14yLcLVYljlLot+VqasXnc8 y4LukvpIK/GVgTzKtLqMBb+FsRVnn1eIY1c23l8V1MBEE4I1mSUs1nIGHYJc6I0TvUtLtOQMnakQ LkXbuWEhnVb7xRMGtZ4kR+PvUmAxda+sCwbaefUY9RFfFKcTaETRtdLEmdK4NBPWNrNgaXtAwsJP NLBdjBSnsPlXg/394WGbwE5W9WqXwmGGOymrFOP0CpOy3dmWeZWSzpoRw2RYBr1eJH0uauWu5vVc LIvAjpeAGToyvyiP1WYrElb56iiy16UkYvspwjEezbd5l5u2xM5wOGBKGrt3A7//9m+kWk8lLkpz T+vHMtZDm8A6WylJnDIWOrIzqwC+wrd6YVovHx8fbknUQdFAJ1789nMuPdxtZAdO7oxvbzVM8glE kUFxyYO7/AK3elVCxy+G35nikL7G2f4jq5MS9o7lsI2q1vuxDOhdIN6iEv5BLAkc7GKKOIGblBL1 9eFG6/cmtTf1oLDJSBqV2yAM1BeB60UxtGUA75nMNgyNbGTB0iM9zJoArKVOQGRMvA69nhGAHSLw 5fzcWXG5A7iyJHTyHjWJuG5r0jbIvgRaFWJx+Q4= `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/rd_dc_fwft_ext_as.vhd
19
12811
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPpb0sHtYr+7D0Z/NdHkBGKHFj6bPnAk4zCT9Qd9jSi/NZdzqHWXjKwgFh3NrYG/AQMVJcT4R9KU T1kWm6bsuw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PM0w38wqoKZTqxD5ZMv+He7u+x4mAKOhS9vNWqYsLtlMu2ni98hkp4Js0D7iFCQdcFCu3Jaj2Vqe E0m1H+UGB6We+zPa+TnTKUC9+mxtEW7xpi8i+GVKfIfe89n3euEibIBIS0WLtZypuPRjuzr2TWw/ TpBFYS1oUTQ1qwWguI8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OIEbVz6QJBHT228fhImFLc7Q94gbSg/QOSgeKpAp1zRCxot1azeNL0EHN3pwZU9Qs6kuTNEAn7+w agqdilWN9rl3uQlRBfW5KbIj2khza90rK/4UYrbcPGQyMxF8l/LBS9RaSzH8pqlJgQ4YfgwGNaq6 EHHkNL7CBEprP8VBO3A9geAIYBWstNirz3P/01jzH8PT87csZHkt/KV+1ancvBdl8zy3Pi5RrOtK WdR5qLkbXJ6m4DjaubrW8HdK/fqusuCVkVGxmajuQw899iRpx5AiTEwKYKOor3msJGxdK7STL4ZT S1m+Ec1GdsxDwYBgiKT0A3c1/unIYBS6y17V2A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y9qoE+tEhAFEsAZgxeFxNUflksEoY80RYly6rjz4X/QwncMYkOdY5w8AxmW4IYZfWprQfyfkxMrN 8JuXogLHC84iIPhEFIhJ/+RivFHW4gCUIf9NTOGEkQza7hd31B0/7LZttbZHcfTR5stmYGMhB9xi VCriwe4C9iR9zFvOJxk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eCcOM7HngIZB2JCDRQ//SPPOptJbtDQ6WJM03A6xR3t8OhM7+MFavTdB4aR11UrppwUsYiZCHTBc 4AdaSSNbTEcILhRaZMNZ85hgqiNgFb3YTJu8ZIWifM+Ad5U1zkzbH1xsVssRl/Sl+cf+TCDh9Psd UOpjIzWfsyGgyfaSSbczC/DMklBqFcyspqzOP0YGdgI4It3e5xnwDvYeewRqIZggj0RyjkJH8PxJ o1XlyTZFQZIIFN0x8sDbcPdsUekU3pOCvI9JK89jigNzKmLJRotLEgZQt0B8gMiz/gm5u0+k01OA f/7Xo9TSexSaZ5evmswsNTBQhg4v8j39bgkh9Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block 5c14H3XnHn6oO1IeyUW2iFvZzZ9cCnGgzIUu3xjh8e5xy/i+86IXlWbx5i2mlzzGRsVALU6t0f5I QeLGf6AbkZ3hlwUCPMwVm2NmJK02qmRODGZiQlWi86n7suSZCs63ZjJ8pPnlCAQn8FaAgD+sgU+U LVJSO14ZQJdmeB9GkCpHLzCfmtn8G9pebF+4tvm+oH1Uj6EAIKVfWPjUewpmjHF89f+d8S2xkvls d6F1pZhG0Miog0UYG98SqUR6cD8feORy/6KXrmhEBqlx5N1uGyRpBmcg4JnW7T4/l28UxSeLzrgI 4U4PONALlPBLOVnyVaJS2p9a6dmHqVwxrzpygh4ePWkz8aQCV23fCBCRex9yZdPwsXD5KBoAtTOV e8m6hpUgMopGSCe/ZgYGMJb5h5Ug1v77eD5d6E5Tec6ZV1BBzld41Me5gj4RFU/7nM7Zeqx65sQv 2QrqR8YAmfROrLQk6fvAiDvNCn81ulN/k0mUUx77ADvCzqU2vNzog4QhdiTvV6BwSiQyVhLExOAT RP9xun9p56pDh5dbI507yrklnIOT+S+ZwHcpBNEswTZsn9Tz5O8Izrk0z1HfI7y5064+Phb4LNAg iJ52UgStrHi6fIcPLqF+nI0q4L80u2nS4VwuaD880mWYCx0rB89Q8U47GVQFUwE+Et3pto+6HnDR zBJPxRBs0/nBwZrTyibxOTfQlrVttYxiXEEQrBs75MmUf5VUnPUcvumnaaBLLy3CnPHGFqUV9hyB 7QfbtWxmBfEcFZpLIJ3DEEd6LBdklewU8RePNbtw6eSxJIzz2qg8Z9nC538q0h3Tl4QrcEO/Mk3V /EdZ3Uk2f+62flnxW7FfOJYVt97Spa9FVTDxZ5Wgshd+IBYPh7nUc7xSe2mzU7VJxE/GLzavxO75 I3XoeiB1XDWZm3TCQE94c2E6WW0IaO1MptHx1atHjIn4irTOMQHFpngzfn5J6Boyhh4/Yr9VB2NK a9HBu8cqZODx9oW+/jH9/7EEKhTeQebSHO79mjsqRG6LZdS/sk7qo+rEyAYv7YZohW/cVu8bGZIq k1cXJS1QBCudOnZzIJh+TaMtrVAtxdlqxUsTxCA5vuyf6weMiYdFIzna751iKfkRRDLJ83dAyHmz 9iv/fXQGP90Xi85xe8AC2gyzrDQGCJW5G/x2uLokQiKUu7NzqoPvLWQsLIXCjPOaHkjtcS3ncdiA vDhbCIys7eWECB3nTAr9CFCaXWi9Sia5MQ57SGoaRP731iJrqdQwPrXG+2fczOptpWA5jjtHEO9S H2SuBg5ZHOgBHnmqNE68npxq5dX2BGoYV77/gOJjNMT8maS0ZPmDN/OHFuqWL4uWGbI1xogG+exe WQygKkUbkqedsoeKd0mwWsxUenSMqmILUkjYEoxz41pevqFRkKbHuX/mO+ZxaBzzZ0ScsYPKGDGU p8+i4m2c07sQ8f7PmgkR5x3zbQNNNysim8a85mNC4KYxHMXTFc3dALcIbUpu31cLczOpb2Q5u2SE Snl0l8Hd0YWglpJrBg8NpKyzXFnTtK/1fU1x6HhObOe3WE4kKXLv4iFvAHWxRyMUTeDOTa0mdI6C Pqo+ZITRzKpyG7k9pSp8gN95su3ofhmm37L7jWqEilb3qXor09HAuJTld34C7obfC2OWRTaj85L/ SE46aTHHxfb4MQx2DcVYtaqeMi9cBx5z0BgvqwNcIQxuYt59WgWH8QV3zi79EDB8bhwTuZxNSdGX FfcnCFvq7ntDqOqUoy7PRPo0zTeywPqzDWQe0LCSW9qQMSkCbjSP2JKi9bVsPW+V8avobRCE1Tgd jxTEFRYiJWrNXgo961FaMuyrxs8215iMEN5QTdpeqxTbdU2MtMmMHEiEqvjlBrhDiWLw8GYS90dM sb/IK53ooAF8QVxjWp9tJpodQigYKNwEuMl0inrhMAgU+SRXPPWiavciUPCb5drTK6BboVdcXHil PNmBSF3HniyDVT3iDkPmsgMseJewzXdJnkrlT3mNfLKeNP1SSEjQVbbUwU/iS6vuupbU1YPjmb5G QUmJ0sX9O4xS8VkkcUSMGtPtzqXlulgXV8CM1VKzZrNa/C2uFyU3y3xuaVkz9br/Tf4O8sNIz3t2 9wtU0zkoC4RuJbnhn42zJmTQU3OZtyX0tiPQhBC9lPA9bUjqUVj5epeOdamKSe9dzb5vw1iHIDS6 kLRuRSbCuOCOtzarozdpmpZIMu2vkiWJQ8ns69jgiiMctt0nH6dZjc78GcXZj2eUG7ELRJMw2oXH IWGGL7X13RNv+/ih1RDuJ7SfPtb+vHooCWwmH3vZsGb/oITNg70+PpuXZU/Wi0Gv6Vrqr868Qv0/ n8O7U1ki146Rgd5tv98JSv0g7eucYuENzW1UbfAeuexUD/tOMbifJMg4fdm0A5ugrRJqt3V1qRRa y5YHSOvhbVQYQtpU8qeLw12NSwedrQrKbT3EB4bfVsDHeFDBSrIGO/RrmNg/2ni9g3MI4ExruoKL +GmlIPivWj/RWL4zjOErkN8paHTpER5QFduI+OoQP96NLOBEZeeUjEWjq5afJSCGv+O3NIDsYLWr PcCZu2iHkLXwLC/JP2KeBnbWpAbouXFuZOIELIb2R3EwoafsvDq7gY+mNJH8VpzbG5Ie/j6UTXHC /ZbMIz1vnQ4maU0hAoXs7Keen5bx/D28sS1RsYPHq+szPSGdzPNhGaqeQ4UjSYyeVo1nh7+Gr7z0 CdRzzwQSHUo9PWG/0IQ4VgTk5mQ67AcMGSiW2734tpMPS/GKsMe05uXX60mQdEkMBQFWgKKwKiKt xs747yhJUtH2HtFEZ7THRs6rOUdOC8QWNhFJ9TAhMRKzAUziNvq7Biek9IN61T6+xDQiPennQyTq p9S9lZmXx5wtHgyR84+5m+dLUaJMaK0o0gqYgrfzyr5J9uHaTYN8EkJOOCwWD9yv2UIcuWkrWvth bwQCq0hb8yj79Yf94p9eeMjvjAyYHgWN4xiXAyc6KXa+nOlreuZTGxQKrsuaEuw4flmPxy3ndyJn Vdka7+vsnvYL0Fo3Ne4rTXkzRK7XbYa9UR7P9U7H+hnnpoXPTxA14SqYsRnIt3pFysdd2VtVaJw5 T+WVzWUH78db5By9zm7zlv0p90DwecdXN6rFnVuwMmpm3Nq3NiGvGSPzIe58UlQ2ZIJy2J0dIJvT XdHQMiEJ1vCkE5i7hYBs1pl9TlsBVwKH8XhL8XRmJTojX2MU3HUbgjdF+09nMGaXbr1oHSgwXUwC xe6PpkChpsha7EKQrdeu7C8B+VxStvT/oRGbDVTiATcTaSE5B7Dk44VFki9JzQ03wKJBzjjOyIQH 6N2zoejjGs2v51NwsTILZm1EAqK4esOEvcIlrpTUMISMhFDfNNm/2LAsiX2HG0YKJdZbJeMoS/XY RCEk4nH8MUOyr3ZcJ9tVeoxuza+OazXeGObr+ayKHrYCOwVtFVhBwtyFRQYoKt1qKHe3Kp9jvoIA 0mrKlB8ZyPqCVO16d8Mr3UP4T7t1EBUwLRcXbSVaQdYqkAoMvpShdBA3hLLwRl3NYWD+T2LuK6Iv rLO7SLqf8Licd/wWToT5nZ7azrfVoZMgLaR6fx2dBGBNZoqtjolgQVWRZPzTIWjV5YAWQby39A4V T8p+BROI4pHZ/hLe55oA8L9ofrSU9vvkADrSnecLx+rRiZeV5DBmF++OLvpga0X9y+v2HWnt5PpH S5TVI8sAQjG3MEWcXLVRkOGFbd6fR7T6UhNe7j6391Jv/igk/jVWZUlmtAwp0XEf/cPbCLS+Fczh vJvNIGhvduxCogdW035A5riPJPvBlFXrSnrAPJIgKVVk0YOyn1pG4SCGZLmSb2R6xmvzjYyvGmmf OhU6PK/PeY18z9DGhXm3lj/+Aj3ek6GY17iPxD6d6uEIzcczThaC2t4p/TClmeOqsj+Axuo17y1C FKPtpHrELNbVNf5ZCt3b6syz9V3TFjvhpWd+GUYaSVae1pNAElo94JoeG1v7daAvFp+FtW4lq8/u yCqiTUl/YtiAfmdj86vqnQ7XEEyeXewk3zOudWei8krlH/QLvfjBkZe7iHnuDP4dfZgmzCMo6sXu O8lj3ESK9E/WQU9R3NSDj3KSysqSv9NuylPipDkvTtkX2vqJbDBA4pTn/c8mgzTI1eJwssImF43H zjyX0HrZMR9R58AJIlbRxdVxG4buA8K7Cc7R9fZqflL24G2y6CElejZbM6QsgAO7S3vCm2sfL9pz w/KHPZeRai7ETCVBHtxiXTt9uDreie2HTU26WpMuzhlI3s2mErCDKqm2sz5g6HHfPmUsyPvHOsSJ Te6k0AW4N6afrKTwFKQPRPlN032D2wgecoUE8cGnnnXPWO3g5pb6bTb7SuMy7rMX6EqDT86LY4RL bXYZx1kjuuup8IbmcNSp4QQIvq4oekb08RFlrChM7KDB9825jLf3ZG2WJJdBT6FO3E8S3tIgopTC nA2UR7hUsYz8wb1ZrKLtAz9gSS8bCVSLYixuYQerLQV/khG6d1L8BEqg+BRwaXjQsT0htuVAxiH5 CjoC0vJOtc3N0xu+6o14vOSXxuYqXjpHFHRjFmLtPn9AtsIrhHmo2uDAgj3sVRYUTYcwUQv6wvXP 8CWcQkmflKhjZsZFZ5ANpgpZW9vh5mnCf4kN18VP6YT/QQycckDVOiVIfhC4lQ5SrwLlzS69J4q1 Y4UExQ/7aGCQwYsF52EluNq4rK0tYNpLEE3e1oZwbnSYePh/vXnbp0z+OfGeP9jucbIrBdHJIpuq zia6W244IruLuxZs1rWMU82qmq07mAqLHXy3fYHczahoT98piGVU5ORBYwEJHuDAiXdFCfjpQeo0 GGK1bEngb/fBTda+6wtnUfVZRwidU8B1QbRTkpOiGOcZlODOvxxcg9sO3aHhhQkKyYQ+0kvUMSIX ePXgoOIoSDcSDPwpmrS3YTE7hAV8FzeJuNN3JEdjnmTIyKwBmmZKj/Kcfxmn6nILMYkl95rFKIlx ucixorWznlAqkiGWaw3MAe+khdZAMba4j9uDqR8jY/BK6LCer81zay20OQi5XjmyYJXkxad/tpij O0g6qPuSGF76Dq+wy8SmYWJ8AiAYbW1UHQHRWWRR5L2qMt8w1B+g3LKilAr412mWzRe3FQo+zZve PJw4k6mj4A723Hm8c+A/sj65++XedC57rOS7i7GOozhWW7H8KFIV0CWkwXmCp3mHFn7SNgaCAp2I 35RTFhim73iHVHUot3YkQRA+Ut+Mc1HDjOjYAbmuE5pyjK+Y9/5dUnw4jamPxC3RhE0n0b3xD4kI Lc/sz6PM6y7NxmItSDysysgYZMp5WTKOg26zz+GTiGIQg1r3bcSjO5+huKjxTosw9N652zNOStXa +M443r1syNPbCyYPN8xh9XHQiUkllgL2WCzebcOt5NqPtjkavdYLfFnyZ3WGpxfvRKD117/NiiBn EpjkaAA7NV2gcRaPHt8iE8xFSVVZdGXl98kIIi4NCTe0yvIoeuGSmKS9kZSdB7QNj1X/WUVmNy4V wKwOcBEoPl2o3AOhOXo0/pp1SuDEdCxhYvk45E8rpEYgD0NStdtllE9gQxyW5XnOJUuYPQinDYwZ VVpuNiLPQeZhKGNp/UoCY6xQCvMnFgyeghOJjFFXSY8wM/W2YC0na1JRqByolrALKxAR+To+OyWl oaS2rOGB2Zk2okxrF0o66GZimJRFfyuAebNPTQQ6le8EsXUFzCBIdKJFKYO8rz5v36h4RraDjQE0 wx3FjQkZE1ce9HZkqXNSRfa5Z/kmvFbz7+RZ0AGAWV5NU71CLyAB3FiLbDQ/4U6AGaurqxfiDYrB neRSmthA/Eoga7cBwEFolCDn9JnCMjq6pvXrhXnaQGbfzPUJcMW7DmAVa8Jr0bJzHdjXUTv+Yg0S OYwFAGpUc+41XHT5fNc2y5suN4XpfJigB13UkyIyPkKB9WSZsomMjWkb2xeJ0gAymkHt2sRaYkFg uzE/t8Roam2aucumMnM6UfMxWyB7F9gQ1Gzxsz7z26ps5hv7S3kGJ+AvbVMmeXmsrVTTqDAuriIZ P/DFlRyCmQkvQUU6+sphav4OqOjRqyrgTEMTN0qNjmdnNJ0ZZtowQ7i84GqL6XSWl3hHzXO5PLKx IVgRh5/Z8cJjrbisoKBzGTXSRobRK7yHMnFaxWL6N+5sgttCYe3JUFMyQo2IHijaob583vYVpFa/ diSJyyaIczwPWodU9ym/+zJwiA6tAZSqPNhFjKxna5Ev1KmWDx/ZQ21xbF/C0fzr4gAljeYZW9RD OTCqo6LC+gS5x9Kl5yiL5E2nK9pk/SEToMDBA51dAMr7eiZOdi/2xITDyYNSLhm3wk0n+354fPS9 L9HQ21eVgCbemWhcC8n8OIJCrCSwdeO811qkD5SC7wCUZdY11nM1SVwf7eVLbwPrsIDgJg87H5WD iYQIXJEchoUMJycrnaRPsxjoU3GiGvtu/GuajAj+pN28G/2Jd6w2pGpAqEgWFOPZWDftfs4SdlwS nUOO9vZhp4Aj8NF2/fQ3lPlTvb+ADgVMQoaxApv3Q3/6+X2LfyMq6FEHEy2ffniUc3fgTKYxrbAA vqyANbkKRbugnfQ164Q+z6GQDIaByEwG55YnblJbgNWmFt2kBgEfvrFNj0RuTMxFFXK/uzG+4Hea wFT0F6wX5mf7zMXOOL5yyfbERfFzQgJbfaI3LlzPzJOhfWINxT+lS33HP8KveDoVEhnyySCrSsi2 Ek+vrluOEQSi3nwTKhTZKDPKJHxt2J20Y+XlRYA6Euc9zMnghzUUg0mEnAaUyrzKF6lWAdoGWscR KMgD323NyqdjTJfR8cR0VOZm13XDnC/TXocrGbcoLxMFmeRBcVcQIyq2qmFk19ebEecb8xR6kOWf WgKFqvW9e8H2wH8xu/IHXKc3wkygcYnUAoLs6WmsLQOCS1DpOb4jEsKDlpqTQAjxCklm2nkxHxa4 07v/IHSzgDXKEAW8qfgespZFAkrHcw8NdQZyGvG7uZoz/Ob5kyn5lXktenwRNcOSe4IKL9D07nmm wSqlxALhQusodxjwBkb+Kbp0V8zdYCQ46gfja9Q/rPlTyS3yhLXE/9zjkF5fMkYHmW+bVUM5h+uh 2YjU2+0Y/6l2pbUPeEex8PSnQ8L5VrNtLoZbbO9ZPKtZ6AW+m0t4C2RLVt5vtTYFv9vUKuhA1xAE 0xOepgSWrcfP5xzZg86bAlCIKjm3DA3ixk/r2cCnVLTfU53lsNZ74yG2SuOfIc9XTt7EIh/l1VXn 6K21wJonLoQ4bDGuN58O6ilwD43jGh4aHoVwwRsHTJObaIH0QRPBXiwHkOks8cAanwr6tkbJJrwo vmpbdIZIG/qjhYjCHRWIFxjMr+WOCZbX0MYrwdjIqBlVtBqOmLqJF/8qgEaLttJ/Ir9WJOVaRj0/ gPnOReiNZYtXVbNeLVqqK4B/+zKgwTGwwA2x0ipsQAlq7urGUv/vVgrN7J4i62dIH+FaZWN2xqNG ddzu5skm10AQenGFziR+e+afKugv3alwWotxsFMcKgAMqu4epdqoozWU9KXVQHdMRXR82VfzYMjG 1qbPuNdtqgXbZzifl5gqcEVy1p9NntD32Q2toFeK8bHHsGVfNE4Sx+9UphoUtqy++OT6t4SE4x+w PA963tWa38nzJxvRUds+0htKdnn7qzO4oyQ7JOqm231H8noezbmelvFVxrLwvIgWnZUVyPDFELj5 9+1YL3R/w/O2G5jnQk3ePSwcWaR3hA4GN5hjdq4qUzwy4LUANroHaF/Oi2rQv75yVjrnL99pr8WQ TSuo8G0kpYFW0gPF2ztU0sL0MtWxdMaAgqh+9TgGke6pkZ3r+tt7nGhzUXiWYg5BRvSDt8QYEKfA XhAqm1D+xlrx5vPTui1Z3JjI9bwEdj1pS6nQEIrOZuXj7jf8UHsAgUmAdOB/Xf2LhLdFLwVgk8U2 Y3tNX3u38ZY81Z6QvjH3kSBZ2SMJgMMaqvolD4B6I8hMwn1GsymtNP/ztKbEqZyctJ4aub+ZKcE1 SidTHKQsUd8ACNsDRv5ygo3vyA2Kjc86L9C+NJanh02douvEB1iYpf7dmpQ46mjQ0kbgRzFKNUrR ExrKWpbnn6b9+texHWPgW8vtFievGdhW2Bq0ltyiekerkAWQTYzKmY+KIWQQuZup8c4HSGmR5qaC lamEsx//IDD+fpfEFI9/1XiOnk6TgJAbjJAjLoVQulr/YvlawYkBvnX3Q9wvwNE7ERpS3QQdoLr0 OnYuTWiSiAR2NtQyEAar9Q9ePLABvE8ImNxVm7Df9zJx5qk6AJOyVJO7Tvqfhy6Aj3nuKjSMLUvf BySS0RJUS0P828vxO5wHEiB3jSUNwRG31StFVoBavXKUUVCVAc+jeFJO4xbRe0O1IomVZm+mjy+u DW6eRqHJTziKi7j3OieKePHAZRsoy8sBeZlTOMBzdER2rtxxUgEljuwSyaZt0/Hvya2H4zBqAG3J 5Smmq1GpXOq7lFbGO35WH9BNS4xo0japSsNT1Qiw7D5/JsbSPvgu8zCpqYc7LMp1ElhGUSzGHaFA WYq1YMKlQW9QYzICkPZLT2x/RjNWMtRlVKxKl9eb6oc/qAfrWpUpVJrp9Y7HY+/GATCQgWZlQ5GA JFvyxR0MdEnG66pRtW/iMQDX2H2vzkuT1NwfUgHa0KJsVRAaFBMce//VaGHAV+HwcfNxNJS/86vf n71ykGC8q1G87IFrHd9BE93XBjDEprUsXIYecX1NiRHFn38GP8UBgdy6cwn1ffrJbowBIRs/bgI1 +dd89/eRHILUI9d46RLu/ke9TCY4aNSvSkfcIJ55nzjHt1k1zvoWAwkZ8VcdKh/pA7zC2OWOQbiF cE64XodqJigiCiTKObeuk63qVU2Z5W+0iW2i8raDj3WhEWMP8xQBwMnzDywUaybHqKgUUv6ON1/j PjKxpFPWH+y39Czmw2EoUVAfX6tuO5nexLW/iVzVNh3b+8V1UlgPJW9l3KQ8Ry0CC4nz/x4+3WxB 0RwLM5FfS07rOoZQI5nyvb74DXBpaMChGmqOhODl6L6GVmXpYNZOWvtZhSXGhz0pJ6Dq5abBzlDN JwbfFI/ukXxp4EZ7XMmfaZPHVFnmI15mSvY36flMTWhMQxMz/EupfEO1Xzw0TCAdbSzBQiuGC89r Igh/23m2t0JjHqRUddahArwhvSvcKqBczPVBhO67QuCKM3FGDsdI53bHD+Kq9VvouyBfeC+SYs4r GNa/Q8lBjLxZsp+BHNmyZ+UNvNhEKYPeRhc5PAw234qgzeNu7gYd0Dbb1JgJNiJtX9lqyUeLdNdw FIN3ZK2RiYzIHLplUwgBR356mBdRaVnDI+SLC9T5p/VpB+etSBEtCUU085KuCIhgWmzKgutEWKz6 ewAXirM8ZUQJrGiZzvHd6ElWfyAIlZ7rJ05hDyhEvspiESruAU73qPoZIiL5i5MgQ5msVNe5JkWg 0aJIqviCKY95tR2hq6NbgU1YoUZWAfkkBth7TSGrvLjdsM1XTAAAENQ9ssd239uosyPbIVd0dC3/ A3bkHFm6rRBxmLVpC4puGDGbvT5HW5Cve2F7rxa8Xx5qLCXcseKEuoNWigur1qhS0i1p8k0YsW64 el1HNn8TtM/rIx5W4VCVDfvHE684/4RmgeVB8PNKJG2W3HfDJti2rWJcWN0sPvWjAp8xNUYW9+Bg 1ic4JUzewiWIS+UerL9SbTWhlQE0An+JEZj4Qq44almoIAdi9C+7Nkaa87vzcz8XImzeEGz79LR6 q2631nl2OPLNpolhQHSt99L8RSccocA9dQRJxgRsLH8Z1phXT28i7LOVIyh0Aw+GnvY2s86LpAkF wp7rSALP9nRbIf7NZdaQE/mKhBqF8C9d4s0yrcpRVs6uprjJoHjD9lm4z4EYghJJ/lrxdy/P9wdQ HqRIykK1pThCk8TjzZ3Lxhw1RfNCMBRQHu3bmfTC1VkdjA1EZH4wy1wmfKEUFjZnUwK7UGTUAK9x hYChfrPX13ou98LwmQquzbZX0ddQMUGatu60lsciXydQ1rU6P/1c2Wfmx4SUDMPtdwr2NCFC8KV2 lwrn+TYRv+9xUsZdJs3fNcNDfVcwKVyJHZo6c7qhc3s5hY6JusdJAidO0EpynCy0YH7Y/OX0bsfu E1dgD0HkYKQn+mQ1tvrLGxNh38s/WkAGsQMjN1/sHKYW89ADUEw9+fP1U1F7Ufe2RRgFW+douUSM YZrAvEhoXTH5UOOqTXVnLR+h01PD5fNtDnNoGM7liWqFB+4FlzVU2aKfjopHoKZ09g== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/ramfifo/rd_dc_fwft_ext_as.vhd
19
12811
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPpb0sHtYr+7D0Z/NdHkBGKHFj6bPnAk4zCT9Qd9jSi/NZdzqHWXjKwgFh3NrYG/AQMVJcT4R9KU T1kWm6bsuw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PM0w38wqoKZTqxD5ZMv+He7u+x4mAKOhS9vNWqYsLtlMu2ni98hkp4Js0D7iFCQdcFCu3Jaj2Vqe E0m1H+UGB6We+zPa+TnTKUC9+mxtEW7xpi8i+GVKfIfe89n3euEibIBIS0WLtZypuPRjuzr2TWw/ TpBFYS1oUTQ1qwWguI8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OIEbVz6QJBHT228fhImFLc7Q94gbSg/QOSgeKpAp1zRCxot1azeNL0EHN3pwZU9Qs6kuTNEAn7+w agqdilWN9rl3uQlRBfW5KbIj2khza90rK/4UYrbcPGQyMxF8l/LBS9RaSzH8pqlJgQ4YfgwGNaq6 EHHkNL7CBEprP8VBO3A9geAIYBWstNirz3P/01jzH8PT87csZHkt/KV+1ancvBdl8zy3Pi5RrOtK WdR5qLkbXJ6m4DjaubrW8HdK/fqusuCVkVGxmajuQw899iRpx5AiTEwKYKOor3msJGxdK7STL4ZT S1m+Ec1GdsxDwYBgiKT0A3c1/unIYBS6y17V2A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y9qoE+tEhAFEsAZgxeFxNUflksEoY80RYly6rjz4X/QwncMYkOdY5w8AxmW4IYZfWprQfyfkxMrN 8JuXogLHC84iIPhEFIhJ/+RivFHW4gCUIf9NTOGEkQza7hd31B0/7LZttbZHcfTR5stmYGMhB9xi VCriwe4C9iR9zFvOJxk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eCcOM7HngIZB2JCDRQ//SPPOptJbtDQ6WJM03A6xR3t8OhM7+MFavTdB4aR11UrppwUsYiZCHTBc 4AdaSSNbTEcILhRaZMNZ85hgqiNgFb3YTJu8ZIWifM+Ad5U1zkzbH1xsVssRl/Sl+cf+TCDh9Psd UOpjIzWfsyGgyfaSSbczC/DMklBqFcyspqzOP0YGdgI4It3e5xnwDvYeewRqIZggj0RyjkJH8PxJ o1XlyTZFQZIIFN0x8sDbcPdsUekU3pOCvI9JK89jigNzKmLJRotLEgZQt0B8gMiz/gm5u0+k01OA f/7Xo9TSexSaZ5evmswsNTBQhg4v8j39bgkh9Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block 5c14H3XnHn6oO1IeyUW2iFvZzZ9cCnGgzIUu3xjh8e5xy/i+86IXlWbx5i2mlzzGRsVALU6t0f5I QeLGf6AbkZ3hlwUCPMwVm2NmJK02qmRODGZiQlWi86n7suSZCs63ZjJ8pPnlCAQn8FaAgD+sgU+U LVJSO14ZQJdmeB9GkCpHLzCfmtn8G9pebF+4tvm+oH1Uj6EAIKVfWPjUewpmjHF89f+d8S2xkvls d6F1pZhG0Miog0UYG98SqUR6cD8feORy/6KXrmhEBqlx5N1uGyRpBmcg4JnW7T4/l28UxSeLzrgI 4U4PONALlPBLOVnyVaJS2p9a6dmHqVwxrzpygh4ePWkz8aQCV23fCBCRex9yZdPwsXD5KBoAtTOV e8m6hpUgMopGSCe/ZgYGMJb5h5Ug1v77eD5d6E5Tec6ZV1BBzld41Me5gj4RFU/7nM7Zeqx65sQv 2QrqR8YAmfROrLQk6fvAiDvNCn81ulN/k0mUUx77ADvCzqU2vNzog4QhdiTvV6BwSiQyVhLExOAT RP9xun9p56pDh5dbI507yrklnIOT+S+ZwHcpBNEswTZsn9Tz5O8Izrk0z1HfI7y5064+Phb4LNAg iJ52UgStrHi6fIcPLqF+nI0q4L80u2nS4VwuaD880mWYCx0rB89Q8U47GVQFUwE+Et3pto+6HnDR zBJPxRBs0/nBwZrTyibxOTfQlrVttYxiXEEQrBs75MmUf5VUnPUcvumnaaBLLy3CnPHGFqUV9hyB 7QfbtWxmBfEcFZpLIJ3DEEd6LBdklewU8RePNbtw6eSxJIzz2qg8Z9nC538q0h3Tl4QrcEO/Mk3V /EdZ3Uk2f+62flnxW7FfOJYVt97Spa9FVTDxZ5Wgshd+IBYPh7nUc7xSe2mzU7VJxE/GLzavxO75 I3XoeiB1XDWZm3TCQE94c2E6WW0IaO1MptHx1atHjIn4irTOMQHFpngzfn5J6Boyhh4/Yr9VB2NK a9HBu8cqZODx9oW+/jH9/7EEKhTeQebSHO79mjsqRG6LZdS/sk7qo+rEyAYv7YZohW/cVu8bGZIq k1cXJS1QBCudOnZzIJh+TaMtrVAtxdlqxUsTxCA5vuyf6weMiYdFIzna751iKfkRRDLJ83dAyHmz 9iv/fXQGP90Xi85xe8AC2gyzrDQGCJW5G/x2uLokQiKUu7NzqoPvLWQsLIXCjPOaHkjtcS3ncdiA vDhbCIys7eWECB3nTAr9CFCaXWi9Sia5MQ57SGoaRP731iJrqdQwPrXG+2fczOptpWA5jjtHEO9S H2SuBg5ZHOgBHnmqNE68npxq5dX2BGoYV77/gOJjNMT8maS0ZPmDN/OHFuqWL4uWGbI1xogG+exe WQygKkUbkqedsoeKd0mwWsxUenSMqmILUkjYEoxz41pevqFRkKbHuX/mO+ZxaBzzZ0ScsYPKGDGU p8+i4m2c07sQ8f7PmgkR5x3zbQNNNysim8a85mNC4KYxHMXTFc3dALcIbUpu31cLczOpb2Q5u2SE Snl0l8Hd0YWglpJrBg8NpKyzXFnTtK/1fU1x6HhObOe3WE4kKXLv4iFvAHWxRyMUTeDOTa0mdI6C Pqo+ZITRzKpyG7k9pSp8gN95su3ofhmm37L7jWqEilb3qXor09HAuJTld34C7obfC2OWRTaj85L/ SE46aTHHxfb4MQx2DcVYtaqeMi9cBx5z0BgvqwNcIQxuYt59WgWH8QV3zi79EDB8bhwTuZxNSdGX FfcnCFvq7ntDqOqUoy7PRPo0zTeywPqzDWQe0LCSW9qQMSkCbjSP2JKi9bVsPW+V8avobRCE1Tgd jxTEFRYiJWrNXgo961FaMuyrxs8215iMEN5QTdpeqxTbdU2MtMmMHEiEqvjlBrhDiWLw8GYS90dM sb/IK53ooAF8QVxjWp9tJpodQigYKNwEuMl0inrhMAgU+SRXPPWiavciUPCb5drTK6BboVdcXHil PNmBSF3HniyDVT3iDkPmsgMseJewzXdJnkrlT3mNfLKeNP1SSEjQVbbUwU/iS6vuupbU1YPjmb5G QUmJ0sX9O4xS8VkkcUSMGtPtzqXlulgXV8CM1VKzZrNa/C2uFyU3y3xuaVkz9br/Tf4O8sNIz3t2 9wtU0zkoC4RuJbnhn42zJmTQU3OZtyX0tiPQhBC9lPA9bUjqUVj5epeOdamKSe9dzb5vw1iHIDS6 kLRuRSbCuOCOtzarozdpmpZIMu2vkiWJQ8ns69jgiiMctt0nH6dZjc78GcXZj2eUG7ELRJMw2oXH IWGGL7X13RNv+/ih1RDuJ7SfPtb+vHooCWwmH3vZsGb/oITNg70+PpuXZU/Wi0Gv6Vrqr868Qv0/ n8O7U1ki146Rgd5tv98JSv0g7eucYuENzW1UbfAeuexUD/tOMbifJMg4fdm0A5ugrRJqt3V1qRRa y5YHSOvhbVQYQtpU8qeLw12NSwedrQrKbT3EB4bfVsDHeFDBSrIGO/RrmNg/2ni9g3MI4ExruoKL +GmlIPivWj/RWL4zjOErkN8paHTpER5QFduI+OoQP96NLOBEZeeUjEWjq5afJSCGv+O3NIDsYLWr PcCZu2iHkLXwLC/JP2KeBnbWpAbouXFuZOIELIb2R3EwoafsvDq7gY+mNJH8VpzbG5Ie/j6UTXHC /ZbMIz1vnQ4maU0hAoXs7Keen5bx/D28sS1RsYPHq+szPSGdzPNhGaqeQ4UjSYyeVo1nh7+Gr7z0 CdRzzwQSHUo9PWG/0IQ4VgTk5mQ67AcMGSiW2734tpMPS/GKsMe05uXX60mQdEkMBQFWgKKwKiKt xs747yhJUtH2HtFEZ7THRs6rOUdOC8QWNhFJ9TAhMRKzAUziNvq7Biek9IN61T6+xDQiPennQyTq p9S9lZmXx5wtHgyR84+5m+dLUaJMaK0o0gqYgrfzyr5J9uHaTYN8EkJOOCwWD9yv2UIcuWkrWvth bwQCq0hb8yj79Yf94p9eeMjvjAyYHgWN4xiXAyc6KXa+nOlreuZTGxQKrsuaEuw4flmPxy3ndyJn Vdka7+vsnvYL0Fo3Ne4rTXkzRK7XbYa9UR7P9U7H+hnnpoXPTxA14SqYsRnIt3pFysdd2VtVaJw5 T+WVzWUH78db5By9zm7zlv0p90DwecdXN6rFnVuwMmpm3Nq3NiGvGSPzIe58UlQ2ZIJy2J0dIJvT XdHQMiEJ1vCkE5i7hYBs1pl9TlsBVwKH8XhL8XRmJTojX2MU3HUbgjdF+09nMGaXbr1oHSgwXUwC xe6PpkChpsha7EKQrdeu7C8B+VxStvT/oRGbDVTiATcTaSE5B7Dk44VFki9JzQ03wKJBzjjOyIQH 6N2zoejjGs2v51NwsTILZm1EAqK4esOEvcIlrpTUMISMhFDfNNm/2LAsiX2HG0YKJdZbJeMoS/XY RCEk4nH8MUOyr3ZcJ9tVeoxuza+OazXeGObr+ayKHrYCOwVtFVhBwtyFRQYoKt1qKHe3Kp9jvoIA 0mrKlB8ZyPqCVO16d8Mr3UP4T7t1EBUwLRcXbSVaQdYqkAoMvpShdBA3hLLwRl3NYWD+T2LuK6Iv rLO7SLqf8Licd/wWToT5nZ7azrfVoZMgLaR6fx2dBGBNZoqtjolgQVWRZPzTIWjV5YAWQby39A4V T8p+BROI4pHZ/hLe55oA8L9ofrSU9vvkADrSnecLx+rRiZeV5DBmF++OLvpga0X9y+v2HWnt5PpH S5TVI8sAQjG3MEWcXLVRkOGFbd6fR7T6UhNe7j6391Jv/igk/jVWZUlmtAwp0XEf/cPbCLS+Fczh vJvNIGhvduxCogdW035A5riPJPvBlFXrSnrAPJIgKVVk0YOyn1pG4SCGZLmSb2R6xmvzjYyvGmmf OhU6PK/PeY18z9DGhXm3lj/+Aj3ek6GY17iPxD6d6uEIzcczThaC2t4p/TClmeOqsj+Axuo17y1C FKPtpHrELNbVNf5ZCt3b6syz9V3TFjvhpWd+GUYaSVae1pNAElo94JoeG1v7daAvFp+FtW4lq8/u yCqiTUl/YtiAfmdj86vqnQ7XEEyeXewk3zOudWei8krlH/QLvfjBkZe7iHnuDP4dfZgmzCMo6sXu O8lj3ESK9E/WQU9R3NSDj3KSysqSv9NuylPipDkvTtkX2vqJbDBA4pTn/c8mgzTI1eJwssImF43H zjyX0HrZMR9R58AJIlbRxdVxG4buA8K7Cc7R9fZqflL24G2y6CElejZbM6QsgAO7S3vCm2sfL9pz w/KHPZeRai7ETCVBHtxiXTt9uDreie2HTU26WpMuzhlI3s2mErCDKqm2sz5g6HHfPmUsyPvHOsSJ Te6k0AW4N6afrKTwFKQPRPlN032D2wgecoUE8cGnnnXPWO3g5pb6bTb7SuMy7rMX6EqDT86LY4RL bXYZx1kjuuup8IbmcNSp4QQIvq4oekb08RFlrChM7KDB9825jLf3ZG2WJJdBT6FO3E8S3tIgopTC nA2UR7hUsYz8wb1ZrKLtAz9gSS8bCVSLYixuYQerLQV/khG6d1L8BEqg+BRwaXjQsT0htuVAxiH5 CjoC0vJOtc3N0xu+6o14vOSXxuYqXjpHFHRjFmLtPn9AtsIrhHmo2uDAgj3sVRYUTYcwUQv6wvXP 8CWcQkmflKhjZsZFZ5ANpgpZW9vh5mnCf4kN18VP6YT/QQycckDVOiVIfhC4lQ5SrwLlzS69J4q1 Y4UExQ/7aGCQwYsF52EluNq4rK0tYNpLEE3e1oZwbnSYePh/vXnbp0z+OfGeP9jucbIrBdHJIpuq zia6W244IruLuxZs1rWMU82qmq07mAqLHXy3fYHczahoT98piGVU5ORBYwEJHuDAiXdFCfjpQeo0 GGK1bEngb/fBTda+6wtnUfVZRwidU8B1QbRTkpOiGOcZlODOvxxcg9sO3aHhhQkKyYQ+0kvUMSIX ePXgoOIoSDcSDPwpmrS3YTE7hAV8FzeJuNN3JEdjnmTIyKwBmmZKj/Kcfxmn6nILMYkl95rFKIlx ucixorWznlAqkiGWaw3MAe+khdZAMba4j9uDqR8jY/BK6LCer81zay20OQi5XjmyYJXkxad/tpij O0g6qPuSGF76Dq+wy8SmYWJ8AiAYbW1UHQHRWWRR5L2qMt8w1B+g3LKilAr412mWzRe3FQo+zZve PJw4k6mj4A723Hm8c+A/sj65++XedC57rOS7i7GOozhWW7H8KFIV0CWkwXmCp3mHFn7SNgaCAp2I 35RTFhim73iHVHUot3YkQRA+Ut+Mc1HDjOjYAbmuE5pyjK+Y9/5dUnw4jamPxC3RhE0n0b3xD4kI Lc/sz6PM6y7NxmItSDysysgYZMp5WTKOg26zz+GTiGIQg1r3bcSjO5+huKjxTosw9N652zNOStXa +M443r1syNPbCyYPN8xh9XHQiUkllgL2WCzebcOt5NqPtjkavdYLfFnyZ3WGpxfvRKD117/NiiBn EpjkaAA7NV2gcRaPHt8iE8xFSVVZdGXl98kIIi4NCTe0yvIoeuGSmKS9kZSdB7QNj1X/WUVmNy4V wKwOcBEoPl2o3AOhOXo0/pp1SuDEdCxhYvk45E8rpEYgD0NStdtllE9gQxyW5XnOJUuYPQinDYwZ VVpuNiLPQeZhKGNp/UoCY6xQCvMnFgyeghOJjFFXSY8wM/W2YC0na1JRqByolrALKxAR+To+OyWl oaS2rOGB2Zk2okxrF0o66GZimJRFfyuAebNPTQQ6le8EsXUFzCBIdKJFKYO8rz5v36h4RraDjQE0 wx3FjQkZE1ce9HZkqXNSRfa5Z/kmvFbz7+RZ0AGAWV5NU71CLyAB3FiLbDQ/4U6AGaurqxfiDYrB neRSmthA/Eoga7cBwEFolCDn9JnCMjq6pvXrhXnaQGbfzPUJcMW7DmAVa8Jr0bJzHdjXUTv+Yg0S OYwFAGpUc+41XHT5fNc2y5suN4XpfJigB13UkyIyPkKB9WSZsomMjWkb2xeJ0gAymkHt2sRaYkFg uzE/t8Roam2aucumMnM6UfMxWyB7F9gQ1Gzxsz7z26ps5hv7S3kGJ+AvbVMmeXmsrVTTqDAuriIZ P/DFlRyCmQkvQUU6+sphav4OqOjRqyrgTEMTN0qNjmdnNJ0ZZtowQ7i84GqL6XSWl3hHzXO5PLKx IVgRh5/Z8cJjrbisoKBzGTXSRobRK7yHMnFaxWL6N+5sgttCYe3JUFMyQo2IHijaob583vYVpFa/ diSJyyaIczwPWodU9ym/+zJwiA6tAZSqPNhFjKxna5Ev1KmWDx/ZQ21xbF/C0fzr4gAljeYZW9RD OTCqo6LC+gS5x9Kl5yiL5E2nK9pk/SEToMDBA51dAMr7eiZOdi/2xITDyYNSLhm3wk0n+354fPS9 L9HQ21eVgCbemWhcC8n8OIJCrCSwdeO811qkD5SC7wCUZdY11nM1SVwf7eVLbwPrsIDgJg87H5WD iYQIXJEchoUMJycrnaRPsxjoU3GiGvtu/GuajAj+pN28G/2Jd6w2pGpAqEgWFOPZWDftfs4SdlwS nUOO9vZhp4Aj8NF2/fQ3lPlTvb+ADgVMQoaxApv3Q3/6+X2LfyMq6FEHEy2ffniUc3fgTKYxrbAA vqyANbkKRbugnfQ164Q+z6GQDIaByEwG55YnblJbgNWmFt2kBgEfvrFNj0RuTMxFFXK/uzG+4Hea wFT0F6wX5mf7zMXOOL5yyfbERfFzQgJbfaI3LlzPzJOhfWINxT+lS33HP8KveDoVEhnyySCrSsi2 Ek+vrluOEQSi3nwTKhTZKDPKJHxt2J20Y+XlRYA6Euc9zMnghzUUg0mEnAaUyrzKF6lWAdoGWscR KMgD323NyqdjTJfR8cR0VOZm13XDnC/TXocrGbcoLxMFmeRBcVcQIyq2qmFk19ebEecb8xR6kOWf WgKFqvW9e8H2wH8xu/IHXKc3wkygcYnUAoLs6WmsLQOCS1DpOb4jEsKDlpqTQAjxCklm2nkxHxa4 07v/IHSzgDXKEAW8qfgespZFAkrHcw8NdQZyGvG7uZoz/Ob5kyn5lXktenwRNcOSe4IKL9D07nmm wSqlxALhQusodxjwBkb+Kbp0V8zdYCQ46gfja9Q/rPlTyS3yhLXE/9zjkF5fMkYHmW+bVUM5h+uh 2YjU2+0Y/6l2pbUPeEex8PSnQ8L5VrNtLoZbbO9ZPKtZ6AW+m0t4C2RLVt5vtTYFv9vUKuhA1xAE 0xOepgSWrcfP5xzZg86bAlCIKjm3DA3ixk/r2cCnVLTfU53lsNZ74yG2SuOfIc9XTt7EIh/l1VXn 6K21wJonLoQ4bDGuN58O6ilwD43jGh4aHoVwwRsHTJObaIH0QRPBXiwHkOks8cAanwr6tkbJJrwo vmpbdIZIG/qjhYjCHRWIFxjMr+WOCZbX0MYrwdjIqBlVtBqOmLqJF/8qgEaLttJ/Ir9WJOVaRj0/ gPnOReiNZYtXVbNeLVqqK4B/+zKgwTGwwA2x0ipsQAlq7urGUv/vVgrN7J4i62dIH+FaZWN2xqNG ddzu5skm10AQenGFziR+e+afKugv3alwWotxsFMcKgAMqu4epdqoozWU9KXVQHdMRXR82VfzYMjG 1qbPuNdtqgXbZzifl5gqcEVy1p9NntD32Q2toFeK8bHHsGVfNE4Sx+9UphoUtqy++OT6t4SE4x+w PA963tWa38nzJxvRUds+0htKdnn7qzO4oyQ7JOqm231H8noezbmelvFVxrLwvIgWnZUVyPDFELj5 9+1YL3R/w/O2G5jnQk3ePSwcWaR3hA4GN5hjdq4qUzwy4LUANroHaF/Oi2rQv75yVjrnL99pr8WQ TSuo8G0kpYFW0gPF2ztU0sL0MtWxdMaAgqh+9TgGke6pkZ3r+tt7nGhzUXiWYg5BRvSDt8QYEKfA XhAqm1D+xlrx5vPTui1Z3JjI9bwEdj1pS6nQEIrOZuXj7jf8UHsAgUmAdOB/Xf2LhLdFLwVgk8U2 Y3tNX3u38ZY81Z6QvjH3kSBZ2SMJgMMaqvolD4B6I8hMwn1GsymtNP/ztKbEqZyctJ4aub+ZKcE1 SidTHKQsUd8ACNsDRv5ygo3vyA2Kjc86L9C+NJanh02douvEB1iYpf7dmpQ46mjQ0kbgRzFKNUrR ExrKWpbnn6b9+texHWPgW8vtFievGdhW2Bq0ltyiekerkAWQTYzKmY+KIWQQuZup8c4HSGmR5qaC lamEsx//IDD+fpfEFI9/1XiOnk6TgJAbjJAjLoVQulr/YvlawYkBvnX3Q9wvwNE7ERpS3QQdoLr0 OnYuTWiSiAR2NtQyEAar9Q9ePLABvE8ImNxVm7Df9zJx5qk6AJOyVJO7Tvqfhy6Aj3nuKjSMLUvf BySS0RJUS0P828vxO5wHEiB3jSUNwRG31StFVoBavXKUUVCVAc+jeFJO4xbRe0O1IomVZm+mjy+u DW6eRqHJTziKi7j3OieKePHAZRsoy8sBeZlTOMBzdER2rtxxUgEljuwSyaZt0/Hvya2H4zBqAG3J 5Smmq1GpXOq7lFbGO35WH9BNS4xo0japSsNT1Qiw7D5/JsbSPvgu8zCpqYc7LMp1ElhGUSzGHaFA WYq1YMKlQW9QYzICkPZLT2x/RjNWMtRlVKxKl9eb6oc/qAfrWpUpVJrp9Y7HY+/GATCQgWZlQ5GA JFvyxR0MdEnG66pRtW/iMQDX2H2vzkuT1NwfUgHa0KJsVRAaFBMce//VaGHAV+HwcfNxNJS/86vf n71ykGC8q1G87IFrHd9BE93XBjDEprUsXIYecX1NiRHFn38GP8UBgdy6cwn1ffrJbowBIRs/bgI1 +dd89/eRHILUI9d46RLu/ke9TCY4aNSvSkfcIJ55nzjHt1k1zvoWAwkZ8VcdKh/pA7zC2OWOQbiF cE64XodqJigiCiTKObeuk63qVU2Z5W+0iW2i8raDj3WhEWMP8xQBwMnzDywUaybHqKgUUv6ON1/j PjKxpFPWH+y39Czmw2EoUVAfX6tuO5nexLW/iVzVNh3b+8V1UlgPJW9l3KQ8Ry0CC4nz/x4+3WxB 0RwLM5FfS07rOoZQI5nyvb74DXBpaMChGmqOhODl6L6GVmXpYNZOWvtZhSXGhz0pJ6Dq5abBzlDN JwbfFI/ukXxp4EZ7XMmfaZPHVFnmI15mSvY36flMTWhMQxMz/EupfEO1Xzw0TCAdbSzBQiuGC89r Igh/23m2t0JjHqRUddahArwhvSvcKqBczPVBhO67QuCKM3FGDsdI53bHD+Kq9VvouyBfeC+SYs4r GNa/Q8lBjLxZsp+BHNmyZ+UNvNhEKYPeRhc5PAw234qgzeNu7gYd0Dbb1JgJNiJtX9lqyUeLdNdw FIN3ZK2RiYzIHLplUwgBR356mBdRaVnDI+SLC9T5p/VpB+etSBEtCUU085KuCIhgWmzKgutEWKz6 ewAXirM8ZUQJrGiZzvHd6ElWfyAIlZ7rJ05hDyhEvspiESruAU73qPoZIiL5i5MgQ5msVNe5JkWg 0aJIqviCKY95tR2hq6NbgU1YoUZWAfkkBth7TSGrvLjdsM1XTAAAENQ9ssd239uosyPbIVd0dC3/ A3bkHFm6rRBxmLVpC4puGDGbvT5HW5Cve2F7rxa8Xx5qLCXcseKEuoNWigur1qhS0i1p8k0YsW64 el1HNn8TtM/rIx5W4VCVDfvHE684/4RmgeVB8PNKJG2W3HfDJti2rWJcWN0sPvWjAp8xNUYW9+Bg 1ic4JUzewiWIS+UerL9SbTWhlQE0An+JEZj4Qq44almoIAdi9C+7Nkaa87vzcz8XImzeEGz79LR6 q2631nl2OPLNpolhQHSt99L8RSccocA9dQRJxgRsLH8Z1phXT28i7LOVIyh0Aw+GnvY2s86LpAkF wp7rSALP9nRbIf7NZdaQE/mKhBqF8C9d4s0yrcpRVs6uprjJoHjD9lm4z4EYghJJ/lrxdy/P9wdQ HqRIykK1pThCk8TjzZ3Lxhw1RfNCMBRQHu3bmfTC1VkdjA1EZH4wy1wmfKEUFjZnUwK7UGTUAK9x hYChfrPX13ou98LwmQquzbZX0ddQMUGatu60lsciXydQ1rU6P/1c2Wfmx4SUDMPtdwr2NCFC8KV2 lwrn+TYRv+9xUsZdJs3fNcNDfVcwKVyJHZo6c7qhc3s5hY6JusdJAidO0EpynCy0YH7Y/OX0bsfu E1dgD0HkYKQn+mQ1tvrLGxNh38s/WkAGsQMjN1/sHKYW89ADUEw9+fP1U1F7Ufe2RRgFW+douUSM YZrAvEhoXTH5UOOqTXVnLR+h01PD5fNtDnNoGM7liWqFB+4FlzVU2aKfjopHoKZ09g== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/builtin/bin_cntr.vhd
19
8597
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CJc8rmbxQK7PiD9FE9h/V8z28Q2yjtwOLUGOHj92X0D4bGhAiTKxH6Gs6WbTk3x8dF6WKWHXW0Xd imaqryWs/A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KUGgnJN/sGLwh1pfD6BBRkJkdz3qYXsMmFAG0D8TIT3kvn1DM/WYFdJfNjuI3TZJ+GjJhgQt/TQj vszszvccproNtKL+iK2kDAI+dODbmK/3dk8pZpjNIY8iqG+SZd4LOHkCbGnDn8J5L1SCb1FbgOpc lYLzGKyKMfpMp2H5zrU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QPilQnlZ7SkqHJ+uQKxasOWlKPf9SmSQp0r8PPqOPGeQK2aUl+9gzicjiy17/DdQAM7rwf++nyUV Yi5HrcGStcw9bK+k96zmiNT/NPvXPX5xeKvpNagObga/il62MarkWpibvt8B7D5IQi80Rp8/xMyy QM6+TtOf7NVahw7dZAUwr3krfROulZTDfEY3oalO/PlnwAGr4Z3udXzac9NTOUWxkjpW4cmTbWcJ unHhHJbyMO341XtwkTUgKReezgKFOpi+gREeBT80YOKcPQyjGyGuc28HYVmxKisVh5P7BYL5neLX P5GVK+HA7MCB8DsbsorDqal6rxwDeaIF/kJcyg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZE3LPHWjt8FXIcLXD6pONgldgtzqHVcVbUx4Qj9ztf/3D9DwoYFB/m8dT7Cv2OabvKVMu13QC5lB rxR5Jhd+fouVouDNKYwIESeS4DEkgnwfSJpsmeVaPW2tqCd21tzGTVfcw3Igam9PcTjnI1q1568h X1Tcmu9paLkGRwvQeII= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EV5YorhH0risCTPPpyQGO+wsA9egdTVjrRAwQuEDG89jVsb2NsTih5Y+XoLrashGMO3AtQzajDhF KB2YGM3JfNSzKu3jU5R247s9Goe6ZA8J4KFFzdwq4blriCHlPX0eNqXwJaOF7SeF++njAnDs0TkW tSOb3VJRRI43LgFv/CHX80X62oIhRm2LIRAjPrPj7KevSjFw7diU9sSURAffWyrhgq3XZsUY6ovy nAWzeDeWY3xrRDkxjxQAN8xOlyfUxlNsf7am6Prp3DCG9ANkw/MCyfCVBJXBbghP4T6GS/pNjySW +j4cMtiThQqIcJCHVcAXQA0FAf6PbH456gYJfg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4624) `protect data_block RXPikW0YhBHIyjBLgfeGh2IqgFUmnNzQD9M01KF9fhoxYQTApGUtdOugGk+dMd2dTqpPeKH6HDoM tobHifvqN8ZUiU33zgE4tOwty5usYFEpx8LFD6mdardO5za3sGfc3NY4Hqe9yTIdASzQsm/ymrf2 Im0ysvjaMr73w3iU1ZR0oYkHIJwHTMDnP2zLXFhUt8Pe0kQPO3yOCu6hAbMcLyQr2huM/8mzQssa DQbCPCpnPzcVRNQrNy24cU8Kba2CLJHgApprqkUK1BykqHKP5OszujPq0anex24iCcp8k+bB97Ly vwb+AproX/Ehv0QjHmCBBNC6L9sNKarHlumGyqgo/YcQBnCxaWQNMxh6yHn9tSHskdeha7ZHDcm3 2CrtwLmtE+UVSJtR9M7LZiiE28A2Bsxzz4uZZNCQVDK/gzg6pvll6Y3/Vx52AGsYWuhAbebKrUun CIhtzCpoDIRjBRDirSlwfka3lHWdUW/SFh/s2QTbhDN5rKXQr4xZCarRIWmbbD/K9oUiA4JISYVx 2xqSJ7oFCnHKTEfDKfEkNRG5gPjyTI3V8U5k8ykhVBgL3CtjlI+DNQboQfWTzYki2BZTyFxOyYlu pxOPnnruNgdJOsd5N2A59xrFGw/XMpblUfOmt0IQTqkPF+2PF+VJk2iuOkCdbonihCKfamCVypMG st7OpKsWBYkPq0UisEncpulJlmRaAy7daCtGOjirhl8FCw65vc6pR9avZFjSqPkQJrfaU6oJPzMF HqNIDH3qYAJ/vEfF3P0ryZF0wtXHEIgWzvrhgAoGJro04P12UsBSo59WCGSWeTtFGOmNpDaPS6yA 11Y2v9n7kaalg6cK29miafwWnZBdFavNWWPRdxSfZMZEvoPZN08164xYeQZhiVysWnsmCkQFj3Uc wTB8CVNiwUkPqsNokpfPsAlBmdfemUUI+StCPzYn5c+sRSizJYbFXquCkp2yErJtqkZS+1zwsKbE 20ZlOKpz/qcfsaStxm/MA9lQZM2FlEGwkZyvnweAcPNCzW0h5bAlDY6XrmUFwxic3YCmKfmysrcB wQn/hucBMPBWxoufOS1NNWXMNMpz9Iyhs44HwOnXNdBgFUtPrIdNfGS3bB8YVYD51BBx7BwNoeEz jGseu6GyOQODuAixtYjZspiqWMwyuBkH3K/I7h0DYtU0dnHjuSc6z3HD4VtsSbWim67CvczUlQYC bDPeIlIARTzwn9NgnjgyAH7V6m01vgfUeeGvyFiFSl33bTAvrCPiERvMp6GIaAuxP9sagSXPircJ LoMS1WMZuEfgCN5VkOLAH6DRCbOU9Xer9S+w+vrrSINf49TjVDfh38lW6/2tJ7oVQ/ESqGhtMQrt a7m/nFq4RN0n0vTd/wfpi2otKfgNaMeR7rxkR4B5+8NXKFQDAZPqZoJ9RPfsGblwnXoDEa0sM/eh BGe0DtTLB6lrfBRUwHjR4PTwFq4syYLjP2m2dGaEg3ZLxbQMzVFO25O2NhAnvCTfAFuLKRDMV7LV E1QYOsviEYKnaY3mioohxnwnS7C+WJHF1DViXV2co5q9kGIcnhn6LxRHkHUjkZRqBiOTMb+KJMFE bxcK9uHpb2mjKjEwplMGIsIkla/h1ebbJUgbfoDNk+PulVmbzNJJ5aO1tPU/0HDE5qOzuKhsNCfY GkYjWH8z5RsENDNoQXY13IoJpfy/VPg9Mez96kplyrwbYB2I3nftZB8J2jWGnqObLHOo3jJAmNi7 PAiXTUqFwx6Jh1vD4laA2DsqP2dAGB9GNk9EITClMdgDVZwxgbll0mQQH6YSHrBcjIF+asU1BMvz TVGntUVYCgJN1tM3iQ+ss5lvw1Q2SZc0FrZXnq7VvHJ4myP1VRUp/AdZuj9+wy/2p3COps+lu0ah PQx1EvcXbWY5tVt9ab2lVeSp3E2jgUGDND0OUo0Mr393HXgsj/orVupeFjze1S3APA8iOVnzMnxx sdPI5C1PSJIH4XcG7djpUUQVmpVNXp1cZoNInphe4cYXQG/LYCXjWvl9G5xjR3t0vTdLIzzfLBYQ Mh6pjuQLXJ3KSLeClg3N+RaiQAGVRLDeshskIBuM7osGSODdO0rl9N3lfr+f5oBAmcg35hQ+qvH3 ms7oHAKnhCewmxlmEFxZz8faxnnNTrl8m1yaE1MwZac+W/YQD/tzNBvDfbXTR62wRtaOlGoFr6vr jS4JjvUVc3Yn8zUYt5dPKq6p8S+mt5XZvRF0eW/n3mnIYkTSWHyGkMQtK4NbYrMIuai+fplHUH3W 4V8SN54yQujoBCIo7lPLKtYYOmE1B/NOtj7/HGg00hXHtmFwhIeh88Bw+BF84DBBM0AyQ+wQeMP+ cFKD4fubkuWb0YDyTgWRKGdzRHdeZOGTu+tg73eVJYZKkcNA3mHNLRsX5jCBxCdxBVJM1TSPegbF ujYtDpUeTbDwVQchLqq0RLj7zLdssuCrUtlrdblbdJUMCEgtcLIjvsYwCu4tv0xcO1d5ob6Jn5A9 2zHe3tdmAbjw5C/no99cNuPIw0IGPU2NR4stTd9JM7TzvRB0V/mlaci+FYcu27ClFkMRersDpKp7 10tCRUIw1x32uw82d3DFNGb6X7yQdySxsQXZs0rGW4sTbbA/E03oSjuhZCWtfBGQaJ06IA5DOllW XjwaA44eZwKgvR5ysvDMQygMr47AucFlZKO4pyIoP0v94myK8oYXgP+uiMVsM11NPW8hb2Stx8aU bbwHTAJqWQrfiFHE6Akv9IByR2zVKo3iMg/LuL8ot5mDWOJd5OAit0MBlFLEAsNVDgAeOtLmMuAs iKByuwQInalwPwy8iiVb76LiVYf3WbHXY+UWLcd+OG0p4VKk4o23nshsiXeJJNjXB3efukMfLyiI EiudbNgrN6glhZRzl4UY7gjMIOyDSl7b/r6YX6qGg5RNQzjrlMPp6o6gd95OfWAT/HD/Y/W+v5xX ei55OxRtMMj3g13mQSqTYUaNNZ+X0O93BqNZit+DHI74HHylw3qeJyTj7X9D5vwyyaO2CvNzyP88 kIcjvh6RrgTf9iiUifVvM9SeghO59kgp6SOq1/sRxjRtOHFV3NZ/PW8BABrZPKJl4Ja8fBt2l+1J L+mAbGqzNMwCAF4YThSid/9vKJKBCmp9abnYf/X26BujzP9/uLN3sfpbNjPf/5uyrf4ZP0wdtNaA ymXBcHoTF0Aao9w4I9txa/6P9Y7M/Kou+qoDWhjrH9Mfz6g6+YSqwktFR83GIvuYaBYfTwQ2kDLr q/q/6ACotgXihWRllL3w32OqGHzKNgmyhegcuT9+U4WBxjtQP+EmoWqEm4VP26rmwxR1SJpxNSTh QzFtgIOl5o/wD7e43idnLA0Hizv0sek2btng8O80ymQIF8RPMn8cxKtzfPmDMKEq/mERN5n+GKlg KBZnwZgbj9XOhY64DzwsInzKE9PbwITxUGQdD7ae0mZVhk0LTgIEQhZfKYmT+KbQ5yJW22Dy+PEA MbD7c/fUOeaoqCpLeRvEaMWdPVG7NVUUnkn5pvrMPtrZVEtLgEKXhlHCRKiVNNfC5KLL5llzhSFT fT/EYc7TvzCmxrZ/7nxsgnAAqqRufPvVmtoMURd+le7HwyQEzKRTmbgEes7iaFV84TCMQZZzUgOt Q2LoByZhMK2St8FJC2XYXHgd6QniUYCszqFAmwbe6FJ+L1DKVLZncl4bzGdXQCtJ3fC9691KGuId skWX3zXXa6ukXNfFpb9wGArnrsjpclYqQTfmEWojshxZWLpCJ8BGdI6C76xgYskQH2yh55fyGPgD gcyL9B/iapFr2UVJeDw70cs74l+DNyWD56hpb9v6W1vEjYzjm1DBGbkn5mAjG3OlaQ1g2vHOk/8o 7hueZZrlue23oLRgESRDIqwWsE1leB1NkhHbuqLqSkT9tzAbFouJ0YEmwHCFtJtYQpUy0EXLGmGW y8WlTbdfUHGOySt0ko4QsJwnUQrP0Xci+Wm/rFCDhKTLbK7D5ZzdQz3dhoctb1aiQa2at6R/u6v4 K8wItLuvhajdtrKXPitNFflQdLgzclUMmsa/+kUl0DiWbYbtr8WIUUbJOxAMMGP3H+jM5MR/Dzkz SJrnWF18OsWy6wllvpXcTHf7m18m1umpCfWIv+pzCwgUUaW+f6QzPZ4WxoD0tS+FPW0sGZftp5Vz N+iluVt/WaSsIxt3AjVCU30UHMdPf7wWyhwI6z2yqXpCNT5ZC42+8ytYBqwufT41nZGUZE3NeShm Kffw/xM9X6WtRK9Dt+w+O0AvcNTtbgT36bebM6R2tfFwvQ8jj4Dxu0zY18wdz2ON9EcqcAzcS8Gn bVTSVMWrw2qKqvL39Xmj38kkJ/SrNhSwAGkVp2KmL/AoBBpkGGfL5R+cI2WGQoLwj1OZJbLKkX17 eztuUfZi4sddfkUJ2t/3IHDa+1Frlium419JEn7mCiwvkA78SiDN8qKuinIWaEr1IgRhqSePPeOM ypui3nDBGJP7tK0v5f+3HlpjQ0eF0by8d+WqNsmW+mENYoV7paXfvhp0G7lEy6kA/0qSlPQgBePf hBHWyVTziIbmd2YAT213mzhUte3urVU2Csh/hHdOktyUCato0/RSp/NECSo4P67HD884yqcY+zs6 pvIUZ6jRiVnmXZlfKzSGhCqnTmt34DPxr7jVqumL1YI4T2EX1+Pm016KEXFLjQIVDfZfhw7U000W Ew+f+j4N+6R9VwoJs084HNd/OYuRDO6grq2w53x/PbieIM4OjpikJQLK0rPhUIgIw7iNwq22asIF TJUA4cTVeuvNbs0RkfUCjLpvu9b4dMwgEXcnw4jPAPdUSKayWgGD4yfyW1wL0uP+0K5R8qRtnV1H DZHUTHMczbAWNb2Ghbzv/E78Vzn2RgSiDwxw1G/XL/BbkHtqL/snfXPVK4DtE3BlO5LvvOWg9X4Z UCQ8ug4avRbhbnNvrm3r1QN+ayPF4Q8JRkwETTp63Yld1CaR8g/2k/0uGJBmf9GUm4vtqc8dBVSs AwlZmVIRSVDlXRrPsMYQuAzMetBaOFwgQ47ht0tzeh0e9x30xguqAoR5spJk38QCkSQtJ2k9PTj1 p0xuN6CcTHNgk6PWMAqGvxRyQRecr9O/AsCLOnhm73xYfhdg78LRN+ousoQvP84PHMGSXHOfeW/3 wsBrTuh7XiCOnCLiGEzDoxBzyZ6z6PG2f2zSHdPcQJTZlS2pUg+tzrBPF4XboDT2N5b57dgThrDy dFrKeBEdcRBOvwK7iR9McHFY0+qRCJHe/SEpCIP7nUqOZzUDvPcST6N74MKkKrXu0gEtMBQTahLd CmRUTJhSMO2yM8vKGMHxv+SEl9Rar99rtNer+3FLM9rU+IqqSfuSqwLAE4P+kFCO/euc5pt8JZDc RZwhRFoLhUrg2wJVXe4Cmq2Ru6ujwvpeQUUC6WLaHk2GIfpnT8Wu8/BaAQ2Lr1Q6B53YLjG9Fl9K k9QZbXT1X1boYu738RciPeNg+BitoeI2W2aJQ+PCFWCNGk6DAUNNoxhOImJeYXhlC4Tj1fpL28f3 8Xg+Se09iDsWOrCwP5qVUlnkf1ceLLRraQfZQdxZcvYc5ESIyqyCtPGZ9U1/IKFgYNugBB5vm+lC 8dabV0fk8IHSS2JEDtIHZ03TQKTUZonvMW+Q9kQ/XceChpPKwxio5cLjgchSZ361Jvt3XgJtJFxa zagPxkL2RjesLKcTXFSJBHBRqstzkKKVTw07pfzDebS/gOQnfRfUS87eZ2Q43Iq2IjYRNrr3PXcS AXGRqOZ+XR2uzii61WNOgluPe+457Byv14PaStW+HpSl8uItzy+9SMlodYy/1S2Z1o6/bLdMrQKZ /R6XDWqngE05KDdYS11FIgFf+gAUV8BXgDs9WHAb+/k1Dg/CFJkjBU9NRPtlS/D7YIeOKmdZH8pX 32+9kMez4Vf26vrwfeD86sYNs6q5b0l/OwZsqq6Lw7ZOrtqPEcl6DHT2LiymY0S4y5vqPJjMRq4s T3WazHXzsmc3ANEjLNPMhfC3SMJ0j0wG7ZbjcNeOLse75EtJSIRNNR6j+4vrk3FKvjXhM31AsREw 5IyqKc4jL6km8DVJRF3/Zw5tNCAfrCe4ekeLysLtWTzswhX0WlZXJ0lCNg6wYoAjHa2s8T0uxpyD 2+v7eWmAZQ== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/builtin/bin_cntr.vhd
19
8597
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CJc8rmbxQK7PiD9FE9h/V8z28Q2yjtwOLUGOHj92X0D4bGhAiTKxH6Gs6WbTk3x8dF6WKWHXW0Xd imaqryWs/A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KUGgnJN/sGLwh1pfD6BBRkJkdz3qYXsMmFAG0D8TIT3kvn1DM/WYFdJfNjuI3TZJ+GjJhgQt/TQj vszszvccproNtKL+iK2kDAI+dODbmK/3dk8pZpjNIY8iqG+SZd4LOHkCbGnDn8J5L1SCb1FbgOpc lYLzGKyKMfpMp2H5zrU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QPilQnlZ7SkqHJ+uQKxasOWlKPf9SmSQp0r8PPqOPGeQK2aUl+9gzicjiy17/DdQAM7rwf++nyUV Yi5HrcGStcw9bK+k96zmiNT/NPvXPX5xeKvpNagObga/il62MarkWpibvt8B7D5IQi80Rp8/xMyy QM6+TtOf7NVahw7dZAUwr3krfROulZTDfEY3oalO/PlnwAGr4Z3udXzac9NTOUWxkjpW4cmTbWcJ unHhHJbyMO341XtwkTUgKReezgKFOpi+gREeBT80YOKcPQyjGyGuc28HYVmxKisVh5P7BYL5neLX P5GVK+HA7MCB8DsbsorDqal6rxwDeaIF/kJcyg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZE3LPHWjt8FXIcLXD6pONgldgtzqHVcVbUx4Qj9ztf/3D9DwoYFB/m8dT7Cv2OabvKVMu13QC5lB rxR5Jhd+fouVouDNKYwIESeS4DEkgnwfSJpsmeVaPW2tqCd21tzGTVfcw3Igam9PcTjnI1q1568h X1Tcmu9paLkGRwvQeII= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EV5YorhH0risCTPPpyQGO+wsA9egdTVjrRAwQuEDG89jVsb2NsTih5Y+XoLrashGMO3AtQzajDhF KB2YGM3JfNSzKu3jU5R247s9Goe6ZA8J4KFFzdwq4blriCHlPX0eNqXwJaOF7SeF++njAnDs0TkW tSOb3VJRRI43LgFv/CHX80X62oIhRm2LIRAjPrPj7KevSjFw7diU9sSURAffWyrhgq3XZsUY6ovy nAWzeDeWY3xrRDkxjxQAN8xOlyfUxlNsf7am6Prp3DCG9ANkw/MCyfCVBJXBbghP4T6GS/pNjySW +j4cMtiThQqIcJCHVcAXQA0FAf6PbH456gYJfg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4624) `protect data_block RXPikW0YhBHIyjBLgfeGh2IqgFUmnNzQD9M01KF9fhoxYQTApGUtdOugGk+dMd2dTqpPeKH6HDoM tobHifvqN8ZUiU33zgE4tOwty5usYFEpx8LFD6mdardO5za3sGfc3NY4Hqe9yTIdASzQsm/ymrf2 Im0ysvjaMr73w3iU1ZR0oYkHIJwHTMDnP2zLXFhUt8Pe0kQPO3yOCu6hAbMcLyQr2huM/8mzQssa DQbCPCpnPzcVRNQrNy24cU8Kba2CLJHgApprqkUK1BykqHKP5OszujPq0anex24iCcp8k+bB97Ly vwb+AproX/Ehv0QjHmCBBNC6L9sNKarHlumGyqgo/YcQBnCxaWQNMxh6yHn9tSHskdeha7ZHDcm3 2CrtwLmtE+UVSJtR9M7LZiiE28A2Bsxzz4uZZNCQVDK/gzg6pvll6Y3/Vx52AGsYWuhAbebKrUun CIhtzCpoDIRjBRDirSlwfka3lHWdUW/SFh/s2QTbhDN5rKXQr4xZCarRIWmbbD/K9oUiA4JISYVx 2xqSJ7oFCnHKTEfDKfEkNRG5gPjyTI3V8U5k8ykhVBgL3CtjlI+DNQboQfWTzYki2BZTyFxOyYlu pxOPnnruNgdJOsd5N2A59xrFGw/XMpblUfOmt0IQTqkPF+2PF+VJk2iuOkCdbonihCKfamCVypMG st7OpKsWBYkPq0UisEncpulJlmRaAy7daCtGOjirhl8FCw65vc6pR9avZFjSqPkQJrfaU6oJPzMF HqNIDH3qYAJ/vEfF3P0ryZF0wtXHEIgWzvrhgAoGJro04P12UsBSo59WCGSWeTtFGOmNpDaPS6yA 11Y2v9n7kaalg6cK29miafwWnZBdFavNWWPRdxSfZMZEvoPZN08164xYeQZhiVysWnsmCkQFj3Uc wTB8CVNiwUkPqsNokpfPsAlBmdfemUUI+StCPzYn5c+sRSizJYbFXquCkp2yErJtqkZS+1zwsKbE 20ZlOKpz/qcfsaStxm/MA9lQZM2FlEGwkZyvnweAcPNCzW0h5bAlDY6XrmUFwxic3YCmKfmysrcB wQn/hucBMPBWxoufOS1NNWXMNMpz9Iyhs44HwOnXNdBgFUtPrIdNfGS3bB8YVYD51BBx7BwNoeEz jGseu6GyOQODuAixtYjZspiqWMwyuBkH3K/I7h0DYtU0dnHjuSc6z3HD4VtsSbWim67CvczUlQYC bDPeIlIARTzwn9NgnjgyAH7V6m01vgfUeeGvyFiFSl33bTAvrCPiERvMp6GIaAuxP9sagSXPircJ LoMS1WMZuEfgCN5VkOLAH6DRCbOU9Xer9S+w+vrrSINf49TjVDfh38lW6/2tJ7oVQ/ESqGhtMQrt a7m/nFq4RN0n0vTd/wfpi2otKfgNaMeR7rxkR4B5+8NXKFQDAZPqZoJ9RPfsGblwnXoDEa0sM/eh BGe0DtTLB6lrfBRUwHjR4PTwFq4syYLjP2m2dGaEg3ZLxbQMzVFO25O2NhAnvCTfAFuLKRDMV7LV E1QYOsviEYKnaY3mioohxnwnS7C+WJHF1DViXV2co5q9kGIcnhn6LxRHkHUjkZRqBiOTMb+KJMFE bxcK9uHpb2mjKjEwplMGIsIkla/h1ebbJUgbfoDNk+PulVmbzNJJ5aO1tPU/0HDE5qOzuKhsNCfY GkYjWH8z5RsENDNoQXY13IoJpfy/VPg9Mez96kplyrwbYB2I3nftZB8J2jWGnqObLHOo3jJAmNi7 PAiXTUqFwx6Jh1vD4laA2DsqP2dAGB9GNk9EITClMdgDVZwxgbll0mQQH6YSHrBcjIF+asU1BMvz TVGntUVYCgJN1tM3iQ+ss5lvw1Q2SZc0FrZXnq7VvHJ4myP1VRUp/AdZuj9+wy/2p3COps+lu0ah PQx1EvcXbWY5tVt9ab2lVeSp3E2jgUGDND0OUo0Mr393HXgsj/orVupeFjze1S3APA8iOVnzMnxx sdPI5C1PSJIH4XcG7djpUUQVmpVNXp1cZoNInphe4cYXQG/LYCXjWvl9G5xjR3t0vTdLIzzfLBYQ Mh6pjuQLXJ3KSLeClg3N+RaiQAGVRLDeshskIBuM7osGSODdO0rl9N3lfr+f5oBAmcg35hQ+qvH3 ms7oHAKnhCewmxlmEFxZz8faxnnNTrl8m1yaE1MwZac+W/YQD/tzNBvDfbXTR62wRtaOlGoFr6vr jS4JjvUVc3Yn8zUYt5dPKq6p8S+mt5XZvRF0eW/n3mnIYkTSWHyGkMQtK4NbYrMIuai+fplHUH3W 4V8SN54yQujoBCIo7lPLKtYYOmE1B/NOtj7/HGg00hXHtmFwhIeh88Bw+BF84DBBM0AyQ+wQeMP+ cFKD4fubkuWb0YDyTgWRKGdzRHdeZOGTu+tg73eVJYZKkcNA3mHNLRsX5jCBxCdxBVJM1TSPegbF ujYtDpUeTbDwVQchLqq0RLj7zLdssuCrUtlrdblbdJUMCEgtcLIjvsYwCu4tv0xcO1d5ob6Jn5A9 2zHe3tdmAbjw5C/no99cNuPIw0IGPU2NR4stTd9JM7TzvRB0V/mlaci+FYcu27ClFkMRersDpKp7 10tCRUIw1x32uw82d3DFNGb6X7yQdySxsQXZs0rGW4sTbbA/E03oSjuhZCWtfBGQaJ06IA5DOllW XjwaA44eZwKgvR5ysvDMQygMr47AucFlZKO4pyIoP0v94myK8oYXgP+uiMVsM11NPW8hb2Stx8aU bbwHTAJqWQrfiFHE6Akv9IByR2zVKo3iMg/LuL8ot5mDWOJd5OAit0MBlFLEAsNVDgAeOtLmMuAs iKByuwQInalwPwy8iiVb76LiVYf3WbHXY+UWLcd+OG0p4VKk4o23nshsiXeJJNjXB3efukMfLyiI EiudbNgrN6glhZRzl4UY7gjMIOyDSl7b/r6YX6qGg5RNQzjrlMPp6o6gd95OfWAT/HD/Y/W+v5xX ei55OxRtMMj3g13mQSqTYUaNNZ+X0O93BqNZit+DHI74HHylw3qeJyTj7X9D5vwyyaO2CvNzyP88 kIcjvh6RrgTf9iiUifVvM9SeghO59kgp6SOq1/sRxjRtOHFV3NZ/PW8BABrZPKJl4Ja8fBt2l+1J L+mAbGqzNMwCAF4YThSid/9vKJKBCmp9abnYf/X26BujzP9/uLN3sfpbNjPf/5uyrf4ZP0wdtNaA ymXBcHoTF0Aao9w4I9txa/6P9Y7M/Kou+qoDWhjrH9Mfz6g6+YSqwktFR83GIvuYaBYfTwQ2kDLr q/q/6ACotgXihWRllL3w32OqGHzKNgmyhegcuT9+U4WBxjtQP+EmoWqEm4VP26rmwxR1SJpxNSTh QzFtgIOl5o/wD7e43idnLA0Hizv0sek2btng8O80ymQIF8RPMn8cxKtzfPmDMKEq/mERN5n+GKlg KBZnwZgbj9XOhY64DzwsInzKE9PbwITxUGQdD7ae0mZVhk0LTgIEQhZfKYmT+KbQ5yJW22Dy+PEA MbD7c/fUOeaoqCpLeRvEaMWdPVG7NVUUnkn5pvrMPtrZVEtLgEKXhlHCRKiVNNfC5KLL5llzhSFT fT/EYc7TvzCmxrZ/7nxsgnAAqqRufPvVmtoMURd+le7HwyQEzKRTmbgEes7iaFV84TCMQZZzUgOt Q2LoByZhMK2St8FJC2XYXHgd6QniUYCszqFAmwbe6FJ+L1DKVLZncl4bzGdXQCtJ3fC9691KGuId skWX3zXXa6ukXNfFpb9wGArnrsjpclYqQTfmEWojshxZWLpCJ8BGdI6C76xgYskQH2yh55fyGPgD gcyL9B/iapFr2UVJeDw70cs74l+DNyWD56hpb9v6W1vEjYzjm1DBGbkn5mAjG3OlaQ1g2vHOk/8o 7hueZZrlue23oLRgESRDIqwWsE1leB1NkhHbuqLqSkT9tzAbFouJ0YEmwHCFtJtYQpUy0EXLGmGW y8WlTbdfUHGOySt0ko4QsJwnUQrP0Xci+Wm/rFCDhKTLbK7D5ZzdQz3dhoctb1aiQa2at6R/u6v4 K8wItLuvhajdtrKXPitNFflQdLgzclUMmsa/+kUl0DiWbYbtr8WIUUbJOxAMMGP3H+jM5MR/Dzkz SJrnWF18OsWy6wllvpXcTHf7m18m1umpCfWIv+pzCwgUUaW+f6QzPZ4WxoD0tS+FPW0sGZftp5Vz N+iluVt/WaSsIxt3AjVCU30UHMdPf7wWyhwI6z2yqXpCNT5ZC42+8ytYBqwufT41nZGUZE3NeShm Kffw/xM9X6WtRK9Dt+w+O0AvcNTtbgT36bebM6R2tfFwvQ8jj4Dxu0zY18wdz2ON9EcqcAzcS8Gn bVTSVMWrw2qKqvL39Xmj38kkJ/SrNhSwAGkVp2KmL/AoBBpkGGfL5R+cI2WGQoLwj1OZJbLKkX17 eztuUfZi4sddfkUJ2t/3IHDa+1Frlium419JEn7mCiwvkA78SiDN8qKuinIWaEr1IgRhqSePPeOM ypui3nDBGJP7tK0v5f+3HlpjQ0eF0by8d+WqNsmW+mENYoV7paXfvhp0G7lEy6kA/0qSlPQgBePf hBHWyVTziIbmd2YAT213mzhUte3urVU2Csh/hHdOktyUCato0/RSp/NECSo4P67HD884yqcY+zs6 pvIUZ6jRiVnmXZlfKzSGhCqnTmt34DPxr7jVqumL1YI4T2EX1+Pm016KEXFLjQIVDfZfhw7U000W Ew+f+j4N+6R9VwoJs084HNd/OYuRDO6grq2w53x/PbieIM4OjpikJQLK0rPhUIgIw7iNwq22asIF TJUA4cTVeuvNbs0RkfUCjLpvu9b4dMwgEXcnw4jPAPdUSKayWgGD4yfyW1wL0uP+0K5R8qRtnV1H DZHUTHMczbAWNb2Ghbzv/E78Vzn2RgSiDwxw1G/XL/BbkHtqL/snfXPVK4DtE3BlO5LvvOWg9X4Z UCQ8ug4avRbhbnNvrm3r1QN+ayPF4Q8JRkwETTp63Yld1CaR8g/2k/0uGJBmf9GUm4vtqc8dBVSs AwlZmVIRSVDlXRrPsMYQuAzMetBaOFwgQ47ht0tzeh0e9x30xguqAoR5spJk38QCkSQtJ2k9PTj1 p0xuN6CcTHNgk6PWMAqGvxRyQRecr9O/AsCLOnhm73xYfhdg78LRN+ousoQvP84PHMGSXHOfeW/3 wsBrTuh7XiCOnCLiGEzDoxBzyZ6z6PG2f2zSHdPcQJTZlS2pUg+tzrBPF4XboDT2N5b57dgThrDy dFrKeBEdcRBOvwK7iR9McHFY0+qRCJHe/SEpCIP7nUqOZzUDvPcST6N74MKkKrXu0gEtMBQTahLd CmRUTJhSMO2yM8vKGMHxv+SEl9Rar99rtNer+3FLM9rU+IqqSfuSqwLAE4P+kFCO/euc5pt8JZDc RZwhRFoLhUrg2wJVXe4Cmq2Ru6ujwvpeQUUC6WLaHk2GIfpnT8Wu8/BaAQ2Lr1Q6B53YLjG9Fl9K k9QZbXT1X1boYu738RciPeNg+BitoeI2W2aJQ+PCFWCNGk6DAUNNoxhOImJeYXhlC4Tj1fpL28f3 8Xg+Se09iDsWOrCwP5qVUlnkf1ceLLRraQfZQdxZcvYc5ESIyqyCtPGZ9U1/IKFgYNugBB5vm+lC 8dabV0fk8IHSS2JEDtIHZ03TQKTUZonvMW+Q9kQ/XceChpPKwxio5cLjgchSZ361Jvt3XgJtJFxa zagPxkL2RjesLKcTXFSJBHBRqstzkKKVTw07pfzDebS/gOQnfRfUS87eZ2Q43Iq2IjYRNrr3PXcS AXGRqOZ+XR2uzii61WNOgluPe+457Byv14PaStW+HpSl8uItzy+9SMlodYy/1S2Z1o6/bLdMrQKZ /R6XDWqngE05KDdYS11FIgFf+gAUV8BXgDs9WHAb+/k1Dg/CFJkjBU9NRPtlS/D7YIeOKmdZH8pX 32+9kMez4Vf26vrwfeD86sYNs6q5b0l/OwZsqq6Lw7ZOrtqPEcl6DHT2LiymY0S4y5vqPJjMRq4s T3WazHXzsmc3ANEjLNPMhfC3SMJ0j0wG7ZbjcNeOLse75EtJSIRNNR6j+4vrk3FKvjXhM31AsREw 5IyqKc4jL6km8DVJRF3/Zw5tNCAfrCe4ekeLysLtWTzswhX0WlZXJ0lCNg6wYoAjHa2s8T0uxpyD 2+v7eWmAZQ== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/fifo_generator_v11_0/builtin/bin_cntr.vhd
19
8597
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CJc8rmbxQK7PiD9FE9h/V8z28Q2yjtwOLUGOHj92X0D4bGhAiTKxH6Gs6WbTk3x8dF6WKWHXW0Xd imaqryWs/A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KUGgnJN/sGLwh1pfD6BBRkJkdz3qYXsMmFAG0D8TIT3kvn1DM/WYFdJfNjuI3TZJ+GjJhgQt/TQj vszszvccproNtKL+iK2kDAI+dODbmK/3dk8pZpjNIY8iqG+SZd4LOHkCbGnDn8J5L1SCb1FbgOpc lYLzGKyKMfpMp2H5zrU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QPilQnlZ7SkqHJ+uQKxasOWlKPf9SmSQp0r8PPqOPGeQK2aUl+9gzicjiy17/DdQAM7rwf++nyUV Yi5HrcGStcw9bK+k96zmiNT/NPvXPX5xeKvpNagObga/il62MarkWpibvt8B7D5IQi80Rp8/xMyy QM6+TtOf7NVahw7dZAUwr3krfROulZTDfEY3oalO/PlnwAGr4Z3udXzac9NTOUWxkjpW4cmTbWcJ unHhHJbyMO341XtwkTUgKReezgKFOpi+gREeBT80YOKcPQyjGyGuc28HYVmxKisVh5P7BYL5neLX P5GVK+HA7MCB8DsbsorDqal6rxwDeaIF/kJcyg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZE3LPHWjt8FXIcLXD6pONgldgtzqHVcVbUx4Qj9ztf/3D9DwoYFB/m8dT7Cv2OabvKVMu13QC5lB rxR5Jhd+fouVouDNKYwIESeS4DEkgnwfSJpsmeVaPW2tqCd21tzGTVfcw3Igam9PcTjnI1q1568h X1Tcmu9paLkGRwvQeII= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EV5YorhH0risCTPPpyQGO+wsA9egdTVjrRAwQuEDG89jVsb2NsTih5Y+XoLrashGMO3AtQzajDhF KB2YGM3JfNSzKu3jU5R247s9Goe6ZA8J4KFFzdwq4blriCHlPX0eNqXwJaOF7SeF++njAnDs0TkW tSOb3VJRRI43LgFv/CHX80X62oIhRm2LIRAjPrPj7KevSjFw7diU9sSURAffWyrhgq3XZsUY6ovy nAWzeDeWY3xrRDkxjxQAN8xOlyfUxlNsf7am6Prp3DCG9ANkw/MCyfCVBJXBbghP4T6GS/pNjySW +j4cMtiThQqIcJCHVcAXQA0FAf6PbH456gYJfg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4624) `protect data_block RXPikW0YhBHIyjBLgfeGh2IqgFUmnNzQD9M01KF9fhoxYQTApGUtdOugGk+dMd2dTqpPeKH6HDoM tobHifvqN8ZUiU33zgE4tOwty5usYFEpx8LFD6mdardO5za3sGfc3NY4Hqe9yTIdASzQsm/ymrf2 Im0ysvjaMr73w3iU1ZR0oYkHIJwHTMDnP2zLXFhUt8Pe0kQPO3yOCu6hAbMcLyQr2huM/8mzQssa DQbCPCpnPzcVRNQrNy24cU8Kba2CLJHgApprqkUK1BykqHKP5OszujPq0anex24iCcp8k+bB97Ly vwb+AproX/Ehv0QjHmCBBNC6L9sNKarHlumGyqgo/YcQBnCxaWQNMxh6yHn9tSHskdeha7ZHDcm3 2CrtwLmtE+UVSJtR9M7LZiiE28A2Bsxzz4uZZNCQVDK/gzg6pvll6Y3/Vx52AGsYWuhAbebKrUun CIhtzCpoDIRjBRDirSlwfka3lHWdUW/SFh/s2QTbhDN5rKXQr4xZCarRIWmbbD/K9oUiA4JISYVx 2xqSJ7oFCnHKTEfDKfEkNRG5gPjyTI3V8U5k8ykhVBgL3CtjlI+DNQboQfWTzYki2BZTyFxOyYlu pxOPnnruNgdJOsd5N2A59xrFGw/XMpblUfOmt0IQTqkPF+2PF+VJk2iuOkCdbonihCKfamCVypMG st7OpKsWBYkPq0UisEncpulJlmRaAy7daCtGOjirhl8FCw65vc6pR9avZFjSqPkQJrfaU6oJPzMF HqNIDH3qYAJ/vEfF3P0ryZF0wtXHEIgWzvrhgAoGJro04P12UsBSo59WCGSWeTtFGOmNpDaPS6yA 11Y2v9n7kaalg6cK29miafwWnZBdFavNWWPRdxSfZMZEvoPZN08164xYeQZhiVysWnsmCkQFj3Uc wTB8CVNiwUkPqsNokpfPsAlBmdfemUUI+StCPzYn5c+sRSizJYbFXquCkp2yErJtqkZS+1zwsKbE 20ZlOKpz/qcfsaStxm/MA9lQZM2FlEGwkZyvnweAcPNCzW0h5bAlDY6XrmUFwxic3YCmKfmysrcB wQn/hucBMPBWxoufOS1NNWXMNMpz9Iyhs44HwOnXNdBgFUtPrIdNfGS3bB8YVYD51BBx7BwNoeEz jGseu6GyOQODuAixtYjZspiqWMwyuBkH3K/I7h0DYtU0dnHjuSc6z3HD4VtsSbWim67CvczUlQYC bDPeIlIARTzwn9NgnjgyAH7V6m01vgfUeeGvyFiFSl33bTAvrCPiERvMp6GIaAuxP9sagSXPircJ LoMS1WMZuEfgCN5VkOLAH6DRCbOU9Xer9S+w+vrrSINf49TjVDfh38lW6/2tJ7oVQ/ESqGhtMQrt a7m/nFq4RN0n0vTd/wfpi2otKfgNaMeR7rxkR4B5+8NXKFQDAZPqZoJ9RPfsGblwnXoDEa0sM/eh BGe0DtTLB6lrfBRUwHjR4PTwFq4syYLjP2m2dGaEg3ZLxbQMzVFO25O2NhAnvCTfAFuLKRDMV7LV E1QYOsviEYKnaY3mioohxnwnS7C+WJHF1DViXV2co5q9kGIcnhn6LxRHkHUjkZRqBiOTMb+KJMFE bxcK9uHpb2mjKjEwplMGIsIkla/h1ebbJUgbfoDNk+PulVmbzNJJ5aO1tPU/0HDE5qOzuKhsNCfY GkYjWH8z5RsENDNoQXY13IoJpfy/VPg9Mez96kplyrwbYB2I3nftZB8J2jWGnqObLHOo3jJAmNi7 PAiXTUqFwx6Jh1vD4laA2DsqP2dAGB9GNk9EITClMdgDVZwxgbll0mQQH6YSHrBcjIF+asU1BMvz TVGntUVYCgJN1tM3iQ+ss5lvw1Q2SZc0FrZXnq7VvHJ4myP1VRUp/AdZuj9+wy/2p3COps+lu0ah PQx1EvcXbWY5tVt9ab2lVeSp3E2jgUGDND0OUo0Mr393HXgsj/orVupeFjze1S3APA8iOVnzMnxx sdPI5C1PSJIH4XcG7djpUUQVmpVNXp1cZoNInphe4cYXQG/LYCXjWvl9G5xjR3t0vTdLIzzfLBYQ Mh6pjuQLXJ3KSLeClg3N+RaiQAGVRLDeshskIBuM7osGSODdO0rl9N3lfr+f5oBAmcg35hQ+qvH3 ms7oHAKnhCewmxlmEFxZz8faxnnNTrl8m1yaE1MwZac+W/YQD/tzNBvDfbXTR62wRtaOlGoFr6vr jS4JjvUVc3Yn8zUYt5dPKq6p8S+mt5XZvRF0eW/n3mnIYkTSWHyGkMQtK4NbYrMIuai+fplHUH3W 4V8SN54yQujoBCIo7lPLKtYYOmE1B/NOtj7/HGg00hXHtmFwhIeh88Bw+BF84DBBM0AyQ+wQeMP+ cFKD4fubkuWb0YDyTgWRKGdzRHdeZOGTu+tg73eVJYZKkcNA3mHNLRsX5jCBxCdxBVJM1TSPegbF ujYtDpUeTbDwVQchLqq0RLj7zLdssuCrUtlrdblbdJUMCEgtcLIjvsYwCu4tv0xcO1d5ob6Jn5A9 2zHe3tdmAbjw5C/no99cNuPIw0IGPU2NR4stTd9JM7TzvRB0V/mlaci+FYcu27ClFkMRersDpKp7 10tCRUIw1x32uw82d3DFNGb6X7yQdySxsQXZs0rGW4sTbbA/E03oSjuhZCWtfBGQaJ06IA5DOllW XjwaA44eZwKgvR5ysvDMQygMr47AucFlZKO4pyIoP0v94myK8oYXgP+uiMVsM11NPW8hb2Stx8aU bbwHTAJqWQrfiFHE6Akv9IByR2zVKo3iMg/LuL8ot5mDWOJd5OAit0MBlFLEAsNVDgAeOtLmMuAs iKByuwQInalwPwy8iiVb76LiVYf3WbHXY+UWLcd+OG0p4VKk4o23nshsiXeJJNjXB3efukMfLyiI EiudbNgrN6glhZRzl4UY7gjMIOyDSl7b/r6YX6qGg5RNQzjrlMPp6o6gd95OfWAT/HD/Y/W+v5xX ei55OxRtMMj3g13mQSqTYUaNNZ+X0O93BqNZit+DHI74HHylw3qeJyTj7X9D5vwyyaO2CvNzyP88 kIcjvh6RrgTf9iiUifVvM9SeghO59kgp6SOq1/sRxjRtOHFV3NZ/PW8BABrZPKJl4Ja8fBt2l+1J L+mAbGqzNMwCAF4YThSid/9vKJKBCmp9abnYf/X26BujzP9/uLN3sfpbNjPf/5uyrf4ZP0wdtNaA ymXBcHoTF0Aao9w4I9txa/6P9Y7M/Kou+qoDWhjrH9Mfz6g6+YSqwktFR83GIvuYaBYfTwQ2kDLr q/q/6ACotgXihWRllL3w32OqGHzKNgmyhegcuT9+U4WBxjtQP+EmoWqEm4VP26rmwxR1SJpxNSTh QzFtgIOl5o/wD7e43idnLA0Hizv0sek2btng8O80ymQIF8RPMn8cxKtzfPmDMKEq/mERN5n+GKlg KBZnwZgbj9XOhY64DzwsInzKE9PbwITxUGQdD7ae0mZVhk0LTgIEQhZfKYmT+KbQ5yJW22Dy+PEA MbD7c/fUOeaoqCpLeRvEaMWdPVG7NVUUnkn5pvrMPtrZVEtLgEKXhlHCRKiVNNfC5KLL5llzhSFT fT/EYc7TvzCmxrZ/7nxsgnAAqqRufPvVmtoMURd+le7HwyQEzKRTmbgEes7iaFV84TCMQZZzUgOt Q2LoByZhMK2St8FJC2XYXHgd6QniUYCszqFAmwbe6FJ+L1DKVLZncl4bzGdXQCtJ3fC9691KGuId skWX3zXXa6ukXNfFpb9wGArnrsjpclYqQTfmEWojshxZWLpCJ8BGdI6C76xgYskQH2yh55fyGPgD gcyL9B/iapFr2UVJeDw70cs74l+DNyWD56hpb9v6W1vEjYzjm1DBGbkn5mAjG3OlaQ1g2vHOk/8o 7hueZZrlue23oLRgESRDIqwWsE1leB1NkhHbuqLqSkT9tzAbFouJ0YEmwHCFtJtYQpUy0EXLGmGW y8WlTbdfUHGOySt0ko4QsJwnUQrP0Xci+Wm/rFCDhKTLbK7D5ZzdQz3dhoctb1aiQa2at6R/u6v4 K8wItLuvhajdtrKXPitNFflQdLgzclUMmsa/+kUl0DiWbYbtr8WIUUbJOxAMMGP3H+jM5MR/Dzkz SJrnWF18OsWy6wllvpXcTHf7m18m1umpCfWIv+pzCwgUUaW+f6QzPZ4WxoD0tS+FPW0sGZftp5Vz N+iluVt/WaSsIxt3AjVCU30UHMdPf7wWyhwI6z2yqXpCNT5ZC42+8ytYBqwufT41nZGUZE3NeShm Kffw/xM9X6WtRK9Dt+w+O0AvcNTtbgT36bebM6R2tfFwvQ8jj4Dxu0zY18wdz2ON9EcqcAzcS8Gn bVTSVMWrw2qKqvL39Xmj38kkJ/SrNhSwAGkVp2KmL/AoBBpkGGfL5R+cI2WGQoLwj1OZJbLKkX17 eztuUfZi4sddfkUJ2t/3IHDa+1Frlium419JEn7mCiwvkA78SiDN8qKuinIWaEr1IgRhqSePPeOM ypui3nDBGJP7tK0v5f+3HlpjQ0eF0by8d+WqNsmW+mENYoV7paXfvhp0G7lEy6kA/0qSlPQgBePf hBHWyVTziIbmd2YAT213mzhUte3urVU2Csh/hHdOktyUCato0/RSp/NECSo4P67HD884yqcY+zs6 pvIUZ6jRiVnmXZlfKzSGhCqnTmt34DPxr7jVqumL1YI4T2EX1+Pm016KEXFLjQIVDfZfhw7U000W Ew+f+j4N+6R9VwoJs084HNd/OYuRDO6grq2w53x/PbieIM4OjpikJQLK0rPhUIgIw7iNwq22asIF TJUA4cTVeuvNbs0RkfUCjLpvu9b4dMwgEXcnw4jPAPdUSKayWgGD4yfyW1wL0uP+0K5R8qRtnV1H DZHUTHMczbAWNb2Ghbzv/E78Vzn2RgSiDwxw1G/XL/BbkHtqL/snfXPVK4DtE3BlO5LvvOWg9X4Z UCQ8ug4avRbhbnNvrm3r1QN+ayPF4Q8JRkwETTp63Yld1CaR8g/2k/0uGJBmf9GUm4vtqc8dBVSs AwlZmVIRSVDlXRrPsMYQuAzMetBaOFwgQ47ht0tzeh0e9x30xguqAoR5spJk38QCkSQtJ2k9PTj1 p0xuN6CcTHNgk6PWMAqGvxRyQRecr9O/AsCLOnhm73xYfhdg78LRN+ousoQvP84PHMGSXHOfeW/3 wsBrTuh7XiCOnCLiGEzDoxBzyZ6z6PG2f2zSHdPcQJTZlS2pUg+tzrBPF4XboDT2N5b57dgThrDy dFrKeBEdcRBOvwK7iR9McHFY0+qRCJHe/SEpCIP7nUqOZzUDvPcST6N74MKkKrXu0gEtMBQTahLd CmRUTJhSMO2yM8vKGMHxv+SEl9Rar99rtNer+3FLM9rU+IqqSfuSqwLAE4P+kFCO/euc5pt8JZDc RZwhRFoLhUrg2wJVXe4Cmq2Ru6ujwvpeQUUC6WLaHk2GIfpnT8Wu8/BaAQ2Lr1Q6B53YLjG9Fl9K k9QZbXT1X1boYu738RciPeNg+BitoeI2W2aJQ+PCFWCNGk6DAUNNoxhOImJeYXhlC4Tj1fpL28f3 8Xg+Se09iDsWOrCwP5qVUlnkf1ceLLRraQfZQdxZcvYc5ESIyqyCtPGZ9U1/IKFgYNugBB5vm+lC 8dabV0fk8IHSS2JEDtIHZ03TQKTUZonvMW+Q9kQ/XceChpPKwxio5cLjgchSZ361Jvt3XgJtJFxa zagPxkL2RjesLKcTXFSJBHBRqstzkKKVTw07pfzDebS/gOQnfRfUS87eZ2Q43Iq2IjYRNrr3PXcS AXGRqOZ+XR2uzii61WNOgluPe+457Byv14PaStW+HpSl8uItzy+9SMlodYy/1S2Z1o6/bLdMrQKZ /R6XDWqngE05KDdYS11FIgFf+gAUV8BXgDs9WHAb+/k1Dg/CFJkjBU9NRPtlS/D7YIeOKmdZH8pX 32+9kMez4Vf26vrwfeD86sYNs6q5b0l/OwZsqq6Lw7ZOrtqPEcl6DHT2LiymY0S4y5vqPJjMRq4s T3WazHXzsmc3ANEjLNPMhfC3SMJ0j0wG7ZbjcNeOLse75EtJSIRNNR6j+4vrk3FKvjXhM31AsREw 5IyqKc4jL6km8DVJRF3/Zw5tNCAfrCe4ekeLysLtWTzswhX0WlZXJ0lCNg6wYoAjHa2s8T0uxpyD 2+v7eWmAZQ== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/fifo_generator_top.vhd
19
34705
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jtXjITQ50a0ecf2Im0hc5gDMz+eLQYg/zzqRdEOtUonTsMauUR2I/zDZca/cFZRkz2Bn/e1TcNfn wKr/p3+6Ew== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ANnTEQ5JJem4BDOpiZXGW1BGnlByArgufttfMLkwemXR407wjOM5c7+DduQ2B6Rws3h4VtvHo6rO wrBVcL7VsvPq1+tV939t3BGzv7HmeOgz+bF6BolXyM301AxlRkWo/0oJhXt9sAWYr7zYDeoXtQZb l76HOHad93vrCilEPkc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XmwNj23lI8XFGQYG7vF9oV5Kxca20ebqjV8UOZJpCCCr+xVAS7ag+llpfkHEOHuw9tSDfsd4Eagb WTNoLsXhoBdOAYPEcNzU+W9qGu9/wjx0qrsJ9f6NyxsR8o/IzcMAojV3xWACKEn/35hhcf9UXdPw jFtFMZBq82H3pspBY7rQB54QzJyh7kwXdtgWfJuR8vKgpz2Bgw+sWz2/D2DHqFf2M9nR9Jj5wsYi jA2guHzbYFRqb3Hyb8w16e2ODRs1Chv6CQa8J/8jZZjpfNE9JYFfYFbj02jB3GIgpxkUh95YsKVS nyG+AAIy66AvGO8wjxEaZssb0O8bFU7NUeHAaw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jhiEXYtW8X8urAKsC5DlhfR1BlhyMUwpr7b+LLkcXXJrwnqMhkaTCeeV/MLdD2fZlxbKcfLK7F9V JGPVeMHqW/OgkDKoPYInFHgV4dQ8+vVlaEgOkFd21VNxhDMogpMeEu/OUw7EcrJ+uVFRL9Y4CZQe 7QVrICfnVX7/1Uf6PJs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fOUx+hBZ6Yu+THnpJi++K5FNQDW/3h2F0eesEGevzvwYAUzmUKIlynhcf5gdgPU7azk/daFeo+yk Krq/01NBV0vQpvK8q0FHFH+ghuL05juk1koa24QZKqKLJESEoqe8+SMhcjfeA/1/cXTmsbZU0sOR 598davhiRIPeODK4SAJwb2vC+fldvr29ZQPfn7IqVQ1mWsnCoHzWBSYPyy4Xw+6asrFDW88G8kf8 wyRSd13FqmDW+hKwsLgtlOhvBagW21tHVBbEEW2kPEAMrlmNhaLMf5utkD/lTPuEPBItEC5xgDps hn/cW4ZYOpIgB7hTnFioHxnAEnyoEZ+mfU5gPg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23952) `protect data_block 4DYrczoDihzJh7u6JzyKZN948HBVYdRYa+rf19OHQAQc70Y/ov26W5NTC/Jmb1rD0WYJtEs9ZI85 +RN9IupqStjUDUCS34mUz+a0svcwsLHMJgZPvLggvbBkNaW5VFLcq7B9e0nAebnP9WHZyw6dyHmt S/3Dj0RhVJY0eMCcUnG/OhTImpTYPUD5mOIC4H+oeKqzgBoLBTOfOX7xywCQovvb279mkKeIm6JT eO6g2eIN5xMONTJK0Z1reVRmOPULaHpYig+COozn2T9Pr5d4U+cVc+prpn0I47Fedrx2z3BOjbJW C7ZVWB8+b8QscYk0QQ7pge0Sl8Yj+ETFqhtoOPjRLWffZy/nq8YF5D0thbgmuHt/CWkXm7quIaeN h6zlMMRZXB5cwOZTKScft/kESrfmzwHZsolwcS9MS3sm5uz0JNthOFqUmqd/t2VxQQ4Hkqqz8YRF t/TmTH+hU5TnforOcEclA6bEp4BtpEfLJ9hCxckm/81ypG/rxl6/5Rr/S/2fITEUqjQ81/emTmQk AFLNww23QNPaekPOdvcqp2Wim0HngSi35ujzzIjSae5bX35js5wPywpYiU1cs/56xKGAFmEh+hLD GoaQUexRcjgbyphJO69kCM42+l5bcQRztxPRKPnBvyy05eB13By2Gz0LTNzYm6vHDgGm2Wwxmj5Y BBenzKQsXHRT9bpIHZymihYyH8yAJyPPRc2P5tgFD7tNj3cLviwzsPZJH/gIyayDQOEn4mcfgNIX GpsXwpvdeSKioQ/spV6RYR1qvcbiWP6a8QhUIkzgTy7lFHflVAJkWxhwTrAGuzMLoNcTtP9cH2eM MptUEwc90yrQSPiIC8/r2KU94mU/Ft6hlv0q84yRgAnYt+QQrnRFo29HWq0j7Ihqq4hy80mq/4dz xgIRchVqiqIfHWkKJMgG8AB4x2nsAcByat0RsVzz6uiA8WxZSq0Phv3XjukI1EM2JU5DmjlHbFSr G0y+zYbiv4nJ57qyJHOY/bBPqgXQY5X7a8JHydXskvh8Hv5Cr+AUhprhe4TxQmoj73hqpG1j+fGk rzehnyLoxyVh1vCs/Wy9QtZQfEJ2PbWMzdsqtB/LvySNW5gN4aRUFCVGJyoYCaMWfpJifHOVDPN/ eJqsZsSNjNGAbfnbrvuF6WSURINpFtqOwkLRN7wh2qG6rzbKSzG1avcHDt6T9Yuxz+fRdl6+RcTX uYWdDcMS5JyWETfysmboZOsWFT5ak4yExvR0z2diPKjIziuWgwqjugqL61pNMQJfzW4jaDVNu8YU eT9Brr0E/pOXy/pBLnOCjoPQ1ALiCobuu/YE4BaKLHjFwfkmCR+Dxkluoo+4vc4z8p7iJ1hurb8i ZJglb9Fkn2j4mIWJwxwEhF+eImQC7WjtR7R1ez9wvnb0l8WH7QgnvFuXgYHO1SFrVzPghmoc1ETw spu0iysQk5Vgb+qcJHALUFptgkktroGxtwwUMhcKZOKZYQL8i7V2Fk0mqjEdvWN9ZTufMDFUajiF W0LyaCEhtKwv5gAGBao/f9eJNj6uy3OrnUXIIrrO6GKclHevXKwZvCii59sNXL0ETrtMlpL3MLa/ l7ruXvWRIJPb1nZVlhAIsT2FPYR12Ndv/0Bkzi8kqxHZzQ37zU8NSVrbXa27MmZ2GemGTNUp9H9J sYgcwDsAixMy0nHjmfsy7nce8eRDbfCNqrsBui9zAB+3uMUsVIpMyU46BIVlhbeIrUB6tvR2VNML fd6m0Heq9Nc4Wpr4Z9luwMV1XRIJWj2RiplPzkM/1vRthLA37O8iaRRVDxoItn7RDDLBRgTPSsbX xAjuQvyGQzL9HNAinpam1LYq9Ifie4vyaLnRKojDyoIgyZnzEeVdCncjtWC5wdrrhJBBmGq4IH/d 8ZSuy3MT9rLLP0tIaDQyTNUfxoS07zqmGSX46yotMfF1jlyO9qSCff8UWCinLxRVsCc3UwiJMuTE MfdbmuL5TnnX7SgnYjof58OGFwCD8EjYjDRZCvtFmzmNg+18vAZEXRANw4G5ugLX2KTewnallh8U QSDoUvsJzjCenh7RhA96KL17uyPz4eF9gYOqDKdcP4YCyOfEb/qEREGlT3AZy2OZcObBXiS3zs6s +5L/oP0qXK62ebtVR22QRLXrmoUjKsS18vWsnuYNc5rtfokcFQIsu7ylBC/lZF874CooG9J6l2eS Aj2TDH+H+HoJaHn/s3veLJT8xpsxJEqc8hoswhXrQNBqpJPgg06KgSh77VAihV3dFGavmL28Fsmd A2WPmPu0Xgqar9DDd8KLVGjZ9nppAdtnmnNd1oqTsfSHtlB06BDG40erHPHYnhNi//PEJWPUWehD fDdczWfjozz/mGAHUCmkfmHbbAclx9K/Dshk+Kaicc9cIe9LYh+9Uscg0Cha6Ht4zYpb3oM45Res VJ3F9ZczI1b54yykT+27n0f/Bpo2UvfWuGFCG18NZCM/Q6atf4BMx25dSc+xaMW1F4g9BFxedV0w MKLxDJ8I4syuSz0qhtFI+csCx6Yrnq3thWfNQUUzJF/3kozpsp/O6yPsuEnETj3PCR0mtTfOtPfd gXQlxphqJF1i5OLh+FmcKclRWRZUNRKSghOUBufnNPLT7Aw9drrEPR4tMUyyD5tbGNcqhsiVv0qC htiwdYd5nXvEeJcLvCOFBmwuYANPn5qoM6jLeJ6uWGwZgAseIJA+2dEgPbu5fvmfkrDjCNUVidq2 yb+M6sgwNl45Otim8wXtJuJMdiQeG0UaPacGS4PetqYaTdmde3DLQOEanZPvfQUWyWqPtUm/jbfv ciwswUCVAf+kUkwR060SvaNim2hTHYaUqV+k0/Xv4Rm2mLCDf7voKgrD6tTJBJAARNaB2GCuA47N I6uOQfdK4yEC7nB+WfciYuZnUAcYPezgk18qUA50wsnoFo4fZ+disZPbup2XxkjX8uLNrTZFR4jc 0kBJAfDQ5JSKcJEADa0N3GlJn1oQ/bmwShWLE4tGkidYKj5/VAiSXrIK0e5z8GkEt61asqjMThhu 8TYmAO6viohsAIFosLT1ekOm31xGaHRdL87VXvlSWRH49XfHcLMiAEN1m83If6O49obQQK9KpyqG jST7Znwek+cMaTkctVQj0GmTi0jtUY54XHhlLLlm7LXaaKPi9SvTgK/IiO4pJWRxsbKzJ8jlVLmW PiK4aoDu/TVtqYBy3qEQ6X48q1mCnhTfz4oUoY0Tj8J/kUOY9ZJ4pDOT9OKbw+KwHcKSjX3sqv1i Lgk1HS0pCVrV41C+pLhciuz9QTqkssORiZz4dNqDDZIt6r8pKqy7tRYRUQrgBSubvPQ+Owp0VcCt lXbWvMxWX+nbT8SAo3XlWsI9/cTDBM9sF+ADR3ggrniw4lPSCi+WW4Ea7yA0WSd6dKuMzJrdMuyn fAU6yyUK3/Q9rApjBXIongv95eAouSS+bth8/aTzSMWEyvsSPyIFCwK+5cGMY/kZz55rouiOev9k LUVssz7UnW/uOgzt0GSOsID3QsJi+SkyhutcsX81GBnCO9KF/3OJIq2mr7orWfPfrofFqn+J4JW0 yMzqfKIXjj4KbTDNEAKSo8La4Mh29XwyRnKVpRKle+3Ssdib1qLR6rY3PIMKflbiU5cCHLgdKGth TeDQcMAyImcXL6A+FqRKfI0kA0xh2zlg+mUjA36xeV0Qo3y5B1cW5U1eW0i/dgZ1KThsv0YuajHD 6RWxxAAdgk8GPXCydjJ3sxih0Sioe9i2bP1TAmODgwBH7J6iJXE4MTmFF2lYSXyhz1AWWRv2koqj HhzCkDIYig3haY9qkmxOkbFAqWcLJzRbAsvmaK2ix3YhLyl5gVVmxVRRMQPIt5Q8y3Ig9jTole+t /QuvcC3bMJ1FYKxMRkpb5c3iOx3YNNZ7LHzZnRukTF758NMZpN6tudKSvpVuLwvapx71gJhFLSJQ XkR8nLNO24TF5HjfsZS8+BrRL1jrIMpsk66XgM8RNPbDN4mJF7KQWVoyYev2lhI1tb5mO2gZy616 W2QjbiaaziDgkCXxIpBzuzdEAtfj9+sFtHD7sn3fBXdmLSHtHlveI39muOm0Gkk/rIJe29SamL5N G1aV+LaXZpHhrqy1KQs91nDaYgWn+dsqpjZ9WIi8C0Ay00rF6bmGxSSa55x9Ral1i+CWy78ohXKs hV5CWxeNVDsFDLDRIjVNwwwdInd+zVTbJiZDl7e1CdWqGYOdA8DYO7zH4z4+Cz+Txmam5EILhaEI bzPC8/Abg+Nb/bT6lmevS1ngkPfeSxa4Kjp12NWVBswWLM255dSQy0KRUsknxHg6AXndyf/psrV6 sBfZjIR5UyZTzIFEOG8lPVew1JATKaJE+/Ff22RI59m//BcQGCQpgSmQ3uw3Lgo4waC6duY/aBt9 zhTDrwBP9+pSAVhhu0QT1H0wQpzYJSioXScSpqP2qWWzn+GcEhsvN/J2tLZPTqjZFgxhdRi2p5/L VGkXTR2o2R6jo4FpK1HcM0Qm/pHbPveuUHfseseqm0uGC13g2n6vphsnUyDxGCdqf4NKOlNRLiKM LIltmJnpjxRMKiMMH5YmCOa+7Chsng/gxDhAH/ivCV6yqJW+5hujk5x07z8YINc5na43ZPJJlib+ wpfcPx1c4OLzAw7rvvlBhWUoRD0wexdJZl5uftg7TIaJYQWDqAl//zbdVKZ50PFyNiF/UJMkzPyg jXbBzD8qdr1j5cZXb4EqRqqsSshPQXN3xesebp9Zs3CHwW7DA/3yoR/4iwMUK9n19P7/3jjxUwAw 4YaOu2DrwasILxJvXBNLiHz4vbHSX+rzeA3kBp13FetG815EfjQmPDeRAZw/13dDolpoXIz6ecVA zDB1wJMCnBgN77jr2oKEkwXlM5D0KybWZCU5Fkex4YllV5dkjeJrj1o5RR/H4Fl9jYoJlVgKyo1+ kMk09v8dXzAO0RT5Ms9PCQzopH5Zt+NDVsRwzJOJ1V3LwgMdHF7+moD3HoAln9Zw6O7mU+C9jaMh RzrXifWoZAMH44g6vWJBeOfzCpbkAE8CGveqOquklltIe51w7ig7nve9DmYak0DLFodCmd+wRhga qF+SkP813q9St2JCGAgYaAV02fHK2Y84QKmMsLzUtJSO4C89sC217x8Bgg0aBf+uGfr66AhkskEV tIZ0GLZE6N47IIDb+K5/20rM1KhUE0pzfSbbZiCa3XzBVwNSKqW2CV7r6HzReoWGJAJxY7ECjTEj h42f8bduVeBu4HLfQffSYl6SL+0xXT/tm393eJLUrIWlFUt+yQVZYOYcSmz0nygNuvOjVAEonWHo hbjYJYQM7P/se+bzQhPxvY+g666sCnNPYCY90qDBGeKbT0Q4GnbIeuikRg+jnM//+do6SlCm+268 zwbvnV2LXV8GCX6CiAGlLhSvMGMBfdEUW2B8MULAQ0z0+hfmMWkAJ2lMysYnwLDI+fuOTs9E46XD /Q+tcm8c4eYZF8lWrySwdGk4Ar/EmlgWyMAcXETUs6pW+49wfgv6ulSqvnY8lrK86BOGqmXL/fPq B41tSqv2eLIO1ZII4oas408f8tQd8CMtu4uyN7LoEWeJoA8vpixwUvTxBVI+U8m+nw/MRUuw5i+1 F+PFoq2L/jThEOBCEVFlNWmQ8KN3ME3Mpr4FjwVZFyxUd+vwjWSNJzPMRYmWqIfuiw6bIZRwcyWe cew6WbVqlsugd75n+J+UjJjFUz6FLVGoszmWUE0BnzGCZyKd0CIouZ/N7x1YUvZIHasr9EQLUyrX OLyrPKyXVrt1YqASDljsnqa3PMCMilZeA0JVEgrzjOQX6d+oNzqK132oeJpRjB/w+RT2o454qqte f/CLg+52hOOQ6LTj/cVdNU6B0FCSq+qV96+H9uWR8qm+U4kkYoMRDNHo0pgXR2SaOuLmGP5tNEAC G1E/+dYoJ/ds17+F81+w2rdy7oCG66+Zrbc2Hdz1KJIMU3XiylwOS2Al0cvwS8/UVuXSjZ7lhZ8j A1YozzRfL3/R7mEo28BUrRXyjJXitxT5Atk2CnZOiDEX76xPMrlQYlF5NBzwuDSUZF5gxeRLZG4t BLTXouX/Fy1MADnaTA3ou/6I7cGwVfv7DqRBLjAm7wopbTyBSCX9Rk38pHgc3A0snoTY3g5WNV/k USbZO2f7wO+AXqgmMnh8OxfaqiahaHtpVgymO5N/NnQrFOas8mHggNQmYXto9/zgmkc/50NmpuvU W2h4NK3bv+9SRKH4IXoGm8/4oFgohSheN8uc22h6QSI0v3293sZMdcIHcZuO1tKcWgIW50HEZNcO Qp5uL7WETiI2E+SIe0mcCVWobVhn/wF2MfQCeSZCUCWyuunOrgoANPW6vsJx+7Cj6JZNt3xt/S7Y 1+BsvPvaPO90Xlp0QyetwIsspRuYTCxW2oWtMEBnbVHZyxaFVBTS1D0AuH1lVNXDeskRj8Motvc5 bBJ9npoOS43VweZbdJ1dv2SwkwVmMgsNYILze0i/V+OOlpca0zEoJh5LYsxKzWExD5LwTFIkd0hK e5kOKIJ1TwSmnLLTa8VcdlmOrtpEPtViXiKiEIjxzwpwuiGHrnRnICkAbVqrHoSiGEZ0sqlH9ErT zx1eTd8DC9WMD7wiIbuIVPFJFdDrGnQSW6vECIrXayuwKBLDallseNRekq7mND4zHcxxQvpnFPEk d8jvsIzwCLLFPFdWKUaTCybNYimrDOFvAx7fh4D03eGTuLxlLnnf+cFcBAkLqUL6rF39aQGIhYdl 6cGKFNXCXf/83kBg9/SxlLcIdIsH5s5uIqdRYmC07SmvoBDflAQxMphF2Pris+WXWjpiAZlodfTD rrmn/4kEUCMfsAoExRHMPFoEzLABFIuw3Fo5P85yVDNID6HHYcl0i7pZx4alKyksqNZI213eeo4G GB1ySS5KkUmybORaGr6i173IdP3LTMmNoZSt36M1Xb/Ajv+Bn0mwA334rCVYGKYoMojfXB2ib64N QERzPkpxUUk5zIRy+CaA+fp91VJXAjPcobcbAvlZjtT3hR/9tIIPITygNicmKUphjA+zEKBMxLgJ ++9LQW7dhVmYJ5ivMyDF9zmbG+nQGUcsHhAxaFxVuzZF8Y1FpKwwxo8ZFQsvDvHGSgzcM11BNvRq wGX+C+uFYBghWyS1ir+ZIB1GYr+6Fxnk533ghFREGJNBuVsPcJbbwuX/i+pKGF8N/wftJfUlFXD4 mtH1tt/QmoNsn4ZDNXspKyeVOEeeinqJw/AE4GpGuqi53CAj0DWAeAG15cQaIma46Y/6O4W3Bpah ohFHT2txY7AWh47yg6fM9b4bRGcnjGyzPPbRxmGl2UcxEMjCg44/4oKY/y4cQQafei/MsR/NHQhT TRXIq5za+HNf5IOGJCMh/66kluW6R/MjBsZ3xJJsXJB8dhREyHHVzz+hkfkXHpB9tXAQ6t88DlNa N2YKMCB2yuTZvfXYIQH1OxQIvmkZzS/1w043d8aplvtBCJsH4X34lRvYBhv+uZcvNS4oEgZJ1TzH xltIDBV1bQu66JTpHlys0t24CdTtVYVi9cLfxtV3rBHNIftDfu2mAlQxiXwchGJ8mdCGZhHPCw/q OLFJKPjW74tzvnB9C4mj+HoDoz2cm1StjXSDRX30ZGFur+AvJjonK41PBZhA10GtSmZt1JaTMy+e tam1VTpKOu5S/ktMD4i9xKE112GEZQt+5+y4N9bfv95EDgd3Btd2opxkJlvrXCZQp+c7FSLNIC92 E+YFZIG//AMZzxmaVYXDSxylBj4TRnEhftC9i0Yq4ABsfmIZ8PbNr2da4ZTEXrU4SPPqgsof9GcC +B6TpyiSZVlwpjwVK+YnWa/B5Pp8JOZEPM/NMqSrjNxihkQVz6qVInQi+zEfBhaVExoWcYhmvMrt 7ZrLDGSnxkWoyyKKTAZzo38u4dIX7DShf6VDgNLOAlQ1PFAnV7nkoC4mmeqDZc8B7Yp4oKi77L9y PSSJr2bbtQ9sVfU14Qk42A8e6E9YtT9KRFDbU5T/bI/kzk06gk7kf21K5eoujBRRRjO+P7XL81TV oL6VfNpJ9t5z7F3rqnUqPbjKp3Bf+spiHMQfOKbaJ6MFpVNOkrHSYN0BdvdcR9Em3u6rIHUmimPw EbvQDObdAg+ZjGubT9yDWuZZHU8nMZjteBMHqHkD8JKFTs/LPRTvL9n7cJ5ds88WLSEZpCUGbCee zzUtN+sCd+afK5236Y411sHfajtQeG4zjNlWP7Uv0WwjVU8iI6piA9CNwGUyzvl0S81uFIMsWJQx wZ2Rbi2PuQ57FV7dkLfoxwCuH9YgSTaxxZQM+4YN1LMbZ7lIV7CKFKy7aG3ssdfXToMAko83skb0 l0r6T0cPq/5rXbHluLfK64vcgeR54QTdgba8FUbof/RvZSoYhU2/mJVhAyG08VtjWz6T8qQQa81s tDa/le5/plAB8h4EpIJWqATFdb5oLHDWMw3um0Pzcf9zjz8aEu6GSPS4E/ONoSeclC56KMm6b3sV gPzMhiBTbkpGBE3zMtPy/5F/+iZUuxjuAIANySlz9bXbOwNmZk20ac8LY8Qyc2IQqMvJGlHtXZ+p Q6w53gqbgEBJDfVbih3WY2CVJIgiNQMeCQlgNjwD6f3UL4wO1fm+bj7TLlcXvifRJq2O7bbLGExv QvyhRxe03gEtSJtZtah+eeWySoVLb/e8ktx1+nLJsScSjX83yUzz+6JU3dPR37+ACUnceZhlgbf9 MfgdVtZk6O3cMSBDwe2OJ3Z0E1qA6ah7D6N2HNlIr9cAc0eJ7BI3Jwln1oTbwR3q6Rb3pKDFLLfR ygc4qmC+csxD1g3qgFztNfHkcSTRcQEeVKbx0xF/ocGriGZebnrFE5hW7aXzevmfpNdkyUZtdyvL xiIXQqB0owQW3uMHDyGwmrJ3bSVIy9QfHPesoikyL0n72zKBz54aPYD7dWNT1/pmy/I+etPljbtd +HYSGXKh1680/M1XUswR8zm2PCNlli1yOayU3YZ1KFV7smF1ASyPYTUgwAQsnK9IFLDD+25Jn3m6 NAWlhDw8YOheISdMD7dOmSY6WgLyxJwZMe1Ffu2v95zsnsHXciW5dpENGPfeH0QQi+USp+Hl1JsU 1//bv3/YbybIBty8EXFhqUjw1k5zkrBmFOR8hu/WARxN89gXnMfaXq+4CszinAryXPNLFb0KFs9D 9ximxCyuZki2QTz07tHYach4IbyuBq4BKgbc0BoshiLbbGKyPLONi2/PiGJnj3rPfINBhOnNAQFw ssfF37tBt7r764hlXm/TcmJQgjz4qLoeSEYk7hStFjkJBCFRx4flcFUoZqrhaMU0lsA0tDVlfwrT a8eptu4Raj7WbBIeEOHm+1hOCyn4eqwLRrkZncNIIndkxF/7oHdCG/QHKs3bTxlFPPi8j2swD7A4 NXv2KXuofkFH9qZRAO5+nzPB8w4wu45b/ZzoLy2akpfQ/sNh1iDzBY0zGCT57HBME2+0PwES0vL9 XdiytjP9fSbXUqNGzEpxgPqrstzO5Wn9b3SUGfS8uRrDC7+RC9lcSLsrkrNvGG4HEPTNAJXeIE2y DqT6TAUU/cPBqWWrXUrM7VafihlcenGCIUhatHrBt9myPg+ZnQBglJGiMC2vQl19GP6/O2G/PTLO s0RbWOEgIpgagnUCzP0GB+lgpMrpxGWkLAUXlcxk9NO6Yk7/w1whfYGf2bCy9q4DQerGR4xAaBSG n45p9tA53+ALMHqdoSms64qVzrSx5fjtykzMngsp2OrwdqgeAuuGV/bSWDJRLSUCd3mlHbLt9D2l 8X8PXYZ/CsWgvnKIBAdHQ7z7yBPeDS1Z9azg2x5F8OTVCTYc9hiHGlWQKRvGlGQ3vmHlijAZ57Ul 7LMInNrSwb1umxLmHOfBJ6BdHkYARE/K+lBaCvBu/APKMM8M0WYmnuQ3BXCh/PeXxHg/Ms28XU2M Oq7hhz++XrJetMKdjksdf29vMK69mLfjWCgnmTJrO8Da7+trsWRUoLU3StTR74jwOWkDkQ2jqU0J 3KeRoHO1UkMhWh02ge9UJK3+BZ9I+u4mVlr1Ig2IsPtWTkQ3EiWzh/KWKY0orCLgGzaQ6PCdczko WQDpDnJVNKhlkGJCdpura3jNjK5/wMmY0YH+WCzSXI4mfRI6/SxvYdszHpLhQ0ycNFNC26/h3wDF CSptg2GX1LEc7fHhGjfPMKp1lVs+MvwZe3JHBnuwQ7U1xNjHMgsqbdK/E/OXIa7Z3Ld3cfYkCaKE gnJOf0KqofW8vl7ukKwIkIgEuDBxeKT85MEDsYwFdrLSMtWZuPIGeJ9a1CFVENSw/EyHEDNecFj6 LDKetyGtyX9eB0YL/7lZ6AyeIu5q3lvvu4NsinW4LwJdSes/94hSCrt+HzmZ+AOOcO4j3xsVySNp o5GCUDjgJU0ld3Cu4/M2KD+i3HEpN6bygI3d+a9UDbfwpE7OLu7CG28EkZuHnirFkA/UQJz5FNHu agOYQiuCl7uPiVxlwzdruqY3Nv05ruEUVHlhQRGXG2QMNKmvgOVe9q2OFxdiU97GMPP1LQH06oZY umNwsZ5dwi0T+UlPGpRb5I26rYJrwRAhVmUpUtXtjWt6wtqQQBq3yVt8WRUzhslw7frTu6R63AgY zxkLlLOyY6XnJ86vuAIQddddxEnrRQRHJPLDQXhG4ewONMiaBY+xZcCYcq2M3fVkVMMYWvjBuMIn TRnxoKtfZiIqG+3lNU6v6hg+m6vWksyJbxHEwvin/EwABQtwlhi2PSehBu61A0+m05wfEWcYh4/E MqsSM8IpVFFCmmfdtT5oS8x2p+id2Io/nALq2r3zh04my2ShKjiL4yYREqo6hOpOeC9DWBuWDhc7 yuDYyIntW0Wcr3IVhRmCQ2bCD2ariU3yZKWOLumjSPxYudyAIRrP3QgLHVp6K6pPIizLRIhLXhlD WXh9J07A1JoGDZQlUMba/r3CQPriFug5S+iC5pcRZeUcDfGyd8bR1F6SJFwRgu6QZTb9aYdTZxip Us+hgk2n9osgqfe1iWgiWbrWDi/Mthqxw9pfR04cg4UUQtIKS94TX71LMiFMt1idDnMDA+sLh8wK VzkmmvNlQs+ZKVlxY74kqwQ9RjQd2PN3Qr4/sM5RVe8k/qh6VbuF92UoLQpU4ORBYtS6rOiRp8Dm VXLUEOagki8zOPX8isd4pYVXoYaWYr0rdcRstC8Ame9Z6vE3r9nKfYzKiollhJM9/8u+rpYQhwMH 1LzBTLEE+vOdZrSelP3Md7y4QGVVbEs42pujUkDL8nFiu425dA4g2YJbvxFtQ0OyWrHqfn64tcSW dAx7uaJ5vxD10IYYmqa6zqIJTbAoXD6WYJ5Uw6+xIVztbRETG709vzJsWwPy6GSgzfXwSDGcmcBZ rK9yeqf+7WQtaHzGrXaB4flYnfVLdcL2FV/Ozj6h/Wipgnt4722Cz60wwA8cMgGukkhzKbQMIch7 bF3B4VN+EtmLyFaRA0Co5KAjgzsdNdpSgMnwKX2Twdx52owtm5lifnbueFMzyAeM8lYC1X3Obbo7 7YWPwz2YvUiq5MHPUVEJ32Uz80AueTY2PqoDIAGHUAY8ElBoN8S0j+vPjFkq9kE8Ah6Q2aM0QhO4 JV1aUqlhBqV8TOL6+CcYT5e/yzUVgkNxgwaay43abtzNYyxEoJ+JREa47CPF3B9p9bC8JfrXGZFD +n5Ogh/SzRofill60XehFl48+eJLrT6S7wUAQbgO4fsIu7uM/YlfiC8QSc/LOCcCryZEe405v8cF 7fbSZIMxd9mixmA0uwWK4fAJK5pV/NyXDgK3stlKXoo6mvjJ0vgIhBz99hnInsWEPRG+J+sJsBVn SSVEwPkaRfTW3718Q4KXBbtAah6yRzBD7yj+CqM++JwlKBf8rpcvOKOvHnE6Jk/N0NmXstSXXMlx 2F8uZcQ6/TSxSkZ1hMKjU6MmHU4+DQ6eg8PuLHwQTBpN0S1XuT4fV1QaTpdC/Qkjpj6fW1TadCw1 AGUZQ+Qt/4DgSCxn3PQqmB1/e7wq8ghjbUX64Y9Joepqij21dGhoQNoSYbiOjWO4BzWCjI1PPu8f iQwr2swnG4lxrtIbJwEw/rLFjiaS47CZGPEgmZr64UqcKOfJPlbEUHquXtu2p/ngIcTcuvhcVWPe kiav3uRrdrScA06L3ARONZivkBpVcXwsfewHMKJCdg5Ds7h3/ig0uyZleg23T472ATFLSjU3jSqE ITOCEEEH/RkxtQ8JwgQ6KtwWNK5/6iOsSg8VrMI1jUSvXowsspCjrqlfpq6nJ33HwBt/GkwrxQ6M viY8VsagH2/dNshJafUt6hZNtTgi7ow30CCMjZxeKB/l8pZyD7AEtojgcj+DlYT079BD1lU5SU/q 74herVQMlILlZ4zE/NGwp90fzEBZc5zahDjKmRBeYlELGWTvTLjg7TiXZbPnUxkfZWfci2DLrlW+ ZjSjOEyrGP7EJbvCWuNywP/qfP4ftWSCu7q9eydCxBCD5hMBw37AlNFobUnjZu65x/W2g4uk7uc0 TNx5hlhldhhj0ox9ZosB4Lt2Dy1bw0xupc+2iEkKMC+nMHMddyGcdict8FYdsfBQ2zLOugXpHtuR /ZL1ELcM1vLQ30HB3oabzXm4s2swLTWkbpkRL4tqGtGcbuk3nqkTGt6XNfA6FkEGk7tXTkKHSNf/ L1w0vcr+rCQ1g49mgjKCyqlxLmLdEn/9SDucufIPRl84iRtw+69EjwHmh/eHDFe3u8Idn9KWyzVu DrjXw5MJZv2ygp6Mboc09/jptbq/wLj/ak0ZYRU4kg9MUivsX5kFvuDhO9VJhfSMlWMhbj8nMnRZ +AM8Eb6tYxWEFRxNU86Q4apTyHbb3vadFP4VIqVmxtJGGar3vCHmxwh0JwhST2/+tPzu6dqHS3RD OVsg5KlT8ai02GDtl7D1yQ249OPHhrB73BUCQ3zE7XrP36ZTSQb4ThMqhY6Zlh5l1rtf1LpAoeHg ikeR6s0q4RBPdN2WhNy4Zmdt/1y7uiSEcUvfyDv+deagEmfvZGhUcORkHwpeg/gibgXFsC8bRG6f OOfGMFriUY5eNLeKgzkOCKz7HCF6j0UWH3ejSpPl+/tYRs3NJaVpW+1NeZNK4gyelpCcCmawWLJG nRrl9ulEJ5qNjJsgmre9ht8EwecxjCt++9v2uN0HYmHu2R4zQ5RJXqHys18KKaC7SPHre2E/vuXl 3FxnJcul0pHFuFn6Kij90g3Layg4eZbmYD42hZ/kGp5EqC7zuvCuCejUzmjj1IVhFr0YmcuUnt0B QyZ4iSOJ1rQ2yt2wTxu5ay4vmG20dlCfEkVVrXfPJcm4Vr1BZHIjFdBu/hP874Ay6NKr9jXg5DX9 zfIitH0f0JlT0PT3OIq6S34Jn+7/ij/by9X/wQMa0PvZOf3wahJGiaKKJXtduRPSRasxxbw3EX/U J2qCH/dsBzOHM0OiAD8hvqbk40mBu1actlFt/YxXxFmo7iucHBAPVN7HjTdjzuUQkrku4dbHRl81 Hn0F5KvHWuQi2MMs+Wzh/WVUhaJoXTQpndk4bb8lr7nXyDIvioGk1i4p98TkQ4tnPiVYqFZ9fQtS mLy6oDAfWuVCa3wNbQg6xEIN6N1sBExhDkQ0wpYsCQemYy+AZFAv8vm6svjL1fvJ9kasvzsF6ktR Pvt7xr0ITjv7Dpv9KJuFwG1h9YrSPJiNP+0qqib9qOEIgA688++HXKmLYZcjH1piTOUZsMZ0MEOO k9WNPp1yvZFz0OjS/V+eSYzVcLWfMH/7lW3xVI6bUTksHNsDMPwNbHeQ3rHR1WSfn+djGm6giv78 HA3ovSBfoy1c3eUQyb6JXE6faJ9PuDfQS1ddgNL+8F1lq9jVCp4/FNckNIyq3OaAg8oJcHDvpzdL Q0JYbMjXQ0IK8coMfnz+sjwf7b3p05Hn6pBcjRg4vbPPXOy0Vkd2ewU2WAUJs8gVWgRantxnLAuy 8lFoGHzdUzuoIWP0CdoBknC51nOfQDjZVmhVO60vI54XGQnBL0IuJrG9BZh5KSD1hKB8RYSLciiN 4gQxsZN0dmnYCru/hZanfXe+ixa+5sWG7JeeAU6JXwj7ewldFFO8WdVULKPVJ0Cf5ctMqE1Sk8K3 j4EcwxxX1Kl7bVPqflj58UwSJIngTc2wgWqIAF49/7Ijj84pHkw8FbSVXVqQOPyHpKA8wdxVDF6C 9R47UfXae7SSXrF7pKjTUH7Epaf90LH2BC8S/BEYAPABPeLMB6oJmDufJj/R8AyhH+ZrHGcb/HwU QVJyM2Ukc7USpOS3d1oMpqUGl4fsZHPaB5T+p1QSLk912k+0PJD6FZIiefxbWQV12xbspeV06tZN BwYRSsJnasqILlXLPXUxwINpbgGImqZJei7mNj1t1G1lJiAQBgIV7EPSycHWhfXu+93lgPUyim0c TQAkd6/7dretBdg1/KKQbKeISs3Zohhw5NEWbU1uPsl00IPT9XyouaLk+aQRbVgwLj4LNoa6orPA DEYSYniaj7WYxPZQM01Jl0J1fbhfDSxZQKSL/2/QgJsqLlsgxJ2+iBlBkBtBI8AnolXDROY2/vlb K36lqa6Ua2Xi5DnuupQAl9UXfYXTKaUGu7eHq+Frpsf/jknc9sIFXS+0CZ8tf3+kioeFn3kMZh9P fOavc7J9+3tRA6HosbAe8s0oUyoRfSqyQQjB1i+5tVeOtWjKZGsv5y2iTv5yntzEnYi12/McTPE9 GtjFF2RC36Qmbu5rieJlZG4h0jUBd7PfG0Rsdq24V5V0JE6kHLJeLc9KKu7hRJj+r+CEuPgEEE8i aOPNewif2V42A7GVdpr9jm1gSpEbHq4MFtahhwnuKF9+f/1zUbJzJmJ3D01T/x34/UlmiF+VwuRK WCsgo3hAnTpUSauYOEsKwWWHZCN5VxKYn5VQnOmxSr4vd4vjM35GtinrjmeuUP/+uedUg6Kaghf7 1DujIjTDfWa2u8nXBEHDxtUBIUQW+VI+bY7ia+aOASwcefN9WiHo34DKGWhxXF6ILncCDkbWmTtA oMERR9uNr/eoZT1z9/hwOf6mfX+UCBCiu3dUvrE8ZZZeYmw3gT9iP26bEc8+jikiOMrNog+sdNxL O9EZkMhfYDWquciMNaSRoIOWU7xC32/xYl8mLkV27eKDby6i337K4Vfiyxve3FmOvx704Sf1DrLX koYafa0FLdpapH+DrGJvy3YieeAzaG4Fww1MNyu1x8Q3bXuqNzJIXcOnbHIjnzuKVUKnq35s9wnL hW1cPSubcxzwlMhNRS1M1QD7QkI2v2H6ZZ8F9GXPLpLbpKF7tmgDmwFzVibdjEjvIlEgLVTto61G wQUw3JyekrV34somL0YlqIhAO5JhKo24bhfZ85kfZcF2/3UpztfpRchJq+H6NTQNc78bFIZ3SUG1 EGP8DpVqCXHviTaVJQdfriVt8lyYiwVZALUQgBCrPZI32owoLbVZWnydIM2d48HpDJkS8/r6EHSN OkMe78sT9WJTofLS/GY0P0CIGjlj4FmYiL7JFjic50TOGw1smVjTMZrlNSfPSIZOrr+foVDKFULb kuTNRj+p7HzF5b9opw+7pHqsHnBQEeXYCTJ3mjpQisrYANPLa+wspoYvnLWOb9bD1WLwCmGp9mzK iauYlct0aTtRq0L0DZbif7rVlJp8Fwj6fRhZ3nlrSn0zlbVU2BBPbj7ZX7QtxrLZWX29vZD9JNQe s3VJsSEc9dXNhc1TyAEexAprap5budxcXG74zH6LYoR3IA9y0jrUIzltXbRuH3LcD8GbB5SUjMKX mGaGkAyMS/mydU4e012sT9cUu/ILj8HOuGFFzWaxZU0LCQigzFFyKZ++L7+UzyJyDJqxyVWe3uMQ cmMeam4yvcoasvJ8bE4GTLX8oy5Xw14wFXbfCLUwuu0i79V6gVDnR+SbafexwLpVK6g4vmE6NGtr VlVUSohOgzNwE353u5c2uVybyIE9ky/C8eIgUONX308uW42RfiJH0OwsALrMTM1V8m95ES7vEba1 jPRtEx3zuZWqhhnWnU75jUSIXR+3G4nKIgTK7DEHGTl9kEmZCBKsSYawLaTNWfC41SJxIusyslUf MpbC5cZ7bq/qmt06vmGJ4o6OQMaV2pCZfWKyMpOxc84m+MFiWd+854wBvVuocXEJRCfn5cq2Xevd kpiVJHvqbAUTUxl2So/vHUaHTBx6V7bgmlbICoVUq2Oy3sKPeQF87ajejmclUFgLbEoViG63TDXD 04LUDzXlZ/nTVc2Ng2WB83S4YyJ2ZiwI1vIfFMPUC3nKsYAxXlR0dRe7/C5raG8lvSmW6yRG+y0X e22/xq9qCFzd8GdeAksqlMCF6WE8gwmzm+u0JtxWbNsVH91TNqz20k3+y6v6z6iPl+n4B47U6Lh7 yDJwiMkQxKSltMIx2MnovRWI9VVRfZZZvd+HFvQHuSOtYU58TMwS7xqezHMRdsbrzUzVQS3DjYHT Y7uSx/Afz73p/oacM2fjkP6N1F+BeF056d9OPDYNf3RYFJ1sUIZWSIrWkxVG9/QFxwIXJ70+iqEd bGVUQXT9PgnyN7Dju5dRBYRy/gield0a6kLdiBC6JsUstHFFei9xkEN/tHgyNJ8KTiamQksXsZ6t jmAuexTI5WH9hxLm54lN+Gmro/94b72881hO/TYi1a2KMY1HEQJMuguMOmCAO/ya78eLxRDSexbD //D6y8Yk1bZ+2GOJ3bPdoHFLtI7XXD6+D9MRwZoEmDRirrcgxlEc4MdxvH3qfF4XMgnJx372eOhi xFFRxRAZCaj1IzhfhyIn8Tl+HJ9PYrfR+qlWbGlPqOk77wVz4hI90+9zH5R9cYDNAuMSteaFJnR3 QksHuEfvTt9J9kmc07UaNaGIEgeImJdkHydCOiVS3uITbFd9syU5MNvVDAZpsfl2AXwNU7LMsSUE 63pABQTWQ/J74syNWCzi0nJ+JA9B/293v4c1fixTwi3ai5rmQAjxt6YsKAN0ztYqgfa4u9HkBab9 cqQspmd995aQmUJr2Nldb/q514SXKE+KU5E9Wnq+l7YRwjEJqZoAYwX6wnKXE8kg9+X23ccxi6bN Kf3c+SAW70r6ozI+Qln5v3jMZMwVK6bHZ7zuAO/nQVNs0kjltN9TatsWh0gU+iH172B3OIG79BTY 9BQVmwJTkLn9b0Hj1gD/ljz3eIBZWGgj7ESaWPCwVdUb4Ip1b0mQ/PdUArYBp4Jk4DXlbGFgiSLv pWNpcS8ciiGS5kDddSnSn+fkBK0HIIqZsVs4NgKZjfy8SrVexPEgZSVeUIPurAjT5p5fHp2o4Kse aPEjzsm3MWisza1jRurwC2yB0idoTGgBqENBPikwvGfByAMo8q6v3nZFnKX/Co8zl2FBvl7Rc6LB NrAtCQZWvKE9JRpC0Aaj1rsr1LQxp4u+Ese86BMUWTAZ8+jVdPRlLRU+ii+VDOEAxvGuI2tZcwfA zeTb9dmlxEJagyOq+BT20bWiJEkl41kNcNnBuK8uMzShQ/Etkz6j6O+oLJZhUIQuhQaeog/2xxoc BAjHB7FL5zM2Q7txPUCIwWAuNHtZGZXBf7YS6O/eQsJxBz2J1EMnr35ySYgtwEYU24F51bbshuyP T9f+ePNRxMwavBgWNvFBJqoo3ZZc8GQ0XZDUm72IAysiQXLxnX8S5phXmiAMupEuOAykxzqrXGan WRT1npWbySNEbMDbIHhZKecOsnGXdkDv/ZfY4xdnQC1jo/cpaFz2103bxS8pybZG+XU20NXZamaj NRY3a/z4UY4WM0PBYdS8VRaq1vnA68IfCYpTZM0SiQxtCxItHLpG3i6MSSZ/y0kIs7C5sz1i09LM YFl9+YTH29nrPik2D8lKQal7Cluy6T134Uzp/kNWDEm2hQDzkzhm4wT19eT342pTEaipvLaoUzsB INhOcGIj8Rz5hGdPD7gTGefRBKc5Nr2xyo/9Yisv0+Nl8CtsTePm2DYwByba1K921p9LGbbCyLbg hdqw4aeMdbdvoH3bRChghIqEOV+kzIFgQo8e472dAh26RRCbYR0cQwQPt1kUfrQGs7Z2ab0T96so V2gXEYJf/hAvfw6dA5cmIe6lULsM5mdctIOBbMWVrOR5aSTO6dU+8ZgPUKT+wzdt3GsKE5LJ98Xd zP6vEKxIMcoQgEaGfWZIp4/LJfbWtjrYeX38kxyabwh4JZHM9RavgmTItnS+52QZ4756de/BFrjg Y3EFwX16kXlHgsH1MecbDp2boVYfgxm/f1M44tMuN77YnYmoyqpZZbHpwqj1a8NPyfQErnxhrqGc y/BaYXpnJUNB5c7edvosA1EcBPLZCyaRXvP+pnSbWORBqdVYqR4sLlKQiUmWuKIt8X3dhxn+4d5V nHD6CXtE5P47Zh30Jynz7gRQiTHpQzB13iDmR6d3VouUtY+L/2cKVHXmJW5TJeC/y0x599M5/w7g BfDBUy95ryqnhYyIgps8TqoJBBMGHI8GeP7q4MJ51SIuL/6C6dt5p9v7tp2jgBUPIBmpaGQ1p7QN cVwu4FflbpwWp2ZsWE1Mf6yvKrAsZOpeqzAUhzyueaU8j3PoLJ12NFz7GH+qud8O3Nah1Opb655b qgQa9Uif7FbwuX0k9eTeBR9Ws8Tb/JWOmfPfRi3CAeQ6AyUR99HAPObzuJnCG9DvO2BTZqZipy2t A/PbtwgqK+8bf3IWgMBHVvYbRCatwgGMTX59yU3ufvY/pUEvV6XAKF0HP2q3z4/TGjUxb9V0ZsVp dYb0qbtqeQMA0bjrvLd5J05KLkPNGnASwqNxxRMmmWT0k4SZWQy0o5B46OvHZ/+SNIRLP9Wl+KSn IbdDD6ycZ8TiEAoTwsqDjNSRKTOOUmM27zYEu17LnwItdCRq0jOR3c2PzbQK9bebssz2aKcLy7WH cI7CLHTdSdbpV/UaPORGAUdmgCWRgDebIWLTLFxgeEiTXXMdCCQcvdyD187/qsNATV2/4YuYstmI TR8DeVjVwf9l6rUX6WbQKnFBVNxzL/kuzy1L2QoAsvB/TAld9L8Dj80VS0pSRfE4Ux5XphoPLl34 BJit1uOtOeCa6/FixRfDwtXZNap60mQmbvklx5qkyqh7d2cQUzgE3T4y0KDwjuOs/K83Q0RnpnP6 q7FdIlE+kbeA6jivpLajsb1SbLv1x+Dqc4vEktUMAa6hNwhNI91fPgZDHix4uAVRsIS9pEKEIXg6 yWjNBmWjXB3Q4aMNHo8Z+0HElg4WQ+tith6SBVpUx81P/R1VJ5Ht64yPsdJXS8aOvuFag9ZjpWxM MZ2woEVKIK8RPvbesNfVjfSvpo+iT1pDTMkC6vHULYm9h8M1Ov+m2b6f0i4LfTv71DACrHMEnNki MJWyUzme/o/S6rfil5BJ+yR123r/mi+jt/bRO2YdSqHC7X9LeCom5/wpzHH7ZM4MJ8k7SBhjpLfk KHPQYRQbxgtHDUhzGMs7zga8/eg1b4kVootCskAdYsXp3CaiStkGq3cUWXop+mu5IVFydPB4jaxD ZU0eeX3U9SK3YJs9F3HBBTyyVOS7VaL7Ziif8M2vT9bcRi1UXhEX7aGkBGLN8jOuZKaTdR9F3ygj +4bZHr6uDWkoLl5/mHlEn/j+5F3ZaWefNz4qGSzrAVXe5xiT7QaVuycg4njDSDldNoPfUYLfdkia fKEzjmYXEW5jh7p/tlGoieH/+z+Dmpsl1+Nxgt0UJAV35LqO5lY6Q4rqkBg220lcAB2mAjcJlRAN v8a2KRu4zNAtKlufdEpPveNxzeiNoriE/MOvKClzeLGAevQv35sZR5xZqEMN2cC1nRh5nPwndddi z8kydz8jPrr0PYSchvTb2QevR8GfjG6OoSLMReqZREbNKj24iQWvZik5jUvqdpnIaWn4vhExk9vC YdXwWhg2yFlHPBvLndSpqUT6N0lIhW0tVo8GbYCtIXYWEn0g+kH4Pijh1TqSBYSOM4Mxcc9ZxbQY qn5IPrXJ+fOFnDsPQzMWTqOyggJqwo+axkixh+yh6/bYfTUQ+XhVQZhpgLBuzu5hCpTRCitpgyjL jTyw7VBgr2/x0FogmOyknLFYp8oCwtvqz8CU459IvneI+Ux7aKcKw+8/GvvC1FymzQrb/+1FLs7Q //GyGHWXW5h7MFcvnH/bPQEpFbFJ/GB+dxDY3Ds0Eufq7xBpdCtgGgQhubOvLpm+4khRVdMHJL+a lF0AQrz5RHbJe/CBxmTp0oAgyFBEzD5/hmIAak1dw1G/58TwPxnjFpfNHb05iB4+DQe2LEcM2cfL dzMKWHDmBTyzlB14nfDRCZxiN01mtQdpS0vyviD4aorVr93g5yFcP/EUocHWgctxCTMpgDWpAc66 5JlZ7NsYkzA7q8BPqFKrWB7zPi2PUutY5HfDEhMJ7BNX35YzHkUfWukdFWHrg121XSnVAZsBvWxV 9iDvkEHyVnDnAUREcVWkr7twTmGzKi1QEpRO2uztRBNAJkURQUCKZ4X6hsotexclUWifIo15lvMy CVDElJDQ2dH+L3cwXWpQGIPSZvEJyNKM2AO+3YXA5rmo25+UdIQYJElIcFFXk+MpM5l46Ku9bups RV+UgI5uwxS3pVIqs0v2tEGnmlvkcdia3XgIJ/ZAPxphdZGUf6SdGYKHoUoRtsy8TLxGANuLrVFu LmIzXUCXo7JANP/KvVjbY3s6DqdxJVq3LoM1BEr6RsM5VJYOtR+mgxPdGhqi3xGMJXS2XZWmX+VI zROX8mJcqla7zRbjFu0NRufyQrGyzuNc6FESu+qezlqV43nyH0JGUlZ9If9q3Aqh/P0u/bdsoEpH 8ucFmUToFEEwUdzpnYhxOZgzuCNzpZMbmINTO9QpTsu6ERoTguQrxBR+UJ6jbQByKaiBBq/WJ9Jt mXXfIFiPxUv9HvysRIXKydnF+V4VOm+KtmfHFjVQGA+6Na3Nu8tkuaQhKcw3INCgU5DPsAeiRNMa 55OfR4iRjKQ2FBO2E1JCosAFBJvmCCV4BniFSZH6i2/+qFM1na1XAghSl0vuNda3+crPzSLd+2fe DY91pBKTJKWfgLLaEZ2Ybgici/Af0ieqCrTVyfT1XWjHOdtQLr7lArJYah4T5WLdbD3syHgYn1t3 penruQZov+fyL91wFtlJhncAbQfylaOryxveHjuhs1z6fL0s9eWQPYyRrZGvFEY5XZQ7rypqNfsU u2FHjLNNxirPYystESii795yOPkFdpCwnbjIDdaBD5lwHnh/EGp9QVkB1ufsXLq+nNcRcnM1YMfi tgniUTDLNaffd/fNyNE0namWQcM04fIfLdH+jH4ZQfJz5R/cquGDD6G6hv+IUXfpnsidegPUqy5c 7b0B4q2DjSb+E5QeB39PJ9ll44OQijMLbHGDf+6fzVHrtHk9ONWYzQLq/S5pQtxAWgRFDkIQxgUs zWhJv3laGrmwp8XgCoOBSuawwyB1kNJn/Fw2ZW7qRpi/kNMYxeQaWyLcjqQfzJ9Zbe6Ji/BnBYJN 2O1M4+w+mudOLxRx6+RO6fuHa+z+LDPeB/f2Hje3uvorD4oA1dS5eklozR1NnXjNXqWn2xWQ+0tS rQXPbv0TeLs6/zv5ciLgiu33o3kDYiVxygKPgKHk1fqeBS2daWpEtsvQIYUtqpzidoayjhZBqW2f auhqKyCXrKB9+EK3Vzd85w3caYQEDfz1T4kGWKh/BUK6H+aIrk+mnvP+HHOM4ymMC4xoQSVNiqa1 qoRpFf/SRpRdpT/HZbYl2h0zFdiBipgtuqY9qWdx/BKE+sMSV80wJvVQMlh1RDRk5ebl2jzTJfcO TYW11TeTURpyJu7rtn/I0ibGIqE6qKrEPBcWuGf9rbLqhBdG2Z0jG2/4xFXDHyhPPiQ5U6VW1tZA pR40+JuZ3Iv7M1kLnYwkhPJSYS+Q7/eM3yHrBAhHQENNBaDPlc6iOK//i44CoRU7beFBz0eVFHUA e6gsFArGlfuQ8T1zASVTqduu3EyyW7e4O8az56DWYSxUq812cER4hQ3WX/Xg9jh7dlZtj9diwTyE /NjmZ2OcePwz37nKncpjl9usjZ8r6+CX3bF9DAqyTXa3+HKDPVe1KS9gZEKFBdHPvnh85/eL/CbU /80BXhl1tUc91U8w8lQYNYgyqDJa6vThxD2bsosb9jpagCnT5HRxQp5bLha4dM7hP91EES4V7LYS mJ78BiP14ErH/rn8OZnOncYhmFR3Dte+2uFRkKgn64ro/G4PiH1AZR0NrdQyZ6VF3VR8WTR9lVRc kmaYUGI2c2srrJv8pcDwOjKM9Izd/nVVK8DleNdf8m1BUoLPvhPTOH6dzAK0IPYXdSYDfaGmdy2Q PX5toqm7hB9CBxpnCh8ON3bUU3e++44Z7AWEcmgrvl/Yi2N3YpwTG23SvPvYI1KHXTmg5ZIYHdzJ Ez159Xx3B4yfIQ6TZeAg0Hawj8Bs8M+8poo/n5vwe5hOs1xCNp4Act3sVme8FF4jry9L90BkfYqq 9s5T4ciQSHipJ/f8S9jXZSHL/WoC+HDWQ9K/DSaiozya31llCEExc2FrGIWsLHvxNjVaCvoe2PYK YKFuCTlCQ60RL2iTeHD1MSIOc04pEo+IoaUrv/VS/B2+md4Do92d8fCPZ5+cuoroyoRFTjNIlrmZ b1J9trTY8zZ9Wm2IZtw17ASctW/mB4hTp90cVLiYKpnw89YgGbBfKCbVKbpfQEDcSFEFeUBFuljR iLQhbyA+cxQC70sYXlyzd4vY1LkfXLO7+zAHihsxgRIhHVHKAPkJhS0wQK8mf9qip3l53z0Z2bhg KJMq4st06aBG07fnlosSwBMlZiSyhMI+6Td9sGVNAKEY2QkIPGiv0A+1XowtXDbWwmQMtsQACUIr aZeXVadC0O2RF4fAuxo3aAp9Ck7GrWUu+fTzc9XMbsoKDqv631Zlnjw8IuphYTz8R7NT9CzwzXqp a+wQC8eIu//CQtax6kmtBKA1eVdHe79TlqeqIqLLRt0SvQ0/RkIzp0xRvSTrzoCSWI2ptItMNpyG 6oSqvXXGbyaDt9QnkkmyZDlTLO+o09KDwK66ebH2xp/TDBfKpRkkTizut4Bl7O5hWh9gQ4YnDesY CzD97NyDUWVr4GC0OtmduRHwdhqNaZmsSIDQcn2k0XffX1Es1uX7eyTgrfWBpnON4qp0+anNgV9m zoRn6RPbMb6g7EZoVKxDHAx5y3/1vgOg6jndqRF9GQAJaY5E9hhG/gsVgX+yjRl80OS8x7+HbtuV seeuL/E9PqbjB+Qk/g5l+EEMpeKnEx4+SazJ5FFQLeuLp2DxIruiS9ttM7UerBnl8RhXee4EwwI/ 7BW98EmGW//OA9ghPclUavgPwr5z56zD8RQxj+xmOXJQciD1Qm6jAfiYAoBmmd1vKRvAPRf4f9Zf S/cuLyiECBayvn5H1js6FNUmNVi3O32l5NnPrz1CrPjhLvGZBMl76vJA4yKE3NcKc9BPo2xz3bjK /IumFJmw81Ynu9LruuutzhOexF36+bsdc5pwfYLPaYMAjCMgLm0qribhbdt+95ykQt5O7fvHOUV1 1rr8BDfsJx2hGUKFFu3e8xQU2BR/CQjWVhxkPsUc54zx9XcVkjydKRxfHYDqIZfSDeh0wAZdTw+d CdGRn+CKP1KEBOBWyQSe08qy3kCU9+iA+OTIfWeJlGPwhDA+zMrZnxTeKZSRBDv0oHdJtr5S/AvC /TjmxKgtC/YAUmkI8WXdHzOg00yWU28DI8Uv4xiD4VigmUBx3OA0Z7UZFWA4K+pe6G2dI9vkoMPj Ww43q4biW9V9CkITXZbTunCCo+IkEtJPK3dmnGnvFlgVo5lwUSyHJszqKwL5J/MIfdRdmgy3COEG DEGrZVN4jSJks5N0I1DrT6c2yg2LTK3RB1VCyxSX02/KiYiwKT/hEm7JLUD6TJ5qShEdu3iAVPRq dNKIdQ+5fcQpdnHxHtUOT5yv6vsKHkdT/Viv+CNhplNHVFB6XLUxtxigdDN2Nrd62xZM2xB9jpsl 9KigiRUrhnxAjte0c+SpLXjJqpjr9UcwJHhkKDdC9IPjJgqBqbBEYwsbHtQtzgD7oULK6MvlafUp NO01S0ujyiTTou+4exAMw3PBByiRFVxH4PtIQDSPhZGBOrZBjB3GyYtnNcL90CvBLY/akGYkBSSo jVi6xEjh7og++hUbXhlXChivn89/RQ7S2TjS76a63FmBeQt30xndBWafFAwuRWW4upp6oxISZYXO OvwA2Jdy4YuMXcIMQk1AITB+Zd474QpZBZDjrrIoRZ5EJoLSG0vj6qIhrQqp1CgfI08tWUkiO+X9 ZqKK4jo1jnuNfh02l6OU3z+oVX5l5i8ZEnXrAGiB/OTn0m15641CM01+pPt/X1paGnY1JE0CmBEA dA4fwXlGmMWlklchgqcHrZNn2Lq8fel672IX05TjO83i78DdOQqZmBk+JkDeYLy8PRlguWzyuilj WBTBFNVZg+tRIwgofK+NRt7zrQIt9p3eJR5PpcSYb1Ou4Hf24W1PaGjKxe3ZMaiKrEAyTRE8054N 9JEyP5Eh27v5Us+m8tH84ARHbAbq/yebXsm7kjfbD/5TKBMqkjmAh/jPUVvLjnDMOoqyKquN0fN1 D1N28Dh19BJAUeV5nZGTSUUCoItUjnzjhaRL1k8FjTopkCKSJEuQyuIKYK0L2skviJMRvaknkPf8 oW7mj2iZ1q0JkBUiqxgY7DV34lQi8DjTXW5WVmfcn2f85wKmj4j6H7coUOxzLqF3E+/b+JBkci5I 6eUSGPnaeeeXN6y4enhXSjVCoyQ3zxzXMun+NLv42c4+INZ6QJee5gHvfDh7zaY6nO8CNMrmDZV2 /oRyGpV6BI1Vc9oA1kqRK6bQhGWj6IgLfeHFY1Pt8BCJL4MdG0ML2NSp4zb7LMTO9YMKHtWjGScN 2sGmNubCTRZYwH1s9XZCsVptUYX6oGug+BeVJW9tu94jUvYd0q1Let9U2eiVc3tcX+BTuD5v2YZu B+1a8Nom/1T44niypvAmSruF37BZdlfDtJWjgWt1ouFQWiO9tw1KNl+nBgSc9TeghQ0TWrRLACRb +/Woqyv/I0ip6IpKTxHfTH0TOAxIOmPZRd4gutjMPgWlKYq7r5AN1QNsyt5pqbI25y9Sv9SAMcOk G869FTsB4GLVsGmkDYft6G+UGZ+yc3Sfr9S8imEv/s1JFd8In074/UOUnCYJgluigJWBkZkDFA4l mXHMEWV7iQYurhHg20SNf1GHKQKIsTwzGqE21NoBJThC0nFZQHuTIqVvyN8yhsK+CpTKoEnN6gE5 b+wM3z2yqVkP7B7GmJN/GqtDSMi5Xu+Vup1ikDsaHjUPkq3jnVO7Pm7bxhaOKpiYTx8UPaEjMK6K h1BA8A94nMpOZwB4I4rnbXQGvL98ZhZ+4gjry9AepnlqbSh86wwbfMJ0EjLbV8pdSlT2lbOyy/Ie TYO8LSkqWXP7dbllM9t4G+Wulv8jUOrta1o/RICjRy2brQ3SR3sCBMVGJ6KInxSvFxgkSYKQSld6 Fxcn14AiK7+G8j5dC2wbzt+cMqcvEJFwGKlzNe1mNfmqfhYYoco4J6CZPI6oGW3wmr44xfC4AcZS W+7K8egZMZMXhtkKP7hvvS060yE7DQRZ4KMkF2bX72p9LInm5kIE2iyNvra1lAjfjEWyX0rYaELr YjTzp6ZUKPoPP8PGgwXa/A4J6oC/zMnu+E59Q/WB1dx7/8wvZDWZocfZmF44ea6rYkEA3ubzh/Ik ff/qXJPHEBOWwGbaOAV9KAuOqY+0VyapfS3R9rhXWKneNngcBhBKxEM0AKq6jyveSWJ1QY4QilCr TqH5futYmyCCy95WwI62NqHCRf6/mx1nlWh6tE7a4wmT7D5+TdSLBXY0i5Oqt6QI7MeqE9CCPym4 T3qy/wj4s+RH10pEe2bP1AmL8hu2VmodV1Nx/dGycaNVtJOBoNN+xSOIIENJ0t9J4ONlnKGD1v3f eawQ74xGoPIe6X0nibb/zgz3DnDDv31hyoZn6ekYOKgWPLf7Yt0FOeM4YWFtUEqFbM0r79UwM74B Hd8omcczMmXakur3UfO6enXLU2Z4Dyw8KYb3k4XJlAAjp3Q0g25z0IGql6hN/leCyfk7QVKtrFgm O2twkvOcqB8dcKU60mQ9gnFqmMJPwIg5SKS9EI92F2BW34nzDQBh4IUBb1cA7JOXlUAROmmxUNMm ukJEkMSa1NvFBk2kc9Qgqg26EFnoEtw4TbasXWI9e7nyolKaznNqs940yrruJxsKQRV2i3TClkRH YlPshH0E7G3MEHahqO7PA0b9EIsdYNgfvGooX0wl4dPkC6A7yh+J7XTsI+sgjWVkmYsH/+HE2DxO kx+B/HxSvx11wF+MZ2VeIgCZMjfeOfUOIDrQKO/pkXpHtXsp9M3Ui6LHriL/V/aI18cXzPLB300n Js++YW+aqlWF7KseqNHpDYCmRS7UZgH+YSUm5gm/wrOuMjqlcGJZINiKm5vWSgczwQDsrv9nX9ka RB3jPJz5PEJBlA/GC9XRPeHDu4ClCeLjrRyrieuw4PCeoJjZMuKipc2Pdd9zrhumRUi27lVQ7gG7 N90rqKwMoEF8TONPxl2WiaExRM9F2GCR+g1Q1l6pd0HuEj/4O8z++HFBvKALo8H2fiTwftbpMMSz zZxEu0TEA5mBRHqIC/tclYQoqALI7VQ6tJv6rZmKKUux2u6qysf+/a0I9xL82f62mpKKS4IYWZ6U wsDGmcL4hQ0awHv6HHFCoL3BvmL2xuIMI9B/GGTStFEiIt9vzqlgQpFCCi0s8e8CoGkBHswYPQ9L 9L5efjVpNz7PKJo/0hJSy9Dysm+pIU2mVpJAuo1xfSESLpF5zhbz+uNreWfkHw0HtDqIqpL1eAMO A+stYiE/UATnahHRFlIB5JILr2x3+fY1OxYn73dW1/gIOx2eb39nJCY3VA43kFOKsKHnVMauW5iE XWIOWHoRV5EmlQDLH6a48BqdEdmGbBSm7twV7hVRK7tRt0YVWUP80zqcftmdoMnKj/bBf1wZDfAJ jm8K8tLfulBdvlCTVazoDySari0wjhMTyMwGkvtxDQbD2Qkt2MSj5mTcg755wZrP+zsfbtR+XSVc wYFfdXYX3OT9mhZkOU4KpOVsb4rLq/UqU+9Xo3DziAVgydboLiJFuqK0nCQJrMWwHY/2H49Y57fG GsmcyRad15mYTOrdNAO/pXDkFONtJGkWX6KN+7Qgho8OdnMIgf63OP8Xdmc4HYbJpnDaCPTRxK2+ td6t9RhMVlX4NFRJndbLJB3tdhd5xjfOnpaDkbt1hV0wowUM2dZrAHbY8qi4jdJ1kGLTQIEQJZt8 FJJdJtsRVySnOXqaTWwDBHcbKNtL/riWRDJ41KRYC5SJvl69MLidfoj/OnxXhPfpsRQTkNY0DdDc vG6PNeMcj2h5LsK86QrINkJcPrYzXrbAnqYEEr4tTxvjV8UwDmeSPDtRSq6ShyrxQ001seIIa61B +MqprXSI9yBVmoUFuu85O11lw3ii3e3J4VsPchfujGcNK6RY5Pig44zF2F/KFN27+JHXsM22/wR4 cwswbGzFiDW99dIKy0QQ6p/WSHBLmgRpAYm2BsUtmHjjYhzwKXl2V+T3I1HjORHsezuS9LanN0Dz oC8cj7Ur7m1ccrt3r1Qw2fdOFOJlpnElJBZ6huMYdKirT01oZBxkVVUyeFgjGFeJYn7Yd7lBoJ/h W5rRX796/ZStW8N5SQBChxhjxSHjz0JabNuMBljbK7crN9mqxMg5yEVD2pB515sG5ZE/YUANdSOw Rd0tu3b7fw3ofjf7ad9OxiN0cPlzTX3tr/hsCuJEgqzBRaWEjgnMLdf8DsMPSj7rbChaQHhJt4UB M3ynZMoYR3AauPnEMzd6e7n/6T2bGrL2cGqsJCk+YCX/y15Y2DRX+x0RSOkNT/edcU5UzH8TqLrw AzjAG5sgXSZca8xnXWy1WKTezaChnI6FNSj5tamutNRMvxf8IwiyJ+SgB/Z6minJKU6RhqrI4u0F Zvod5tEQT4nQYrv/UIJqCW2gkNdThf+yCUYFCaigw+HpNLhcPU4ZxWL2jIAKbxqPkoy/H0xkv6vK WX9EyKqtwKXboavLXVEs1BBczTyzWFNFtckdOEs8chmTHAGMhsI4yOb6zJJQue28QznOltNaAtm0 9nYdXuKtFc3pXkjDAloY9838aMLiq6QQrjmgx9NQrC3uePj3cMsI/JBr+LZlXSo4Ml5TJjqzC5sS oRKWLZOL/HFJxj+93OTGv8Zr8pU4ba22gGD6G9GXnsXCe/q7c9RQD1mHGda86aMijYGpLFCQ0KN8 /3i6MP4OkqKFI7KcB5ZjXWSP5ZD/HKx+jEXWPjTzj73bBLfT8ae+EIie1qay7vXvdONgvrTRl1Xn p4W2e9eKfOjX4TC2gVj7OKz1If8Wv9D0kYnX+4S72ueGI+dcv5IXkSb9mAHtZcQ9Q42Nm5zBGL2g tM48MkoUV0/HMybNoUgmoEXF4keYMFGdHms4wmqbXGXWeoerzlNIq9elxS10V3oZjGBgcNNBQdAq EVUWxA9SC2YbpQuXz0zNxHh64hCmYdMrRfmGqDTGnVPbPZ0PiZkRJCI07+rxONPSevIsKtrbi5RQ eTQFakZY1xOckgYL25Vv/R0KAgChCHClnFisgggtIbTqD5DCoMLNJ6PDdBI22q9F8zNRR0mXnDD1 AlpFtLe0FzZjT0/ed3oNoY3yCsL66I4+ma17RQnM7hqbvRDnr+53hqoyN8CwdqQDGRupjtGlA9dm MdQcCOsZJ5G2VedvdodXmbACf8ZggCElbRfOwbhQAFgyj1ohOA79lUgDKUdpTXFuRpyEleFNMk+5 EXmjAi+p4lCDfW2hyJnqgbsMokoqQKzJN1OQd0/0X414tHPP14wEhoAUUEO9Kfzwwyx9Skzqxqox J+UoZLhBNK1WTaygCVJIypj8aH+8B6EpJhINuwQ23h8EtgZPLjAtp0E3J4h0VkBNmVPfwtcuIZpO 701qJjpYewruRGWaD4u6oLX3Ombgh3dPsAHW0qB7gtqCSaskp+efIsm+1F1pUMASTuVTUwi65PwK zUY/zEQ65hI0nkTq9tJc5Ubir4ovTvjIX5j1WUq7Ogjh536LlWujB1esZTlYth1Y9CpLJaMVKF/v I5tcVejvNk6impRutL0K4/Zm1QIJDWqxmXXRRCJLYP9+8p2qMD4OEetFHMXaRNNf7U6XdA3RgoK1 N40t/7sXIV/G35dJI3oyLN4JlRmvw39IICvGlTRVGD1tXLtWX7XtHeB7QRwcq68QGDQss6YD1uUz /knzCot5Uqg1/lVpl/+MgEKCSrqHDczDzwrhoC1d6Y1uBEP5c0MJSdPshjcYrIxTdjAcn468s/pm N4Bpe4LeBM8GjLCsWXHZl2928wHZKjZ+1xQQUfX0NrJYqDSqUWwA16xIIO60MUOCw/FEhU/TRGSg NCAD7+znQvqZwVE1iIhD63KjvVOVuWc8e4V621XrYPfQt94aY01osJ8HrzzZyKvUWpSJXk1L2DYu hcRVTiYKVa+1vV2WXvYtLSN5ZAdVW8IYvRcm38X6v1jsa7YamC4Y675SY2VfE5K1rBLxVHsYgaIE NAY++VWUE4lzQE2SeAf2Ta8alAi2RZhkrld2EYtmkoPbIkuvGt4IGHC5/8tZnFN/ttNxcpvpEbKl 1GtBtbiKNR6EbL5KC2CFyGBoMF/uh0DvhpUtvH2jh2uWyPULNMpTl2j1aDmmf1gazw5+ZxLPPzuf /w066UaVK5QX1tHOX6mpyKdYtnSStGbYJkoDKE9CJG9gmorghujGCLV3eVn67mP8ryZTV/0l4onI jwKRKN52MQhkew/jtvNGCTIKXFsjl92ky2FW/74KLxdRNQ7CXsxD+GHpPQMhKuwqQUppQKhj0Z1+ cZNSi2jpArQmu/7O6lNl4KFJs3TtySinuq0mVJZ+pQ9TEeS3EzfXH7WwSxFogPozvK/dmji4drhe rJlGRIrbqX1Bj1ADII7aqYHmOSztVHLjyuSWjvKQt71lVcwrpk3K0u4wDg54zBs/6L8GxsgycJbs PtXYchi600+d3EAobWioIg73kkBbXgBdjrAAwzXvhqOffbW3PFVhsEFUPzFxpsU38v3pPBnEEivc fIF8l737arseY29OsrYKv5L791v17M31H9BlOkHB+2neaIFLWiHsMPOTeEq0lUoJogcEVMRwarO2 gNDvI4Nn5jQe289GROu/PgvsSN4f5ecxS8XzKQ0O4aJ40EXtnQXmC+VfDMs6eMu+sW3eRXn+Fx2l UPu3WqlACz9RL2fNan4iqDJkwiUYc+5GfGNOBNCKNzeVA9g7lbzESZBkzviL+md1g0ZAqF+yk90x a/IMwrCkH091moz9LJLIMOedvgje+ZXD49Jb7mJSkZRjR+RIiNTmDw0rTPWbdLlOZThoT1j6FPBb Pu+da05jegy4mNuaRzYVt6NuSUMvV51zzuDKBc1X6PyWPBx2EE4xs9ltiMv02EHYV35rrqYB3io8 IbxlBKVLz8g1FeEjkP8hpqEVZaesgi5l9h7Ye6WWPO8F/Zrn0f5ZnfHzzEJSF2mqswhgHDw8ydfy 702uOMPVkXLpV6pvmB5DzXTh3ATQJRyCSo6AhkrGP/Nbyp0YczADgj8FjOSjXuTLIPCCiD+1jCr3 cybxNfc1Zwm5zcevDFpohg+QTJvertjlzpa+eoMbXUgttUXxcI3xILeBR2xEoCD1K0aN06si9tbX r1r6UhAwWZluzj8n6HXqCmYs61bx2EEx21uPp9j+Sx52SxJVY0/BjjgY0U+PYgoCXStwZKVP/spK sAP9dv9EexIdjFRngtMwEbDq3WYadyyXwhJtdxqucJlDetxV1ORZHuHa8J0OPVjEMUjqVMI9dW+d Jk+9SAo6pctsmDrEnbKsUY32a+DruFerO8ckNFu1vay+mBrUXgm1+KbLEnVmsZ6U/dzDRBvcQWnr IwAeyMVL5vAwHbN6xLoW/BuFvFJd/koZxObM66PRrMFOK6waIWj6PeCdc7nSr71OcIgIpEWc9ZCD l5zj8o53E7zp2FMkq97rk1wEOEYGhNRlmfRxpxvfHdcg1+HDJHES2Sv58QgnbOQ4RETeqITYwZBj VrIamq3ftjBnp39bsimSQO8Ab9ajuY2TAqa0fBf4mqEGpNaEljtwGt85NazBrqXiSfLDXfny2/GG qCkI6fw6Uf3wj/HHcv3kPK1eyWOC4Dts9h3la1DwO9uL4njniYe6bSYl4X2WzW/hVEuD874ASlGc eICnC/3PwnzMB/ZLtylWhIoW35JpRMCBEVcgFQW8ELVW4vuYNMfYyNVl0VROXi+LhzG4XB425gue xOPQq7Miux15hQ3NjzMUkI+jW9TlIrydpafneHkti99E/ol2UiE7lkt12OdX+zOK54Rs49W50CNC Xjl34OM1jgnx8Qs2xNJ47mM47Vk2lsWiEX2qi+3PHoA4oWjfUTcCeyAMocczWEKizj/pamWw4WBf rd2OMmlXxTEDwwi/imeT1S4TeakHS6hbTIqXUsqScydUZE2X5CJBKtZZNBSZNiqhCUtQmvNcSiyu WsLp9I8KOi+5a7NYrjQ/BxrXR0TIrJUVmky/IH1pFbb7zDyOHSvdSzs46t36ThS7I8omznDlvW/c p7EebyV/R76e0W8WyyTshCNRdBfi46w9fCNENDglhxszug09xE/qi5p/FAVBSrArpEmM92ZpTJfi wPKVTySvYZTyEIpS5Q0RxG+j+Ln+3FmmTwJizmO4PMkhimPyQJWDYM/xtwaRPrkfXJ8gVspgN3UP 8p2RoJlR05YJWJLaVF/tsBEvqCnBkpbFVd2U99HwmMz4ZJI52cAgejAwmXbjqZXTKoajPxpqL/5q kf/TFzl+8jcCRzsJ `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/async_fifo.vhd
19
33343
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DoylSncttFMA3kx042gUfpgfS9f7wYF6CWxJheifm9U5oZE55E7a0/gn13EV1/Vn6tAoLpUpkm/0 hmdlNetDYA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nsjL1A4AfS+U1MlmYTovZuA+LXs5hJP3SunimigW7xSFqc+G1o1qnLbV4BnmOncmqUv9X6mR1dbm lvuLbnkHJpdv3qype+E/DkwUU+uuHlSP7/5qiYqLK0/kXVQ9CK4RGY/33UuCkCUXhFP+4VquDr0Q ctFJ3ADjSF9u4KfkLp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e8PwETDI60MBXnrgCDSTetYRVktLV/+TTSXZzS5MByZtHEX2iao5JK/khM4FDpq/v0uNsNW0rhjn 1dIPd1mlQZEDfzGgZ7rgxmjzboNMUH8CMdtSuB8lFy7Tjd1hDXqhliwc0PhPBGYBs/YEff98J5pB EaQ7x9e3Dm3lUX43BX76qZ9cgUsaVwP5tX42M7Z1CZ11+5f7kvoiSco/DGzJuhCbDcHoQ2NjrZeO tRQwYWFDIi7vBls1ETe/q8cjQLCZThAhSFjjijV74aEYat0gpNy4Hxz/UN0rUMO/XCqC2k8lo74U XZlHepR+ABhyrwVFzKEwcRDXuuh6ogUCrZ1mMA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YvHkp5oDmh1yxPKtyY+bCFF9nl00iIDnF4JnEfzCQKeCjt2Tok2cPb5/9L9T+H/cQ1x5qpJZSOJk cf36KzabCPbu4/9VIe9vwmzzbE9Ndy2Ov8q4+HYXDGn/u3gDUJZcIYEnVlc3E6se6bxCrEZNyRYc iuoolgurhXiPk/HMhX4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XZ/Rjfda7p8W+LhE3BcXwsLXrN7RfTJezMmvWQf9ZKb6JJ7gmlPk8WkUFEwjbu79kr2SMWbEP0wO UouQmHkylGRubs4N/1VfavspwJxzO5pggGGBLKHkmxqVxAWJEQ3Kp5uoaJSKWxqKIRLzeGXsW4p5 F/e0YM5v9fK6K2B07V0FxCP6WuqrungKJmSTj1Ji3gWd+VJATYp+hkh4HPUA/aDTgCzwwIaJ6QWy QvHMQKHrEHbRztbzfLMH3RPC4Jl5v7PMeYTnCv8UcX2dwujd4zD00VIt1jMD19vjN2WZ7U8Tl83Q sPvYlUbNQVTnqIBf7mqYAoAlbAFXbg0t5zqPAg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22944) `protect data_block laGT0Nu3dViMwWvR1e/9rjSs2vM+vpJpe7976O/c0WojRYtsDVl3+DvTDQqhipgMQL2AVMlMa280 BtMCDvx468iPQdrPqnhTHwDKHtJ1iNASeI+N1I6sxBo8sJrXWAJcWadcRZJKd5qScn7OZm7W3+q3 wB5aBijYvGCq0LAUC3LRi8nAkTBjXNIK7QLLUjuc/dHkC9992YjgG97+uJ19xMFzr+TaDdmDDj2g 5m+b1UtgAeNe4UU2wVxFsV/K8BNhbplMDbUpdI8tD6skklnZsvM2m4KEUTh3cou2JBMmCrEtIz8t J2wa57iLo7RvrrADJTS5xrFTj1ZNjsNYHVY0V8eDkeKQ8gk+HHwKpDHMk6vb1OxY2V7guEhlWgiW +kfamQ1dJM/AogLTppuBxP7SsgnicVW7h6EiVrpIxipoeP2THy6N/GzlpWR9u7HNP1uhOJ9Uwgpo n4rfSJmcVmU12opanKcftFVdG6KfUQBH0iHmDmg9kitcDsWRE/dWxuzSRcPy1WBXZb5DMnig0o8Z P/7B2onWdNW+tY6Al0sBSaxG8Th1GJzGnDO9slev2S935T/DSQmlkwacCcT245ZVy5t5kw0sVvBl 5OBMA48plczyCHwyjRgwIeD0QIlYpgIU5o3yM0ZQX3JlN6r5kuv3snpdA672t1b2WG13Qna/+0A8 XR4eXcL0ptAGhuqexJOuES6ZSgUZchXafN+S1wwcr7YNRnvS70JuxeyFNuaSbk1/g9EiTJoL5C4n +NY1AIatGlGTlgtL7gJxSMh4gcMHd05ifq1FFX7I1orpdksxutycMAXlK2vEvbGmwzMU0DBe2BNB 5bMG1e4mXdCXdeuUh5vrP6rwgBfNS6O2TjUQxvVFMQI/H7K+czSX+U+2iwVUmOfVHwrtF8GI9Yey IcHlyFHWLMHAgiTqElOTlhvCuC0niawhqCXcOO5d4ud3zTg8Y5rWdpNfgL0aH6oTkCIf99njeL5C IZi5zRVyDCzZ2RHQgBMjOyk0P0nGKM4q7+JLs9yWusXXPHDvioTwR7whdl04R3BQKWVH59mp/lfa eaFfdVVN+wQbPQ7wZh5m4T5+B69Oe3qF8NMXqobctBNaBVUUPh92MINcG/VKQ4biMDYwBCRCulZu LNiK53OAp2pmqWMa2PqvBt4p4sAEfKoBsO9hgrTjRNHjg1CjGiupaugzDIIvy+GVfUCzCExqjp1d oFYNt45HwPBDOet/0hSzDdu1ar6Ux0BQ/Ys68xYxG9MczS2zIt0jtjx5roDjSo/ZJD8/5/TJrGP1 dCDrJCXKLMGYSh3NwncxUy+DYOFioIjAEEvzUZ+DONWYPuCP7+OvcOmIrq5EfVYDhPlQqPpsgJb0 8dxgFSG+7vrnmt6fmg4Jlr+AbV0l8BGryM9pWt/wqRdwiTC/yqpsI/4X1RbIY5iOb+uh5xbpmx6s SVXozXdeHacB1HW+7wn3bYCC12/ehWRr0IlMnHx0dPwp237ZxqYjqXVRKev3Z5yyeZFf7vJoIoAX smg68pcByeUk6mxlsxe2FcLQMV7i4U+9/DoaUF+ftAeDNiJmVGMoqmVjidgKO7nSAEgDv+E7Hncg fCfCCoW2tghPbZr68dLQ8mwO8WiXXrKDeFYigHOgdGTUcK89t1mFsb/BX3puFKZ5Vi2K7Z2z+5bO 9Rj6H8OAT/oQmTBkha2Ionkso/N5/EuOEE08MXrGHfREZztA2kMeRl0f+qISevlBS5DqOE5XGpoB KM41c9UnJq+m7osOBZmyKPYUUuKLZISAhRDdNw9elXg4XYZ0yceHiUA+iGU0/a46TTj6GAuRdXMl msbNzgkIIB7TaA8Wle4ofYhCDTfYjcjDOVsyNXOfAUx/QKgenzcOV3SqRkYGfsJ3WdBZuQffcqB4 fX4ZyXogsVOyedtIDeni67bK1YkdZgfkXVmP5HWQA83zbAwtVfvA0zrotoDufaprocP7FROla/aS qj3Ry0aYkIHvItNkCI8m4cLmUersL9qQLIx/9/26q3+6xrFDil/EAcOXe2wFAUvukADMsDOUm/aC Brspx7d56Gx3NqFN6X2cd8u2d995CW+aMa/M65hEcHXPEkz5+U6nrBzVNPofMuPFbfjHKuhnmpMu tbdOQ68tXbsQzpHlKQ0idcqsQVcBkSkStSuWsp+OmnTVLQh26G3aj3a+EF2yckBsBS0uEqTsyCKX iLs6+votvN7spZENhlM5rBXY8R2n6fkR/cZgBDQbRT+8sxTParP3CsaPG+7ivS2fr+mgBuJHHOiG eAA0YO8EvQpoM6mT17yolmzl5P4Wo/bR9frA0K5qBhX9oeM1eGdmEUzRJKyW2GrpJyrTqPMWUg1N EBhG4FrG42vBO/9CPrbkXB4A1owsPBcLdazTIJtO8dW2dJrJnO4EB6FM96WGzLP7qwP51KLqswiu xmx6a/WT24RV32ASOPahYqvyqKc+F98DDHMGhSvJz16lGf4EPGYiLZwGXRPDmK301ecBlXJNrYGN 2C7JDaaR+CCjEHixFp4G2HGQW0aRHqQEVRSFqc2KXmY1/xXkCVsEmxnAjuWdYXHAdbyDtWXxAVtW ET1d6ZpgU8o6gBWgBpYkS/l5JfIC75cXj6dNN7m0LmNNMJJiu8ex2kzVFLJUHTBvv3dTPjG4mmpW 5K/+AJrUBJcXlTNZOWvI5aKVJum09XV49Tn282S0NqDiHjaEus0y/iBigvmszq34zm+yRPHzDZ/v r1u0Or3GdogLLzBhz0zoc4Uu9kqJdzfWnDbtJY9j/pyJ0A9O3cn+ua/n0MxNHt8SoxySZKiJURR0 Lw9+AMPJUjrBeE4jtJSlPUM0gHdvQXwTEbCI8rm34H9nja7h80CUfAsqDCuD7iReMnokrngTOaf3 ghBBIKfr36wBCByLgaovE+i0dkdcQbBYYI1rWlvEMEFZs/okDOemZqwFZyNxSdmZLFt5ycowJhwt ImkZltkeXZmndHIfmleg5pal+K0psy3UUgFDfYOHD4q+faIesMA8fS3viqQZmdAYc8AVvDoJCXWa mJK104YlSIYP9EMkfeYgfr6x/lJ4YLiIlDjzorDUIQPDT3krZ5go1jAqDMqFFVE9bt6BgjKBAGBr YUkwgvmtKJwKRKs/Cm7HkZeFV9ZE7V5u4h079Rdf3Kz88OGtLWThTTn3aqUY1he0/hUfCvP0RnIS /EKGXbWJpJBxVghclpf/d90cDzy3rkHf22aeFafUOim2FXql60Vf6/2rvhGYF04q2IE7GkijvFlW w1ipGGVLc8gSmzOTOEZ/MdOifI3KYcc2AfzHQ6g28Xiz/XKAlXgr1yNzhrXmaHHMXowTMTFu4UEs zKOh/hmJgHngh6h7A95SUJ2EEF8sMXl1uymHJLFOteSD2bvMvlCOAR4jOppTzvt14sZrzoSdtabO 2sDBknRLZGrYRp3XqaH/3qDPwCQ+y669rnZ8cI+BtXQh1XrLpb/RBftFGAJYr+a748d9L6dPYh1q +UJSeRYgPtEcuinIW9IB6m0b4sXt7oBTYBS03u0IJiF1PONmWM4nlNztyy04PQSBJN2AqlRM82Zj Bq21eu+cVD0+T2KPhOveOKX4TBzsfTqOrjBT7n17ysFXm569fm34EKDh6BB6drWBBF+NXDkNzyTh Y1hvx616gNRSmcuo7hybAgyFw/BFQqM88zUWXKx1H/PQ+ld5ibw3vpAE0RlxS/SEWR4JeVoiebf5 m7+DMduQBzDWUGqqYrJmkjB/5OkbjmYdXA9Tn58mJNllZhrJoy6g9JL6UPFepbQE+c3+vSXiIAsL Y/4y8N4u889/H1RVrGrmS2izK23aEggR9tZCHzWNvprC4QVqoGpRE04O2gxd+pNmYC12QUmbPLdb BT9g8X9Dgm4JSpPn+6z1siNIaC3hOiGcnHZJMwsAL6M6RP7bj9u40u1MEehk/ZV5C2L2f5+YHPU+ Ur4B0oaUvXX7Ij2V/ntB35/DcjDObWeFTRiJiDRZuTeC3Twz39eWtBybkXtqlMh+x9rNugWDGqIP 0sqzVUfW6aFgp59OjC9BrA2OihQL1vivronK6gcmYMnjg6mF/YxQ2FZRcBOTTA29rsmlzrfHr8wa dAyKUhL1Z2mUZzwSsSLSVY+QzzEYopO8gt/XXvg762vVnZ+aVFY84pl+/YNL9UmNEPgMCGn0pS0/ AB/wBOXgRwk5rcfbNfsDg1tthxy4UjRKL5Jb+yQHnIpg0t2yYXdNVLgCXlE50RdMVWB8Lkjy2W+4 2oMWdjjBlIY2LYw2jTi4WeXFg6FhngRxXFuYwVuv848zk8vDKBWeWz5p8t3wx+aZIHQXHDBwLi0t TZCX0IR63Q6NzLGNNQFpEGDZZGCZQCkPhLN3MIu97NPWQAFXK0QsQWUNRQSqILeDKNV+WIhoTKI9 fYTRw5F9SeOK/bf5QFoWO+m9/w3Gc0uGPp6T1U2PsvNr6Q02u70XEsWVtZED4/w/zpdEwCEfxXf1 sGT0KxiEIjM+PhyIZyx5dIrEQqI5L097jyfTpoZBsO8k/s79F/ZbO3kXr1i08F8sYpBqiF6vyMhi zhFtBQ57OI2BqS0akCThfOJaLJSfaEiMoqMffz1spiQTWpfFgwmOwGp0ZUCRJSWcglXGb68WSDfc hEOdzt4HDm2WX8hveAEEb2AWeX1Sl4VvgU0CQaQMfZ2udewRR2MlRWvDKkPUaGuRtZM0yE0Bwn/O atXmh/Dnh1hor3hyAZdc3ORlQ1LejXHke5Mq+lf6zumkh1SevRdC/fldeJCMtMar42bdUgdRYLjU RSMOB0p3LCWSHjSE7tL2TjmhBYbPNiSzr0CWQlAKJUmsF3arL9iKotsj7IDGKhLsQ51N1zAbp7v9 KVn6PJEaK9w5KuDKUdkHmSi8kjefjfeG6EfkCr0bTxaHzFzS8x8uepkm5nIS2dHx7usRmasjx34C JbX9fgDaUHBfWbftSVe4SeEh7v0raxWH4I2OQ5BNOvLDcxPYdDwRoepczeAqBRn+lHeLPli+X4oi qplY8GolqmqgzIomA8QAn0W3G+VSjAiP7R5f/vyackLmJSN3DdqnjsZqBn4I2r1XNN9B4TtKL1pR K3IVxRahCYznJO8DKvwUMYOmDjAVkYN8Mbpus8uZOUxpBofL7NrRZoPjjb/D15b3Vm/wHreE8OhH FD5yzD4c+EGX/yEZFc//sXhBZGmmkGDAAYa77CRlt92VJmHzwfkKJfl/0mXNmyW4xMA+zjugK1dy vfJ81fWc1znqwQ26nD1xXLtfekCBkguTQ9wIdZsmSY2PykRxJub7+3Kyc1/UVVjUyZSSdkBE9Wov rMBa1rjmcjYlbRnjfEMmBgQIqmYEMFM8jfufA0k05lw3xbhwGLCnq1tAMC9ie2psuf6m6eJ1T1hx NW0zcSu1UEjsuKprChrF9E1M5BMyf9H4pArA48QdCqgcErfqa3r6gOzEtdRXD/nIMWqZzAXBodYD EkENqOr+Okh0rocHXkHPReJTc5m9KWuPXAwEHIwbN7irnk135RgTnvMVgh9a82e0eBd5N+GqXo9m y4pr3aMj5BuuBxnqtETK5oCr25/ObqUXgle+y6V1qq/NyRXQu+9Qr6T9NKaK83o8ZC0QyYeq+j5c AsLQYedmFBLlbqgtr0sxCqg+z40EivDN2elYRx5RwjXgn4NVVS2H7v+qVhutoIHJkSFFgkhLGfEP JjI92iKa4G3ycO6THt0vJhsYLlIz5liBaQdDQEnklZjeSQscc2T2DXIF+WwH1zI3GH8jsREuiSlc Worp/4zKS5Dovv/T5s8tZrkjdNgdlQXJBptE3m5CuMICyG/9XHWeb1VI7N9ZJSm0Kri2R8M2ljAR +XsLjw/RiwvM3wRolHuYRjI/MHslzeE10BZvKFewu/uuaVDzl38mMJ7Y110TE5dnbHQkEXMZELEI 9D/tKByZF81pK+lLKO6I0EA0HawocnwbxQmqJB89JnVP8U2BsMJrNx7EAP00QRPtQhv59AOROs3v y8rrPD80w5g9izOcvMC14LOdgeq02hlcpgvgoSdoNEZlRl6cZiBzc9xK1TKajt5vGbk/bxWh9/eF 65caGPh5c08Oh+CNSQ718IkzsBwkpjeA17R3pjpQCPuYFPAOtquWYui24aREIqBFQKLyXvg8yKSe vEBxZTKJEuB6QyJ9dHtLtdFox4ZNClM7w+qtrvF4VEJN71A/ivZ5Cbd8j2nbsIyQUoZpEUw14MmJ ZZfkZmXKVJcb+qGe5wp+jezbrb9jaVTsc4RuvmpEZMJ1XBzIXAWh60vbsv3rmTRG7i3AIEWsoThM wRYL6tn7S5kzLXo3L0gREVDw7jnNyvWylwh/A+K89Whml0cRjT/bp4DTuaMfbrXqJqj0DMGTBERQ TZBy0w6oOLAgMz+EwpdIcHZGY4q7nDO0mQ5ph4Kw3iZnxUIz8Vek3RVzqHSVg4uh6HuV44jl8L0g iZNtNqT3Wff9fliVg4hOJG1IVMPul62LOkEs1mxvuePq39+LQLGsg/G0MJsFVSiVlNewSDSnVfDH T4zOXxxprdnJfmjwYU/PDPOdTrbR0enkMCWlZh4X/g++4ib5sVbdwg5FWmhS0PbtIMV2BavcxRyM zb6+q0T+cSz1T5eK5LmfIedf242S4PJzj42jkShC3UFnt9r73lYFvOCXKZCUmU9fGILonEGjPblp pi+qamjeGdbJgQjFEBFOm9c2qXhr+4TQNVhd+NTmAqjby+ONDmC6B8StkGUTxmbIxpfPP6lCl8Ok /twkKSHYLB8Kgjhn59t/7yq336VlTCDi+4irZNYyWJ2gRbXOGUPDo6T7NnxK/r/nb0OPJ1iLzA5R QJfR2noKVYlX0QeM/KKiVexY/3FrNiwJIvreVsw+FoJigDTD4Ai2V2stJBY/HpC0c9rUnwfAk08t +C+mzatKm67SpAFYsAZ7Zj62zNIoLnQTnPQxHGuwuJ0EUjPNqzSVxWimetpp0rmo8EKLCZlOLBUs H0rIMkjHVSPFOYLT8Pv2/kwfSJ12Xk3vnHP8/5p+QwxnSsOn0OWahL1JBpvRuOO7kPir2ji4FJi/ DjkjCS8Z9jxVcUh/EDjuYnno+X1W4ntdux1epDrqDkmiFMJN7NFBfcMoP0M28Flyw5FInLdLdhFc 4ZW/Oig4c+qUn5k/qrJSToS/6HnjvFs11G9Wj7hSzpQj6i7Ek5cGPexS0U4TGDjcH7RRtxu7GRO9 2ukvnXNugTcrn0Dg4C60ms7FcHDBAhlgpNA8AUSJSndC33PjgbdizoDYzW2X8P2QCicWM6kmT59Y cfsWjUrthfpU8G0D28rqiwVyymKAdizFJ3CR0ANQhMqM7mSAMBcfF4+vKYBhhS1BWnbx6c4cE2hY llUGJvtwKtlVVQ5rnWyEuN11JCParx+WdO0cd9p/SVPOS9ATc/UGVWlit3SQBpHXvTvZ6MA818LU Si6rzjwegzNrjuoCdD7rrgzpZao7gLFbesy8ecAhTbZ/VXvNWokSF/im95DseEgJ2b5BNmLiWR16 7oxE8VJISjI8L4WGXRF6co3UxH+US63CFEY8Pj7pUfR7IMrQQ+CsV5Vem3wZYMILF2+zo/CwFb6l kuRkLkxKRO8x5bPbe5FlrP4tzFRR8rr8CvCwx4EuLKWHgF0JumAu1yjrlvf17Lj4v9+8lv+wwruX G92km3xgXcI25a5n6C7A/rk34klCmaYn9Mz5t8QcvwsxPqlja3hOlCUM54MKZMR3zk+HwzDpNboP JVE2QWWHE09dhcaTjPbu2kTsv4lGveiO/j/RgpUMxk8WsXWveGn99Pihx2GeZ3Gg47npwqf641Wq WrK6jw3VLZn7XtSknVwRWcAjfRfpsVijk3R0JVMyPTOzA6mcQkc/4iRRX3oJ5TOldiP1Ve5/S4Nk o87MgsK03RMIY7Roma1x5mEP5yA8mkKwqQYvPY6xvvb6r7BhDd3oBPgaAVusWCRZ7g8t/j955T/9 /5nScOuE+UGnItDM4BdsiUQyXQAH3Ao9sDTlwuohWqN2XVXCbZ1tj+S0U+O+m+pUCxWoZBAByF1L L9jTRJBe4J0UmyRGPCkwVBOT3xz7T5EOxPoOaZP1T3Zlngt2gZo3L7YWPAAb/5KZtPaPoJiPt9vu cR+k0PyG/6OuluS7JDP2foXa/EHzuOB0RD6l31psgOsO5hLfhJUH1lYd/NPNJ51XQNy1H8lmy5z9 N5Qv/c4BdEugHsLMcD33Xtv7umInnBKalqd9ifpL+HI9CYlTPYGo63WxRF9y/Ww+5akkOxNEISKl rOYcvBFbU93MqbtgKV/RjT9U98Zw1oFSP7hCQmRMuXh+1P5n8MqcG2zNvw0CuXJXPtuPnkR9b5B9 WCAzmr2DBHEGodAkPf0RRbhLRNRHUX3XIYx7dSS3sIGWSp7n+RToYIFzHqZ8hA4zSuphy2tjR/kx Ek7asb9Llv/F8vAtSvp0tYJhVljAzF9pak/I+8DxwqIFMg/kERVWLyv+oArKCbkfVwWWwA+N0/K9 meq02WocptsUq4rmJFS8tKuc8MM9GEa2bQJCiGuPAebyeutoi8fUQvRFKoCdmZJjcxiUTgCf7z4w iAmHPauirl65HiOk+t8/L0iVVHUFQoSJnIi/bp8kRufv5XX6LkvaqAUHj2Ika/G0fzC9ykQjqNUx tJqF9zrFiNraSfAjIQ/Ws0wGRxBJufk703lCZyPu5lfSq2KqDHUZlpyvdtCV/P2ATvO4AlH8rPIJ WQ9W7VhjGLIglXxUCCPy2zYr3YLmMhufxkW09DHKsMujoAUMw7yw7fsDN21kIcS62MUzCm2VjqzM acL3kkUW57g1pViCo2e782pmNYPCmzUZRD6vq8Mguxx0b0d2aYYeT69liMC+ARkrO6V23nZ1rbka k/R5gwdornCnklvxGA1dke3EPL42MFcZ1pBiiVfn49+sOQ1thiVEfu41DtuxWIUB5vmEAxKvWZaa /mH6vac89EKE5IlQsr2Jsmd1opVMqSIBRegNGFdRhrgdrVl8eg+VoMnSSFJ4LYQQ7ldwlNQt6lyK DgFtncyEYP4pMj7ygysEfmJ3hH7MLXWXVJsxGlHTUHR6VlGNHtNuTpzBQ/lMOSK9eU2qdSr0NA2d a84DBow5GfWrQOKSEOWfVU3Md6rtSgzXyWGrILocNVTcDSNszb6B7I9Q/i8XvY3u1DxgZwd9B1Ml oj+N8NquABnVipBNBttY5d4BgN1NSa8TJtCnZHjKA3qLDO41bJ8RZ9fWL7xr8+wGlyY10xKeeerC R1mtQkJNm5HPLEBfLY/AccAaHF/npUioun4yhPUpv786Lk0sgdEP9E572Ia3zhGAUIZ4WixLrP2G hCtjRG1GeIzqjwdbo6MY/NVgkwNv/jwBVm3A7mxu/dXsKgbUMnWVxVForS5nbmgBob6qGN+ifRfp MniBcqxYRX2LLzqqj6g/IUhByk1vRUtPW4CNcXCtj3u4zs8phGeHquYDa7znaEWdnV/EKYPfBMDR eghhe5/JF63XM7jAjnd3Ti+lK+uZKzyTZf91yWWiVYtwYIbUX/k4/ZUQkulrNrudrcD01s/CnO2t L0UtninBzfJOMhtiF7QMWLSJfW7pWqz/gXljW/1za/iDOSUjKuS0zRTWdA5rzyO6dHe8iU9jDnwZ 6hjPAVQs7VI9GtzW1Y6swKzDJ1WOQRJQv5uMeTzPJQm0I34G8VCZHt/gxqmxCPktSQ0iqDgJJu99 a8e73+vO/SNu3QtI1htg4b0akLke7Z6ZUe6g/5hR1dFBgNqi/g5jHjcULQOD9SNES0tL/BEpofQ1 MAObAsBpUtQaefDbk/giv0z6VlZfAJApUOPzAsT7xELwx+0KXRNIeIQ/keMuj+/LsHtH+0IoV0DQ Ng1aIsvvYF04Crh6erZyFwQl3ho2kNwyPcaNc+QmDwaVGoVO66F6ut3RgqGMYCENbA6pXSSeuDvC TIK1OhcnBAlq0sW7ds2VmKhOq1YvKeXd3H9RPSOqf9amEW33p1nlwwAcjhnCYaoEY92yL/jzQzqb h7P6u8DiDZ47Gwcw3D+CN9E4IJpluUG401D+N6IVCtlEEZDIlioWN4lK+Xw8QEksph3oGVBOX/JU omJCGpynTRQ7wTsD1E8kPKtVeBpMftO+B92HPLWpoZqwFm75EuoR9XOGqPz4qAWgUxS8Oht2M8LZ r6GDbEmWvxfkPJEGFYgvIgbkB2IuIaoZAOhiHXV6nCFrAQDrzC5qd52jcMMQcxsAbFH+YeNW+ofx dwdaDu/n9vzl4I37k5xMW9SR4mebgYniPfwYEYY8FSkBiNMYBK1Mq0dI1BTtlzo9gDlI7bVtc45Y 3WZIHoY3loJUHx2Cf7/w+SIcts9vK81QMxaagahZ3jW5y8k+ga+sVs+tlv88vPxTUx99YDTtnFOV ze+nzHLg66fr2rgihzoZprthdUPweyJrX+Smwo6s/Z02qeiR2OsDUsfDbxvG2Ia3D2+/jzQrcG/8 FDj7pWgZ5lg5YYajyh9/e0wqRGfVbrxQ3GgTLjlxzEMEaVW57DznqZEt+YB8wxur0L2glEBD1dRy Fq9evIIUBsGjvxCJ6ftvbrLLkS/hCD9gkLIxcELbs58syxuEZs8OokqTnQ2ZCAETOM1zIMJ0r8Sm 8lObL6QiWjBpnY3K0qkfX/Zm9hJZ7eaVqyRsHkNG2D31F6g0lqYoeEMPOh5KL1mF2ikj4KXRpXiY DGCkgg897Y2tOgzWSIxYFGITpwIKG2BZ5cXlBT4/m3dXtMmuQYDJWBLMNTVbLmB9BOnxPTJN9JfC 3OLS0KgZ7/l5nPqGS3KDEkRWJJZm9PIs042Og6L3aJ4ie7Msp/3WcYtjTTNGDt0VID3tVxURuuE3 8FoUqv1hnfYllrcs6ZhKp5iR+p4Fwu2lkSYeOTjuaITuOHkB632aRCwtHWaHdFsuw2Bc2cOCJOUO m3mWHmzMwFYFMg/V+mKWsgE4fGbOt3n6vnqqFVYzGFV5PQSM5xYJOEcTcVw6MQrEFBVISdDro99C IRHnZLey1NtSwPLZx1cCpv4V8Mto57Ncwki8OOWgrk1c7DK7ZUFoVw5jdBW5fi6mxECPcHtuALU1 Kv7ogqx7j+2C76pwU/qzC7incIzywgwi/+3K2ipoMbu6Y7DNQm47nsrY8RrJFhw55V+eazcfjTIC esmC322zUK8qA6CBLR9CzKJ9WIyJPZtQu/QzfMjsWIRYwPebTxPvI9TYL94FULU6N6Pc4zPijlcM Wy439qmJTFbGjJMpUyxz2NqQpB2LHmIkmdWkBf/G/bXnHcXb7rvboj90+WJj8tnuPuOsXR8lTHN0 ZXMGdpYoFmBOf1uQlRpeOBnzNM1aZHU4ywZuUP9fE+2fb3EWU+u0Sp26+RED7FFiTn62TUvrHhsD mjpECd2PR1QIwcvuYciqGpzS/+nPn88fmQtkFZ6fIop6g3ypQK2r7L4obDmfPr1fCmeQNg7L6KnF /mMUEbvQehO9JpTW8vO4Ed6HYyHjeayHFLaj7YeVGeVObv5WIWAQ7S7zgwF946Cvv0bt/YP6acha WJTLfa3Agx1TlKQcCM1GnflsBz+r0/+keCV93cCTH79vVO9Xhb73wOA7mSP/PRvxYql2Q/GRZFJ7 enqqtV7OKDZc86gp9nChL4msbLR/bujbgZr+9UsopGJWgiVcVK7lRysOWn7nhruKKXvWFsE1NEK9 bloeS0u7RTbxlfF0foZzPNIUqXPGmA32EaYe0A1DnFhlYS0nkfVUmMBS35Uz45oI+vO26QpIS6Mo L34bnSEVz58E6jN4/RVCmrXMjiotRoHN8dj0yPy1PVb3W6GWtbp54EHpdDbm+TSJCK1NxoEfzpTu qdYQ+sn+XCIfX7ghGt/km2Bo1CG0uIqUXqZfYR1s1zc/7e3re/6j+P+HtNgFJZvmRneeYxnk5sO6 +Kjk/cdxbEFi5KKY0254eE7PBD0ot1pelk1D5bAjQIYqfxV7Te269GVOET72vp/slwCxQv1Z3Zpd tyuoVdmCYbVlkpwIwlqc0FdYOzXxUgaNDSAROZxe/mPgopKjGQ9U/zFsx74zD4lyrYVd/b2yHY4f OCiLlEO6k4bpP2GyJzlg17VZad2jwbnVPUuG4JxwWGcKNQUMaPi5kQWpAhUXBzFJqRSTeMpk6QiQ Wp+06k2JMUVE7gGsQcu7ET3JnsFr3VzNYCqudlEfh0qI+j3VAMm9KUJ4DX6z/AACWMIl4WyJ/Tnu 9SgfBz5OwIjDzxRJfEjuqbxSzX3tvAkx9T237pyxzVHjEtCQ/DI6g/T1hZ/ey7kVCTY4anCQin/k iif+a6p7iPqbXVy25f4giIL0d4Z5Hfl8cemT8bKHhSaTxEyXXL2FIlb6No9wOBHwA89aGc26wEYe KrNE78jjvu9/GO/s0poamJdK86y1f1NCG0x8Un89Z4GnAiUXhEeT7zu7nXw7XYumYyUmHPHljUFx spR8Is1CK0mRLnt8ejn0I8QWELHcj1M2iDem0m/D0Yiwm8/IZcOJ4TnMtOcG2JC5LtGi8lVfnwgR 2Bi4AxUKeL1uLOOsbB73Hz1LgSFW+nuKeZpzjcHvSBddAm84sltDQa+1z5Kp+flInHQhjWZYPEi1 9Xwqjn81jWXewnIfA8xfF8RR30WZPIuAfaW9k8FCAn6Gh3rwYB7rXQ/Fa7fuoWpLuwCFI/PnHc2M 5LNx0/LsLLhvoLVKkJ4oxGIxImRVFd/hm5enDCUzLu2yl0nIoXaPOD4Zi7NEvuUf8JGq3jZC+tlA JXMPMtYplTgXKL6i+ErRir84KdGV9irYu0K1hVnziujohS/pI17JsrKq3WGu3V4LYAT/zajf0v8t n1frkXG7jHkoSfqiv7N6psXGzbmG5XCAIuUD1s1zmxx78xOy1RGkQZ/Wbo44VPkNg5Zs35UfYpgW wiNs+ff0eRJTFRh+SdMhaPXoHI5jTUZ6FZqjmzYuV9mt+w3S7cbuWLfGinZbb6j0NRI/pKvq0xZM MhqKCFD5vUNHKMZuckFgH5bfNJJtmE2c9lE2/qXVcjK9WQ2wW5PgTaQ5KXFvnkq90s6r05cgciCd fzaPyZHUPem8eiU3gvrd8tK40NnG6O/XRpXEyoUKq4XTNjBM06gmG1uc+E5Vuf/FufhccggX/vTS kBoCGe6XrUQNqGtX1oxrzXNkDbCtY7vto2coMmtcwuaLAf99AfcTljatQtcOyLfBVwEuZLV7xvie mG3zJw1qvzHcjg9aaJEGZks1oeL6caZAyXMUfPg7ZN3KgQwwFvOf2oxIbPrXncu6Py3Pkh4oUPPN mRjsUEwxBaJC2p0ciI8TSGcQ8KrzAes4byAJcG4CwjKhQorArS/ZdskpqTQ5ltPzkWbl/6B1xRcI 6Ew7z+em/ALCxs6rEYh++1yReXydhFSa6WoWw0Rot99r+OJjBFl2JoS3fwSrbBA0BvF4s3upaezq fmi+toNxj6GJkJS9mdVV3GOpCvjy8XKWA/tetZE1wtRCd+44XsfaRhL5vlU74MU7b+1BrE6UUnfo 43OKuBBFuSLCqHsP3xM6QyqCpPmkw5cTMb5erQ8YLVtJbKCzDjzWxXgyNp58c7HHS8ByVqZMYyj1 gSB4c/2Ly3tj0AUDkvJKIoQ/L9nREMjJj5QXIvA1XCLK6z7Rd3b0nweIAvQ+FOZyX/pX6Hp2fJVl y+gSXxujPiOHkfvTN6M03wCSwRttDXYer1h6eWLpeGOFPX7RLiUH0kyuxtrG2mB+j400iSYcgBWr DA924kAIKZqKNN3YeqPsfXP1aqqOnZyopQEJdXHZB4ZLlILzToLlbtpaG19bXtZOEzUwVCkqpdtu YV4MkfAVcLXQUEVATYFLojp+yUscAYDaKpXG28RvHI5pbIM0jkvH58HgvlgiSyagRs+zpR0ixpKR sveXjksfR3YwlYk4JLp7gjJ0l0taYj+F/AEFLQfHfN3iFTknNyWyiKTpEfb9aLh657c7jcvHvUi9 wujeP08xK4sXaVqLGsftqp5mNcj5UEfuP9ai/xS8L8gUfbGBX460SErLWH2IK63/1XCYASUEkgfY smcNzibaog+wOG/H5kGgnMmOjyU2Hf7TLWL8wd+c+Wz+QO4TGHFuuLeR4dVzAyDBQclmg/UeK4nN Pwh9xUY+GGiy/r0PHnDgd8L26fFX/YtMMkiaj+xV3U/7NAQJc+o76ghoBklBBj6x38TCytAoHUbO 0UWC80TkZgPzBCPrx9cUTuS4VW5Wv9aaewHgcQJDn0jpDx7lCLJLE3fVWB8s96+bEY0herScNgku d43nfAHZ5P5HNy5QE9ARBI5JTF+loTQ95lIPuCBH5Oq4QiNaNx5RS+v4S1/HPbAASp5kup6uA4d1 w3/Pj5HfWkArHuuXQNKLUm+wXmMinU6Ubr59oA1wvCYuPvj1SNDDAg33WFcJwVRi5BgvsuYc+WO6 vHsumSDoqmQ8g7hkG9JfpeWqkhaci3Pj0810Er8feDWuyPNwyGabtda/TPWWlbRJ6Ma1Ykk+bIw3 vbdbORXDYGQwd+8ntvYhWPids6PL8sL93Q1EguRhMli0EI0MmdmCMCHy2FVi6oNtc4ZycsN8HMTw FirmfX0rwOKLqLemDZhIBK64PSpnqpV03GLfR6ro5P9OVK7r8wUpAkKuS8iJO6v8S/k04Zrgp/gs Bi2IIFnERPI/geNG43pYaVDgL6a5SfnrXybzV4g8jfZg3JbeScj88kCOHX3WXWdBzA2rpxCzKyCq rsgvhT4cLoHmoTufUbnKdYXTKU6dmaPRSZ+awIzPcnLWimhPpYq5IjjrYOZ71IEj1AlTmOZ9ITx6 EgTyxAHQ0BduNU83f6X71OeXihA2Aw4lE3Wb72JnTYWLEOVbjT40P04NnLGiGh5sgIiqHuK+cojU KF/G9G700zbSCHJ6nkGJWS7P1kHD/18DoVHxc9CIe/Zuq+mzjXpZBdgNTglRvsVrdfYvrQoA85Yp Q3Ic7qNITRhgqCaApirUaq+jNg34stPjz4/rSwrACIFrtwGGjQEVndGeCNrhsp+Nycx0Mslqco6o ujsHq5GLWndvUFbREAez47++hns+5QjvoTHrxV5B24nXPIWpl+VXKEgbnXpsdTrQqZXWo2Kd20wu SMulkfki7/9mbyI+SfasDuuwHaoWUrBMTlopZnmGqoCEnnXbENuJsDHAGTselE6dzrccvgabqnEV 2FWUusb1BcTAry+gS5J81Ny+J3kzgwTAAxyAgwbWKnLsqd0bkiI9TCaGjvPOh/LdU5yjfeweid73 3RJZx94Cnsz4LHf3j6bioDymsP/XKklffAK3XIMEfstMdxhyVz9b8fvaRqgWr7LVCU3i1MoWU3/6 AHMS1KflgePw4nmapcVkzLBYzvWP/Y4Kk52RSS1w3iqsDBC53w+04SgiRynR/ynfT0d1L8yrO/la gV6wuZqO7qVV33B005l6yHUazLq75RPdPt5/uUf1Tq8I5xelV4wAdc5JikUuwqMKqJTjLxLs7Eoi I5odlSh8Umzm754snXLwWNnntOnIpRis7PxinBs3KBshPZzowTnWVUnCFcf8aZ9q8GNBK0ghjOpM hGDhZw7TS07BN1tWW7l2dXnNpMnNXzJee6iL4wLYGjefZ3ipW4DdGOs8RFpAigD8DA0UBC6GNlfm dC0g9aaw+4r/CG+VhIfrZsEkah2fZkr2T/MUnKlXFmQhr/6mgHXOaT4/2jcUl+1Zl8PYgaMLun1U oJz/2rAOu0MNV2qt/ML1Z0OlrEtjpX+MXfvUbJZhHt5zu7X/ATbaXZPiBDAPi/MJ78MOvnft6Gap ll9xFCC6C8E+1d+ka7yfsfZvoBm+aeh8B3czkJRyBbuyRfno9N5RC8GmQ8M1osN1ELT1XcOZNiE9 iTJVsyqgLpb3YF7flfudpjow2Ht8KEVT/Ka4Z4PKy7qfC4rlJDQ90DtmhqzOVBgrV99beyA/QISO XaLEHEGa40XSl0GxXEQdeAHROsTNk0heiVzxOXmZ3pbrdAxVLfjvx4cAlDe5vP53F9aTa4mMzlbB I453gSyOsUBUbLiSrjzOLraXNHGiieOJZL49hzn6wB+L7yFURSmNa+KTPRr1SbCbL3gN2ZR5gG4N pXKvoviTWj3bnJKhWBhhjMbj0oWRFhl7K2Sd8wyKLNTe0rMb601TndJbTFpspkDo3HcIfRAzIYtT y813uaV6UeaEML+pEIVw4OKJrxH/vsfSm3Ry68PvZMyMPEMCoC2tfhzT/aV2yJmMWINvgZRg5zNW MF8863BhUagllXrn2CUfYPIFfnWOxz7f2redTOthdW34YkD9hPucPPajhq2hC5ZqXCjMjyGhpJRJ g5m6Fpdz/us3wyDj/ag6tiJ9uyQnwIYPN+nN1K7nlj4tTUbIsiaR+JXfhunWGvcxmYf2W7OkQbEi y7DB97y3Kb5H3GePXzQvA5j/RNwnuqTQmDg2YEgSYub5e4IMNnSFPbDVDwiBeeTajQ77j46b7lCi vbk4lREfIT6kLQhNVPvhgI5NWFkScunEWoN5Fx52rUn8DxzXhX1gFGASeb7p0apbn/Azc9YiOL2o VOneNzhTlLTi6XEywuE53he4oNSltG5u5N/ydeysYQnPQlJu/wnTC4PkWUxJUG9GpBcwxhneNMjD Q6cuNBEpwB/i++v/0jAGnOgPDRlJb1bEomu5l22SYeQvXPfNd/W89GQf1Wf2ozGDNNiiSlkhe4JO /V6ucPeaQVSfa8XFr8wwiFnRCF1WkNboNCcLqBR2wILpBQA+zk6ZfcXbKR6nCmbafW4ZKAibTCvy RC5qyb4AdExw9fecZ1nP8w5SyoVj3dJqRulJlrrtjtzftoNJ3q6gs4oxS02tuURtiVsTdY+btL+E he3hUtRmDO8PLMtZvOkdx/EBcDeqK80ceaRzEMJwXOY7w/Vrf5gf85LUWJTH5Y01A+FWVR7d5tLI 9HSnncphG78N4ZUrHn574OZzJhOggrV5F2ewmsT7P2KpL+8ffucJSAOEL1jfpurc99E7nzgtQrYa dKbyj/JJN04CAF5k17bi75NMvtudLk3v91xr8vnm+wshEu/unwATtksh5WUJbRztsEQJ++r/8Y8J ifMR0tmwyYEllLi6MoE9e5TaNJEpwCVXnZObywCCNwPfIQ0FcqgySHuLHWzjTytjSYrK0MMyFZIh mG+Iyh/xks3tkOU/g1ZESQy6EhQMpNV5D+68L5PLq9kyb2gaLrY5M1VkMtHB7QI6HJwtKETylnyb ack3djxq4crMdyf+oCu2Cjb7pfsMc9JFKQOUmRFIphokPNtdljMuuJhfOLhJPhxIgkWAdrbFTgv3 EecvTIRg5Ow351q5zIrARFE6JTO7kYxabmCHRpsut0j4uPbHiiCC49jMSyI8K+4jl6ACPSgQuDOe TwapfKr9ER0L8M6OJ6c5GhqfHMY7LrRnVTLa9xvdDhizzHNVjxcepMEfW2A455CN3UX2Z81Dn0JY a87GmLLQWj4vvoFa88PFldW71Rg+cXXVWwECRzZJ/hHAUXVfIcyC32QoWoYz8lpSreZXgS/zZfJu sBseUFRXTNlHaVSBTOAMtzHFOWWv3TuGzB3fAyw5/gIzfxdgAlrX5KTuVpWviDnxL83dt7g5c0B5 YG1z6zSqAt817eoYZFH6iT7sNWkCSTF2A+jscXWSnExXNz34NT++9jbRg7gUkNMAkEDO0P28QpY/ UJPcHKAkpUhoj4r1dVoNdDHYvE/AbEL6Fqn77+t6MXI0AGcXinZQPqIW1u8fcR/WzD40+Cl3WfUR HhsnohFRVfmxmJ9ufWrqHJy65LB/Dv9tweii3jFT06/Mt2yxcCKQYso2I0N6+MhR1y8biyJuz8/e SV9ftwLmHg8mgPUzVxc6pto6XxsX5ufhMk8aH+5xxhzV1+0PV5xt3VpHcvG2L5GF1yXUlf8gP7l9 339wOGbZLJZf+g1PSJDyUXqIOnTKdZO4nbmGqmmk/3ksUSuMnMcLDX7P0Mf4MHQyQIUD0fjzz1Oq jaB4GSboQ7UfN7+t6kgncBp4E1Dw3kBglaQ3cKqG5SXQoLWAvjVJZAxDZyPwJAvJiI49CdXK80tW QYMpuHPxZpSP44QkK59gBYT+M7WUXbZywH8kOxibyt05nadPA0M9XuqWt8f80Ftfhxrgcdjtit/I h3qcAuQr3qKeW2N5tDfIle3Rx/z0w9DkGx1jqC/joqZmo6fEmdpplYiAqmfA10ibypYW+XTiqtnC Tf7pZ+cdBxiLWTMlCsYnotRcmnGt0o0k+6ivsCpP2QlfS354TCq9VCEzwY26Pgb2pXYgtBvawFtJ cv06DNMnfN+o9UANEKrHWXm59k0BtKFx2E/2NooCXNH0Yc0kJ3xQn7vwm41LdG84YZnsxskVPp5k uwanR5sV1R/3dX1S+wRUuvD22b+jXnUa3DEo0D5f/Li/jfypGhePa1rtmcFeQHw7lkXitkYpM2wL TvPO1IIGw9Xfv1yKiSspfHO+J/cpvVN+peE0otzniy2swy/peE3Il5g4rMJp+Q+PeEkzjtzJD91d ytwSkKa/9MKndJT6wu1EBP3bT3xE1VsTV/9Sc4pFlIMiA3JyjqDc8lnnoubWvoRFrjyXo7E6ynZh +xXJNgnaBd+2oD+AA12rG8+uuuM+Wes31pDGkfO3hD6EerKinspL/YpuXvwLsfOl/IG+kiWjtdi5 /JJ1ET5TR8EkWpx8rAFCjlZlxilD5ylqn6IrvwBekkADgSgBgC8OfXXaMXQLrVmvdCVuma+/uH68 wYhEA8tmjC65lmxXM7jhZtFzChHycS9bzHQCsnQQgj0kmgWvSRqT/gmdBHKstYA238Fb3kmQDqXy kpbg16vU4Xez6k0RqI2sGMbevMwxvZ1KWgFCExihefuoh7A+OoEg6leURALcCrxTrtRVPfoINnZl iR2vrtrmDdHvdXVOrdXktWdjTNYjkKSmPrQbZRJ8zrmieSpSK1uHTVOsoIExq2FD1JE++NAWLTLV 2mM/et+5afD1SJ+zRvaTn7FMK0uCvsrXPLv+nS8RZraR1x2Hk61tf1ZdSFaVPRSKO79nDigMdK5A pSy2luxLJSNYIsqsjlIGDDnH/NXhsUCN1hoG4QO17/P94XIG4nSN9+/KznxEytDOL15QLPiPCBbq SdMqocFqi1f4UNxlQk/10AnNA1r91x1MhPO3btqotB24xA0YAregaP7efJFrcPTYVaZHjGL8JK5/ jOetXxW0favD1qBMGWpPl6FHuI2/d8qdJUMelO0Gz2X9zGseXdijoTk/CLhMP0SBEtYuqrgahaDH DjhZQc8oeCxaW+g5pE6I5lMy7zDd9e7j6rgSSCTocFDOcIV/CpOBaX2KMfsW+YDbnk92kX4mFLOq JmSwvJyfmozjmdbiR0y0tHOC3JBNzp/vvbSGySeQesKQWnV0YXC0ljJy8YP22YLQmiD7B9sEMZ9y AKlTs+9SERxVPcIwHJdmgrr9xGP7Id71jvWDfdruFMHUPeAxMTLZCLtPfgKKn+ObW8nW4qTvI6U2 jAQtktdTKvoYk7CRNB5tYpexU3lPSNm72/6TtBNm+05o51fVZOmXfet1hfimeQ3pVjvclDAyqDsC 7Do/jYtl5+7nZx1ApqFr1xlOdONt0sH0xEbUe/D+oJN72JzO5Zsab3P+a+/ZEu8F6/DGntibkXbT Fd3OZmUX2d2LR3LxBmEEVCGJReSeKY37kPJJUTnQpxsPaPOxlVX4seXSvsbVX6DTbWMUxsnAxNRz s4J/57ay7fNryofp3Fk+2jVbGMdKKZCkQjeH1FD6cuuoEluwR+5+p16LjhCx+uFPXbXurMOYM2EF /pyCREVCXr6m6IfWjOPHqLTK5x9efVA55sZPxYKRMY+9n8jXgjyELcv9trz0PI6b8+i5cGGpTA1e plIZUXhcbw5pzFSnXAeDKazN05YyhYItvIpfoX5ZJPH8pOnpMDFF9I7aCKmJdwxbL7ku2JqA+9Sf NoH8w8e3yx2IVnarpaUXz1HZguNqW5pkpE47t7uMIrvCJL4iTtjsx2yLjm26hR2F5g11cfnXuKu/ J+dKz8/0EeSJOxQfLh0hdqoZtIOv/PmZL6CTS/p9nRrM3+a0U9wq+B7H4Ln+C6ch36K3aqnayrD4 w41As35mmBh/8suVBVpBM7U//Z/O9ohJohPXxlH6xPvdi1/zl1keNhWIO0aUfEryibGtDBpBS63f snWPb7Or2h1hmzej62sMPKGNI5enCm7lrhLxizB05kmFNUBP025Se2/+pHA+9J1YDrov8swbaFjN QAkyKsNcCwXukWRmPibH2aTpgKDJawhRf3x+GubV3hmsXbx2hajGbhK1m/mKjxf6JMSP2AtVicXF Xuyktn3o3g11S0IfWu8IQFL4k2BMuqxbz3CBk4ou2gotuNoTDmT9+O/WQrLOrl5ffehJN5zeySY2 4E26bnnDyYlLoqs22+EPwEON6niXdYh7iXAl4nTBec83BNlyJrghmJmERkyhhbHxNyfmSvSp2jrs VluPgbXuKHZSOejqnCsLvjx2PsPPo7NJq0FHE1NiqcwuJ/fYNY8w4gLS62iC0c9JHvg6a3zK4XSG IaHwifNA6IaJ+ZCOyq/6JcKyYNqGSJAKMUw0qtAW4c+TJas1MsIaUtSXnhVSTJUG+EnrL9Xn9n9U fRMN50zAbe0VQzDP8u8rEGIfsGuCKWPrnLXPfn92alPCbVTbcdY+w23YR2SQmQ3oJLMcqGCK3FbS nzct6bxGCd9horkpZQt9snQDuhx2b2djADTXPQOvBoGU0Y2rVPVLb57riL/+uZcmuv1fi1jp3PHc G9uCkGg2bj9KSFIY2YYwYWqQ1EZ2P78oAaoL5pdH1RRn4HqysxoHJ0tK7qLoIGn/xIZy6JExprs1 V1KLMlemA1q0vt+176jPJCRGWjLXYjKhLIQB1VlShQTU02rEflQW3PzStwFRlZXxhhyBiycRABeD Z92n2nA4yF3hdh7ChHL6yFDM+qSBoP3EZhF+tdE/kMLZPQfKcTlZY0WERoyGmR64bmIXpMNKjTLS w3z9RYLBxZoy+FcNDmO7bHXBzN0ZrIDCFdJgHVlARMahiO6GdfVluyEtXArfbCoxcQdl+2ad+ssU xISILuOhm/aIcO/Pmu0l0wLCf8pGH0N+aAITrFMXY8LMob2Xxd1jDAkUQVxMN+T7fFAUpGIeo0MA eYrvdT/Zqz68JNBoT3vzqwYohGKvpI0zdIUfsH62zKQKHcHPDaju7GzcryvU4wWqdipLfa/jN8YM oJhylguKrSriMyf4C3qFXXM1DYULnwx/v4oTXJymroTciUL6T5lGVF0tgLilCWnbOPoxUx0+j9gk VekaNbeKRjdNXf18xJQg0Yebzkerj3vGFFjg0Mbc8D7K0XHU4RJcpAWvFCeX3QP5yxjJtSraOZya FmO8Q4Wk17dfUK6rD5iCFZ93QiCv+upVXFQ0hoKIXGgZYTUo45LNody+1YxOosuTSLS7Dyt2AgxO Y6fO4iVtHWcHyHH7VVScBicc/miRicHyUz+rkPAWZiwV1QCDzihV/vPQgaYWO4ILqw3TvlIclVdV dJjHFPwW6WBiJwEZJAHuZ8B5ZVGdrM2e8ppS0Oj4ax7pOWbYt4s3FOr78WkL5yQ46jLQHugfPFPr S3sG/UTwJMa/nLiTNsC4/46dGfLo5oaMeZy6UYTAu0VVIXfcm0i1fOq0NhXJuGIyFxRKzBjn284w GhwXXUkDzyQMY7SIUk6W9ZRk18HIgIatliQjwV4SQ3abqNmUHbIbaZ5pzKccewjUvfchOs4PQ5hH HsiWjRIt6WS5sB+bVcYrRH1n3U9TUI0+Gmwd7gTeAiuvKqOYn/ZA/Ad5d6TGcQAoDB6jK1otTCJZ 1gLPMY+XoNY6mN0nduECI5FeQv/wrQHYfOQIR9breOHjcfmKaUJJoDKy0KcuDCynf/cdZvF6ecQP FDnfaHfcwBmqnF6VRhD4Fl941rflD6+1XfBI2fz1Wd4CP+0pqUyNf8YILNm/pukc0+EqBudTNXUc bFBp95oGXhueIuVoSnT976e6EnTqtRatQtxJbvx5ABRgudtrr9sDGhcsKkwkVsjZgO56EjvP0mSe e8LmZ7sSxP2RR6AIG+SGJZ4EZoDvYr1kpBa5+nlOjYiXIJbBMp5zDcB6J7uOK8T8FSTLdL6vID9C F3r8QIlj3mxG9HGzxskxYFda7L8EHrdM5Bxrd2LjeL/QwgxsXCt6b7zc32F5Da5jFTONHJE3bVGs bfpPOirrogL8ZU6gbyGDPhqMwkSJ3a5Aj84qGsyiccYVZkw4gFbkx/ioqDol7W/MpBF02ViNjNEd h6t1Hn1Q+n7GBOldN7rC58erLTpJ0kOCwtU8Ivg65imlsyVwF3Z0ZuF1lCN0JKY5cjuZEQHiyyia QV3brW2PBtC648BstoG7lthrTlWjNdc8q/Cl6guIFWTUMF9RWNBxb2i2pLCmMDO6XiZyvKuqVSCT eNq2+2GrSoBMNhGh0lJCBRRee/Vann2kBd+AXIDQ1rqOCLDsqb45tQqaxs4bPmYMK8xJVHdLHrMV f6sKxfg6unUn/u6gExGKeQFK6o/iOURYTDh+STbQq6XxI+aEvgHt+s1jsmre/spGWCaHDjmrDJ8Y SagYHzwI42U3U6jmOQ8yfALaX2+aWvktPTLEMhGCbNBv/P8w8PX+pAz0bmS1Dk6iRoZW5ZOp1tA9 cmPR2rGH2xQtxxY5kqiVrjiKUqa1ZzKYRpJoK796Lib4rvJR6omvL3qIabUtnut1aYIcIHdCtPK7 yqS8wEiJp1+meLil3f8Tr0Hgns+f3fNLVwTbJIuCWWQ2TWQ77DGJAe3C035KQj3+EIzJ5vaMrE3d 7OVlV8HUFIyKUHjgrGRCa7VpNmTphME+cI3mgWYY5xojCCwn5pCbI01uq6cczHr5G9BgKL1ZNiob UKflZWrtuLD4kW+VHVdmAdD555PJS87Y58AeftnDYtMUQXNxp8NnjsEos0wblCCQvMCl+c2U8GVG dBRzHLxrDbO54QxtDgfLLenACKxQgRZ0aj7ULqr0bR5yCgH42A/1gMu0cU+ICaVN2I+WGqVpKYZh b0XPMdMIa1by3hetJjxqDhed9xzF4O3WdXUaepsd2qleQsdZMBQY0wzvI6miww6r3+q3vuz1bU3O ohhHsSOHMzwyr8O0bn0pTSw4bimw+G5uCDV5RuMQ5uhwvfTAje+2Z2OY2q71gSXSgdcsBbiuYfhG DOfuXYRtvoN5vONsSLuy9tUy4dUbRTags+f+XhA0nDgOe02uhvM7vr2GiaemPi0eIyG27SZLyguh XjoKUNgOJGPlD3H56XjAHUg3cwMedqsZjyqSgJ8klTobt5MT+KrlvgOLiPZexna3fENIKaXG3hQw 7Co31NxCutFWdsBFTcXkLHlTnY0yQ6yDys6xg1/t7vXZXj1qy2Y4t7L83/dRwmuKgHtnDyhPFwCd AaXdjaqXEK4FUc6MMRft6yMUClYfKJth9A0Oq8K4jh2AScEFOSyXl6+7JqRol1FjBszZnGOslWOB UK/2PVwQE0q9/6/wVuE+WNHCkXx6K6qjE2BsH0UpvSUcbSUr9XXJtAZIwZ8AZDbewJul99hPD9SS bQT2q8P7F4KUlExUXAwb37erQw2T409oOI+m68J5XUprkNr+Vn5hTB4NbotdcyXFQmlrvVgxoKHA WAvScLmnxdxt3DJMM6hII242FIM1rJo5rUCGH8Rj7jGFWQUiHCrRBDfxbe1qnm3jGW/JS4Qn6gkM OgBTzG0FTeSlJOhQ9HjlL0LXwE4ODKR88XHPESRMz/UPvTFoIJLevUZYU19PZYttP6j0A/VY5YeK gwFS4kEw6BD2ijzaGUEKLpflZXH1brt8PnqwYLMXuQMW0WaFUUTHfvCKGb1NbeuGnlRBP/z00j7n 4m7uKybm56a5vk+cG7/2K43POdHingzVl8vyTattWsEZubyp3qaStOPyV4f/p4kcys9asyhvDjSD cH+Kcux/YXRPt59VTAdHCHD1Ub+bvobfo6xa1Ha4O99rTVmjJSHz/fmwAdd5BnL+ujcgtPw16wWj NQEY+4mmYvJFy78LQiZyUPe/OMVoRKYH/6/FCAEG7e2y96N9aSb1OjKwH1NT45RQpEWf2ZFJU8tu +vmwWFu6lZE/ekkmwyx922W05c3G2Mld4Fmgmkcf6/aQwFPqRB9LzCJLvFsqvWVciha/VL0tnd+8 /wsGLVYH57eT8Yo8wZaMrOqdQ2iCWTHLj5pwRszi67SU2i8Ie3cwvFY4hW+PUCZg3R3eDQBTm27c Wm0J2vatMLnxDZCUndw8PO5V6JRbrbpViTCF/5NWTJiLLpnlvWUilcO+K7onj5lny6UNHCtwr26n k8xyP4I/VTLYAR2L8YBCN6IJAcS3PalSQntdLpvMIFBkA/4lW9UnRw50M39nxaolSRxgwZ+9owk1 kQ1BsGFhjdjOiLnZh5c8c6m5GsXPWG49jZhSZOxS7sDGiRGWShU9SkJU69zDBmvgSZZ8EdRCIGlR LNyIO77pnS7ODv20rOOee0Vhi6yvrzEbdsYgggVJDRDHl+UeeldYBss5Gi/fZjB9nuMs8++iF7GA qmblLKAk5DGxN8MtyiWlQAuir4vHm/j3WChLd2cMa55O/dQvFxhoavQZH0mlhzPeYE5HTf06BYGV 1fbqGbEltEU+qfXoULP0UdhTlLcMq/XPezN956DbdZwZHJRPZ2DaFQpGdhAQZtJhLPidY02faIsv X0C40WGHX4b1Uaz8xEzF5aTuebeALj4TNWlPcsQcRRbSRzs9sE7TEgMv+640SueU/EZCLVAvWDYD byCAPy+v8IHDhzlfqrUbqM5zELtF6FNkbwU0ESNhqPpK0e4TYqQLCGqoNqfVLtRrg4jHdN1r1dLn pkyoqcwBsJe3DRJWZJcq04YlBet4vvaC0dWa7alfP5dCwvuLYN3Q/mr0dABw3jy4YSanCkVcXlCA 8bSYt7ul5unNrORrhziHzoXE5yHSMhPHc0TpMxxuWkQ1cZ7mWSWbvhQFTCWurkUqge2GiFCQ5kPc FjHGCdyY0FG03xGLv+quw6yXZGkcKlO4af3NAfqm+ti1PhsSxc/WwfPYbF+FicsLjJa2ae/NeY56 EFs3cqziwjF+MyLdFXfGJATfVMQllviqlsMzLOsfRWldLGzuh6Tg+SMBP26+FFBo7vt2cgvtkdE8 F70SryC2E+BJ+o6+urT+tCv2th+KOPMfpbuHlanTbSXtO9s8H6VNe+ijGmPvK2q+DjmKf0nPNV84 1BRMC4HPj6ZQLMjRA4YGb+5WEUPd21HplPyCTDXyrjAl9Q8kx85HfbNuAVyGn7uXNn5XTOjDk0Iy Vc/LlDSMGn02gWFBIFic11bBYHhhG4QezAtpdIJARsSmIc2AnCB/4PDeVwgt7ZlDdzN8i05u7Dr8 z4IL4QIqvlmNAsrmCac1WhQeJbR1XvqiakuAyq7Lf/eqwpJ8dy9QP9E5qbLH0YsRsrpvzoDVd9Eu 0VSQvHBAJ7E60UwfYzWZGNaFrBZJmai+UgBKeLx6FjACwGBbaKPmPmPkJrIpGccaMJf4VOkRtndE gyi01txE8cMRfJz0V+5SYsBPSIvBpQmLCWSCw8CZvWaBGTJ2npDwOB4rI9DH6ErVVmkRaVog+Nv3 mrtCwErQXOLUdJg4YD+MZ/XDq1R2v3IVbzscck265LK/4ORiIeZsT6eYIzQ6jCJco199rq0FzMuU s4KrAJiRtMSHuS8ltviWconhwvPs+9ocIq9dwuqWQLt/e0OVHG0HYkX5N6kmCJu/EDpLFL20FcJ6 p3owwMbQCKtmEJaYMwJHfzdr7cn6n7jqdNFibgA9w0Vx7iq8F7eK6GRZXgUwtW4jJrMxn7ddOyuM stvZuNxRmbAMg+Lq7IorgHjYJFWpXUmETo31GCc4x6wcsHVYrQzkMOGfLA3Hfw+5nEiZ8ZN04p9I dD+Z1z9QvkRmpyiwRhQfx+/6xanmXA2sMlvXK1nllmULLTGSykfIAOOJeaGzk4fkkBtUOdUUaKY3 vmnH5aeSsfFl1Bti83HWXrJdoQJcilM4QFd+IUJ3aBMRVM9CsLicfk9DhTkmXNoRkKbt4DNi441T UxrEFblBfKjFujuVOJoEi7Ge4seNRlowyXl0+JQKfGFqUQ3L8GcBoh5gB64x8cd4Gw8lto9FkiVF dWGTOOVq/UfcqoKkePSXGR61FgB8FuKYgVmaVa4bEEfw8xBxcHUByYy56rb1x1WbkTDZI1IZjwUq Vqn4MYk5HZU822NNQmbaTVQFBzPcu62wg3uAPdup4xTaT3qi+yC6T0B1oTnUO/oY5HE4OxKqSMF5 NwHTZg/OjQ+egYE6Jm2jaUZix2AUnItZnZ8a+z9zc18F+D7vn/i1uMS178kdNbIXJxWs/B4N2JIq sPq2s2pxEBXmJLx7kmrQaKWcxvNoyYfkf1FOCno9f9iKWTfLw+BLPhvPrDFKconzzPSGb/jAZ48+ UUE3TKOA7hCR6neZajQVuD3zmYDDvd64BaLkTtffWSSXKsfPQXAh7X5SP3hLDhLgmZ03mcoHeWhU BgB8Ak7m59J+OIzZUYNiL8zT6kV3x70SPXT1q70HGwLImAeQnx714kDplIGaytIASdbzSaPrCObU Y/s00+WmfxjL+EiFD5fB/lsew4yIGdYmsbMkyCaIQstn2VbNn0a9NAIVs0+jOx21NEVFmVFJ69CB zDzIiP5P5DTmIIPLtMR92ggfkWH8/kea25i4uB+1bUluLF4ElF1hd/zBt/qI07f/A9piTOA+iys3 kvTdFq2AK5/i4/P/kelSUxncBQiRg0Qa4Deto+j9EnTDYpaXVcX/x+zAnumWBoPahXh7L3ZHuo5b zRAhFpQw4oZI24c2FIJAQN5Ax/C8ftFTtrxboigZZAIshFZbk8Vk0CKbKZic+qW95IZJekBtm62S c002EPySPS40redQfj4fw6KJuoFkqVNFNffOR98xDgpiy4RPCWs/muuQo6yaKjJfxUCpv+7fZFnn 1FECrwA/W2/ycm5tT7sN2wCXLm3f4Tb8Isc3EsbTdFgXYTKddfz2vtgoBlGCQBMtN+641cSEfk8v bX9am7gaWMYqy+RmSdgkNfYdXtno80iWn6cWzAOd8ceSpuKC4CzAQs800MVF4Zmt+uc0BiAGqkQs qBx7FWcf+evJJyBh819hNEGlDUiuKcTPo7+Ijp1SCwn1yJ0VZGd3ZaP4f9hq1SBqkRklc/aY40yJ 9pcL+DAHGUIfNquZOXMeCV3XkZfwCaSdKXjVnQ3l1JZPSQSjPwFKPmOPaQZ8oAkW/0Uljt53D/hd aoC6VsdGcg82yE0Y3bIGTRiSYqMtWMiTepwnhA6xuNm5fDKp8yIgzCgwLpDJT1pw6imV03c5R/Cc rF5W1R7XJfc4ku6yewS1Ww2J2QiD8AzarhrL56zuABznx2KrJaV9ofYDpsqQ0pREF61MOFDIOxl4 yu7rIXnVsiiNDS3umyT0r4vbTvA5waXEoR8L7MCg6R5mhXsNLvLQVr0+fEr6FfjM+3ZIqId5tPMH YtmCKkMZ/ifcbo+LU2ZoMgiy3LYfllQmU3ptPfh7i6BYJf2UkR7gkBIB463puqZQq+8c0fP+8Yty JBC/YGBINIkMIG+fNwDcQFHfJw/11OcM/fGDnojOufyRQ5t4xcWHH+8X9IEsHF/gC23+ZHO/SRTg IEkk23eAQ0rN/wZI/mYtrV90FSD30AzcdYwCu3dXftV/7RnkHsun/KfX9DN7BF5XMtmuxu/wAEuy zoFgt0JNuRzF/GVSq1w7GcqO5TqPwjg0KZg3rnqPmbxzHdjlqTZ/LColdStu+MnSkCuN0TcqyWRi w6UgAnecNrkTaEvksEUdNauMC6LjzRVFAgnXbTWcFbr39mgh0s5lhKSkQp5qIAwgZz2O5iDh8p9q E+B+olTXW+tQV0P/EV3UBOZn1sqtpXOsINx+CfrHNEg/V3vX03EktCSJRbYfeJhKrVuE6j+4DsO4 Xo3fOn0zb+EhKmkoRrNI26wwXlQ4iFrP6M0HxV0RxMad76K1CxILhzyCKS7Xhrte9mShRNBKXlPe Q1xuPKh5O93wzhCeZ5ETxbCNqhaDG0BGMgOjpX9+swJ0LaOFSyMPStqeXtxaDDCJ7d2VqomCbJTU II4qWDCZO7MAnmZvb49VML6dcY2VB6lWY4OGV3FBCFK/y4RmeANo1jYQfqWlu17KCQCgXFeNmLGl YGUp2js7P0DN0bslMn5I1ubcRZhTt9h8UCG84frAzgussx1RyUU4DnlL/4uxI9hExRs6i4E6vQ7J SVioz1UMZ1u4iJQaHZCQvs9bsbTNsVQ5QgWwjnyAfzJlbIHwCZ189e6MBw7hmMj9aMitC0vEY24I b5h9lfmrisgqmD2WixfquUgibIIy8wKS4FYsnQcfIXb5hIy/to5bZqgnNwwT7f2jRDeh2yAFJHJI Zrofy1qmOBAlB+x8cre7cyJE4TFk63+paqDV/EDd+eSYP03XpaDzSZ9mpaionBJK6zo2BGWh8C1h f5Hoyv5crbpe9S2jYIWe3bm64lFWu0w4uUiS8vxf1RLmE1AltTw4Q3+MAxR+Kjhs83zKIjpsQT2g qzc++SFxeTPyDhixZy3vUx1cXV9Pmh52AunY2hbRaM51V2N0XnTXKhUaq0me53ircxjYYjquJtYX L4igFi5TP7z6j2UkAr0IsydlrE0NKYFQZvHriQvUpDKVEWVnNCegk/CD3TSvG7TJqRZA4vsPKKsr fq+Sc+VfBinwG8H40IGrqF5BSOMC9wPmKOIkYY3VMUfW7iLNszbg4uhgj7D41CUSQvHWrXHjgXZL TxnivMbs3atHPS8V4t0xOvDEXYSNWRmU4fqoTu/j5i9lE6e4EFfVUdjz+H0W8cVMGHtN3flBNEs3 K1syU1/TU3l4d6Y1mEnHn2oreQAVR9Z9R80VDQFe5MMDuJa9u5+Nrx/RjgUgXP+uNRTIfFXrHaOs GiZy+8VPyqtF0U6a5wAAVaMkK/A4w1JwD6mQ3Aj/agE8skflFKi6jpRWWTun+oICzsYLbmtklLSZ oRgfDFAlMDPhLh0JD4Lwxy//iZotU2QY8ePwSr229TB2VgBeLepdP8uN4Sdmkp3VNOXZd4SXVSN6 0DT7MD1gKfH3QGZGJAYEHfeCRk3f1sqXwfCHlV2M1UDvgTpJI9UIH4ZeE4x0/ccrLBjTZumPQYFM 31dRn8idOj5mqsaGS0sDqgKi0HwB+X/tAy0srNaFU9f6Os+vxlsrTpjxgH43nW+ZdDKuV9auT0fz 3A5Fe4p4+MsfEFwmoJmI1z2aUiHHhTCV9PsH8pwaNST9Y8n+xFRFzX46IMiTNXuw6r6i3Swt8AuT 3SwE4zpVQCQKjXKkOCiYzID9FJSNX2Rk0CgZ3XXII65/6s/UEHeYffhFBXazd+ed+urSbiroGeGP codT42hYl43J6HjcYd6MQWPAlOf8u/r5SHCOy3S78y/nEogBrptiWLFhxA8fi/dPGVISgwNRS2t8 GBGmu2QF8b+yHGwA+aL185dUTIOCqEcqayooGxgU64YBM8lKgzfdULcvkWP5k0FeNnynei1YPlPu GFmw5Fs/qxkSSZVOOQWET/MJnfsppz4W2jAFJ9j7e3DZd0ZxFOaRiCLZKpu4rBYIuA8PHL8RzlOz o5KpI49j1Ini+msoLAIqTmDTpQTHFaVC8SynlpppY55wG8Lgazp2ymphw07VNYqX0C+6hImBGH5k KoYPuwWRxiKSKHnPIvy3UjLNd2TcyTcxSh+nUtnB207jT0E/1wTlG8vmEFWishxnhaLU1+Vzl0kW z+2vPERGtXIXP8Hm3zMGkadhuQWvtqaREjaHqefb3OLEs1a2jeTQnJB5H9qBDGDQ0cs9Zsp9uymh 0WPq/teZ3Q6rfu/Xo+1xB24YU+52i8rLik2KZ9TAZT9rPhJckj7OO4Q9/3DNlNBk2tYrR2OuvBw0 HFdASV2FdedW16qbgDQ+g9J6krwO0MVLnIc2yXcSJPcEPUwhtB5mQVGubQTdISIGjWLW2aaOiURn llnwsn+q6pgrio1HvpOQVOd9SYRjaF3FeOnxi/s11Qa10IFMqWD3uEs5FI71keCSN1+epaorn2NS H6CMphVui5fMD/tyY4yPMzPTzyGh0CpxSvplW6aABK5E/mTzZVZ5F9N50gwYzRkKtiimspcdYq6t huafjvFi1Jirkdy7GDEki28XNjNMPCk3MTglIHaPJTnoy5GIO7cDgLygksB7UemdvOSuyKvgbqwT AKbcRbamFYd4PoOQdVg2+SQvGRENsOM9xyW9ip4FjOKmE0o3xBQ1bpBmVlru+HtY+BXvw022BG/C iwC6Rx34kKqvsovRIJoyf1RazRqN+5dS9lm1ds2aOk74tCJ9/+BVYOKlTEPVVce36sfLxbuAXuDI EZqrDWysPyB8VFGs6sE+f63Nw+2UVaPYnelKFtOicXxHWjgVanK+ie2/nphjZGJqainv2/NJug4A 48UBk62/+Mv275JWCvhrm8lG7TrS/wW2lMw0Gn6z `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/builtin/builtin_extdepth_v6.vhd
19
50137
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hezhI5arYh5Ll2LsYr9SKRVb8M09iAN2m4JSbciXeqmprOA6kAYKyNVYZrZl+7uJ9rCbSy2t8SS7 C18wuehlMQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iG3qoWxeKUs22C9+IygRgNw/Ob9GNJdHtLxrQAtYdMzP86eceFi53EP4Epvud6QFqZ+YCcJAJz6X BiP6+zFZ6SCjFFuXw9pefFKNSIH8+q7UF5dPb1d06lbHzIZD+3mRDkhnSZjrqT/zLAUZb/IQ1Lbm Z5oVMb2d2CoW5etMngE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lCcH3M3hshWBn3vT8V7Ds2ckpLb00IXg/NREvwDTgQ0x1n/TYrAfJvH7lJwH3QNYGbvde2S4oTtp dxVz5eb3NKybz4CG1wYBC2N8cyfQblBGlezgCm3PFTB/fb7+0CJP6o+JNkedc2s49uA9zPZB2axM QOZ+WiL1UDOqHRt1CYUPiwYxRC9z2R+kY3HwbNnbrtScHXOfjyqwc/ifFZR8DvMU1CEJYRjuFvoW cH+V2gM6YyOHMcuZuaYjA16MxseT+50plqCZJKvjkYTDhSYcuZeDAun28dPbdfRu3AO52/Kq9gTu MLy1G+7O2B+746vqe0NC8W62Tyb+rHxVnOWRgw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NvvNy4fG+VCfM9NYumsm2clZ8IZDrJQ3Wi+cnwU6WbSkr/joDlB0ZRXsdo0mhVbkhlHdY0OhRpkR 3RYDWBuljULA6BTyF1sag+KB46HFjV7grhZmVLUbBkCWRKYz0xq7bDcNxf7s4evpI4rWpbAGWyJ9 TlfOT5npzM2PM090g2k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KN7EzciqITw/PNwj48fL1Z5o1AjZa3hMKXx25N37JjIMxkR/++b3PX0LoYvLH1v4MmFRO2F2HE6o +A9StU1NJwej2oLxLD63NMJa+VjJBFCfkNayO25s8BHSFsZkhjc8mIC5S+PHU5t+p8zDOXzJvXOx j/qM+zNzxFnZOpagckJWraMSJbbFjRIGq2RuUI6DTykdz7949XyxajpE+pE2TrgIaNudJhMJkV8s PmKxeai9osJTVlAQyTdS+HOwcKIcXexlGTP+JSkiagntbBuHEhDR83LTtvkaJx0GY9b8oHB0RXsI Jp2E0CkC4MgVpkaduxkwBZ7NjlyO6dFeIGiehA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35376) `protect data_block /woyh6GJLpiwOUul1TNz4DVoF2tJq/d6R8jRy1QYhHHYUMhWROwDb8Z8HuZ1A9UehYJWQ2146G90 sGmxawsm8YvYNUVd6v7T0YYtEaCbTcGwMWUvsMPnsi+x0acBae6oHA7iCXjCClgN7+Xpgrd3hsTK bDmhpohSJ2hTEYVRU1jjkieYe2gxAw97DeiNjI9eWmeHH+G/LBkAj0DEYo3Su++WRTsKAs+9bf0i 5Q+RqZKtI4JTLUqv+JsA9t9csGY2uadHOdF4yoBMCrbUMkgZRmOimzKfx7wmIgMYe+OtyXXh/M2J D1De/Em76Ky0qEkgYLyFxDvtyF/erCwQjivqQmUlFp/gQi53TVXsEXggZB5wiH54KylVNvOLI4uA cWS2Qkt9vl6ZYzJseyCdM4PveCWsb3wl94ieTLedmzcfUmNdcAUr3GoC7Eknao3kkiy624knjWrI XxoI2/EpmI7Jk2WIwEcaObi+Obg3SDkAGNOcQA4zbK0Vp3MCR59F0xvE2nGoO4kxKe5vg0nJgx44 nO9fCRi3cy8XUrIkAbXXcPypWDq/r19aBvbIjPIOXU2qpZdZ2LNlKlYNB+h7tP0pKopYLILxo1KW MjbXaahngTWlP8zRJDI5R8dzFeK/jJUlmWRGmSXHeMm00vxXmwkoLUWUHbNEi2yychNphy20WEt3 g8Y1OjDfoG0Rw9S+xgUhCXM7dDb56Kj+IGdL4F0KeRPhf35aILlbqWe30BH7JHbXH5EVGxVOsnKr t21iAoFcXSclPglG+vMitZBb+tza5htPN6BzNwcoSmztAqMaJOthhROtN4/oS9GHuB9RU8/Ioz/d HLYbea/5F33NXNQPVeRZblrdWdhgHHBknCoL40jLnjSGdrbBlE2Uon+BrexaZOMsG2OOH4XtDtVT w7RNfIdn49ZyvrmiEOgBb1nz3a0n0Vo4dLdHJsM6ktKLh6a4azZwzlu+YE2DMkzog1mu3GRScM3D i9pIiIzxCREv0lw0v9RaTLOZz49es5cD4pbWeHPmFpV4Sa/8r4ByrwSQcSz0v8PWvZ4Bo9K9zdBT OIs9VBvw5pSORfYTnVeVfD4krQuPHh0v5lr0MiIFR6fUVRRM/QMaDz+A+Ym422pLkYvW6uTSXfUc DqTI2cnqChNiMiLp2uUHU5zD7XHZWggwCthE3HhpP4cAT18Wlrg4ncpxFroDLE2rROtqcON/ddBd HyS9bL/vIPCKiHI3aRxRW86um/+Iu+OW54bTnF31gkA6LiKfaVlC5h3VL+6KKd9UFvm5gwePugzQ D8/f3DJqNs4ZTpL9EIUSYyE68X1Y8rtACcmJlupFJwai+r1gHXjtJcsoZWS9vpls+/uZ5mc2/K8J Gn9jI93hchqElDY6CZHhdDNcVMW52AE/HMtFYCOc9SssGbYaaqz1lB+9URQp6qCvsh9uz7xNfJmr mGEuPkWkKC8m/Z9TTEWrPBcHhl7Q6f5705phwva3PDu6ZX/3pl29JS3XL+DiQH+jU9i5w5OrsNZT mS2C/TMFoUDDWx2VnahP5Tj0ufb+5Yeyw1OVaNfuLKrGzNa8bYl8u4Nsw6PVLDtvtoXgAAS2bgjB JPq7GuydHqS0UnqQ6DdRXRtGHZjiuMCST+IebXwo63eBdDQTEW9S6LcEoQTC7IR+WAon+1d0GzI2 GRZ9gAtjeCaNuPhiHPNcdJ5bEfR7IHUtV0HKeDtR/KLS5YJoZp7IU5VWRV9xinwkIZC03sO/roCD Dodxamfl9LrN3Q50ba6JY0BA5UOHjbY/xZ2zAj5vPLbTlbeVkg1G5FI22/Fa97ZZiiqXEk4ZL5Cm Mh18am7aBApHzNJsaSdGr//nVTdT5MMF6yznTv9H9CYhGQ28ZvCT1SSAWoJ7Xa7BKJLfWBaUtmE0 cTVxpD/Q2/Hx4xJTHVg5lW11XJOKNyoNuVSoWofmKIf3jVRYBHuOEKBI3ZanmraRlrZkKTxCM1AO 1VvHkboRDtfkjUY9KWOY0wcoVdvR7ZOYfV1AY2THNA4SqQ3SF9vy3z9GaoHIfYAmlMkyhvugEHpe /Qa1Xuc1bXu1WygGC2F9m2cdGWvF/v6QUczmmeL+L+tB+QHFwAFeMfiKHJLKdQrtYPj8foPftS02 lZMU7Ta/WWbSd42kCXUofqtlqIbHdy6o+Qum5GJZIci6dj3CtcmBh/lGzHli+v5xyu+nHnQBow3t 3FWVRszXlmQm/Ys+45FTaA51O3tSTVcB7D7ue8ga2fR2o7lQT6kqAcwuipXfHGVDCrXFMzEJIx4V oFJI+02FSLbhKeDWuArd+YwDIkttXFjMSkceZBrD+ODYh0/p4a//AI6LgMu7k5b5+UpMto2Jcm11 EGZnh6Dk0iuTSWd2rPt8vmFQ1J+9f8VU2sBYslzsc2aoIuAsI7SAtzRKKgukET5L+kQMyOwU5RrK 9o67PVwLzPD36pqdonYAhsHqHzyH+gHes+lH6ug33fkeWLyCegIYV7D/gwNf6YT+6p0W4j4+V4vX RoK0K2C7gv6nO79ew5tIGztdL0UvcDTF4lo2JqRzF/v3dZnLF3MzzIgY2b4Zmo3TFca0A+ArHp2A UQiPwTO9r9OLrHaxLx6LHRbA2BSuXoaAm4W4w7Uxth3UC1PTfmv9Xr33178Scij4TSK0PsYy6mqB 4xp4+GTr6I8rVSBJ1GElg188Ndwg8GfFpkuYiX7wVv9Jq7v3t77CWutRE79+XuwQeClVBf5fBU4n 7tqkFsbDkoe2Si1k68B+rAPsU4H5bFzRd4Tlv+YB6FdL3Qy4SuCShGJeJ2w/8QznqbKsRr67tHqe m1bMRLxV36TlNOvX3mmAAdDN0ZWXkrOSRRLiwB3gSjsZ063Il4SAIZzNu+gln8KfCCIA77e2PpnQ k57snmvCq5lrpLjYdEz6B0uUw/DczsejlUzigqVhB+3hSHahQYP8xy0Cu18DEOysjystYhk3pvuf ra4JuxaSLqgH/f5RFEZA4rQNbTFmkWloXuMk2zULOP17ylPfZIjIEF9OSsrTAS74KeEg3s6oXfxH J432XRNCgdZBNOLaWKlbp0uKFDqHMuqPPzAoNDlhx6zQ99wig0aLV0AqyraTCc95f1xmPTfGj0Ox FLsUbQGCuK5rTuBx51u9MpVUUyNQDW52Y4aPteBw6YQkwS8qEqmWwJtqhqaa9a4AE2WGQsNcTXk3 w/zW+nMiMUwEuXp/ztZgZtKlAem7gJi/mGQsVGoGOt8DcY7Hqijn5NK0RfXSNpiZlfjCzSsqCTsi gRfQQEc2vaxjbG+QaSPpxsuR+sTO8Qxw3m7Sdn6htAUuOcC3jcTOMz5ZMycO/eYi3+hjgurK5x/z 5QSnScYX+cQdUTuB6ZGj+Z1NY1RwoXKTp9/ISmrn1cCQTRJ1dRhtz7yX30soEgMrMyFcEKCQhs/0 dNtGoUzRFxsY8YG7DDzF4cD4S6J1BMrQyBflIswjrFxNiJcP/Bfe/Q4bJ5Mq4zhBVqwSyT37sTvs GqKMl+oTVxViejFvdoNz8J66391edE9hziAnJX+4stIdzCgrhVCY4WWw922xSExhDoLtP9dXYXOH 1LdX5JkeHZ2Dz1PCZ1bro3ZEU9od0niYz1UOFIaqoE9hkTwo4LFcBatHBg8ThnNGJmYdMRTA5Dmn KqR5tqWxjJcMX4jiXTBMwwNQkzv1IMiuth8UsoMG8Uzrxf7xNQcidBaSRgbaTwD64JSV+bnzl0Vx N4XqyqFSozGzoqyUCF5ma0vd+Eyb7oAZwM9ff2gpnkFbEXY1/VZQQpGM3LrYUho7hlvt5WVoBliE GTiscVtXC1s6FE2ht6vipKGmB0mGZ4mIWnu/a2XMOAo86iirmWaedQMjjWQO2nvBPYwGPKTyIudX DRBRugVUp2q6Hycgyn7sD1/L2guqlrrzgjib0JvZis+OYh1slMu7aMOxnrnt6cGhp+0sHJI5kA3i xP0MUgQoHgOMDG8czEHn5tqaDfFm4tI3BHVnxcV9bamSez8aBtlFKesYTn/GkEURuotQwdqt7HBy PHpW5W5pimUOCnpyt7NYRNVl4rvumjqSm8rc9pnSb8tYe/ehIAHvc2DNOyNuSwRuiMt2kPJOElib FJLu4Cd06dZuebBFZZKr97WEsW1Wl9xaP5bQ1dCFdqxUvkhu6GHzUu3l47aHuGSYyLkN4Kdhn5ix gBY4WbDx+7ZQbWbS2KrgcjLNq1mkZbQhITQvBCUV9RurhqksTisL3b3f2nPHiQuPZEHCnpEvP7SE 5KLZ0aZ/Cc8TD/soSestbdxLwEliA3ZUOjJD1ZpbHf8mfQ4ze6D1bU9iGhCn7cGagdcBrTt/ig/Q xB1avnT17DNsv/Pyt73y+t0mXNp3qfC+Sp2u7J78iySB+vKP65cbMzHjyEHib2u9OB6TJHtBJbt8 dHjSeELf8hDHfkzFdxY+sgDbw9d82uZoiGbD8VolFvUklBRKgla433ap1bHdwIve1/ZQkPmEROaK cEbmj4A8hqZXcVLwxb4YCKna9BAH6H5SSSrnZ+GWGNrArImlEROVIv4Ukbt493hagfcDe66vdJC6 lhT2x1TczMwXNKVXv8xTYk578F1TF/KPr0XS3ikI/zWlH8NXcuoWlO3CibBFDg7+DifY4FA3400R 7V4+qA5vItKy8j+7h5ojMIEU4PJB9iUph3vyljoc5hhYzFBCftIBgLlDutlfhnrFOPLW5urReXmv bIBLds3Tnqs3/F2WvX96zLT5OOVk0Ma2bgeLYXNz+vAF64cOIA/VdHEMxj3xnODR1mk+rPPHsfma iyfh/izsDpsB9pFxUPx4eqvcIi41cTWPY+EBkyE/wLEZNZOdFm8QuJjfbLO15GII6utvj6Ieogwe LbmeWJajdQRLHiSXM1dmgu0Hd+ocVBV4D8KbKECjaXeAxnCCsKhyJVUDw3E56te97301GF0vYr/m /NswrjvvWBu+l13clWAA1lanYAnOt0SsWhZFs92611LnE7hMLiU4uwPPXTfPZ0oe4VEFBiVb2sne lEBkWmP00u/YbYyyDZlRoffmUbTCVP6B5nUR97Waw7SE4gGzcIFsdjbBRL17xV8t8IQ/3jmXj4Yh //XnbCsvPVsC2o+MugLxY2+Kchzy/JeqCL1ycwuXxUjleWd+q3bw6RIkF3n3Nyi7pd29Qqo4hmoy Q7SjpU8KLKxzzTSb6vbtFw4KUVvfwZkNw+BFjZ9hjZ6qEJcmGHS6w/jZQtqCvkCefowGE/Mb0h0O +PcS0XphJw4ISjcc++/wrVat7AQjE+pRVcC1N/42Ln3jD08/uS2kSWQmdLEphCq3b5ZtpoxSjDTD VoW2DO0db3xlxinOhiT9N6YI+d0/SsQOPQHwjmylTK9JVQu0uTa2xiy3r9FJ46p/fYftUZbbVw/g 0evK4uJwWApwUlcdhVEkWuJj+RXBqQemPdSZrzwHsB/jKemAQggKi9cxJH3JKnffROJ8EvEyrrO4 goyddjzyBNQtye0GUCrS5m7C+F6ymlQv5KE72kl3/lJtYtG02DjIGi29Lkw+hOiBTCpqasRH0ZPE qgZxFv/YSCVwARamM7YYIRtUGleDIjFgAGkUmmKGjX6RLw3v49uxrRVfBAGuigl9CPe6HGujcvXj 6UintTwLSgLyPExZS4vEI/dwQUMCjuu+Dxsy4yLWoFQAi46HIahzksns2wpPwCV2fi8DG/8egRXe AVnxz9gDVDbUOJ4OX8cEoZa/eQjTYzVHgtx8ZksKyD/ftgf4TBf6pQaAHo78GfT304vXEcNNPLVU aU7P5Nuxt81SYKJY21P+iXDZHKOPEdmP88uHBsI4Q2qdXHb73/VZIv4p7Lch1B5zT07fnsRpTnSg 71mOHLfSKsfBoFq/wBr8E1e9QuyCI7qMVeP1aHPmkqs6Ys2uwszRt05S3uVQajB1e/QYUEAU5vGQ pUiZjizt7Ve3XI/E5u63dAKhBcWsxC+zjMAAoyLAX801ls0pxy3MP+XROb6ACjorhfy5Pn0w/pJ6 Td+jrlXUOmPOaOyLqcriqw2Z7AbIlw0FLgfwKg94lVntoMhBZS3CYl0My7PppsQBaFhcLvqeEzQ9 dIcFrmzmmQtPsm2neu1Ou+vje1HnSN7vGihgYDwAovitLyMEecfQ/dN8ck98bP+fqVdbszIORL72 bM4+J6872gFfaymvAmh3fTR3vWgyAfNohBqKzzDhkqCQ6HYqqRK169GZ31FQvcOQg3vRWvZ68vkI OBlrwoU/0ZdEwBt1x9d/KrMvOT84QAuIZEoh9dh4ZrVRyW9QCpq5pRydOIzZqC/alNXxE40Zq7a2 itkEjrkCdvZBTW5j+eS2AYeRuwFT2AtuMTLFqlwgE1j6q8l1jGjWJisq4fGFpDOPQNYrFaFHasEQ c6W3THOCPR4Vkke21tYqHryxsn2gJ/vkUBk3OymACrsOts6XFDir8PAVAz00JwHZi2VwhRUnpCJq 3WZw+5vjktzcazWYWTAgmBZMQ9seAeqZp81G1frSfnq6IPQJ+/y3/Vv8fh06gUhfSgK0CLgjMFrT EVSLyoLRbdig1CAR47Y6HVfaG0vcm66Fwt9n9c9fTfaWodmMb0QU2Snz3glx+sDBbpeR+l0edgfH 0gF6c/MsWqtylvuo6GxQZa9lJlPVQBnL8nJK7XAnMH88xSFzrMPaETMw8bVxSrHbT0Q4bSYRBUw3 fvZ4wDJLMhmUTKTiHrmfUA6pJNaXepcTtaDBuW+LfcgQM+m/kkwb0WJ1hcjdFqrOBR5Ma2JVLEyX eBQHJSTJitSNTfkTF6Wqyg2LokKaPhu0YyFKZ9T8gA9waD2rhO2hn62o0Kmh6OL26DXcs7KeskcK nnYxH45j7HczKPZ7VZVERvGPULxdOqHp8CcTO80oEod0nsllWEc1ihikNs2PP9LtEFdiBtXN4urV ckorhh5anrtwb2HrKJEY7Am0rOxJ9Cmlo22Ym0WI7P+SbGUaZ4TqCO0sXdHr/I1aKTqS8SD4yse0 8IbmkGqjBReFTCxCLiEWTrPN/bBFZoEZjp70zjNv9NevmS2E2ur6VmAqlrIPEtox+dCtDaPAStoq ZG/FRFlsHw2FFdCmJ1/8+fs3zXCSliAdJwvME8XrchbpzGAicWGbjTJyk6j9hhm5Ymj0oRBDA4RS bcB4eaGXtCkaYATI6Puqp4QOws9iex5fO2FvruR/FsrPQWFuRlbC4BQEI1JE/yGwwhmpi9fguNBz qQxZFZNO8qdeaDrkAdfwPnPGpiYScIfRLjvnGEDioFsFiz7pSyC9ciZMInuyvMGrAAor8A4pD77I p8D4dznYtvZdNKneZbWFwAiYzTApnYbGUylyCW2BWIBKsuhpTTihCKr76v/gn7RUZxanBXt1BYlU Ksijn+65D6QyTWhUS6jeIm6UDnytu/xLVIo+Cmj6tDMHOU+FBY10rH45nuFzcm1ocV9MpDctottF HuTDKahe6Ozct6GKkSp2oUTfBE2vVo0tdcz3y6MVVp57wOOG1OhbisMNkC6+3qFx7hZWyvGYVi6L 7DEq5lTFqT7Y56waTzjH0XTrSoYS3PKsxHNZ90ntYK4sum+SWsgVBZpvsMGIdIrLxvCqUvWYWvVH /BTSe43LdttccbUVZRTXE2Lt8SgsEzkLqGmUx7Hbc38jfBVLHGr4mh9hZMfUS72RIin0kWD9637g vkD6OH6n2cNZxEGIhRYdqdXz94FRl+Ln4aOtkd2cYyGE/F67QQ2gIio+dUi1ni4zmqjTkueLPQxU hLXYrr/osHrUA7JxUhw6ZKIbwnW8m6uFjUDqmMK+IcOiCmc3Q9LJY+TnvuJG01nQdZMHOOy5TprK o3aoGn3jbsg/UJvLzgI+sVC0atHDGcdr1Q3SDMfl3sLLHMHzon0pvAcnwEpe666VYUMnsycijAEr 3TmWVfL7G37QP2B5uBtQsfiEFn23klb7bVkstSK8QEWXrEI4hqvQkSzyUPBz0MUb9rC/qSqb5AwC rPA2GExzByoTkygcyOF0Fp/X6TeGVGnryu26fJ1Hx+aScqx2GdIGZf198UE9x88/x9wBasgbqkB4 sZP49BJnt3YYnXu2VtHi25ohXOeQH9IeyXlZNN75NoAh1a5j0troyagJv42tIYyeLpovG8sqiqcI j3hHA2Hetb6BWqVFCDwJQruwq9z50VmC2C2SX4q2DOLVYOEE9JaRpZbPrMBn3LpLA5GKhpD46zlY 2VwRj6tMf/orqsQJkdxvQRkeAGyb1tvIa3XJVHf2prKN12Urdl0Uqk2QoHG0LoJRqBuTYcm8KJXa AVUQfVnnav0HwDJgz1WFYSv6mA6btXg/Dh1qDVabwFTcm852b8v1UgwQCzFd/VTET5I6eRQTNDEe LacGo5hj9rbN1inoOFCRoTN3ykBpZfMfROobiaLAUXepgTI6m+E8wStQW7BCWaEbCstDcd+BYIo3 Db3SvT4L0qPxOANkzbtg1knPU41tKLg3phA+iQ+aJV+HMmfABYHquyolYvbRBr/pAeOe0WaPBLXu GK3fI5A8nvq0O/1JRC42CUAk19leAAKkI5M6NzEUqDjHWVzCjwMCgewIMql9lTYmwlkLeWVM11uA ReR+/WixaATpjNrJLYTBQnuGjaVcbaRoxWsBENDYgLlhkJeihtsS6mb4O64swXE0oP4r/uTjquIn uPcr6EO/gduxH3HfkNjUqmfwHuj1d8YHnA2DECBk+LgoGmo6vyq+sUrDEyJZgmXUPFlWgZDdeo9w Ae4jH6uk81l8xVV6q2WmJyXylMXvnwYMSihRuiTJdZqTvnaKH27tWdl4o00WwbcIeQ9muM5mJgOo lfKqrjk4fFNR7CHSgZRXp1bd/08zGp7B7L4clbcPBjNVBHcuCSLE14mmzBjm28WqnwwkDxsBUvTA WDzlaZtyWa5lI7jzS5qwGwoOMx0/tBL/q0zMD+xU2WITJBOE4P/7vff4bWCPp8iV+cYU3dYWoRKe 17taX27sRsr3na+VQeK8EE2UE1V4nRuG5WOQLLtu2z4UM5Y58DI3KPq+0WyWzhYGQeXh58HpQOCp 7YheiKmaVKx0UbFEb5ow6WltcVQwWrrSFzFlO5DEShNKIaMI46JWbM+c4ucz333xPccfhqsRZr/F 9RTLJke82Z/UbcZu4OAnGvey7lRFmqU3M7H/yU02xscfoGXK932ArFVER62/O8ogLU+kNF26nFAL V95sde8NgV/LBJMYfiT6osdaSI2dkrtIXTBzZxP2v0BT326p7WfmMdI7tGojxkjtECl8ockFhBLY x7uT+VXnHP+5IOzcJ5vuZHFKhmgaOrYzpMNi2Sd1RoNuc2lYt9MNOz/CRHVZ/x20IoyFLUXgScGz CF//a8fl5bgNZPpJ/gZeyKwiYP+Zju7EAC2A0wQKym5dqbmX2BhiN88htwVzk4ofRogmj7Yw39fg yMEZ1/nGGhwdvXlooZcp+zXtPEICYbwLj4IgjIIedbMwV9GNQVbd+IuG6nPgKE2GBFCODrlmRh/x nW5z57tOaBggOzYhSxw2qfF47T4t243m3IAIBx1ycLYWUibUfOgB6hRquE/5Km1XZCCgy+cb8cs6 BC3GUDByVY7ur/GBPk9uof1R7ga+Z+C5nFwV17LN1OM1OnLTpnUVDo0+5SNNMVhZDOFE0rVJw4QN f3EUidmHQ2fFMIpfB0LgxMg5r91kFqY00d6Sia89JIdOj7VArf7jXwp8ni3hbex8a8+51NP2M260 FmAXRkOI2uamWt/l2huCeXOeHGx/+QZSz5HglDf6Vx7EQVPHZZ6wqCLRDxZwsqgtzWwQRa0xF8dn lzX7+BITCZMhqkl69O6YXNd9r6wKrZF+23obVdVOwkTwLacKKcn5GWEJ/F5hQagUBFzXQykgKYgw 75smryGjou1SVTv4mR5q005ioz6HTiJO+Is29jXZb3tH+YaRRNqFoUS2oNtO60h1yROZBTvUZVUf 6FwfzAr/wYigXz6hjf1C4ipChRQzARloXfu2ejffyOQGVKSbtJCugAEtIG34uS2lqskusLBM5N75 4B1OBE1BrZC8QZP9fQ+0ZrFSKMLqfSVTimemZFMV1EuztOO5HM8z5d+7mxrzxXgNyqDCLl5wyA4K UcexG8Ly7HVoZQyAO1AxQCsQPrUj/z+LLx2EmF8SmOj6QhaYIAYCeQJCs6u8rrFsU3T4SvlWVMGa NH7iECOko3lmw5NaYJjpJlt1+5Qxe1tW8hyf/dM8zG1n8o39sk2mqXFJovhQGqoEO3Mvyk4pFA26 mOOFcPHpk4343KA2nmuh6psfR7sybQwHnCUCYCYCg8UJBf7Zx7xMEJ1MoV1HQ8ccuj4ypilO1avF tWIQrUGMNPt/elkjRpMefuwGHYdOzyQaviMdU6hlB6HZEK3voSF7ZS+hdE8lEZa/rkOMPZSii3K+ ZWviJXvaVWYHidEyv6Ot8sIlf8Y9j8O831UjsEWSXwzoANdVei/CXmt2W7UCj/pu3CEN0CX88THO prfMveVzJKAGM6J+4ALdN6CuU8iJdAuJk3Vny0xK8hKYhcQfHYBLeqxQ0WurXFc0Q/zxqkDMT92t p9/48RUqYADe348SQPbAGSV7E4eY6n32N70NqHjcsZt8UBof9xEtp5uVsYLiANVPVKpEKRLMpAmc S9O/ZXlfXHJbxJXP6b8JxguqjyvpXNL2QX4Ux3Z4HWHrGhtmkWd4N4VanLEAIc7KvvxfAScjpJCo t73fdoLnOIypKpL3nfwxYb2EBCiGA3A3ivg1CfOpyRCu8pPViGoAzT/11n5TYxynOZqS4k2VBA+L zrfD5Lsuscy5mjGpa5Ycact+pu6rOZcJs0HVjndUkl0k9SnEUJvG6kAvAZ6FqnxBpfCpOqYqEvLc cxQLwQ6EHVXq5+yBm9i+cZU715XFV2AGkCW1ODdgR98BMM1a7m7pNRDv44C/jtT6sP9BaJ5ivbiM 6dt8rqurDm4Q9vPIy0+f+1rT9HNs128X8m50uIRQu1flkuvHd+H+ZJe297WwBwqSjEFkPgoVuJ3+ wj+l/eP+Mr9CyWW7AGtjhjhrZkeZwpa1LOPRkM73Mx45nUQWYyUw7HK/6c0iHCrtRKSse3pGesW7 +c3ka0ccq+f8Dgxc+CHmiGm48WxCndQ+T6RsyR2o8CKkOnOLKE3BnlRk+lhf7XxZ5DF426hxeAmh mbI8qJh43dG+CwIkDiQtt+FKIJZJLEu4oUa72vLdK//JLMJTbAsnJvVfB7vhMc1tu2XspWx6vbB8 77dx9S5Yi8NC574YUjcIFKWYODc+NMOmF5V0t/GbsvF0gXKF/qxMRewqw+QGVagVSIV0VE7pHYij 93gTqdhCmoXQ8LQqEsse47ZJOYtOfI6hGYj38l+VyxketxoHsCA8JeDQlB+UwT0kBqZbeRy0cBwR TnwvkglRBExFESoPLQ6z5aPoGMsa89enyic2NFpojJj3zGsOUj9r0hJrZX9+3AYMf+F+8JuzJfd6 GHtA56VICYm3w7Xg/4gofreZ8JUgD/bdc1Aagw4Xw0AVAt+iFohbJQchPwMoAvutIHeNlTw21yZB 6nnvRJUfZHOadLiUngnjRArsyqbKRyd/glba4Xa9if5y4vnyL+mP2O/qp1oPyVQYSJlWIIG5+5Yf DuuDoANI+8a8OF9ql6Z8aScwuhQgXanikFcbu4xn/4W0XxtISe0cqdla91OOhZD7DUy9tHLrgZET +FIXC6yTgm2e/RB3uzamDW5BX4zuuK5Cx63XcmyuFX/t/x2b+c1SDsA4gRfdaTDFSxDRvzmbLqsm S4FjLXAW5tu6jhagnWt6pjT1ajAVcGd6Q9CuOaVDj/sSwPDim4iaGGkupQwWUQUQfKQXI6tEFDHE 3I8J2oLyIDCf7j+awKvGeUg5mgvVDAXtC9tBJEd78RL2bwJZ04KI4oXNbbphvl8iOeHGa+61rwzk R21vVEdXe7GemqF5pl5xUuvxUNE0Kn1pXZgaIMFPYPj3R5yiDAg2DkwVog6hr/971YLJ494SCnZb 8ieF8b2WBKStYMyHKPXTvzIVvRyp3yZbh1/Qi4rteE7qBDPfYQiG/+DaUsn7FFhsnXzzffM0SqIu 0pt2HSkl1GPuC3t/bK18yQYV2CTOXCop1SjeC+LObFtIJiKeFs8iBzMIS2C563mpQsbL7wbk/VMc r8mY1HW/0P2eTtZANqGY+Cy2iwOfywZUlTWdG5fimZjg5mlN38c5IcPoBU56IW3CokzAgB+C/sLQ 6AANfxPRU4KrK84EDHL26i7FkeHIct4bd6nmkcGLRu4zGVGXbhD6aCQECNByDMHwzsL/vExmxJ5n 19nUn2Mua2BSYiKOTqWHEg/JyRAx34GGJp9KMisrWq4g45n5edkg8Od8gRwQ2b40yhGyxs30gDLg +eWoJJ/mlMXxhaVz6u+qaoDdbUIFcSNXXJDRSd73gFsiL88t6JPO2WXr+Jyg8d5zuqTOu8T/vDGT brk7kvOGY0MeHqbuahIDCkg0/traRgZ5pviQ5b4xh+4uWgV6c7feuXMAz8OXIWPbUxiujd1ZBdTg VMEXf1utnh63DeblFX7maksD8NIm0y01lW9F6x7WLQb1rE+qA+gRkn4P9MLcI/IHEtKFk1x0OV8t 2tnNcTWMkqYpQQ0Vezn0PMWmVvdZVcK+TrR9y8Vtp+6cykvZgjsHJfCeMwPq9ALpEUnZbm4Blbx+ 3nTaa9udZ4aETwMqty9OvZ0yLPN1dXTcpQ5/liLEXqXh3MzWhvieEkJzrawqKlbCpKqjsnmWy9cG PIaxG2UxnYTA8syXOQOGmKdTfmAwpBthl9hUXf9eY1wAd6sBaEtheDvCxMe5XAj8wr2N8udBPhwJ wsLNF4bPi4T8SrnwFnXWoijYb+oFgwIxXNEsfBalD1qIfuq0PWLFNH5xyvPcJ4GxDN1axfjUtZAR RkirC7yreG+3YVqFQuqr7zK9Sqt4zUzBReqvjmu9XzFW9uWBI1idrgZvq3OMdU6JZdCu9JBwSsc5 U0J7GlDaZKveFGCpDo/POjAaAw2Hxyr2olLN5DRXw7uO73T1iQoAEkA53p1tsJCcU04xjS/208o+ PPc+sIMTyzxOw5wnLn0V9xk3KRznkeaVe7MvF+T2B5nbWC9Gaga0h8fCzbYR9x9+WsEzoaL86ORE F30l1ql+zgi1aoMsS/niY7X8cE+TN14JehW3LkKDedxolnHG35DoqVtHO9Dt2ZNr1OIpa9X/T6EH YzlJey2XqrNDeJr1enACfKrrnkcAwQFXqHpQaPCtlmx1TcQXAlZKPBbwhcVgsQ0pfzVP+tCleHXL d1Laz7kdLIMrqfPGe2V/fnGVYd+Rj8O9TWFlsFFVNuCHu38KBu8uoAZ73+CKgZkhGXW/ADUKID4q qeHyBU/3veVX0dJF4u7ys/uOJxULF4vBUBPaoqxW1OV6oF5aZhII5UFlxJApov3GfvNmDbSizCsU V9+mB4eFi0IHRKdYKSQZ5XvpVCyl63xSw39dTogwjN/j5jZH0oGSS2BD382x8SV/2qnohG9wKDXk 6MR9WNuGBOxADaQYIV4zHohLts6wYZG3bax6Dh60+0SaaWvTrradilTp3ZiNAqJsGiS8oQxAWGCv noP31nXhNa4/gjAnXRZ0xN733zEuB7x3g3AB/XpXE0JvOspAUkrPzUyvz12tIA7vbBTYqXzUgnJN eI7gJvGxxOTgPd4iJ3We/woZq4+9PVZ+CoadBKjgBRk77FqXPK3sWfHHE9O7K1J/VOm9a1S1C7Kh xYop4V7PEOAmfE8zdF2eEDLS9i+cPYIZfu5YfkvF7459QZf5S6L6qrPdBwjQAcYfZCj+wssB42pD Xik5sSIlHUbynzCNekIlhmR/FSwfPojjzkp/OeO8jvoqJO5S5nqshoAbf6RN+0kG0KobpdEI0EnP uWMUDkHj6UAFab4riMpga4abhyLZxezRbQjbe/ihYNf5hGe+H14fYz24EPdVrfcCCt2RbcTSy3Fu 5zG6U1M0nxy5o090BPE7Htj3vVW1CcyjJ5i/YColo5kIXGDExJ80fqJixuLmGSd8bykI6E59qzLw WTM+nc+WJ2O63au6lAgILR5igRko99Pt+BX8H+NGElDsyn7mpX1kDuACpaaJHBumhKAOEoWsQoSe yM+POI+oeMtxx9+n+5ezq7DEBk6IIDd/IJWQ/7U/X/gLphlj9qtuXaUKyRdK78PNPRpzcONKwHce D8nZ7lS+VsqGQxgVwd0yQF/vW+sGSc0QodWXjh2utjWUmaJnN5/2O9cJATbgn2IMPFhOU5Soz7/b ekAjdqPGOFMb/kXXOEOj334aIH4TwmfS1Wafcx+37PE7wna/9v4o4wkub3OHpUgplU0nZsMjjjoe 4NOeXlkKeVanvxuRZa8ZBk541rcB5f6mXm7WgjZyPKHAa66YID0aaifD7CJmjdKw+e8yQmfyvkBE yhl037/4nQl8S/fJo1BDvv9pPq/Wz7XL7F2kqw/U781LOPTpJUU2PSGdo4afopS1GrUHAFsiRyjU GgCj+FkPE1chE7ypWWHpxJLBHiWMlhsdbt68LXpJb/8fmJmNf+bhyA6XJFt8rTsp/53lcQyvvXDM h+rINkvF6RYrFW8umYmM1XE3IfvrRwVSJgXxcDUNRgu4hQ/eNEGlS2jb0pkU/rU5w0NRGRiVS6xC i9KTq9ffaffxIceJsLbteCZTNI+BkrNEmTQidNIX29hyX1q7RymGO+VMZpxb5N9XbWKJXQPy9Itm 5yNMQntM7JPLrSJSYQ0/tcLK7n9iGAK3Di2muOfG+bjp4/O7N6kD8tQ3Ia4gcmS9BKUDUpyZlwlg wPK4iPdTCKq98HjHagKdpMq5cNviD3IxwdUxPDQcg0qjsh4OT+O+CHQmI6z2MowoKIe7gXXVQarp E/QwPUYDQ2fyXf+Nm9aZHBJFtncE09BHJu9P7DWyl3H+R0tq00se/k1nmE7wvInkuZjY2JerHnQn 2HyHkKb5cjmbwD//EyufDfTagsrzkck5m3MpUD95DW6XXTORkt0rd1173JDLvTPQpXJ8XR1asl/N opUhP2ltEjj+3rlaVPPr7nyLArSXoYxnNoOFG5yKlvKmWoH43Ofdye9b3/P+c7VqKgTw4+t2GLl3 bBaE0rr33+Iv+sa8qr8f+QfvW7XlSBnu5r9tl7pnjyY6lfMfA+mAG7d6vAW1/A8RyXYoMr5QM+10 UBxhlO48QqMIeJQusHNHhQUi2PaiqAqz8VlDDKMxfDDox4311Y0nuFTX3oaRq4jPVulH1Mmlo5Hy XUF/+Km3dI3/5WW4zI/5MQYnvle8CGXvCLPQ0eWzBGGqeGn51PpHQ93XhoMDDDSBCSQgqj7xc3vI eWd1VXveiGxWgXR/87yjp6Q7UhgYiEHXg9JMkOBCF+A3JGlEWKn2swVzyRMDmOBqOORsJDYPwZ2P cAU54CUSOScMFtx+6ieDKu/K5I//jtLS2eXxrCV76FC9EJsnSf7/9HBCm44RLEB5ANyQYfnn5Qwb qDarBiKOfiakPk2AzW6A4VxWSMPkLndDAsmMOWD69+p/aHUV0zS1ruuZT1YDsbgv5fKFY2oCT0VF GQLXCJh0Rn3Q/0OPOblzTi/nLRCkmT7/vqdIj3Zw34/1l+/reT9v/9chhK8xH9u4i3z/JZJ5Fcfd muNX5LzoVm4fhbpCkwr9jRcwYgOuPC0d8BrW4WZGGx6ZIxkcAaZugGLaQ8P/dGnpCCrD9oUdI9sc azYD8sUf3daY6uJc92qpUK6w3A8RpfQMELXgo4wR3p2D5Q94LHlLiDETUb33A+e01MRewrqe2wGq MfzbvJaJQOcj9HRvnA1hLotLPCmEbZPL6MHOwEvactCG8yqg/0sXc4I1egEImgOtfrvXRtvADSta Gziin9ZFAxtnshHvVZlpdstgUeH0E778e/QV/mcmWP+pHzJ9mOrnVCYkQTIlcBtNh1j48+433hid b7o+bPyEinJKTnNTRixtduRLZogOL2csMf1GeLPhn5rkEzm1V74ge/S/igYZQGK1u66stv1zkQRn uwQSdXcURH7Xe2VxkNSu1YF13NTrVnwIhGscieeFWlgd9VWhZo+9WXWyfb4xSWqGXI09P/HE/8Lz tl4Gw/wZ+sZcAN4aRYtaM1nqULPess5K9MVvuLwJolPEJmkYv+Api/eoglyuShxTc4UvW8rjdA8L D6qV0rsEcJSPnIU3V6iiq1wbyuqVSJcudM7P5I73wGx+VSUp7sNwKID2rUBJR+gnKdSOaa1+p425 cNEnSO9lrcJGkp5NBd5aIDzVAKuhob6eKJjudZd3cYSQ6Psrz6jXlT9UoESky+VloDGnjiF+cpMo D+RRyXQIqH8j5GhvAQZG1MlgXzZ7Rvq879+5NidYYJwbfKxzOoRE3rn3uXTQQJohDdH/Cw01y0z2 VpN1lEuUmeZm1sKAFRIMUiGz40WFGy28mwAm8xHRaGn9+IB6Mm/VarJMDNOuZ+GT4xHf7lSHxJDc OZdwLEbNmNQPimR1b4bjiN5/7MsD9ilgJrozzg3aSk9cH8tJAgCtY23kDL8Gcg1QW6QoaG8tBCPn vsApHqvp8z7vzluKdfxxlvMW9kgoaL+eQQHg3xuqF88ROFoU5Y0D0G2ZFilleEJqvCHUsYIPEr4I VmKsowibjJCyIR8zr39MwkWlfS8PD31yXyv6ZnzpZFNwtOpW2EZnjxupLjqPuLEAdoavTB6X8bJQ R1GlNpFjOUuBDwmdsLa9S7s8MdUcqA+e0OLNlW6h/Nk9AxuKRfauAwudVjHISah40HpL2MBY7g6b RbPPEJkiSLvsrS9TBzeHIwq9Cr4ZDlLiYeeEYqE99djGYRyNYG9TVwiPFO+8qeK+7AhDKWxqj9xr n0reJSMkhf0ZbosWbk9BVrh8xOigWPXQTAUpnVkwasM+a5QkyZvmDn+rlqXQ2fZvpJKUHGPu/F/e tZHcpbJnkd2bo9LH1BLpPEcgTOcXUpoDcR+j9rTieCrprvzPmza69GT7vpolQpa7lLncXKXHxpgy 21A64a6dU8GEexxdg2s9bd4tT8pmEpM4TEkOqdyIu9Kv4Opuf4ApodamktdgBWsMaeIzortGwwYA weJADn+siXTnAhw8jWk/JuW8ayH1n4SDeXmMxCWs1nJ0ML5cAqO6gB0UdQWanNhpAgzr1in7xEbD jg1dSG0uY6GnDIhJvMXootTdMpSGwH5RR2uBcnJq7EzOK0x6qlK2P1WR0VFhajRZASbN54b+nsRq ryCD4QbwokRUJqQ1MbS7We/CMrwjFOCx0wrI9KYaLWjvSlDdCZkuB7WQThGkxFpIfAp0zkG4eHc9 eX7qHhM1yc5Q4RMphOO1JYRHHPQXfzPintpk0/Az9YhsEJZTZh8F3GR35KmRXwAg6btq80YCoMFs Nb2vOVUxy0YXVuFuNS2gATeBNBP62uU9ehNA6sKrmnRtu318i+B/3CdxAa/6IVgKUfDpi+3MVZZ3 NDgi4d6fXCNorRx/2+wAOPTVEOSa8iQXr43lZxXp80oDxdA6u57vX5mHPjQaDFk91Xnk+wag/yyb Xkxe7y/0F0kmjPMBULUetx57I4BlkE5X8Sb3sSBqi9+q4ZjaU2aoPDN5yB98175jshAkevQdE7K7 Jv4aF5q8hVBttdJpxCPbElfwes46bo/vN1hwoO4nlQ3UGIVMjK59oDlUsQ1NqQGa01TzJyVTighV sZcsr7I2wpLU/zIbeOvpUCFbeWzkkB9qPvz0K0rNa23jVdhTY+xwtKKVOUdHReLL+B+z9bVI2gND WtSeTOVrHcUKK9kts3lbIwVzYu4sB/cJnSMQA6t5lyqHfy2bcA2fa2M5/6gZZR2LYCcNbihAxllJ w/oBl/FMazGxhRqSm/AeOw1GX1QhdG/XOfYInRt6R3jt48PdkYURlG+j1RxYmp9BRDOHTCgrHSH0 b8xGRg2hkvd/ks33ivsRtlsqbrZ5AcWhS5S1qiEl6RfOFNGlCMydWHMXpq0y7nNkhlSjh9BFgXQO fp9eF5AqsfL31ckvgtxb3D2EnhFmjMgdFEW8tBwvUFQfrTbO/fQgCmMN2Oh+v377GplbdEdis1L8 Bepc6QYZwukTTvK9WTjvnapMFpFYDzm77jRTClDPoiv9FuRpVbR6rH5g+pVmRS2t9cLJs46qKCqM /Zcnr5HWHJbgWEw7E0p8WYxf9Chn6NqZdvncZDYBEt652Enqg1sUe0nlRTbR0EQSkuWid74xoIs4 TciNblW27Pn5JLxO77KxoXuFjZsXwac7K7NFsfxvZ3jGVyvIZvh2nGYmNqOmSWvrLxDHdl6bj/eP IU4oVpxM5R5Ox2zsVktf1sHfUqNiE+9g0ggHZmiSahcNBjTHpj43Y1TiVx0iuZ8EjunWZFUzYSlI 8hTjnRELEmLDDg2H58Ug3gDOx181tDCZITDNVB7lOeFmyao4X/pEsxhe4IUny4/LDNWJOlZ5wHWi Kg2WlfD68ygdSxhsO6I3gP9/g/xgFSEXD6tWshX46xvik8xwmqVovwBSN279nFWyOLCGQ7lF6J9+ W44MAPvKG8FPPjP+Y+pfPSdKJS2R7+EZmrJdeuGlEfM/+plC+VcmvGbGfDZJDpsgKNkOb+ZvCav7 WnrMF23xPqtQzC99BotKmPtZTGfiviu4myN+4ooqPK2qFGZIGuTO71aZTRFnBflu54nErs3fmJUl bTQscZZc4lXGRoccd1ChOuaeeFxKarIFljPvHIqeTUi9EJDhgqbGw2MkTV0B1beOm90Gw1xBatGC Tjmqd+4i+gwIduz+SJHw22A4q2LOjlwD3MUdqJj3FOQ88v22RLvPL3aO+qGS5cO+ZA18m/L20PVE VBGxt9a2HzLG0xNn2q14qLbZPKpWz5GJNuiSyHjnjtKZnpvug8OwOscmpFRPT86g8ZEiW+s/tsxF ib+ASA4FX1Lm6dMIgkcMO94Jr1zwni31OoDxRvAdBbojQ7yECm3w+9PlXaFJDpEYFHEtAZHFCb/f PkFzOg2MnJ8JxD1EXaWG5eufiR8oZecz/SV6zj7NdLhYi/KYgIhLzVv4fIwh/y2Oi2xZWE498wkm 9qlUQqvNRX4wK+kVpAv5dzkKSZLgQD9qecpt8CB3lHJPO3WvCtWG2dJv/OorRMwaseHwi72fCHBk lqNL93pGznhRfU9cIOkeI/t8aAyr9Z/YDOnqKSNNKdc1RwhUkuMLAzsyp57fYXmZwXSWSQvBhIWm Nt7Wxz3X0soau2ad7uZeiNIXJUiylO9KGvLLLNUCUvkApKZxCI+HsthAmFZakgRKdAtaC/Ke9Jx9 bTtV5wnrG9vIQg4EB2qLmCP8DkqVZRj+q86XztwCsjr7s8F49fyU8Ny4KN/OSGwhRx7ZHH5G7u6G vozEEBvoGYfo4uueJdVOTOjsAsievgycOVPAtjA2X7IhqmLMvdG74wVOrHHV1pyvInCnd+kBZwMF v1zGQSCqoGonH6jc7yoaob0ouODoCKffgcituC0rYwhxbLtOZ2bS+uKOmkWVxY0VVkhWgviQDtgw +glBBg684JJmUpoGJGxd3fKEeQ2fkl5tng2b3yLvOw7F46EkHi00ysfsTf5df1jaorAsZ9Pq8tlI MOga3ob8NwFeqbwjXM1bJWQBHkA4b32pfZOyUosP4KyELogcL+L4744Z1aK/2ltUgwmmE4JqTBUs gWLcaUACFGoVSEw8FsYI9TrlHWFjHlMLWSTM26gm96oDS5dT4OMERo22W0TB9kadMDMMeHM+X7W0 XRGZkHfo3in/UCxwg2NGILkNXWeChd73e/j5ZysK2VakG/Vx0bvrLUQzObY0DSQUmSx1N5t0KXO1 8p/TMcAvcfxepbgoqZ91QoyKCJzgF5pdCsDd+w2X8H7KAUizim3nGIKEIZxIrrto7AxWE0kQkG/m 3jGqX0vrNV4N+eyMT+30vQ8b7jgV554Uv5lDX0KB3HqvvX5/4h5FFyTtpHWeU/scI3S4Z132cbYU cR1UeMS8dhNZFKXQjpIZduY9h84xm5cj4mxF/QlGROGb+Ywu952M6q25vBTtagfjWKjEhKofOYgF T3St+BzNtjmhTJFm0aNtffi0TKVcK2P7rpHuqC6g2SJ2knWjXQWv/VHc7xmvvPFO81fiocb/Zsog RY2AALpEHmp+aAZk0Y9P0S5+34ASyOOT9z4D0sbY1ivxr05kcH3fQ19DAqIb+D+QXN9qgsGtH4H0 ehHt/aVPgi+30AL5CZeaZzrPNH6cfHsJ1J9BxbkRSsS2iOMpajfDiQT5aUC3ep5ORtAfGbzamZt2 cVMlUIEuZD9ru9Z1kM0T9JffqlAZTL60OWlZL1c5JZclsWMkCXqCU82cRYn1Ih897moxrcDPhBGM bxy3PRVGORFmHr1LhVxLJmTfIhUtbIVWbuN1ZK2t89bp4u5LPkWgpFBiGen3fcStoC2Y9y3hY74X 85MhNRhMuVUChKSzmT5KhcJMArBeie/cFLhxXwVx9g7SpfuLbK5glyuPSw1FUX7VryHGK/WYPIY1 mGSxeCFpD27/1XShaxWANbYwrJ+rLIZzc7Zm+S082aEXo5iNHTqgz3MWGkGJLQCMA1eGLTprOQPy DT5AHdGDs3QxOiWlZ0QhTWGwV0xyfNkZqR8Npp0m15guffvuf5zMgO7gv4XjUbEO/7JbD5p4lh/J btH+auHDfoQ07W98nJISOvCG4j+i0OaKumnZJ1xifykdb9ou6NUtt8lOg8ZOEZ1yA6B6pV5bFarw vvRAZTFySkBIm2A9Z5cDGG1D2HE4tXw7WcwJ04eTe+kmzmf2DsoJzGrR0yVfs/kugVrymLStIfC5 cNR6n+8FKHJAfOS/3U4Gqb0i3XumzD5ZfljW8hz7F7RU7nAW/mvPj7P2VifYWnlkMnROAUW9Z+tZ NC6Mateg5aTHPNI2NY6qPY+ZHODfs29Zkgl6DrWeNdKk+mWkGXLY8Oe+toOYQ2Z4uY3gK38hlR4K N4qyPgsseEgYFmfQuNkmRsn57jEkHrpf34VtbgegyXcP7qaDkTiCGPVBbd9TKxodhgkmAmbkDBxb 2YfhIsjkWLjMZXvUlI2nQ0lbUh9Yq/VblD1XzerHY2hX0c70gCv0FH2ULymaafE3yYvTv1nGqZ2r QZ0h+PRQ2Ank01A3dJiy6CY8APrgVODRDooycbcRWejG+4XF5CtfEkJ7gMRjTTNdXf2kqSqnuzE7 JqU46c9KXLbhlMF3m8Sgsoz3E5hv2uS5Q3dN3KKTQOvvCql8/OEthuQ4aLaOzaRTWdAAY40uXanO ldaJbo0I+2xTFV3orcQ+HYClpJAslnExz1Bt8MBzIpdy0Tzr62Y4SwWfc6QmGRXYedMY/dw0vbUS 1CEUwNoh4wYWa1qFsvVTOTRef1k9NHH+CCUxYOdm2tSu6YOcNJMtBlO/NqLiqDiWG/IzAxhiJ84P 0evJb0PyTRA50jOqMJMnaCLcG+g8ItoPw0A6Qgy1O3/TxtFU3qgpBO9vN9QK2ggjQtG1eAMQ0jiz 5dCAU+EXS63hHET7fWYfcE75z3tcqm4JIe6PLeORiYYHL2dssJo+005z0qhXdsRs6B24E6RQIRaF 5n9BO23M2ueoe6983Ei2O+hsQNHpUlkvJzOPAFSl4OFIa9EJ4bMDny31A7TUgfOXwSQY0VCXMjM4 mVeYbwSspm+Er/9O5fPKFxoVIG4Z6kQcG+1f7CFaotRVzwxQTaAMu3Gu/bQsCWDc1CtLqXLbv9oL EeMDp/t6IpeG87jkAUvcBBnwjhC0e7YhfMAvr3PoRuc4xv2UJZdQNpP2EUVQEcbXfuakp1lkbd6j uOaWZeLjP4Y9LcVxnUSetqFVqbklome1SicGIW8JOSylCas4odLad/GdNAWY1QO7eIvOxt4auGDB Oj8HRbpC6xRXNaN05pyl/YpRxDPK9Da6H4/Ky08jIvhP4tzKoG0Ln9s+F4hrB3v2NlBOGvfEBaVL RxyVANPcpY7XNSL6+Z2fm2w4zH23PPK/GH9INxC2wFOftBUud7RxpV/PHrf8N5rjCPaiVhdNZAo6 me/TUe2HdwTt40tdCvtKzpVqZsOBd34zK1TpS1RcjJjkQhU3VOgaKnV9I5NOJlOHoc5KXyR5zoCY Rm4wSiZ0xVIIU9jloh/D9P8gk+K5itxo6csQxzNRlEO9p27dc6KeF9SHBkQ8LwHx4hUj2zs/yG6Z t8suK7LehApf4SI5h3LPFgpuy2opMt074Vpk5HHl62TwbgHiczdnOoNuPVMTZGNb1Z/mDS+Kf1cw dqCLzoNLkTQcnJFKH8ODlfIG087vK09MIjbBJzmjrjpAfdBImd9qjuS3PB2OkD6OrFX/DOaoxRZU eIUk5DMV/ySMyXAqlas9BGyZbcNlskOFV/aLndEitiGTxer+QACGoyZUjYJwE/yRqACuqJLKuxry z/lTjwMVrtWsAje0iJCg4wKM8R/xDIHtfnMNKSuykceXaso97SbhOPemEvwAuztyILLbPf4gPNF5 b6NzmII0M6GOQFOR1NQhn/Fip+HgiyjBIIbuxWQUsjWc5lo1v4F5Gt+I8Nuso6UjwdtfAxCEWTxm k7tD80XxprjqNxMNoo6SDLCiNmsKervgfyg66l5eMvXCYpBvVCAUJQXCPwB8T+gPBSMbSX2cKtGl jWmrWxIPGlm1gyL4cb+mQIsuztdn31GNG0zen7QT22wwURpQ0zE5BRPHT5JI2IVAXCxVmgiI6YLR u94CtW81fO4TWz4bQZm2TMmkgI91GvSyxZI7rzfl1eKSt2dRPeMy8I1IEfOhpOg8EI+3VBynunfB eHPX1QseWyaV7LIJTztKh1mnH7UgNCfeLdQb0UjKJTT21KLZq7qylscoOy2VWXM6Yh8tCD5BwXon f3U+4namfKIUfVZpqr99r+JfOo14fLG8SHqJtKFB/raa27fOS3XpXmoMMjzhEKUB2Xzlmp3DtJP8 TeCpTBqs8/jl1ggfiiiPMGlGbUDt0BTa7WR1C2QVSpiDerhCClV4fbxWlj1K7AM50gqfX3/sGWJx mvR7qJcrr7kvoxwEVH6n/PMkgU4NSjK1d9wfRS8hyCOSHp2YL5GJZ3I3kZZw9T5pssLTEqWpHzU+ zFUTUF2DZT2qp49E5zH7KMoejjpZcnZwMbjZqaC1sbWQMtSoqiaSpeFC0Yyk2f6/6mF8ro9liba9 8HzojbNq1cCrVAqinDQoEUt7bDeAZqoJo7yUWGhFQFFDK7BihfqcmGz93cPSPq8RxjHgrMkilLri aralk37moO2RnAVug2Fogp0zof/c0oZ5K8plex9JkxRXmKGjBHHq8oi+OrtWWfJAA1+Pb2QOX1Ca 2yAvZFmu1J5t7V5RnXaDiuoYf0HtNIKa0EhhtnUIUKoJjGkTrCvpAL+ARlq7Y5dmdGNaUf0GEW2/ 3TJWuubXCUY9/+R+7SXznWL13Vcv1Asg0nLE+88x0hYPyG7uwF49iVlCIYNWHk3JtiRtENXLQfjU wmr3dizFA5Bc4J3agol63yLPCRYcpd2ka6V15DWdLsynqleaQZLRoOd+hMHN17Iqmunm0CTGZznR yRRBJmoX7hnPWLWNUXUXGWwLk2N9vDk1tt1OJ8/ikgkX6RAhU6EjSego+HmYTCXCAvcPSYITCJGg Y6M4FgP3XzCw/8LcMhQpIet3KdVnSPtVY0TltP9QBVvvZ5750Kn3eIQPF9Y8pRN8NYJ27wPhWXFo ydAwS7RyZIdozLacFEDME7LVlaI4ygB1OZmBCoFansWCdVll6NcxpHPYcTMI3ao0yfR2McB4nWGi aZ8gRY7lw4YNz/kQEd8Gn9znYYIOitdx0IHR2Z0CFDyySjNMBO08BPv31RMvjUoH4BUGQdDdNrsJ DnWki8b+/Q6/eDm7/qEp6APZAWDHfOR+4DRW+ghBl8i45Q5+ETdgovkcw5NzEa3YFupL1hFyF8O3 W+bzGsIEaXAQirdxXJhUhGixZDE3HbDBQ2iEUigIcdek/u3ML+1Brx+viMIDlNoU7hNbvIKKTtzV USlkXKZ6b5DvNOYPdOiPPq3VmNSvX42LcEcf42iaKA4cbXnMHxGojijoo5Lo5W6xHHw2L9obe0G+ nYGUsPWdo2AjpEEw+mgCEcMJAW4F9qSdUDHuYsdK6Ku1LbwYq5MuAQ5OlcEPE9zfHBySOo6VxF3A 1TsCoP3npDKxMZRszA9H7G2lIWjvodP0H4YTrDfWxzvC+V/OMZQgXpULJo/OgNt4YMqbdmnoxVMa CktsyAk4GvsXGYkYUfg8P7YfJCvtFo99bdwZYv9ZWybQFmN2Ocv9/l87KiWFoMiuxj/dCTX/Hmwg tk8wUrkCUDacoD90l+miN2FqX43XsLa+8ypqs6mL5zVcAjfUV4IrFPRgJrXpyjb4EVucAg2+avDj z3P8RL3vOLBYSpEq0Osps8bgeeVx5XYELR1G8K/SoC2l1ZEghC/hThxEnhG5EmJ9B521cBtMFAVF /PBV6keGlaKi2uk6m0+FapHQgHLfNdbVVPQ0BI+VbXffTrTF7O93M/YOdC4GOKXzDIIKsY5/GI2j 8o8L/LNn8YRtcbHJutRntCVc9DmRyXod+3djwnEZepfrhsuQTYHRZaTlFVw0Vk4FnJHJ3kb52vOb t2lpPDeEVSjFkuuhFOy714gVHjq4OJNUaS1O/1XABfjEpDzWhAWnY9eJ1DtsmKKJrozWM4VS5kQL YGR9tXAc+3/x9QgJBDt5GasG72I6rVEbTChpiMKLSQBtTyYSit6uprxwUPveIyOHgVBGhJkEQrLL fVKbqn0Cdpbssr+XXqeZmmzFzVYqCjJhFyHjBQHkut6UD7vNTikWLGYYu61yr8O/AI2xcwYOAbqL 6h6Iu1PsZdkicNyfRpkfGTGj8C9n8cLjZsoPOJAAPT3qMETQn740+MOB3HTsof/DoItWdMvN1gSb RwqBu3gVgWFhxD2MUjVQQF8fenODeM4RU/XV+bm/O7NYfja/bQpd5WtaFSrUtXRlzsSCAM+uQ/3+ LFiBqbRtnGXp8XopMt5OHyU2A7kD7GaDqbyQ32+Af3gHjiRqAQDjKPUDsFQPPg9mpFaGJBa0pWDX oCAmQ//5D7j+D/8BXFNCtZoin5+Wmp0N3gHNbt1c3TKMoXB65D/Z1JHWHVeGxIgjW9ncKfp+8kA3 M+/aMvMV6z3Nk2+Nu1eUpM0p2yR3Dx6Jaun1OmLsJgWNhpU2F64UWqLnoFkK/5AU8rWNhhw1ymLB Scr0Yu1PBz3Fjd+8tGDseX7VSi0WSVK9kvxlwA4pBaIm413FD8Edp1TS+B0MimnaELcEIZKqdJK9 HcNnKYMEzXVJZRpisCvW8fbUJb7P7z3ahZ5F5vYxoEwabfAplk28ZX2ckJsnmkJu3GIeJwzlO3Ja mSY9H3VkqHWsNlkCqJ+QOHU3cCuNhV9qo0GUi+iDdSDvVzDhuExen0tsDI0g/Q6gK8Ae/+EUzwi+ F3S8hUPzA3GQrp4NcKXl1wi0GMFGqOLvTQ27Hv3yrnddTT+s6s+epXck28dAVTwfGiys3iEcdUJj ZXPCM2/1TbnwZRqp4nl1WBHKh9+LkGh0rmtCrIuXAG+w14+0EKASSIMgFheuKTwInfnqDYXSC9Kk s3/mrwcOoRV4Bsu5QvYTxP5hWUX+/j7YZtDZNRDpTcI//vpMLj9YywqMTamgK29A5gAMm/5h8F2J FE9ZY039Lpa0tOllgFs4nSjKJgyyl2rsIUe9O1S42EdsW1mEl1erwwlS53hLW2amxKKwbzkGtIpO 30Li3gf+vGEDb1N6zDpuavpE0a/lJbNOlUIEzHKqYIAr48KmeMWjF14XyqJvHdIvKeWF5I48DRJT Aafq6jfbRSpvv49dYGUcumjwXCNyvWJ/GKq6f+UmgUJxvMPd28MpUxc7MEcGsZsbIpTi/daOLKjL Itbt61g8dLUFQ1fd/cqpbsM7iOA7VuNdjbsGCRGY/igq3/Dlo6efSiuPBkBV4DOQGfwDSaUhteIs bImq7LpvmVA2Wls6cWJ64QtqFxutmQXqSSSvnqInMwOC8KnFpoM0qnzKWE7I00fRAcl1JfTp83qO P9tjKjqkVrC8IG2chYmttQWygH4rkmsTUFjzWgJYnqwe2obHVWloLgS0p5RxkoCP6AthnzLnc/ve 6EYzmpo8oM/QrVVRpsSQNkc8ojf0hh2LxjNIVNccPEbpjDIBB0tEbCbCMcPV+1jjvwT+yG+o3o4Z oQCRU84A1g4Fl4wUy08ltg7LaEbVPcWE2SbGOEzmOCkk6kke++zjRgYqXdsnIQxeKZFacSBC8X83 k19Z+BlDYPLOx2XsCRftheIt0aBuVN0Q45C2MKmeASpa273ZzEBl/Zlw/plIB9nf//kgAnJZbWWW wP1gIbbmD31ala/tflXBHMim6AkO8qXnfRT/Tzc0OOWNQX6UfhxCvt7uCnXkPUgjGpG9gRDJ9GUt 6SydJB+YeSiUFk2CjD6a9afgSZJDrcE/wMSUzTj8rxc+x0REyVKwxu1FnKA7q6my2PmvdYALi7gM z6sQxfJdO9SE8VcYi8kOI3WWshf+vPZ3ro3JtxUbHkmRIh74we1TGDnKoM2SKZD8KNO0kF4GpjHt yzHGJ+ijMvMWEN2lnj+239S6IP/zJGZkNKjVCjUyBS++fw8Ty7JL6+1XUWBzYFO2Fd44bwC/axfs HqcaP5JsbADB3pIU1anZbg1uWi8fOWALSwZ8GbNGXVu3MuiXZEu2Sk4w0TyC/0PRZ2sOpo6KvPHQ h7Cg23L25JqtkDNb0hJvr4PA4aKq5WqupYDxu3jnz5D9e2f/YdFG+zSvHJgPH1fuBsyWcXLmzkpA 6VN6GmxOlXeJLnQHMa+/xmrO00RvD/as4X7pyVCq3b5eSZRIsJxaHRLSs1k8Qu7rMAUQm38ax91m poamnoQw0FlrIMRjgtlC+NHSzjifiC8QCsoK8K8xl6ZRG5q46qzdy4rR0MJ0mLyqv0W00sbrnTKf G2Y6upfF4w8XfYE1gYe+OGRTFxghQu9LMJ7HbGxu4D6prt4eMd6/1Ao2D1zrpGIkR1DqXiEOqEwp Et9VpHWFpv3bhSgrrqY0GUi3L1uZeKhr+wWOtGtQg0rmxpkUjRzevHhyKt4DdFaDU1qpqXaUpfCE EtfBHSWeN3F1cERneLKrOydaXgV26Nqw+iIGsGjtiNPNE0p4QFh/0LdIpZtpJ5YX8t5P91z31Hl1 VQkflCZ3aI30lT53b5yOcW1hvE9f4gA0BWyngk/lPD8G/xh3WJBkrfHc7DmAj9A8hTD+uVJxk207 R7ZyJlJPZiNn/kPhVEF0rXbHPk9cAeAvubM2RsOFFmsil1aw7ks8k+05FLGpmDEZ9C4oYIuLdedq t+uRMDCoy8qatJPhHxS6/KFZP3ID9csDJfHrbs4khn7bMas3HIo9X4AJbWu8jNpodgbyVMq+WVBe gQj0P3ocuTs2UULoRy3wRhM/Si93QpCc/0uIdA6ptsNcFXZuWu7IoujMYY5YJ41ueLCTOmtPmEAD jVgh5DZKh1qrLfbtHgVO8wtOJ0oAsFzCv9iYQx4gYTxPx/+iomgFES0IvH0bXRfzWZlArVI273AC Bo636g0pjo4ZlD4da3iC6wJpvUS5xXelVVJzF5Pp2H/tSIMnDaIw/UpwJ5IIHoEoYO0SGh8w+7+o 8QHO+/PLM49RAKGn+2dOhNqthR8B9/eNcCyMxgSmHIpZzfJ6/gJoRA+WTpwVrFjYX4GnW0JIhkKi cKCih86Rgxk5Mi3T7g7CtDfu2UdsD+ZwQVw6F77dbQlBwlgyLi76jLJlW2Ipx5+wVLGmJFhBA7we EfKlJNAtZCbvCu6YJM2qpnZlX0bEk50H8n5H23u0/smQSZHCzYDQ/m+tLnPeZLMFo2rAgxXihv4V UNtD/xtwelVoifp6KmIZOMZFx+EcMNDqdQtccaTnaIIujoUeovQDFh3h3LVbOBY/Xr3IY22JscmH tENoaax3spsnLu9UdVPuLwpJw+yoyee/SE+krcdbQcko5WyTUWu8owxh4dy7x1BGtbXnuCXEyARn sNKSpIgL6SGTDTonRjxYWkQpNF96SYJzQgE1Imr3Ej5JeYRMBuxOF62TrBvxApMvbK4NbYiZshgh OWrshnmXvbMPkf80Ed1USBwTRuSN11Wl14qOxBKAMeu/BxutQDFd0D1zIi3WukBHkO6r/OLff9OE DZUB+7jGlI1OFsZt8XUflOjIX36totcHG7RYBh+VQ+kOpdMv+z6f8UPx85gbonomE5iDvy9ejTEi iAp0bylMpJDQbxQm5DKNxGGRLpOjRveamfY7hOSG5A73LVTJTurZ/5b1cGnPzoab9QJFR5GRSLed +gaX0TflLjUHEGA6NhizyHwQQ+dsHCIIBb7TeaCAmezK6CfN3oey3ipinsY0KTblo5KBr9cCbohw J9MT1iyHWRHlShcibphljrWoGFyANMdranhDyaZ/J1WCZgCj1cIJ2foB+z1v2SB+vYWZmLrbEV5A 2D/WNGugqP827g8A8670Ak3qqUNdV33BwfYTfrA1ZgD5VfQJhAf1g7wmhuqZWFEqERXUDwvtrdr7 HKha0sC3g5FGMCt6a2KJD/OWBmSlVbtyHgatJEEwegNJi+YNFSHDGToUq6DZLhY30diSE9jGbcIW 4E+thyNhsSfUI8j5k5IKhdfDt9eth9N0EcjhrdTZhFrATNGuI+JoTIr+/0JfsZxt/8igLf/MAno8 YKTsSeslX1VDnCYqo5Fd7TgnyGTqu+0LRG835lJEnv7n5tAfZvVeypH6mC1tNk2wYhjy/x+VDv9y N2WCH3QcaezBnwSvbnrZcArh7NGSQYfL6gZnlClcDpVQ/YaJ6R57AQTgmlk/3+jNPlzVCKfVowB8 KaQjFwgDslT8HIH1Is/yttJ6fu6wN3CRxg/r7KIG/zJTXkBNtyp+nJGkGQvmasbXc42yW8H6906L ci170sAl1dBZNgxvOQG6xE4V9x9BSgnMaVrp3yTzY8scbw4NpuyxoUEEKtlQHLh9BPXC+2wZF7zH ZjLjMtWIOjgKejbFvy0KXsOr9AaJilo5pU0muUsun3oG/a/1gONgbo1xua28IkDA4VM/NSeNq95W ubSf3IOZ5csuUlleZrJx/A0/KzXBCT5Gh34lUNeU7aSjUvYHfpurX8H79nZbbKrkk1UrMoRGp2i3 gHQID9sxZJp6wiXMR0KvmpEuYCLDhwxNxmqLH2ImXeGYp2FIS8oRGCx/O1Bgxr40aickwf9rJ5oU sPUzqYZ6De0ZT0DB5l/DMDUmNJgLn/bb2oVYvgovMGGUU2kU4v5M49kUH8UTylpgg1BdmvJjx5Gm LSo5QiMei6pTCO2LDi14CCQnQxyN9ZWUA1tAVYkSAgHNUyim5Ka4RA7rNn/PfkEXQi2pkNs+xvD4 AX0P0S6ujG2OvqQIyi3E5R/lFAAZMPcINTonJTlIgNMhB9X1DyKbMpRVFr8YvVB4VQ95EJpeSKM7 8+K6HG0n7u7dejPZZrvLUAzaB2PXt0jifEodZbrAd3eVnW73J3snHmC3L29Emt5WjAs9weurx00Q QdwmKa1HrVp5wcX8bXmVjitiQs7yoUuNiRyPA76/5bed4WsVZ7ezjXWBDcqboRII7ndtuuFghql5 fD+xFGQu08yV/PdpQFqvughW12sy1g3wUQROadsAsp7mIsuaVZtEyEAgfkJ3OHqLyKCxyIDriKMl ForirbXoBabRmmE8FjYvEpREwLVMhW/RpqMEf1oeaGSlKcTHfG2KX2pyabSSqEoZYWOvYDCtJRfU 5FlHUxELs4crC+Ts8rRo8rcJKBtKy/VRC1pB4fuMAm8cCVF+14oKEMNXqFll4Bw5Vgp6zKTawmWS CbZWE9oUe/57MrWRDImqxbP7UX4k1XXN063tXjNGWpgVflHT0dQNqh+Q8eI56doUOxVTkmRzc65w kx3lJYb5VRFaXEvw27dIt9Df5U1HZCXc8CsbOVlZS++4IvjV0rd+4HilKn8bZVsxD3mzGxFF56G8 AZ/UrfFbyywsZAW/B6JyplrsMjqt8jePwK4I4VE+L9tIqO+VY1VPsN5Yk86pZWCyvsVYyp1DrQgN YBhtSatrDSuloo0zrZnilfjOt4hztmnqOC5g3QD6KkZvO5zLTkjdessYVQYX0cgbNXQRlNL7d6c1 IANDliP90De2sM14KDhg36cBnX1AZiMWSWoFyzK6rhuDcg+FrRwBRHFuypN8LLkoqDwNX+kH+kVD xKzsPJj6DTc4CsD5BGdIrHuNV6oHTD7i28A/GVmDPCBQapHPe/MJbm2a30C4YWkSjlytBnfJVCm6 fUVR3vc93qCE19AzC9PN4avxestc20seSTxtnWPX4sFeq1ZhgkAKMq9GVLL4wsqwZFftRZ/ieKgw a4h2Sx+tsPrgmswhGzP1Axd0nPhIKA1XTZmK1LWnKCCPBUhcQZpZYfGJRdVEIPPdVbTVSJ/4T9U5 Mi9TbaycIxuhQtcQl/OhAHzl2qgsfwYFwYHYIL3aVwGoZ1cvi3U0MBjIbvoAS3rJylE29qn32yaz fIqcCVN6WpYA+1BKyk3+WVkHwJtr/SYRzUfGfQY6kZs2/vJUKO+a4550zANR18ObfaOjsh71wPGM C99HEwbarIaLvoYqtj+6OGMsKZfYyiu4BTHcvHc3UjLuMCbc90sYLdFDJisSk0+DR5YMW5Ww4rGd N4Xtimfib0PB5WY0v4Hwrb3SJ2Flt/FnecOxj3B23nugSt8YVEWnPCG7oKzL+uhQyt1avpqEH/Dz x2+H2bAnBlD4N52J886yHeztrBzRTpWhIkAqDebThIxOZ7sbdgqPrl2fwttGjXxb0/qYUrKaUywq nIOXUg4ZDRIeGb0dLI36CkPGdh/ejS+pf/vbvmCxgUIZjjBDRMIpuHyi22xnJKcf2IRy/qwfgUYR ET+11cznyvsv2yugmGB3yc3FcqDHkL/VYUpi7G804N4thpg+3aHkF1fkb6FcK4HPQ4tg6sdXP8IQ J0Jdn1DMGzgIlUgM8eiRh7otuG/srvYEnsibgvxOE12Knm40fLjXX864T7F/inEefHHyufeKrsE2 9+5nawfUsu97vo7ZUOPmD5g+X9tjtLl15Qd4v8N4DAoWh5hApPg6ZGq9dCO4A7LCPoA6333DVbHc Vk2vCmfVE5oj501zDPiO/Z51l3zQOHLBe4mLjJw7WosWmfnmS9f0z5uR/aYTObc4K3YWVzG9mgMt QT5sfnok7GyPODGKB6sAsAG+lVRDswKfYUICVt/dM+pPQF4ekYsICdGkG8KLl6RGJDyjYtWA5nhs khg0fPOVCtghp+hZk5vVqiEt2/ysTsy2/hNNnrEdnCXemkU6j42QLkpp3hJOSnPwV2n5pDpYwwcz Hq7KjmzkBQcRWOCCBZMMCA+pqCIg9wzmDqijhtepdHiaf0l3rmX17B6oc+x+JpFRa6xsMZFcDcDO F0ck3QY/JiojS9FLzIGgGk7NzvLLw09k+ypt/oimUVsdTUnRLbirYP1wiak52T+D3N3k9WyG70VA 3BqgtJJ7aYmNQ+iYJyope+TG4lp1mrOed80FqIsj5qsu8epOCc+tRu4R/pFkYoJ1D/ZiQAUOefWA eJ/Cw4eUoqMb8mHQQkKEFfjTTlDQHqW/+ERU0krNKMjYp0Bdojl++RhKN4kzfqTFBv2NJNOhB7Ua OJP1iymNVnf7E5f5ctj8iBhet2j3JlMUiyWXeK2uvXukUYN8RGN9EcIFKceq/B87gr8/D2xzHV4x 8gNrmFZN5Vo+MCtUOGKaIFReVxXpNc8PQUDhTT/Ng7r3A3zHFWJVsbxXwLlfkFU3wRFIMWDr1JVY 2HBbhXd7MT7BR/z7Jsp3Z3iYMk49swSOSstFK7BhYC22UmSzd0WDzOES4vS3YcIi1zXqolcPUa0Y UE8SASFaTLbl2z/P/zoV3DrF6fImgvQtlUOvotryRdTdrEqESrN82L9OZ+TpCmqPGGFn7IIw2qTp /DNT9Hz26s/43J9kwpnXB5yObdkvmmV+MXruCimRLDlkuT8iGhBgrsARS9QvU6WaHwOflquHcla+ cC2so74uZcVOwl2D2zAnudycBuYv0NmuXhZEGTW/2I8kACGlsIEmpgg9RGwQaHwY1ugmGk5atXIu uuTeubJRnL3SjsILfUVgdLg9uUAdS9UJ67HOE9gTp86ie6eVe7J3pT13o5Ud6Hs/ig4kdZz+qiyd rpU338F/EeNl6VZx6eGQ3IfArbFOVOe1KPE3PSltwviBZoBukMhUdcw9PIxokjBsMWCBbJfqixyj N4D6Hi4SwDbY0VmdzDyCuH6z/diEdeOaF433+37xqd6Age9GtTkL+mmQxRI72QrgNMTeqlG+X0+d T7vPjNtM+hXJm8xcy7cXLvsBPGwrUBWZz15faJZshxpkKHEiSVs9ciVuHTAB70GYk0iZK5l36wKD xRlqdIdXB75IrUYoA4Vna0WwRouHwNCiXfcDSnjFLfQkizvZ3zjJ0UNROAOLzhruWr4TAok96Pq2 2f6vBj6+XBxSWzSl9TBFhEgXI7UtBCrexFXsxQW2YwM7owVHRmJCn5cTHcbVOqulkwnyFzdC4mT3 mR0rA3EFoobsrWnQgpBR7p3LGawPHSWkiKAlwKA6G60lSsZVM2ov+QyaBXneHBLBpf7HBu6QsQdB KCn3TmxzNMsUoMsXuVeLbO0mWhtZkVZv7Gf8e011aRt0kRnC+I68J7geatYYja4BuzVNYkkMjjoI zaBSjqA/AexuBq48djBGAO3zyxqVDmsnNbt9250yuT86Pd505ybJMPLc7wvO3L3WbeU8e4zayuof RIVusEdEmtegXG7Y7cj5yCjDynDTdApOk9jcgVtFEJ3UHbh3cvaCM67ndqQApsZ4Z3mjzQ8HOnPn SaY1Zssg7tJc2bhXWUTehrp/Wk3fYxY1VLg7USDP+Ob0NAb+oapbILww51Kq2ajyzQl2AkVXWkXA /czRQaNG5okTP3NQb6JHL9pcsIpQDnlj/caO54jK8/Q4aayTC6Ya01ZTM+Jh/CkLKkkPYEUb6p75 OaCVuz4NdS94DLk6anNyANQ2PKrWyWulJAFZcnzC+W9joNw/RN7vjyTbbL+jDC1iwMJfseN5YeBn Eak0dbA4zDc0godSBi20z9X7V3IGWok6PP8HTXw4Ez+aBr5YpIk37ypKoP6Lve1fi5HUyPA7Dhtb nYQfZcrY9XF0ECRNXclg/rMSwaVDE3sZOPiOgWMlD/Kv3vudbmvMgjsaBcYZERx+SAXoM5BDOT0F VzWk04pNZ6wd2/Rr/Jkxh9nonmXc38YNgIP6C/wjLTUdFFVcshun+PzRKSKMabZu//+pPFlpdDFG 0iAblNQxQNGJTmPT2aHDv/GPxVVUwlYtU6UqTSeznwSpIoAl/5ejFLqQuqh7WPqjN3E0Jt2VcudC zFjUEeuriKSCWGCUnytNjHLD7CJYbRv0u1rar0V1oNCU9T/DUAZBOd8n0I+PANjuZf/0e/Nfq2ro 0MbjtGrB58vjQYMaAbL25VshZCbJmlvNukTTKGKN0a3IBlw/cX5PBiRayAyNFgWC5bV7u7LIaHrt +Jms3++jlO5H4CqQosRPn+MNQj4tPjDJCQi2yG0U14nMXq4AswifWMsODA2P8GN065sEo9rMtK2y vHmE355b4P+nXmJR1R2nKAV9YnIrqWSX9SbPe2m7CdJIOr3c0QJC8dTncd9wduxEX9Dpr9tLqB/U BgKU7EAH1uxDK8sB8ecw9ik0+rL2Wt82JbGJ2O4Cqhc276Z/y42c3pBNGABPmPLbyHLshQdnX67O dzHhYjTh5sWFxFY7IXXJU/XE7Rfaq4h7hHszmDEH+R5V/Vj7rpr4rXw6NMVpCgFeNQEz1bIghmSF 3bfgSo8yWvqsqo/4b8E1qiXySL2qxzOXGX8tQFf5S7zhAmYoja7P3hIBtUABuDiyWneceFdA777N GixiRvuxD/ziwRU++C+2RG+ud24quWbQGwaSJxei3XPDn2xQVEbfDI4zd6DSmzk5dhMHdmNImFoM OeVnLdhibfVuMY8bSErZDnVU/j+FKGYwSHb1FH2PbLxKRIx8ibOdOMJ5AGzbWmnUyZ0U2soTIBaR Umc3ogyPlbDuYknpBrOexv9x7goMnee2hrAHieOhvnoL8sUlEgidB4sZBmOk0QN1TKVVRyappyaS yBebLXUxD3Z8RUe2s5L/ag6Gg8JO8EcOhRUmxJLKnZHcOBQ/IxbpUVTzW8fTNS2fOmlUkT71myds DglfnU+EYNlu0gEPnOX2qBr0HibdbceayJDWkvTVHPpCSErqpADzbhq8DD93ycPCsE8wYT3fet9+ kOcTe0yec4NN4VN3p/nXfE8WErZId9A15T15ZMmpwNatIVgoGIUHpy+pcTQZ9hGoz7dy8uivr3zG IRkKhJhBsiOrghvIeBvocXqZXsyPN1eipkNwAhHpXnH8z2fSw4zCrKTiiNlgdlZMAQs+HB7kYXQn BiLL+iESeDnD5sPn9bxQjOfiYJvQe4oPTIfRa4smOPyj9ziS1/QmNXslJeqRJ2hNlN6rvOO6WIzG IiiNQNwOgu8KiQsLvGK4cvDW7Zc7GKZFCGCyYNTs2KzgCkUNsJx3PErs710MZ2g6MCubZYAMrfMq TJd+uvC5Q7W09oXy3E7pGsx7ZyH/jVaaIj64pxyacDHf7DAEM+GbnZMWvBzm2HCurCqysy02E2Iv vcHHRPUj7fq97+P3LyYwt1b58ZRNfKoKFZxSzbWNXKSFktUFdETLZYf1U+4v+/LTfpuanSWL8Lot PtSTDBTqXbUeSdL95abEx7FfOQrydPdN99AfUNSf6Q3gKrOGQQa0CVPRf07LIZ1xQVx9ylK6byFM hEO2KC2lbe4wkG2Ns+ln4bRkjPbxjzNIYpoO1+5TKHA3iat4XP05ZUcxGbZ8kDy2XhWqDVNOkoor n6chYUfTVpOF2cKquMwo2r6A123pMxWwCA8B4Cexp1deNlKK+gP24QhJXTYyqlqWamzhVksF8N4F D1A39sImu7V6cBu6VepHCbbjQ+MbQ1qghtT/btIHJL3AkpCbgqMww5rNBzBFUIbEh8kx1K1vrGzd kaEsU10xE/hrM6uyf2EsReIYWZiLQuRPs0zSCmwP8j7xWoZnRuf72VShYt+tcYrBKIeJEus68M/x hGcCKANqBU/xqQeVFKK4Ni54LRVIX0+gsXL0q23zdWMcYpUi9FMdCMIlVJP+9lFfq6SpfDLN9xDt jQ1G1gPggS5B5xJV8fKJFUl+VGwhBXl/1XqB4HgPzwQiL79hztlrZPvRIL3N/BZAUewI+PIH+QQS P7myeZpkvcfycLruT/uj/VtJ62Hcs90Rvxgsk8b4beWXse+fqYOdobcfeU1m5yELm76PcbSmz8I1 G6Oq9WBv/fds3UKjUVVJmQ+ZOCI8uoqSEPqLdJ5RiM4+lV6ZlFaLY3OFhOBZl8g3b3a5cFvZPe8p jdOPLrppFb0j8S/emjUrj5e39413d7FlC40pwOfjOzmdLVy3jCQge0ZW+zHNoUTSCN115HL3SvFW R8gqfWXfqOoYlaN4Rk7obGBvI3H/BTTLCem4V2s3JP4vI+pDGvraSai0n7JdBKiI3JF0taWmFELb c9aOMV+HSWgHtTJVoWsyNuBlv5aIbr9w2zR+vC26TuNDI1Typ3JUVsCrp6kMwI2lBnbs6CDTQoLZ 1zqbwC7VyvfCXkxfF4VsFHUKd4HlMkpp3kMNAsmKOTFc1I9X72EHoorqdVcg9bmoCfz+c1aQADQW 5hkm+PbS25Sgmz8ZTF81EUH9sg+fYygPORu5r2nbf/+zWfviitzB/ooUXciOjkUC/zHLQRcgSsGs z8ZwT8AbeC6UoHPQ9m3JRXNB6EChefivfkkpWLQx99ipntpOHlonBbqvj9nf9cYjDDGOcyvxJ+Ff 5QyqAZlJ3TjVlATJL0YTaH2KtU6IYsa/4a1ubD3Rj++RJo+bQAqwsD6fCHUTXy+b/7z+w+QgiEZf A0v3YZhVVYIdBDbyaqnOlbwFABgkYgMlLCvJK5e7a+Vdx3WHONYiuSKKSEicW6XGtHmUb0OOtnhx KcvPueDr48/Hk8xxpTQlOfSVtkI9Mb6MhW2mQY2wCAkqtjf3Jm2YEiz2vOgqdtO701vTTPhcvQ1O Hgp3ilPwk531NyO2QQKACZNRGoCLnMv0+kAmeiiNCWrK5WOG0qUtJYcOZXHZqYSREf2xoEg6zF3/ R21vnQyNFFEIiZKCbsI/e1wHhWj5jwSmXP8rUCxsL8p/Hh6LE+tFIcq5p9Rg7g4eiueqqcbBWZEu YkjWNRkDzv9r6cuSOiWCoqJZVutSSvgm9xDdne+vE8KbR9/8rXgS5q9AGdScBx68O4fyTJHXpFD9 RgOJ7D2LKRQBPiW2+yYB8pfvZ/xCERotOfUcjHp/zbTSafLgjgOXuatBUhDg83SJd7ItNLkuBtrb MnpD9w6S5nkZvW1pJPgrYdRp0NthR0jCcBGRbNREV4cW3d/uqK/rRX2AYj7h454o2gHVu9BALYi9 J+ZjnSq9V4iIafL5YxbmvPrEgRv99/5EvpwnNMX4AmxcP3Wxb2C1tFqOkTb7rChG6gCd2x8C251p RxqYpiPV+zjHLcMjmYCSa4FAAjkA+HUYFgxGHREWE82T6uDTv+im88DSXSWHgckry0urE5bgPa7j V+ptAbEJ0qk+fd7MqifDSbWBYH7hCQtppogW0ubyEbq6ncYXKWPDqfgNbKgkX9kQmlFvyvhXpZMD Pr2GW28dQHyenIu8UQ38ey+TOBlaV5bTIe+7O2WLUnuECoFCObKpTs2ZSyzrgzFc9S0OEzyJFzin E41VQwLZ//UbGGIa3+6vKEPwOr/oCl8gswLxHzvko9dGnwYy78xKwL3cyhrpoVl29KrYc1qImsi5 CHXARt7vDm0g5hEpwIDzO/LbA6fEcdFz8F1jqj8FFZRHVNgUGCzYABWIUP6tr2sz5WS+OUbn9/WK VeoWQ15uX7231X02LlE8bOkWiHUeDy7h8PkPV+XgYvQjNhKc1hL1XizEaF+ms0eS4jFItJ6dHlDj hMabUP0PIMObWtAHRcNG09jPmL9oGy/2VrOpLTUlp5nRaxzoTpw3qST1s5DxijCzpN2XrKbcbpsY c1KbcfzAgeTCufkCkrs57Wq7juAlclEBV5tjPQhZHwvoedV4NPdu+0fpV+y85ieIQ8/YIJHM05Jk JyuK72ouC60JD5Oamewv89sOv+c5KAA//IOgMXAm0JfenDW0WZQVQYzoUrsv97ZIIIRYgbsABc8m wkkMlz+cmFvqJOKNbSENEXGWO1mYtxc5ZZ2KqsfNCHUfKU+mtqV30eIKHommSRPPP3bBIJF5MSxI 3DJSNE9umIwfXrCit7SGWO+8NwXLneh5tPTlG2Nwoy23Wk3HocJf8J4JUE4P7Y0Yk4X6VcmkWODZ KanCDCFfAKBM9CjR3c4rT8oWIytJPhoXqOR8GhibviLHTN6yPACGTs7DqF95hfvdmLqGppXerAb1 oVLfzgw5UjBjJ3JkcmbkEDYZAl2RAUzSQxze2VLR3HnL7RDl4S0UNx4A5yLNsMiOFUe3mjHnT4dH 3Vm1oLPGeGzNfPnRB4PFwiWRIEkJ91wwzKONdi3NeGVBDIWTko7ekNeXNHaLlOd6aW8C4VA2k5t0 oBqCZIANvKkHdBC14au80tgoeuVPd8P/v+iKKd3UHGJrRmRRUltyT6yhUiHBuaMTPiUXkuFTg6Yf WXNsMk3i2A8u3vlfhpo52ZQ4TVCKE2XLO3jNwisjQCmSTMKyJ6xcAzcQrFi5xkBJPPTf3BWYrbQh fNgmIMYZAUqmfszyvXDIFhGXutE7Z9GTxVED7+cE/9OYVwCUYY70VtuD72UKbbsROVxGOJPio+Wh hVdXhYiwWfZxKnlUKzWjOP2zNH92Dk9kp7ZhUl/goXvT/d+EmTPxM0SgqxNVWTXQtgLynlRZMoRb efgfTtsaRwdakL83MnDmYN+RxIN9fve/rOqbtczs+hia0S3TjI0sJ5OyAGEf+T1RfvvJHsYidJEM +taKHMvrt0yDNaTrPLpB/yT1J+T7cgb4kMYqSNq9lgtLTX7bBlr3ScUvNnOn2YecEw8Upaqt8f3m 3CiOXFR+0VyT9PjeEKQiIdU5SgRYqkSHkYy50XmC8HGnJ5oSc/YM0G7cLU/wTqNQb6AIh468VajA m66AMtGLsX7Q3QGVideDDsbwxf837saxg4dd7IqZjXC31xOv3ZqcfNa+n2XOr/5jNX0EWlawFCAQ YukyRDixpogp11I1rvRY9RKOTs9UjcQAXsby1MTZqEDuHJloAS7nyzC0qdhbaij95JXMgoGIAuq1 uZeoECrgnGzlmYjmxZyG4sychRdfJp0KPtyT50aGSTT3CO38PrzOphBv+Dvm5OV7tj0IK2fPwUDI q2tQme421Jp+Tit02U1XCdTpz4ZfGPPkwsZ0W2FjOYWKWbQfDYJQ9F7uxCwCIh1i11Fu7lu22Os0 W6calsIZnDW4O0k9knYgDI8+EK0eLiEbfuUslz2P0wVUehjkgp2nZelkPrvXtPTZHrY+tDtjPk/b 8bZw7pc8K7va1ZeNUwiWNGOGzNzLSOqEo7dJIn0p7V/iZnNFvfruOVLhx8sqxN/2sC3SrSYWql0G dSNqVASuwJZEnXMKmoGFuRadI8SUviFVcBidGC0c2iNW5s/iqfGx7B/jyITfNroTOv6NH9J3CBY+ TtAggsbnMbDy2u0SOx/BzdsP4ECUkQZknY55z97K4p1RXwTqr4bmPwW/iEC/a88yJK+BtrOZ4jpn Ky4+5XgyMauTJ3HyVuwRPww+hZ2kpDXEUf7hCbegSvK7sd0MRpCCx/4QlguK8eZDkuMEm1fEDuDk 1W3XFegO+B3sPxMH8gIXz07a/HEW4KDWLQVkfePFewQgQLgHyDs4UaGsguAFvmJyoO8uYf8Ag6gs 5sdDlwVvxgytl7k4kpSmX77gtc6U+p6cV2x7IXJ5Hggfx7xxSq9kD5jqu3284R3YYBZj/92L63Wj MhoSR388VzrNXgt7jjSyXpuORi9XPkLa3Py1p/t+UvCNHoFZX9MBCMK1lpJGo/Xk+q4ym1pRKK8P po5+RScMG81vWTo/BA5UDQmWueiInKL7TU/NPt3/BOOPK4W9tRnJobta4Lc0Z/iQMP8NvN7MvzV0 EhW7nPgRyFcsZiAibQ+h5bAy9HxLky/daFGS55TntrYYL0/VrOGvntvhkmRZHsJP5ztdn0lfWmlB GwEYhmi9P2o8FGrCsXabzAjjQL/Rh1bb1CblYiqlkbpXdGhnThizm8gfh0wgkcAyXU/+R8ItC58M bb4QCJkuPjRSClBQ5W4sHO0mu/vUTgXIsva2q3dD6p3NeNQXoVvBv7Hw2FirQgOb+21rpuKPeG0d 7Wi0goVXW4SSHKKw5XZ4yh1CT+u03MzByl1s/Ph42tsfnPY4cqMglqnjhzH9xsmXrYJ+CwiFYqss ++2bG4F/czokO+9oRKMY3BsyU+43100wwr96z1PpMeL0mPOSNQ0J1Jhc3DoN0VGD9oG0LJoE7OiW UGF07kndcAFb5LFqDrmBZ6cyjICc4mYDN/NOSPwfNgRlOsrk9s+EaefxTBvuL4rDTYA1D8Y0rDI5 AWHRbLJzIkYqWUC4tn6SVntbYWeoWXPFbfS4D1tGeZ5WjkzU/O/x/My/GwOpE5YFr5bU+6eS0VJ3 OZkgSrdw6Ym/LlrXlnIHLeyPYp+r6fu4xX2Ncdnql3jAZQpPVlXlngAwI9BbprbV+KxjF0dAj44V KLPQY9HB92XEXwfQ6FDdRO1n8Z9oiY8u4bgOPA350B0pLb283WS50WrFXKAy56NtRW1+DE2o4y4C NgvEJUlM+6HdJZZZ5BhriMOEJzpwugJcddXLhx5ZT0AbudI5Vy1NcYsLUamVfm29h/t6z9FLBEWy MaJkw1QxXAPd00k9d3TGRZDjoiFWsgiBG/DE69RA4v0g57cgchpmFUjMrwd+EQ3OJWUt/szN2Gca mJne6DukRedEbjqXfoTsBWt8PqK0OSzxQXKCBleYmtGk2q/NTlhxInXSDBc000AxxGf0moch2B6S 8c0jheCr8vOZ/LSFmG0WuYeTKENyfs98hBctKwiYMAjcPuX8sN/b3MkpxiwB+XC8b1c8JLMAePw4 YigurJeZAj1CKpnEUQ2WQuNIA3xz388rubzvxqeBajRAyU8V6Pl381j1SXFdhnbBe9tvAPE7b7G2 YTrofeavBQYm9mCiGonDq/zGtToY42CC6dJlz/Bq/C/dQ9LYjP6aUa8QDoCQsuwC7oIRD9BEKsvU YQjlaLJWnE7p2XG4m8W21k4VDExtwRUYvsC/KHcgIx+vBO9EUuSoQhJHMP9jAnLGMB5Zyv0IwGL4 v3PwyOF2XZqU346ZK+UIiCwUyanOgh1P7kQACz9QkYRED185CvHQHEM9krP9IcwZZmGtjP4F2pM2 kExq5Yhl0YU5rSuEla+l2yHTOfaCLUKm+wgPNhyt5GGgT2I9HSfYjmrSQqGfSWzdBRzDLGXAdxzg SomD2OuzMNztoGhyRbtPYdOpZOd143CwLot1Q4BdywLD65n7F4KCcs/5eNvXGfP5wJao0EfTCGxB PLAt0KBBmEXeRgU2K04zn7Z110DtLtarH7OgddeYaADDxphrvdlSrAdjc/jLsD51wDUWU7gs8XqJ O6zg1xkAwZaHWFY6aqGT/qq3MRSUboKGyUts8menn37xvgqMhEOy7YPcGFr1yqChVS+1h+LJPKvU vNwbfhvXk1do6R7Kc3Cd3j+AtM2UDJRkG3O+D1RXq70+KBJDvESR8W66oVsX+BySlDKtWJOWtTCb dxx+s3/3QWt4Ej3edELZrrGet//DSJWXeNlfCvR78RvH+y/+yq50asr0ZFPFVe0aC3W50/iC+b/1 OSNbwsJO9S8weqMjVhdCrJKtNAoyLqfUyeP59lD1ZQMMCt1Re21V/5abJe/0z4cItIowbnnExnnk Z2pJic+ijZym+AXmLIR+sdcIUKEx84AJPJxIfYnrAcGPUk71nuxPN3r6aWxuiIA7H5kNxqfXbDXf F5nyBMdWnuXVPfC0RbBFb4zRC7cwTCUVy+sA4pR/wJBPnRIQ72K8mRc5PeCUa4vTzA+vcMH23Txj ebXokDwHRkvPdp2fdsDe8nc9JDxfOSr7YdamsOBcup87mfbJE3Ns9JMtx7NAUklG9MZUKohCeV4B C6ZxX0V0q6PjpFq7WWY+i6tLyTM9g60sgLV90QDsR10EiJCa2zhSItmrbqB2QZz9cY3S7A2kflPV s1foYED/0W1YhB9qI5v7m40+sgVSJjDn+/QZfK6qMRjk25L27vg2wxqtD70NPMQlkiTnfWC4+yjk NPOYVFMtTMdxYmuwK+5W1sOXPurDTmYTU+MrhUhZFOgjhyuKYA2MjEkVy9+n0u62CIvg70sSRcin hZ1soItMy/zt+xOYM+nNRGBymeXOhBLTaMBRtDyQtcu1w7RXwshV4kXSv3AWufj2P1LuDJEu9YzW GTnjqKWOpp0pODUpz/X9dTvRmRtJcQJpiM5Tq7CohaVeJFYBumdX9ZSuKipjOOpfSKWWG2rkqmxP bToNtfFmPkMvz/5vkA/ECamk7CRe33lRKXSsyLArhUQjvl22N6DXeRSZAcNI6eUERKtHzwEwrW6Y y4OWtRpFIqWPeD3Nq/AM6/nH83qgX2OuQJEZmmOJF7Mw6QuInvilgp2LFGQL74EJ/402lhF1GPMe u7KJJ9D2cDiNkTDAW8Xg0ZhOq8vySetWEB0LJzM4QNhG+9dXR/bJ1LR++wc1QQRNShFqaa4C1Bqc HbRLmAvTDs1W+nt+BSjEyt2260x3jgb3Bdgzqv5+eofGnul7MBJtVTFVPOHyBcrSmzI6m+Ayu/Kr UGO+alxGeosRSwHekRecFMCUNbnyOZSa2h+qC7JfZeIzXyRD6ynUOp70tkqIHd5M4WEiX0Yl7Di/ 4KfskBC1N0rKEr2Nw3DJL8KY0aMHo7tngc28m6mtA91BO1JMB9dEOd+zZAGMlFHfpTwJ7PorChrU EsBv2xK9vqYXm1hlgufifhU1s+OxpW1Z1KvtCDgrUwAcuxsw4e3xf4/hGh1maFzeHoZ4Ywrg03RA EGODr8uSwl+rbHTnYMqod5Jo7pdrBymYP0QxbWi0SoB17jEUFooyHhuCwcZTe+k9rpHFmq+9L3zY +i3OGzzQ4/7Wt0LxsiFY7EiX19s6zTA3t4nZdVN4YlzpMXeZoDpT2RSj9645nCrWJx9e7fI+eN+2 gwzSZkMKxn0PJCXwNiBiuKSxYvYaimDP/T8s7Vbrxc/2Ipycg0OE4w1NtOHnNZbs3OKP4pSOSjO3 KvACL6UtOX9zF4r34Gs+fqIKO3C+6KEfUGgFBcFMv6UGF7siwE8vbVaM2ZTXWah7KDGZnKPDkO26 VAzFgVtrAXBViKLmkZi7MbmDlRfhdJjw3I+Ywe3H38lLpQl6VUVIPAFAftrIT8MtA47Gqbu2K+OW 9o2OOx5nlREdkSVfT0SpBktySJcQErHNkFPrkD0Rnp8jFLmFldLr0Udhl1czXQLH4B9LFkJcyrun /kpnpIjCxK7hXrlzPH5XHjCLuJzdNylII1k3K2+3ceVlXYRlBmHv0BMyGGOFXtPU+JOr1jOr4LJ6 NcKwh3Dgme8FxmiGvln5l8nded12isZK1K2hY+kePfkDuN/qnTa+85gbIvb/PHaYjRek2Nr6RJFq Pt/5JbgJtyfq8ayj86vJwTldZSqLHugTqorXhkxJwNc4MQpwV60crt1XRm5dz4T85hUZj81Fftpa dQ3ubWu+T22RlIrr4YzDvfJ2GPwXXwgxf/hp6S5k5H4kre3wDyJvyEp3R0QxFf/laP96giGq6viN zB/leG6OhPrVAdobLehcFaw2eYg3cLp6aM2yMU6b95CsyKA+SbosKBmcOJ9VachEAUNobPc9FkiX bhXgh7jsL5fPsN+uwcA3lrXQs+sUBe5GOIBoxv2yQbX6EoOZlBCe4SUCscKn+RJDaex/lTTpqnOq FV3og+959z46KVKPzjLA1qFchel4zKg8N3ra4fWC0IuHYO/3nxCWM6sym9vKQY4tExH1ePTAsTfj t6AAEA80QyUYvlYiw1vxcAloXL0x/xSshquNQ8z/MPd/9vdCkWusDmfR165g//9hruu2KR0pR7O7 4C7cNPsRbBG6ygv/1ujZvmztMQCxl345zr+47Ljvc7ivsm+ydNZ7m7ja0XKMOfwb/9uU1CcQqIrX fw39tAHwU4Kmo367Y4IdwkHEDqH1fNegwFLjFA6Ld292IyBvrw3klNhZjNxU5ekKbNK8/TK3/veF ZVVTrNyDjTbbiEelnxgFf6mI76wQWU6ttKoHXcbrrJowuY72amncA9UST56PhUQJ5JVi9ilHboFb HL0S7QdrnNaupQ91DH2myOIyXivDBqi1aYy0sMEsAuG2qs1sVXMTJOaFOp0dlV8eWUB7ePLdAozd YJcY3XylWGMhqbyXgnq8Gp07w/Aw41hxix1xF71ZvrKOKjcwJfMyQTu2rsCwS44mIQXYUsTwX57e KT/dx/mK4HhdSIVLgeI9GSz48JMQLBPg1EsMic7qbHEu1Y9ylbOMz1yosT7D0GR3bv//aHJnyDJm dtCNUiTrHC9hPu9fz9S5fi3XnL6tkLIAtmtOUmMcw8NKOwimYu0brfEROnKGCBmMzOqIsE7ccYGK ri4bCVIaM12QSnjzUi1WrSkj/drjh0Xw2SlJQXHRsCl6KGJWXmu4ywxLuf/VAqZEXzT/eM7C3lhO q8Qw7qB/j9NyKVimF7EqONBMyW9TfDhW+fOiRkqfs8MluM8nxfQl9B9jSeJorssGQbSoJB/E14qJ zQmr29q4gaPmln9u9JD9zbewRCPZVjQpacFZTDvgXJ7v7eXGGzp8HqH1ykMjF7KMXT3uwAPjC1sq 68krFDdUS3rHCSxH3bHTQAC1WA5H1QX7kWzWQZzvxhCJz5CCrzit0GMEhXrhSISHLCdX1FDwEQ1y Ldp7CFWj9jKSMJGQht+G46keMBnWkH+1Mk4ZdmQeg74ojxv4BAauJxVPSzD7qvNDUrvobcNfjI1Y mBvvtJ8j/U7BdaZWftPEuoMLIsvHdSraRZEkyWMAUWND18d7av/BFwzQwyK/uUlQ+EZC2wCs72NR v4QVUvHPj+JlH1mNbt/pmw0MG9+ZjTOcHolA+YVfZWbWO97AKm5eazVWfQu8HnfJ9LEMJzaQnIv6 HrdMPVzgxt9kg3TfmwWgFPBbQf1dc5cX1+u9v234lV4U1UvFFms11GVwN2id4jzDZaua4nEMNjUY iW4KYGoid9yeqp38lCPyHlBJGUx86hGC8PeKGpcipKhwQDdes8SXSiwpq7kY/Yh9g2re+wAf5Qpq 0g5DPX9dQjrR1TT0aJ1AilWd9vnbgP/ZoQ3Hyf5aOF9akaX6lYa+JC6G7PsrjRuAHf+FCrUBo7cL ZuH77pfCY/MfcSgBrw4ZP4ZCYfxqJL55H9bPBKe+En4liJtedpondLn/YyWuu7YXtj2Bhp6MyRl0 ahvJV23QyovmwevfjcXXT0Q0VUh7y1649rVvSpjLYMg6Vu6AvPSbYFpCIK97KijjRYrSe3NGOB9C MB5Ty6/xm1Uv8NcxHCxla4zNhWvRSVdcv24qYtcW7A4zZqlStOi2t5IOJmqNfjHEwC2FsXZHLJRh yOl5eX/uKgZNU/MeHCueZFbFjG6oX5lzK+mhRU2MhCoO7zK4a4akhxRpMQbQ5POvYew3VRiltTtt UhjanJ7h6xrbukcp/G/AGSoFq1fLIv4r4RrIMGMVsWA3RU4YPKXDZ5ali3iO3SNsj+8bAvp1RmHP lSagKVspinwODsUZhPRO+rDkrGbgHX80o0W2tP9sI+Dhu40FaVtzAF7qBzmurylHHUIIre9U0kai eC30Fex3b03wenF8nvxlGjRKQO+LLfbzZl1fphZ3mLRr1vvVdWFpeWOIlI2pxQvKpDM6+LKQesLT Qp7EYDopbYmdXvm7Uj2THdx07oq8ZdzXPEU8ZJw6lqlmGHHIGkOVPm8m/tg/by8j2mqtX3X4ZT9R zSwIAJyALzC5ABD8nyscsiVO6yy5rnhWcX8XaiBGjAi7kgmPaGY34P5hu5ST/bPrWXRdMlGxUCnI Ymece1MuzUwmmhK/QbgmwP+ndlfTAsGnMJbWRZtwjUrWxgbp9QFgshiKB9HrpJVXHDs0mKt5Z4PJ B9LOwObL3KACDCtd29IVEfUkb3d8v1/C3C/Fe3b7VdxKu4QActUvpN9lk9exgiBINBOAUeSv+tvJ Yl1aEFmdmV/RW9p/1fs6Wyu02jd2ETyC97CRYtbdZ1typ62hcJXqWMZHE41wR9e2ZZyeaPgqiyzc 7p3s14d6zL91qEkZXnDtlykf3ZEbRRfBftY5im6kkBZpJYMg6Q+juRzH+iV6kFc8DvmINGLQPCtc YFW3cKyoY+X15COi1b4RPqc1WXEBpGuhJeJES/XYE9sgDNzRY/UQsBi30UNU7Bv/X22Mw05HEK45 lghz3TbCEimkfQfUmFUsZEX3P19zv14dCFF0eNO3RVgDes0v6X9cv3vuvQjTESWT21KPtNH31c77 JpZglXY2qajXf4eIKrDE6ptCBd1Ik2ePCrlDzaY38/YpHk41yOBRICnWJ7RMG65COAaE6q1VGU9z 1lQPOvyLQXt7D90mwprVuZDyvNLUC6/UfZctY5NdogUT/zZJFVW8+5vyTLb6di1igFIBFjJKkRp5 KefXafaFFy3ApG09cmZ1ZBr4khKGpPIe2II7cEHSswRtzwPeAL3Q6PTXBbNuU3gaJEPBVMrZkRcp PotFXz5KcZNZOzgBVj3rT/jBl1HaSuvDbV6LXrHfdl5w/itldzVngVfKUMPJTfbeCxKBVlp69i29 CEC47VTari6W6/LPBJbS2fDWcXInfvoCI+k9eIf9K4bzhOwpUKJecf+AXDAXKHxjOqw9zK1zqYPy vuTp0MFpdXSUj1nc10QjF7wFYYzelTvIeQwTjNOqA8Y9lxFLJK3mtOox3Tr69W33hVMNukLUl09Y EUiTVf5nI15Jk2pxUDa95LfvwH4WTIn1DJLNsIOuv4pSPbcY2Dg8dnWQfW8SOhFxKLGc7n6w4w5H HLLvz39htOmBOC1IKLIEpow5IaGQou/rFEyHz9TJXQSKUhSX4ifR5B+QE7wyPiYUa6XX9uDlZp9E O+KTOq5N7DHWgvAsZc7+P9hdO9WgfJUdL3rcBtx9+ZcAEhOsXeWxAXa7OG5rd/tQDCjSGy64ny6q v+zNxbEnUQo5E3Qz8ZAImKQJd7Ol7+BPyyPtKHJvFzLmuQgFI0lvfyrMZ67/E+BTVNBZtlIA6w8H DiKkXyV7N/pWYYvshI0+HK16i7Q0rPZ1nswXqdiTiK4D7ElKu1X8MYluQtUs6qdBQCpEkgMNs3eU DoeZQD3ZAbBnueqlJ5CU0oHDoxPIONUYXU7SOKumLk9z8m2jx3nnhePQqX6t1hIhSbk7avLnQhAu iewxGkWiuFgTwwAT7sg8KhDAv1olD9b7Nk+hJqRGBtEwvaUGg9AOZy75of5w2VNM0ICNxLrqyYjs Uuag63/6AHTL7QEig5Oyw1BtOcJwPrY0WVUx4JFas6ihTzGtAxrFQaxL28RUcIjk7GuLm5rsLsrK vYReU2NSte/IVsCA5VV0Q5/75a5QhkEB+vvn78j3ggJDiQVi7Wg82w6zw8MNrKlplI9OCnLtuPUz H3SvFH/CCOtLiHksKNNws0ASCxl+i+C3fPQufSNTynpSh+8zDwFHSe/hyhbOgdE01gPaTCFaECOC 8TovEWHmd4Z4gLESO47Y/8C2LR9Nr3CZ9VDkgxWy9IuEmLJIylQY+QZKh0kv2e+5BbmA919Gs/1R 8IsG6tmjxHWRJomispqB+ihc8RCoXvZ5OcQrhEuQQL1PoRkwHzhR7deIVCI+mlnhjwIQyg4AFb6O zANpMQIz2L2mqgIv7eaZcUpaaIwSNr3kXwjiGedmthnV/8RP04jxGUslwMctXIF3ZZfBEJude8tP JAsXWc7W98lijA5BPDHr+w5g/nApUhozi8xSHLPAe7Psuyiw `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/compare.vhd
19
11879
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JtfK/+1JKYw3I976gLBlwV2xqGRbyVsJ3RDvlPNJRewqWZOfwn5MuTyc+U7c7Y8NUZJKZ6RY1Q/g uXt328ut4g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SKJFICLwrmXfYqYNdiUThnnX5tJzUEdqxXF+PdKpwSGA61whpH8w+itTbLnn6xyBye2kcWPZGi5e 86BY4EjHm7kmXxm6GHfc5MWAMFduB72GxoAF5LRKlUMCOdVsZag78zFjXdMU64ClBQ4zjB8EgXvA zXBqthWa876wjTEo86w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ho0WiFevcJjvoEEaYGtHkcW737RD7c5clzugQBBm9an3ZkyNmpivYZbh5x9redNVt0HOAIz4unf2 BSVy7qVCwKIsJQlB2q0JzVYTIfuco8FlNbrUR7/BeLSPV7XOk/MTxR/0Dg6meFJjnWuC3OrBGp8S Ul4C2x7zg4t68SLTuFe/LzPmogzBzDfD3+nozb8sS3jX7ZaQAm/T/7eoy3grLVkFjUg9uj1IhVTP 59FDPnvyx1zZ/V9kzMjvM4XKEW4i0DGLbDEkqT5cZNTgcxi+sBHO7OnQuIvFzoIoNFONwh8iJ8xI jfha3bFVgIjIJWFL/KzL8e9Uwq67H4YDz6GAsg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tPUgwDCRFsMzMdJqCXSx12cw+CNwvndABCoiKOSYIqrjgxTgSZ1CAyY61ekJUz6cu1q3fnTmoaAx Nh8wOKV+UbnkqjbXLltbzNbjSEawEnAI8RSn8gStXvDoHe7R6pRqYg2wbvEPk6N6UhaMjVC8JxUE Nl+LL/ApnNDqgvTWrcs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EyCeFS/0OQO1er1RAmOJ0VIpIQN1auXP1dzcGUAOeSe9eyc/jA1mhBpZ1JPfDCNxALRFgLLGYZec wCmtwGwTJ9NXiyrouRmXyaKsTpp21jNq9KLTxpWtw00JZFdcekT3NPcfNHa7nkycvsM6yWSUR/cD frws/8FBuaG+siAqTh5qClTqkxCmbJ08Qh/l3c/D5bCXbr8wXY+SVe6EK7TiYFpV2oOMuwWw5VVW 3m3/ZK4knJ1G5Nn68ZhcGx6rqQE9ZbHMigIgQyt/y7vXemBfmAZ3xkMsYj2X3k1fFfReGPYzTOCE 6J8z+FWVfzx6XMFACHDbKayB8gE3RAvjSqIISg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7056) `protect data_block RVdqxvN2SQpwPtgpKRC46v+8mT78eTwW338qWd91xC7Uw+asat3yVeozjmhmB6r80gHAYtaSaEae KCwh+g5iL9CaznwaBx1B1OGIVt5KKjnVTl05qeFEOSmBU2TdF9i7Ny9JZpRK+bscZLWRKNQPXN08 jBuyGWqvZE1HU9r4KaApq8Vub2/G3UR1NKa11BmkANNusVOLcHjFMpXXO670ABDVW374LAkLbJ5g 78TWIvHyUdE0nrp9uwuNGaY6V+Ooyh68caJw5my4AarJK2p8gTZPCh3t/MjKFfH06mkTCz48xdJu bH62yMRLYiB2BUa16fx0V8onoVNj0lIAbXRbaEb7MdGWLpAFZZh6GsRsTXLrto2+LKLryMrEcUye o3574t10s3PxSvkOoq4W2hT76aUbShEANjgmCNvpJgqVdsklSlomXmjRHr4KIz4pJpVM0ftgpTNO BrCiltAJ0lh6VPdvk39TqN3ZdFNrszRyI7dGzHukbuGEH8URQHkNE1Q6lOKcGvurSSFBFAnr2lNv Lx3z28GlWfg6uV2ui9kK3bEDNpCtZzR4P/vNHborbwn7uKEyKLoPFgXMpi2Lcz+08ctLPVcg47Kb T4H1+Op11DiT1pFrA5SpdXOg/IR34UJu9VAN6FdOax1pdPd0OqPeQusc8mjf+NObMKO8XPPF6BWS W/FbTDl0M4yEnI5NdO3WANxq7bnRW+6UILwp4t/vDTZbAY9Ll0Bi857lmJsqEC8ZJAdSBWdYxUGU VXk4Lz5rir98gxKGm0bbMSk5/8Jm+ElZRUYUKo0mlgI6pbyYf8d+62MxGKT9Lpd2gEcKnfoAhIV9 xInbN+l5AaLecCPtDMQFTG3kKNuWk6oe0VXHKg+tzHKunQ6/dtduI3VXxhZNXwrYSpheFbjA/alN vE7Fz3YvZpLz4z4vhU7Wb0irBDO++NkOXqVVAkOB41M/xV3CeoSjmxXFkux5Ow4zy7DxPyfvr7qA 9wtiiaPXBsrZ9OYDSBnHzrCk5x0iwDwJV3WcYDnS0EmMIaHaAT3Ei+h5DiC7fbxfPDoDxAMfnzMx 9SDJef7kALOvfg/B+TaPMcdFvO0lk67G65WfSVdwFZ5K9V6QfjCvdZF0/X1OKolvfFskIfy+ADRJ v6/4Zehbs5US82hWVEy1bLgIwIN6DRKW2Zgmo0pnz8z6hlnDv8QyzXAEAzeJ3zGiGwgDVUEah5ky aIWPVA+DQSNBN0sNNoOizPzv+WMwdEa8Ng5y2sLu3qspLwNbaL4Q9585qIWr8Z9qYvGGoht3tKR7 GDsT1VRZcxVq8hHctE4ph1J48+u5LvQdTwE5CNGrNqG5ouZTv0iNuYMAVofYO0xNCJJRX5nheP3j K7QAKdQu8H8gDU7exgkHFm3HdvKDRLOsb78bkyk5cpd8/84618KD1NoCcQsxwA/Ux3Nj25uLUazf f5lTvKp1sF86qYgmcu+AQcvfZinyvtrmauW1nyQ9KeKq9z9zUxpQrzxSVyfYpg+iBTxpyRYtVnwX nnp/Y6fLPeqfz2zK/nd958kvFtE2zZoVQMGmmYE54cPUfs1aj+stNMEVLpVla4VdEMKbrcvacvyV UupB63CzPBYjGAouauIZQQ/xv920B4DNKgyDloDIhttZBxZVD8OOyF8xu0hgS79a/q/LPNRL3Iaj mLp+8kjuXQmF0fLj6ac8x0ayTE7v3P+v03ReJVBAmT2IjKQ5fgCFlp3wSqO6BrzEH3s2mz1TC04B chlOX/+XFXuo6vSSgaIdG6WtylDuNv5S8s15gM/egrR6oFll2lW4UVWcMumxlG8UmHmoNljd5Or4 cGLxOTj1P6XKCwhoEum1qdAffXoVnTwO5SvPdmpCBTxx3PIDRKwTZPhutXbA3AqWQSxjZqZC436W Uae+eswTEdlGxr5M8J8NkKHG6XtyLC7pdx2aUQF1NKpX4w70j5Y5yi2CeTyZM+m5ZwJ9s1ODt2uv pW7/Zak+cNx1TIPgZi/fUG+2NRBi2NXkMZe5AJbiLLT58IK1xK5iF5YpWTLYWbE5LouPMJi+RPse b2V9dhLCTm2DewtZIdsYQW53vdTLBntxGHNVA35CGfnQxjWwRN/uj6kSE25LlSTzifuH8m9lC4sj aOgaPZGJQp5L7NlS1i/w+UBZLPcym4tCHuTNpCA5E3CNcKhRRRC4DiBswK+0Bpxtn/cQC9EDRVnC kQRa9ov5NNDQjHoEQg6wLa4w6ziM3+cavcXxPDyVZD8dsmjVjeN6lCzwF2nE1vZ7WZb9RS9QE2Xi xF3lpJeqm2RrHDO2i6MarU8nJcU9I72dkldo6sUv4797SmWFI+3hC1s1be9dA4dlelj8k67/gcy4 jidhNxceO06yzWEcv5SBJwwwF/NL8m5lJAqc+6pEV+AfvboxSpa94QC1HTA4Ddzbmqcckm2Uq6wc wUDhtS648z8/hPmiNA9r4tdW02MGyckkiRTEyKbkk8zXfqd2nP316nobK3sv1z9vBY4AXqoUNF/p 1LSBS4UOU9BUaZr4WsVYHxK5lHnVyti9Hc4y/TvvDgVg4Nkfiia3UNyIGpZie84fqwLsrUgkiR0b 5IRI2sBaM/DQaSzMr0rFoASlslBycsGCixgRYH6BOUuZkOOaOWerxD4V9um5v0oKqF0Mhx7nyPoa eIj6eVfTV1TxMIXzg+7Jz2X3npmI0FyVwyazmU2awpvMbmzD40RDJOqq8T8zsJJ1Zw9OvsnD8mHV 5Vpw2lr6M/rhLBP5Q1m7LHayqewo7e0JVTAxKlOeMZgXNBCGE17ewkerZBiG4HEAV6o64Yjuhx+i +wXVc/qeJwRQGlhfxCl9Mil+n8lWybi5o/CGJBxoGjXn1ofW/qGDsZcA+YCp75NQzNaBIF2WdIuj 0thW1hCWLPvP+04wyg2E8h5ZHggBcQoz2c/uEifYHJttKUQdt0hOUylKFSHUXRCU7Vcxw18Y9Vaq ulKyyf9Kza50mZLcfWpLfWq2QfJejSOGA5j4FuC0u5vhN5d0wYcLoSFEXsFzW0r9z0V2oGYDrUom txc3ojoLRehJD0+O7KD/jft/nqrTEV+PzB82DqIWvCCibnId4e3UtSDnz9nhJia6AVrCn7YZo+6Z 5/skpa9dzmvXbGhXkN1BVTrrFDJ22ZQ2qpEr6Tn9xRwO4Y3TIqvrq3g+UEG+syMMnP3kIYpVvEzT yu/Qj4UuEmsZir6EU/FRcCLz+TfMl3TfRMEZ4I+5wBqEKm3TGcewEFPhv17NRDBE8VjyPDkPlhDO mxNRCWd00MxhZ0iSX1IGePvJMjOFErVrOiWwj982npycSbbzqWJub1U9QRislvtpdEJaqx9gnFE/ tY0ASog/kkXLFSJkNI8yPCglFHbGH+L/P9XBmMFnX9uhUbv3J2oof3QG21Kc5A8X25a5od11NNQE zHR5WIxkXU5uQjerID5WzqMrgVR0YYOpI6VFZHL3B+E770Wu+pW9iDThYn7xb7VcQwVvUt0Z44qs ftT0+BCGymyxPvI5cDCkCqjRO/m93WGBLcO6Y/dXpWZSjz+rTFqBJU9excNtBEKM6RQOSTFaoMSq 6znG2/4sTu0lQLi0CWTVVzxkFkN1UowwW0Fj/IPfC5VKHstOqOSDskLjbGaUy1mhFPoGuDhCAY4Q +8q67vkK5klh7nGLJtE7v8JNvcKp2R4VrEqf+30ABoakxcsS+2EGHZTlrbLcQxavlk/WoaNPv8xa yNuueeC9UdD54ZwboRhUjkIsS8zIJmXGXtH2HN3FRzdqQtkRw4nBOmHHHoSvtTaA3XlmfXFTxW0z QndoZYykC8/jX/eWskL43fHj26rYk8cM5wpoJ0M4OCvQl4SgrNo0zkrX/fh/9N/A6cNz47CS548B fIRHTZMVISwIiN4Cd6gmxvz/LTCp8EwYaXa1atIeuKA5NBGqqwFJGPycWSzdeAm/YifgXOyCiIuE 19G9SOs8WPLg9jvsoscLGH6iJbroFGV7Gh/2rawi0iQgg64tZWiQCMIQfh1YVxizddqe0s/tkFIk j4DyUQmQQHI0uihqCkKpe5ju6m1xy2YHM/hWWY1MZyJ94Ik+wZUGKu1jmB63jroUIodtQGK0yNt2 6H2iKeOiedGZ57LtgwPrB1JcQMZmgXACCH2qWNQYh4FciaG7W/w28TsXy3htbB54T9gC7su5JLQ4 BlaZSaJawLc+W3EijpfgMBAcDrna3xWWZq0wzPF3PgDMXubaNcx836RkL4WjBwKmlsnnBR2JGjde FAr/EEZbrlP7/ab4emI7PjV9oAPuGgD/9ohxSjxr5OeCFDYGzmW5v3hIOgcvvB6v6Mu1iJtfQc8z uVh3o/14OEgHF07lOIk3MBmFC4v2+QXmvKsbfuQ6LZ9pd9WwAfS272OyFn9hoR82AaBWCsAl26Nx 8iHOgWIfSOt1VKmqdXR5Oosga/otfTehOjKIpvP9w8qvdSMbQQQZSheCxpUSycA15q6DOt76Z4aH b0NdzUaBBEyIYOrNewwjK2o3L5iUzFO6WMkCYvPaVHDq3hDDmhskwGwtDZWhPX8wNuzXhFJWRXWS mZeacPwsf4HUdjjcQdXH/FRVjmkoKt1YuYdePgzThxcZEgEIxD4Ge6XVfqV23cs439m4DhlD1b3S ysI5KN3UoY4BL+tRisEezWRMlg7+bLq2qK9E//Ol01EbjYHSdqqqHxAvCiNCdzG9JS3cC8pYqPbT l5t+bzqSd+3D5Fqy2eLxqMVQElkcfX98NgbK1dderGqeKM3eBxGr+0P2yac/zmc3d5GlOMvZiuh5 kkTExQnxZDsmRVVzJol8Zf3DMFwABu3iQwToTkilci9YcAKE4KglLfssQWI+hW9KkXH27rO+1AFj /WZcVc0ABJOaIxlIR6K8PqAaYBbRUEdsohB61sSRRL4WAD1sBv758zRYMdGVu3dSIAOX8GAea2g1 FeyMkmhPdQ27e4DvyCsnyFPjLvzh2Fs0wX7rWkRzMLvSQ48twQafX+v604V4NAmfdVUL4jJb0RuL Ow9OGJqQQUgo1ugZ6uomnes2FGw4/Erubzc1HLogBv1mWTRdFgRIol11dT3xJzQbfHq43SpnVaP9 WZV7EjLz2nQviFrG0XEDV4nyIlN2Z2zUXqsTMEDKx1zGG4ibxXMxtc3ajv59/g2jZdLLyxIFNsTM AlUeWnImtq8mzFO4OqqzqWg7kmhWtlVBv30YORrd9wxtnhhM1YaB+UYpObQIOy15jjHrfOp9z64e W4tkkmNQ6SSyqWbKRLAeF6Y7PAz7a9T7kH9I69dRDoAcAGuvPNZsPlzhhV3xoKCwd/nHHklYbKcI X6Z+8YMKPYYcJt1OAeRRicBZ2ZL4uVMarKelzSI4I110UX+6KvdINqSw4/1MjGFAYAumwM0goORP eHAyx9NN8D5Vc9Mfa4OENk8h15x116emGUxmsbEfGMM5iAMp/KZ1xr6Z3DUfLZs0GVTykePshOVg u2vIHxygWwW+nAOmc3O6KmByKDgxeKwieYwKPd/Vkbidgq7H5lq4g1B4aIpdA+qngWMyAtxB0/jx OjTranf9kSVeShhY+b1AvLYzNKi2SfEnXR7aoKpOb4UeQKpBHVuzXT/CCzDCcXRXNl0tkDfXnxi+ 111chhxDGkCyQmgeivrwf9wSW9/b3f+bhjK6XDMN5EYpyQSlhSVfMGBjp6SglRJP38wh7b19V6ZI 83NqwzLhZ9/5YmS4FtQNT0C/dficb/VhsndKkO7wy+BG+iqX1BSL0qPFQROBseuKbxle22+qDDCh 3LUFdnxGrx3QE1pQZTgheepPqHlrdu4cvBBAEqNVyhKjYua+qerjn/pbZIfif55MCVY+nTTy81hs hYAp69JJHwbMMhpd6Wz4EkcJ+28lPVLW+Aarao94uFzZIw5tMUjh1ups7880CY6KCj+K97UW1GEw a4oCjY7uLLqYQxwmimPdy/PXC/EbiBF0TuRLR9rdvqNjcHIXwnvNcxS407BBot1m+P9VnJ/Ka96N STgb7yWaa4XmX/X8NrkcnM0Lylg8E2FsVyG6AQra04o7tNSaIJ7wGFlqUhf6gwaNI1lySgEWJ5tu cBUoBGNNMgIfMUV431L7zh4wUZAKqIDDkBpLMwe/yJa7X1p88qj35toXrJ5I9P0wn3Kej07UXUQ9 iOEENHkYm+dYBmpks/8yDqYQlgFWcoQ2M/eWEVXZw3ZBShYo7gElOBTcrSMIQWyyz4lrmtLjCJNT E4IyldDNvacUlpNzYb5MnH62gVkezaBF7vxRoYVA38DBHPNdGnzVMtOpoY0wPwts3A2Ynoagfgip ma6CYuuTa/mAuEFrU5Uts6bU1rH2G0W7R4evWzhCh1WZ4NHDFSWuiyEUPA9cmtpLuBpQOce+zXBb jBDxmQJ74eEDgi7gGy0uPaJRz2px5vtnIzGf1FqByFn4QZKgmFyv5X9f+M62SQXCXKOThHUyWMUB IzReHNcWGXdxXFiS476xnd5WadH+P8X9rKTOEv8Svd9n0uuSoyBEALvabAqoS2gR2lrUqEQKY4H+ sZKUkZnWZzlXv5sEiGJ4l2BhMJbE5+KM6WGAvbh0VlPctmQKR4qBUd2emlIjqS62BRsneX1RsjbO Hb8Q+/SYMCNwSJJLC0nlxNddqJo7Qx2zNfJ6BWMX8hNknhQhoaP+/yAv6nGacoiS2MHvk7JXRwt+ 61viNwOEGQHviZZhS5PbAjFcZao057el99TOFVKMIdx53ibPcb9InMvLlZg2yR09WzoS5Ska8rL2 XBLowUz96YC84jU0C0odOXPgUxroxOTEKQi6rxAEEORkBd4PiLThJXYXRWex7MsSR10+mrDBxPi7 o4Wc4IU33OOvJet1ZZaMYiCetprt8rUimZn8mNk2IyWG1IiQkrfDlnzRPYziF3o9Z1E902wnwafl yQ5o4nKwe0jj1GAuqifHQeDgu/kt8pkI2djHGXklaXud2AVIONu46ar4poJ2lAIPYtE3Uk/LK+2c Z16CXH05Rdnb/lEdPRnwuaCAEDR+SMw3sLytVvBXlxJNwSSZz2QC1T4kxm9dgKJznLnEGBKEJplJ 8fmcmFamD15iBD16mAY/TRD7Y7bkty5nEK6T3OjEw+m7b+D5zuiECgzNkHOSGmBMXLgTE4rLfnx5 2JaOoQeuu398jMgQzuNql4K6gvBagg/IeLoLlVsCeCmHfX48lpiswFNGkwBpHFAA4qKdO90CYder WZ7BEf/kqIF3QJaQFJ2zyYktKnvms6ouE4WFjwsc2ReiJN4OXHqFP/uACylvzCy6XUgO+gZ8sBbr GFlqwTJkCsLYoxf/KSQdmJrwYSBJ2GYbGAl58lJc4ZjqOfL9bisg9I1v16/wVgOLksQm3lBnnwHN mbg860Y1LmqcLiYlJ7iNSb12GAAXUiZ+Cdmuv7cJQ3eQyAO/2VHcl+Wns1zITVog/irCpqZ5e8Hf 11tYyMStZJUSJVHfk5sPIyyeVmJDG1TkwCUu/NY6t5Z6nBjrZm8xBozfdGQN12qkj2cAwHBMwPOI Z1wRF3ZE9E4ocC0Hz672ralbiTu7HxOMZhzyj53nJHi8mxKYWq2b1tM42mjUfwPEsCXdNZUz6w4x nMvrKJ21oCAzgM5KJGNzep4+uAvpCN67B5i9SY0qyPW7ued39or8wr1sLAHnTvR44GNX5OUJdiYH WcCrXzmllReOPdyFBuVhiZmbQcOUqDN3aYvhzV04ULBlLDkunIzXzTdOjMfPwULWwZQTYgm/xJ9z Botkk7b71p8b1cqLhPqXGukMLL7s7fCcTxHuhTGHWX79f/w6Oxo15OkYJpsA7f6De6pgDJAN17VC 4nv7vwhnPItLLgF+LHhAZiOH/4+zVGezU/npykCatrdNhIy9O6aMaIRMDbI452zL+qXXtXTN0EL9 ykg2X4+av+m/jzMbvaTenRmP63Rpb4INkYtoP/tmotriSVk6mFHG5aSRILUU7ks8/AFmWWDhfyV/ M87IuiuSff7BtXknc7/axWTxyZB0ec1wq4RCCnd6wlp+kZCQMRyMBhCLzrBjOWPPUxlImWoy4f8f 2zxlZJvsTiSA0qq4cNTPmdaj232BbrBsw2cO9qFgRmKyMvkf8yhG6QTwelMm0hxri4TAmEDePu6w 3iMa7i9tShsy0kEYAMx+M9FHhbk5K+TPEV2zd2i4TJ9i0Aqv8m9VBFFl2GhAu21j4PhlCYAMHh27 jRxM0rbhxUguHyZ5t5/IJ6zxB+z4rf5sVh7HEheGOhzKi8DuD3954ZwZ+UFNg2kb3EKJX/Q83N3t vo19LSf//b6GAiMxohOmuDCNgj1iu8jKSxYUYEU+i67Ul88oXa6S3TtYhL92g9AMqIzo3PnssHqn efC2gk6A8J5TwmWEm/m12OzDF5xMK2z9wlaUdh8bi9Ik4tvgfhiJ/hSaT4MPo3w18U/pfyOsXZ5O 2jYGUF/1a/SsmW5lOEzARxXFMFhJdNYCGsyaBTa9sv3hABDOkr0N659i1mdZJNIy5oWEJESSD9IO wUjSgcUkXE/lzQrrUgnw+98uU7qBNPxWm9aXAuq1WLKWTEM9T5L/qt2yqsipV/yN1iF9fAfF2dgq fqE59yUC8jSNFpDCRelLPH1M1ZeEzaEUfO2LU9QlaM2RddLGokOlRlo2OmmztEyzv6Q9LuZuW86Z J/XaoRWwxSKTjRmgxNay+oUblRjxKceGWQC0QlGA6yS02leiQjqu+Ditf4xAhvxHTmbdxc8sVzbA KUagAYN/5pOdzy/Aaw17SEd1QBMZTN2tdkxqf6lXnFFcJtOnZLXU7SxDsjxFjjwNblf8NRhT76i+ AYPkWNpMGKRyb5AQwkcXTZHfCd/bB8RMFF4oberS8Sxs9+Ak+p4y/JCxlmoIgDTxvrvaZC1VRnah 0Bql46GsD7PMlOo0FhBWz6FcG2p5UlaJAXxfioVbobtzmtUk4TF7DARIoKPZ/jgUmLv9fxQqv3ey VflKDIMuL9AZT3KZKcYbRTVbex8aEUyqYycmgtJgXt8T35MrIgdOWaM5j+cfPr50MKpiWi90PHM1 qtxlTcnFvXm3pxzw/45inLOYvgMZ3ywyI6MA9uknNyAWq13H9/wwybhGHTu9g2/sBBdqwLq9O5XX Zj2JPqOeqId+rvRgPjfaaZGdZvYITNaylATWfzgrWg16tsfueTysxWdDQ6u5OCaslwug+cnRAX6E 9wdjspT9+U8JtiwiGAepb8iHVamQBW6j78UQmr7StuCuSs5WCA+HFmcn/IULDxyDLSZDvkGj7qx0 PIgKDXZ+CjvEXba6V1AAlKCwlNeR+um9VIRk38QCrHITz0NX3sKRwBcOQHAe0RbpmDCtWs/YFHv8 gzziW7WDVyAHCi480noipQyNOCgwiN/FdIgBrYlPhO1mOt2Aye9VHYV/WgO/ `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/ld_arith_reg2.vhd
15
17134
------------------------------------------------------------------------------- -- $Id: ld_arith_reg2.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Loadable arithmetic register. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ld_arith_reg2.vhd -- Version: -------------------------------------------------------------------------------- -- Description: A register that can be loaded and added to or subtracted from -- (but not both). The width of the register is specified -- with a generic. The load value and the arith -- value, i.e. the value to be added (subtracted), may be of -- lesser width than the register and may be -- offset from the LSB position. (Uncovered positions -- load or add (subtract) zero.) The register can be -- reset, via the RST signal, to a freely selectable value. -- The register is defined in terms of big-endian bit ordering. -- -- ld_arith_reg2 is derived from ld_arith_reg. There are a few -- changes: -- - The control signal for load is active-low, LOAD_n. -- - Boolean generic C_LOAD_OVERRIDES reverses the default that -- OP overrides LOAD_n when both are asserted on the -- same cycle. -- - The default width is 32. -- ------------------------------------------------------------------------------- -- Structure: -- -- ld_arith_reg2.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 09/01/03 -- First version, derived from ld_arith_reg -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ld_arith_reg2 is generic ( ------------------------------------------------------------------------ -- True if the arithmetic operation is add, false if subtract. C_ADD_SUB_NOT : boolean := false; ------------------------------------------------------------------------ -- Width of the register. C_REG_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Reset value. (No default, must be specified in the instantiation.) C_RESET_VALUE : std_logic_vector; ------------------------------------------------------------------------ -- Width of the load data. C_LD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB (toward more significant) of the load data. C_LD_OFFSET : natural := 0; ------------------------------------------------------------------------ -- Width of the arithmetic data. C_AD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB of the arithmetic data. C_AD_OFFSET : natural := 0; ------------------------------------------------------------------------ C_LOAD_OVERRIDES : boolean := false ------------------------------------------------------------------------ -- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH -- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH ------------------------------------------------------------------------ ); port ( CK : in std_logic; RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD_n) Q : out std_logic_vector(0 to C_REG_WIDTH-1); LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data. AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data. LOAD_n : in std_logic; -- Active-low enable for the load op, Q <= LD. OP : in std_logic -- Enable for the arith op, Q <= Q + AD. -- (Q <= Q - AD if C_ADD_SUB_NOT = false.) -- (Overrrides LOAD_n -- unless C_LOAD_OVERRIDES = true) ); end ld_arith_reg2; library unisim; use unisim.all; library ieee; use ieee.numeric_std.all; architecture imp of ld_arith_reg2 is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; signal q_i, q_i_ns, xorcy_out, gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1); signal cry : std_logic_vector(0 to C_REG_WIDTH); begin -- synthesis translate_off assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH" severity error; assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH" severity error; -- synthesis translate_on Q <= q_i; cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else LOAD_n when not C_ADD_SUB_NOT and C_LOAD_OVERRIDES else OP; -- when not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate signal load_bit, arith_bit, CE : std_logic; begin ------------------------------------------------------------------------ -- Assign to load_bit either zero or the bit from input port LD. ------------------------------------------------------------------------ D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate load_bit <= '0'; end generate; D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH generate load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET)); end generate; ------------------------------------------------------------------------ -- Assign to arith_bit either zero or the bit from input port AD. ------------------------------------------------------------------------ AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET generate arith_bit <= '0'; end generate; AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH generate arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET)); end generate; ------------------------------------------------------------------------ -- LUT output generation. ------------------------------------------------------------------------ ------------------------------------------------------------------------ -- Adder case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_ADD_OO: if C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Adder case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_ADD_LO: if C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xor arith_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_SUB_OO: if not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_SUB_LO: if not C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xnor arith_bit; end generate; ------------------------------------------------------------------------ -- Kill carries (borrows) for loads but -- generate or kill carries (borrows) for add (sub). ------------------------------------------------------------------------ MULT_AND_OO_GEN : if not C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => OP, I0 => Q_i(j) ); end generate; MULT_AND_LO_GEN : if C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => LOAD_n, I0 => Q_i(j) ); end generate; ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => gen_cry_kill_n(j), CI => cry(j+1), S => q_i_ns(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => q_i_ns(j), CI => cry(j+1), O => xorcy_out(j) ); CE <= not LOAD_n or OP; ------------------------------------------------------------------------ -- Generate either a resettable or setable FF for bit j, depending -- on C_RESET_VALUE at bit j. ------------------------------------------------------------------------ FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate FDRE_i1: FDRE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), R => RST ); end generate; FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate FDSE_i1: FDSE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), S => RST ); end generate; end generate; end imp;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/ramfifo/dmem.vhd
19
12333
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OJrNPv25gxVf6MOkMLDXm9qPvzcLiFn6cGPtPoJyX0DRSMUs1CiCHluul8VfoMGYUnRu9NzC2pDa fD3Q+Cro6g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OO53+YxV1fz+fdQXiBafTL0TfU0s578DnGOkBDgcp0ZiS8qBHyL1R2PISafYfK37QZ2xP9F0gTav +sG2DKzZYRShUhSDZBSgMOYpY7yZxYTXlswORtjPSorUAG9VDaJFPSJUqemfgu4AY+n/BsniNBx4 zqFaZSDmDQebEViRgn0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Qtwd1yFLlmEutFKAPe2eqNz2v7W0I1lWfaUYyRoJyXavTq0FDRoJFjh1vw8Id+dlXsCh4QCKBOe5 q6ztRPULauE2vnffEDrTLD6uStkKikAcWpHaB5kHv8W/IU3+JNz65HQM8j8hOwGUzUSaTQzI6Edd Kua78SuOo2L/RNS2CApKLh4UlLjlkL69KZuDAj8Ds+wPTUwjY2h3tf4V0N6PH8lPAy9xJk9S3EgQ ni8vjkjW6lK8he+zqjEtOf7IEGhelGexSOLg0dP3NDhMEcaxfcI7Zo8kOCl3C+GMy2w3TEyTZkQr 3WrfN9WllC++Z6rNtRNAqHVgNVA7hObPvyuA/w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y5YBoFz+YhLFw0DE8aie27jXEk9zfvZg7zgS29dcVa80RbYJrtSDIAboa1ixJiDhfiME1gY5XfYR MSxbx3I2ZAkTI/5DwNAjKseDEksXdqu1CBQcg+U5NxNg5wWuw+vr6DqkJMxvZoI9BhjAErRu+2EZ DgyTp7XS17TjzQ/Lk3I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block amEW+kSm8JLnUlmLoRCPt0pU7eCAirRawwzTZA3XEOaldjEiNg3FqPsvTGL5ScrzO4MhYsVv9max 1PQJ/lU1FLIUBgG3vy1UPm9QWkUIWp2rve3mDkSCfvDRku+GIP+/ziqovgiDyF46b73fS7Mrb40P ha2QhSaORrSFucLp3v+D7rdh8lKmMq3YY+qxM1KZEpdfbausR1NP2yVxQP/t1g0w2pAjiWQM7wT5 6xmmRvYxl+7EuZQkxaCLozCO1ELg5LiuQuDVfKRWPdTIjtVbbBvnn/eTARAw8sh6+JXXfmhauCWF cGkCTU9noi1D4Z3I/hvgJ8IXztgyejVNBMRBwQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7392) `protect data_block 7/CHN6N2UH1l6E6FCkyUkzICfhByXDc9azx99x+IRkP1jzvnuxkd4l7BZ7L3sZH8PRANNQ6xZilc Vltcg3B8PJA25Tv4iO0HrQDrvVdLy37EhkuoH7lW7VBWZpVqjFnsAlSSexqKKxjpnPH7434xhKDA /6ccROuxfa6rxh6i5XXa8TNQNIUNuxDq6lmj5U/Kk+CbspZYivGgt0Y8WFuWhYAPQgkcJU6ut66P bGbag7OnRmB8HopIkw2YSire6SSsALZ1dqSTyP5ovMsoCdHYiN2Rslv9+sfFSxNjjlwQPn7jcOq8 QWtOt3pPVlmgFF+eHWuOOam8/OlTT1rbt7w+XvLiSr6u1EDZEXHDo6VQwn6opPZoeumlO/Usd5kd OR1NuPkOeoBGWt1Ix3SSdNUfFn5/85DIL1qOFD9JO7aeOOS0odfcizK9jQdSHyZ4/2QkzlePT6GM waEhX8YCgc6dIFVCqU1n6rBNqoe3S+Gk2R3jTHKCt7LbUqFxJ5j7+H3cq74V9GIYD9B0pCDGGQMs thkHF4PgNUfXjhErhYct/2GG3sbSAyRE/lm8MJlPFBYy2WCUrq6o9Xt/vUz1gZUymYjdjX1AHKaB yC3KGUbTPg38j6w2xy3ifpgC5UWP2PKrGLEX+3vuVySq415fXrXJiONR/SU2lWWNroa2r6if1Qdm f2IC7UU2iAyUGfDVOOKww4ZuPAWSw4TuwUo/jHp70uH8Ut1vzY/rcpQ6DGer4QftQDwmfI5dyiY7 ZAj9rsOEYvPEFur23yFIKWL/p2cGpJfXi/dtru72fm7qoPs4IzmLwdxoc6Ux0+Xi7WQgSXI3thTW 6K5Sq0a7kEASLA+BUmlQW1rHV87hdqtpBc08NPgzckCMELtObFidPCfIajvbmI9D61czpwFUoj+8 TkZiBX2RsZeigI+HbEXtJLNg08Nim6lBrftJHrg1k9MutRu022mTMVog86clnIf/oRBnHnlbzJsm qYw4S40aRgu/CfbazZjCAek91QHjH4FY47Js/o7cbBAlnrIPRZLLmqBP8lNRog9584xI6qQw69Sq /PvoIoNGDzfxLgpPEO8upAFt50CjbpRMeYrLGKiB6QJ9UTRpzn+awHFaNAcIYiMYgnAgvRT+6BQ8 qbb2nqkKFq3q7dwRScXzAJ4o6ij44rzrbX3yol0bjq+nb3WJwRniz5Yx8B1GWdGNQdRQHQf1kuf/ qX9IzcLVLIkALqtovwgFrnF1UWaVM7C6zoyS+Y8OeXCQbyFM8kY1CESRwfM2PEMf1DzSr937YEbf r7y/7PW8DFPzJqUfDFBKjNh/A8QB88jiw8D5Q/zt+hJre5PRpJUME+Mdulk/ZQvj9mcW+w8nI900 X2t4gbpmfFJNXyLntPnOekknG92uzQ11NccWz/4ky9weQLHvjh+X9iiLdXSdo08VfT2KP+u1Mmio o/0EXUIGyH5H5T9DSsa+TBJVLEcwFQqi1cyiTkFzsGXEfd1J70m0edCHyq/FJpnXgQ9ro6Wpk0DP 6Urzcr2QjDRgu61M7UiQHf2th89RhZhQI3l2e5DkzZbklPDOOEUgQRUhDOUq75naGIh6dt4f7pxl 9lzn+Lh+G1Mx6AXI6DGqFXhpKOKq0f0xr7QFbz0iSoQzrJxSlKCJj9CJmCUUy1Vy4IBp9njwIddL RX4AruYwpeKeDDRwr2EWj11+NJ9TWY7MfKkIiha9+D6bob2qQnhNTSahNj0oxDB9WUsdHKC37Pgf HWv7QHIyS3LSAAgSGOHLELz9lpfQJT/dNExMWnCzCedKZS2kdPNQBqCSuQg11pe5oN001/dJxCOu GQVQYT2CjWlserXRcPH/bdyg7Dm8bvpTo46pFNRQPOFp0M6xuZpTVCBdml1K/iwSQGW/4LTNc5BN KLi9rBFDmFb1nTl7d4MGNiWmFGZ1PmJbHF07k675AAXyfDzUe5Sj40EW2hWDGzf37zu0PsjL7Jv1 AIXOnCc1dkOHP89Gdfy35Kp6LB+4k+rmt8sjGKUC0qgF8JFs/Rb4t2NdAGrKa7uFn7QK7RCXXtDC 1OoMzUXnAX7i3vLtCQZOb09lAKaDydeaJfvAOemiUDjgVVNluTcxxwKdqUD0CtcYWcmPdrgOHi24 Ufm+4x2vsWjBWnMHTIoBBCebRYg8SKUEYu2WVuxxCyqtg6u/xlvn7xcA1Jl45rDmr2ibOEpNyM8H H1zQLMQTXaUfKJ6sWCy9tfV+anJ2YPuIgYdYjsdFBclrclSOMes59rSOnwjLX8rx1j2SlUr8DuJm P+sImqduhMH8aTXRV8CRfyNC2HxWGOLM7Cxv9yiMal6fLTKDJ3m/e/zPL+OWbt8IOMQAoOA+bvYE oZwHBdzd3eeWwVpTZxIC3OAe3d1+sbio+Bdv+bVL6g53tusqY6H1GEEaE/MQbSGZYHUGfwFEPPeI BEhqAtBPoUUw9sC8832SRFxe9Y7jVKIQpnq/SQ4sXaCw0iMwf0QEBNhq2oKifN+efDmt3vdPTNU0 HVQ+tFPy3kruaS1XnVLUenUkUILSps/2xLXW6gP1mk9p0oodtNP4CCohGVJ2hIS8QKcqERlKDaNd a4lQ2k4bdjHa+Z8049EHNT/vZp/W/PtfsBGSdg9a6E6ZKnvTiNMB/RRtpvYo3p7QH8O6ggRzwfdP QwpvxoMME7GwylQ8MkirSy8E0qtmexuva9OMnbrpMbq+OnI3DiwBk4CFRgp4tobKWmGmvKKkNMMp 2p2ptBZPV60h12HCf9DhTvsNltWuXeG1T45d+XB3cwKyyN3nkGYSVmZc2G3obk/4q5N2kG9mJLwE HytI2C5gJP9Rt/oxhqPTEze2J0V07Sn9clw3QKynuGZ5EV2V3EIZ92vAQAvMiuvY0/42CN59YDpi EDN1FbCPTS//Tu46AhYIvM+5SZ6QGWAnqlHfq1FhYWifihYj3eBWIBVChwypBNeW55HCBN+MhDfu 5VK0rAm5NHCOiH6kRxAAbN0H3/ndng97UsqPlP8ef01xlMh4Q4o9Zb/W8/n/47UvRDt819cZaHVL GaRxm/9BxJrvMVLsTZ0BTiRiWvoXNT4LKbFcNdYHT246nNTwyRow/leBhN2udaBvFufIQwTPxFQC GWKox5YPcuBOqkQ/fXiEnW53z4dw6uGkd2SD5Zd07tNnuACibHEuOInX/4aGBH1vS0gIC3wMNEZO m7Zg4+2GD5AQbD5RmvaJMMpl+p8HrwleWoNIRNNe/ss//4wbB9noO0n7WbKgKcUWovcEuZfjU10r aJTqMy2nA8R3aqApwoc2jwqiWOB9DSvMeu8VQjrwx5/HduaE4nUuh4mq0x8l7l+SYX6jPC/WHmE+ xL/5OpPdNdN988DYGtbzY84+6QzT9R4U5PUT1lVL2lC65RHpFkI2wiFP5B4Z+XMIXNkd+VAxskEc AQ1DDmqLNGQCTq3PjTZ17yKYpe6ApgtzzYoTnj+wX5SXuRyZUtJCDkAtkIMgAts3PLdFjXHttaTC X4JrRHE+ljm57Yp1zJrg/0Orf9KmL5jTySYRodlJYyCm13kTM0F0bUVhE9QeXORh8/YA4NZRxMnG 1SLs5eJaf6FS8BvFgKfNbnWCjQD1PQVD3eDEo8sXLLe90boVDnqLaNlzkyT2klsc5Bl0bi4V+ag1 cwlvX/Yxb4edJe4fEvmhOeO6Dg/B11EPm9QENnowni0dWcWB2kq5GEnU8QnjUd8Ltn8Zocm1HhgO WYzJrURr6gt3PrJrqXNBpHerf8A04MMWV3eA6mp4AzJg7J/Xe88Yng2SrTCzuTB3dt+zyAT2T8tN G4tchM3aSTgEqR8DoLrUimql+XqHZoLbbQ9ZfRZ5wX/icrWHjfjsiUJv+bcM50QOPGEIuuzzu0Zc rLD4fKaTOWnyENfZosfS/k7NFyoaI+gW2ZJcM3BRSGPidk30xc8zSF3X0vLb6xBxUiM9GWklZzcz 28PQytdAqh3G8lFDEfTiPDpIfBm5YUNr+3wta58tBJvq1tHd/w4ssONAG2/udlPVAxCwCqZkhL1P 4YPqsGCwHsj1EF1yH0RM5gtqN1gwjDc7mxDOPFFPv2hPHneHkTn/NDWUu51bElCtjYct8ZokE8Zo B3DVMXhJwsmhyQX8Etk3CxgK2DXCE5dNc9rapR7JwCfT90a+u8e15kpkzdi9cV9a2h9j4MI43LFT TCKeZ0ebCUr3891Af/jFTRF/UOCSBqUiehukRsAXlCyzKpVD35rCs2qY5K++5lMW17u6/pnAazFq EYG9gvZa2m22T4+QsYP8zmVnSzLw1vFE+8JAxMnKePLMVyq4ii43pZ0R8xjNAhdCw90gg2LUrVbT tfbY+PnuNpNvdsAGOyhE9qUWvSDkYQbTyBn5QoPYD2HkFRWsu+iCDjFG5hSpzGR1jwM4dCtj/DOv nmRkHNaLQGH9qoP6xOi6uoZ9TG0XS8P2ACaMu3+Pgp904TdO5EaDphD7U01GlLbhU2X9N3h97fhj 5syrw9mjmsawzIxd9Lehlu8BS3jQcf9iP8nsbOqQYWdRC7YOejIcxjvVJMD/GPPiGFnO100Xk5Br tms7rGq3ptY/TmV/VZHmEbZJ/3ZNImfSqX2TH9LvrnZ7um2nAvyXD/ZWisgjg/yH0EvOIBD+GKgp 6z+0Yyfb2LAZxPEbo2uBGMY38x1hPIgLDZIIXYq+Nd877CbalxjhV/mDHkoOk1w1ajL6egZ6v9BO 9HH05xlhrCAe8rdiIKwE599hp1OQg+VxXeBIK+ZY8fLGnB+45Ms3TW0f9/+oFWzCXpaEUsJvMiQd sDN99S6puB75dnKQlvtStuk1sAIQYZRfDxWOqTc9OnkKPThQ/SnTh0OoEfsTeF++psa6UlKt+wwv 6og1R5PZRbvcwxgsHhDnB3zfwtzIyXqAX0HzbU3Kg6aM3lFVFE/65Q1E+15RFRfVTMfLjTiGorbK ObBM2T38SNPefnCGu/Oqm3yW6pXnGgGLTfUkQl4ARyNHFk86XSepEaJzl+/9ed0vgsWnpNyLgAna aC+QTV04nBkialQYYJ8k+cVMy3UtpTQqTF0ei0eOpjj28OFtzccwtcegUQsyzF/ZwIqk5gmNQgX9 ujG9X0b2YbTM8L5EIkk6xE92hpU37PAfm0LoMztPPOWhqlyzQURab+D3ZSigc5t2gIPqFdp7n6Dl NnNgkuadBlWw7c6fGnX16XnEFuvepCWY7IYmMHNrpRT9Sf8POrav5UJV5LCEcK0hUC4lTGR4xvs+ RRXVSKL9yfWVpKI1UmY218EB+wbzvIVQZDr8gcAc/lCTKRmoFexhRtdGv3Dzd7+jYK4FtqOaQxAM 6aGmEe7jp7WPy2ktWyiBiPaFfhApc9WV1JdLZNfreMQp7ULUx4Vkm3Zn0AyjrbC3/3kjJyQxYKD8 OV5Nd8eTPyRlUyr+ccom8BshhKuvWLw9brJzuSe8fNO7fCyLcCi9A7crDIr+U3s3UyG0bl7VULiO gz5VQ0rm2w58c/9KYRX0HXX8W6lh+efmwfWM34BxESMzoBUZDX/p+lsMCQrQS8Ur1JUMu4IeA2S6 xI4RjvPy5fToit8EjAVVsyUzQpQpoocOrL6AI/DSa7xlqzAyBOHUfnVouz7U7bLl1jhSXQvVb2te N9BKFYtPHDfb65dLEGzyO2hQ5Ei+BQMgLiRJ+UmuEWwcFL5ibZRS+5UikU4zInRFk97Rg1CgVQau qbMfWlfwro9z2Ua32F5GyiEaBLAVHUH7pINQlULTAo7ZN6R/SXRDe9vciTnX2KnG84nMzmBma2zH xZZ91UBs51GmucIeGKJp6GO2TavSwVhtVrg08aCKidResxEwe3UgCjOs0ljjn4W6gbGSbz7QyQIN g8z//RpnoBunhrS6FxqxdR+vET63hkOjf1oVxMCuBCdyCvt9UjvVMOK1ruQCkli8qKoZnNDrdcn2 CixhZR5v8emZH50wU9FLzlqeYDx5ODB8CqF2EPFuU5Lv7aZNOxBrGmyM0QiD6ePPc8ekIrCnV+kp Q5EWm2Fl8yW7w/zqi0hTFymXBDv8HZ1PN5veVw6MKW7baakc0v261M11cZpPX96JoYz2rJo1dmfG 57kHFQEVv76Lep4m9JLXdufYrZVCiIuNUuDWMuO22/mAF2r9ZMte3oxqVjYiLewOfly5BEfGWEHC jGQ7wXF2n0KNaZA6PRI9xlekJ4cT6tUpNW5vpn89Pmz4ZYxdrfJrwf+ngWjbBTQ2AiepFUbOPGlW lOeTc9UjXJUx/jnwxBf7FrpwCNhzJDHDGHTVXMDKiJeQ8RXkeBd6+NyECsJ9WzCO2hmRGX7XkMxS Zij7vCJN7SYp664cIc/vZaTjvYwMyBGHsACOSF9jEQddPHW96tsPEQHdJD+9WX/aYHl/UsrW4uyh ODDUHf/rqmPLJCoQ/KE7s2F6pWUxSAfCTwja8nSaNtpO8LEY/15TlUCvMsa3aBBahp8aGGzpiZgX SzZhCGw7wkepV3k+LCACdWY4wqMoMFEIw3Df1h5xs7QOQLcqkkLD9teOajrS9qoDuXtQ2+5uD48w LCU2IeOBrCLiub43l5nj6BYXwP9J1ufR4RGJB8t6ce2AEPaRBkAOz1xOgj7ZyLy/JjWn7i2pyB5N l3j39FUqMbrOA4V9ORrmw5AvGK2dyg0mxJHzwQ9a9AKjKd6uxk1Wlf3SmFisCzINe+m2qLMAlihG +1acKmd5VmHttqdUv1Cz/JcleQfJvyynJNXFlCWbrgTIbFASiUCCdhPLmLXnmlX9lBEZ7yTunyec w+H8RjfGSsSuJX8Eik94AGAy6crxvG5eUZKDwauw1jyRJpLfz6vzvxhkPQbkS99v4eCwpnn5gyUQ x8FlwoWNfkQpLX7fbUYW4nVUsy2f89K4xK+btkHaIuhNwNMnErve5Sl6ytlV8+jbNqExDWwuvOGi a62BlZ5nZ1ubWTihN4DSqJOAAyOYXLnd/1HSus/scFuRlq1rNGAt8GDU9rFKKrkJBSlmZ7EFp26g +kQrdyhpwDDsfgXM9iI3RUeANOpNVQ2COxchAnYZdwtlkshI7RsWi8sHOsruK5mbtJ0ZHOIiKKYM tqkEFmXF2eB/6FzSCSjsIeoS9j2yBzvYbFVk7mRq404y5oEvSqnLt4rxjJwNBO9gDtRLSf1uAQYS Q2kMNqhbDWFs4XOtvBFwfJuQIDH7dOAr+n9xCqLw6fkyxnIy4bD2G1VkHaWClbuq19RjzMeN9/G6 l/lmHKscmqkmlYxCd+mnv9X/NZdvTzRJxPvfy1HrHg1A+CVUIpM5YrM+wEKHZj7zdXONyxoesyds x6u15wIyRknObXT1adH8O4i/5PM2J2EpHOfa3bb4kk+ESPR7dsg0fLMDvpKrkz/sfajgSFZRogq7 StNGGioHjZcKgcvboMraVc4L0GZ38i65F/50/ETRMjSJatkHnnjBv/f6nsNPNMh1jWHd4wVy2MpZ DHHzmuujpyREW9QCfR8z2e7vAdjloaQ9qDLNiGVVe9s5fWIyd2UpFYQSsiJYfsXKtj1mI0MdfNSd YVGNvOKj5S2NecjaZtjQXIIWTopnmlLbwOuEx73+kyQxso4UGCxBHpbElxf/17tNThP3PDK/EOsr 2766VhJQJpUVj1pFeVOrvIwf2crEJBh0BCv108cESd/3zDMU4mqx+DIjXYDTXLf4gIWuMGchTNdB SH2lINkPsFHIqQaeoa6uFAOx48BqPrakd4KAlhI08al54Y2WMMFlNSpf1o9iitRy1SYDVmObBV1E vYRwbhXOnz1fKfyeONB1QeBOs13wUoMBKIzS3k8bxi/g5uDhXq4aHm6khlhsRdwpxH7nM04Yr4v0 UTvoZbfoPz/wkmZFDGD41NIbbtDh/2nHPPBCocxl3e4dORB7mznvwqezUHaHj1sOQr8ihIEnGI5R Z7ebHqAhdt/nkM2+da7QYC7zz0bezEODRY4/oD+hy/+LFjJ0mZT5hX/ylcjGKUVoTr6uyeA1y9j/ ODLe64plzJzWWDkyirzPi60Oz5hDozPXfxAgicUnS17ub5YTMN1Aj0f+QdrPieNvk42YA4ouM0PV b5BTzA+cYsgNvKvaMa1i2J6XPYROCci+Tv1kvfs9D5Up04vQrl4CyiDyuVc/q5O+DTLpLyeZqbWX 7Wp6Sqc/UudtTcekB/kWGmn6xhm5a/hT/0kL/9+2+kUlnXKwVLB9Fon0KR7D0C+cZg7Iz9kQtKpj QOiXBE4QepAWqBMdTmjI9dE9bJP5wAkPuakE2aYXvOsBpjwL4lMbUUAkgyvL8Nu3vLgJvRLQOvoY IL/mKv20+EBFuszHiXRT2b8mt/RnxkQvBQRJ3l6DDn4Z/ddlZNq4wTtRJp4Q+VCXqoj/atjVKFyv Qf/cpIChxCtsLCSC3H+H2VmLstwMuq7AOlOzAQBmFEYybdBqA0AP+Gc/BfjWA5+qGf+Vdrhvtewp zjK83+PI+usdin3xBiAXJiSCsleRbNxZvEH8PsfmW3+ORjijYWpVQDmoEX/OJT7izKMQ0nHMP2VN Udnj1U/AldJHKD49ckDSYWf6eQVAp1La5xFmcLo+1sqLaQJ9VwKkJSH9NmVUXP5CpBFlMGjjc1UX uBGKCqKhNDNL4gFL7HzVDmsToaJOZk3rs34CWsUGBZqw3m01BxgkhXSkBMLPVjiX3sUoP9GMquMX Rm/DLfi12NcDdMXhqCM8577vKGwvE3JZkNcVAzf7FIlYnzsA8QxqUqfveN7fztBZNPIOl3YNWyLd HXKaZTpdLusl5W2O2J0KmA4EFNZA0UYwHuf+Gt6h24kVhVcFTpULxWF72Os7JviqvZZpP2toO/9u bB5Vn7qMbuCoEvTHitc2Dk4/p3AOu7HfQHYUTWouF7UEhsCPRoJjjUMPJ+jxGh5MvOUdcOYRVp6q LF3zlN7S8K1qIlt6PSOBuDr4K/E1GXOyznRpCAtv5zIctYbtNT6r9OQcucjrZd9qIYt6q6Pu9Nf1 QTDdElKQGxwD4C6ifiqnBgveq6uKJWu4A6WwNe8o2RhzA9iIsoRqNlY96IXbc6ScAHRPo/1g/UTj QoO4p67mAOQ6lFJMp3WKeYD2r9uR1PrpcrQnHMeKhsohFWIlJV4G66ibM2eyZgatqw5aWc2IkmAB LJ3mgEWKpTaQDNCZTQ1ylXrWTZnMQkzzh0nv/rDTjqqQuMXLlWsRI2RIhQEzB6Y0C5EPUc8Qghqz fgThbwHmRk3ht6QqkoBE9jFDTgt8qcwTcoj57Je0uRGxiczafOqqUSF8071yK2XUHJd1i7JIPiso iEzTZOaTf2RhTYKwjHLu2D9LykDalStMFRAOEMAMQNXLBFwBPMz4Tue4KB8eKgaRbbQOKtic5ryy Ga58VKWPhg6sr/PafSb+QmRag7enYe7CwFaOYJ8+BgNun0leGrbXpdWko6MS9FXxwJ5+E727QQBo uHVsNoNV1kWwojmL0PhVX8IS8bJYmNdRS8+ZIP59SzUN2rrh828tR1Zws0FGt/dD07HEe8DKaFic XoFebLyUwMFr0dk3m3kfAaQ73+RswXecCI7EjifYPpl80oA3DL5BehKkIeSNQcj5l92qkeaJFzS8 gbZ23ikMpQZmv8MMU3hdW6Y6lqP95ryBzJsnujsXBtbDTerMJb1AJjRs3UZu8gFv6TxzG0c9yD0t tyOipdiyu9zUVdys+sUU8cmIW8MF6W3V/1XweARPHcxxU1wACGqkE6bhMti14Mte0GqXU+YrRr4a rKtvLpAElW6CSppFRTw2hKXUm+3OQJN1RR11cVaRGxwQJ4gHGnwp9wKdQH6N3RhWMi8/797S465i iCHl7cjRE+YowWsWAzR7JImS3s6MFo7xvPcGa2kLueiRvt5KRP1F `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/counter.vhd
15
9030
------------------------------------------------------------------------------- -- Counter - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: counter.vhd -- -- Description: Implements a parameterizable N-bit counter -- Up/Down Counter -- Count Enable -- Parallel Load -- Synchronous Reset -- 1 - LUT per bit plus 3 LUTS for extra features -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- counter.vhd -- counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- KC 2002-01-23 First Version -- LCW 2004-10-08 Updated for NCSim -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library Unisim; use Unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.counter_bit; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity Counter is generic( C_NUM_BITS : Integer := 9 ); port ( Clk : in std_logic; Rst : in std_logic; Load_In : in std_logic_vector(C_NUM_BITS - 1 downto 0); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Count_Out : out std_logic_vector(C_NUM_BITS - 1 downto 0); Carry_Out : out std_logic ); end entity Counter; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of Counter is signal alu_cy : std_logic_vector(C_NUM_BITS downto 0); signal iCount_Out : std_logic_vector(C_NUM_BITS - 1 downto 0); signal count_clock_en : std_logic; signal carry_active_high : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- alu_cy(0) <= (Count_Down and Count_Load) or (not Count_Down and not Count_load); count_clock_en <= Count_Enable or Count_Load; I_ADDSUB_GEN : for I in 0 to (C_NUM_BITS - 1) generate begin Counter_Bit_I : entity proc_common_v4_0.counter_bit port map ( Clk => Clk, -- [in] Rst => Rst, -- [in] Count_In => iCount_Out(i), -- [in] Load_In => Load_In(i), -- [in] Count_Load => Count_Load, -- [in] Count_Down => Count_Down, -- [in] Carry_In => alu_cy(I), -- [in] Clock_Enable => count_clock_en, -- [in] Result => iCount_Out(I), -- [out] Carry_Out => alu_cy(I+1) -- [out] ); end generate I_ADDSUB_GEN; carry_active_high <= alu_cy(C_NUM_BITS) xor Count_Down; CARRY_OUT_I: FDRE port map ( Q => Carry_Out, -- [out] C => Clk, -- [in] CE => count_clock_en, -- [in] D => carry_active_high, -- [in] R => Rst -- [in] ); Count_Out <= iCount_Out; end architecture imp;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/muxf_struct_f.vhd
15
15871
------------------------------------------------------------------------------- -- $Id: muxf_struct_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: muxf_struct_f.vhd -- -- Description: Given a vector of input bits, Iv (not necessarily a -- power of two). and a select value, Sel, this block -- will build the multiplexing function -- -- O <= Iv(Sel) -- -- using the MUXF (MUXF5, MUXF6, etc.) primitives of -- the target FPGA family, C_FAMILY, if possible and, -- otherwise, using inferred multiplexers. -- -- Since MUXF primitives are targeted, it is proper -- that the Iv signals are driven by LUTs. -- -- A help entity, muxf_struct, which is instantiated -- recursively, is used to facilitate the implementation. -- (So, compiling this file will add two entities, -- muxf_struct and muxf_struct_f, to the target library.) -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- muxf_struct_f.vhd -- muxf_struct (entity and architecture in this file) -- proc_common_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/05/05 First Version. Derived from srl_fifo_rbu. -- -- ~~~~~~ -- FLO 2007-12-12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( -------------------------------------------------------------------------------- -- This is a helper entity. The entity declaration for muxf_struct_f is -- further, below. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.family_support.all; -- supported, primitives_type library unisim; entity muxf_struct is generic ( C_START_LEVEL : natural; C_NUM_INPUTS : positive; C_NI_PO2E : positive; -- Num Inputs, Power-of-2 Envelope C_FAMILY : string ); port ( LO : out std_logic; -- Normally only one of O : out std_logic; -- LO or O would be used. Iv : in std_logic_vector(0 to C_NUM_INPUTS-1); Sel: in std_logic_vector(0 to clog2(C_NI_PO2E)-1) ); end entity muxf_struct; library proc_common_v4_0; library unisim; use unisim.all; -- Makes unisim entities available for default binding. -------------------------------------------------------------------------------- -- Line-length guideline purposely not followed in some places to expose parallel code structures. -------------------------------------------------------------------------------- architecture imp of muxf_struct is -- type bo2na_type is array(boolean) of natural; constant bo2na : bo2na_type := (false => 0, true => 1); -- constant SIZE : natural := Iv'length; constant PO2E : natural := C_NI_PO2E; constant THIS_LEVEL : natural := C_START_LEVEL + clog2(PO2E); constant K_FAMILY : families_type := str2fam(C_FAMILY); constant S5 : boolean := supported(K_FAMILY, u_MUXF5_D) and THIS_LEVEL = 5; constant S6 : boolean := supported(K_FAMILY, u_MUXF6_D) and THIS_LEVEL = 6; constant S7 : boolean := supported(K_FAMILY, u_MUXF7_D) and THIS_LEVEL = 7; constant S8 : boolean := supported(K_FAMILY, u_MUXF8_D) and THIS_LEVEL = 8; constant INFERRED : boolean := not(S5 or S6 or S7 or S8); -- signal s, i0, i1 : std_logic; -- If there is no i1 at a particular mux level, -- it is left undriven and s is tied to '0'. component MUXF5_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF6_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF7_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF8_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; begin -- Below, some generates and component instantiations are one per line -- to show similarities and differences. ---------------------------------------------------------------------------- -- Base instance, just one or two inputs, no recursion. ---------------------------------------------------------------------------- E2_GEN : if PO2E=2 and SIZE=2 generate s <= Sel(0); i0 <= Iv(0); i1 <= Iv(1); end generate; E1_GEN : if PO2E=2 and SIZE=1 generate s <= '0'; i0 <= Iv(0); end generate;-- No driver for i1 ---------------------------------------------------------------------------- -- Use recursion to get lower-level mux structures to feed the mux at -- this level. ---------------------------------------------------------------------------- GT2_GEN : if PO2E > 2 generate constant NE : natural := PO2E/2; -- Next envelope. constant BOTH : boolean := (SIZE > NE); -- Needs recursive call for -- both the left and right sides; otherwise just a left-side -- recursive call is needed (with C_NI_PO2E reduced by half) and Iv -- passed down unchanged. constant LSIZE : natural := bo2na(BOTH) * (2**(clog2(SIZE))/2) + bo2na(not BOTH) * SIZE; -- 1st option above: LSIZE is next smaller power of 2 -- 2nd option above: SIZE is passed down unchanged begin LEFT_GEN : IF true generate I_I0 : entity work.muxf_struct generic map (C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => LSIZE, C_NI_PO2E => NE, C_FAMILY => C_FAMILY ) port map (LO => i0, O => open, Iv => Iv(0 to LSIZE-1), Sel => Sel(1 to Sel'right) ) ; end generate; RIGHT_GEN : IF BOTH generate I_I1 : entity work.muxf_struct generic map (C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => SIZE-LSIZE, C_NI_PO2E => NE, C_FAMILY => C_FAMILY ) port map (LO => i1, O => open, Iv => Iv(LSIZE to SIZE-1), Sel => Sel(1 to Sel'right) ) ; s <= Sel(0); end generate; LEFT_ONLY_GEN : IF not BOTH generate s <= '0'; end generate; end generate; -- Instantiate the mux at this level. -- -- Structurals S5_GEN : if S5 generate I_F5 : component MUXF5_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S6_GEN : if S6 generate I_F6 : component MUXF6_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S7_GEN : if S7 generate I_F7 : component MUXF7_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S8_GEN : if S8 generate I_F8 : component MUXF8_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; -- Inferred INFERRED_GEN : if INFERRED generate signal h : std_logic; begin h <= i0 when s = '0' else i1 ; LO <= h; O <= h; END generate; end architecture imp; ---) ---( -------------------------------------------------------------------------------- -- Generic descriptions -------------------------------------------------------------------------------- -- C_START_LEVEL : natural - The size of the LUTs feeding into MUXFN network. -- For example, for six-input LUTs, -- C__START_LEVEL = 6 and the first level of muxes -- are MUXF7. -- C_NUM_INPUTS : positive - The number of inputs to be muxed. -- C_FAMILY : string - The target FPGA family. -------------------------------------------------------------------------------- -- Port descriptions -------------------------------------------------------------------------------- -- O : out std_logic - Mux ouput -- Iv : in std_logic_vector(0 to C_NUM_INPUTS-1) - Mux inputs -- Sel: in std_logic_vector(0 to log2(C_NUM_INPUTS) - 1) - Select lines. -- - The Iv values must be ordered such that the correct -- - one is selected according to O <= Iv(Sel). -------------------------------------------------------------------------------- -- library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; -- entity muxf_struct_f is generic ( C_START_LEVEL : natural; C_NUM_INPUTS : positive; C_FAMILY : string ); port ( O : out std_logic; Iv : in std_logic_vector(0 to C_NUM_INPUTS-1); Sel: in std_logic_vector(0 to clog2(C_NUM_INPUTS) - 1) ); end muxf_struct_f; architecture imp of muxf_struct_f is begin MUXF_STRUCT_I : entity proc_common_v4_0.muxf_struct generic map ( C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => C_NUM_INPUTS, C_NI_PO2E => 2**clog2(C_NUM_INPUTS), C_FAMILY => C_FAMILY ) port map ( LO => open, O => O, Iv => Iv, Sel => Sel ); end imp; ---)
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/blk_mem_gen_v8_1/blk_mem_gen_ecc_decoder.vhd
27
24873
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block g2RN5TBir43ECxFrT/y2GRXX5NGDYpjq+n5gxNTYWsuzDCjF5YeYUisYseKLr1ryeyQynd8Epzt1 V06LipLPYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eJKP4nowQhkS+sdlDJ3aF081jbTFWdzdlOBNNOlq8qkrol2Z2K32WIgnl06Lqx6yc1xJY0X0kmV8 eOkRE5vog2ePPioAy86OAcMONOPoHTqykW2qaaCPwvHqEP73jf7t4R18PaTf0PZeg4kzgW5BQXqF THWJ0viu+pagUeVYQuI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MDIZ/fTOzwhXm05ObJ3zkVB2FpJAN9o34cB0jHfFprFQZmUeUQ3tZW60svZwBPLmTXGm6NjoSEnE d1b16jr2OvP7e61sGc1GOIzSD5lAxq6KYGFDCFGlb2HKuXZP87xm86ePu57tT4ld2oGvDNavbknR LLxhx9ZyBV7SuzGo3PKuxBA2tnF6vIEJkp4n2dqwXnKJw+xgySn5xCMvJuNm4ghYOfBAsNQGJ39j 9OlCVz84SN0I+ZhsnI7KhLpJBWOyFN5hfdsD0RVsTRLOBu1rLKX6200sXAdAwmaB9xg+3o0vilh4 pIPe6hkIVYlfHVKU7Znj0kURPqGkJtm2RI+CaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RvD4A+WtjqxHYEUrji4gUWEBsLfMuiFWzgBi0pzOF5kWQsF7tHiiAC+dbiIZv5TBKh6/SeRqqj5f up1ybf94wq9EXJ/d1afld/HRqNac4VRPTUzPBHt4z5dEncFPVDK4ucOaLAd/3B1aieNd9xn+mBS/ wR5gmSxp/s9f+zaVsS0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NS2iEv8S/DLjr8oIhLcaUy30De4W+2t5q2cf287k87h3kSCMEoBnjvcyRcG6CE1IFz2i6ewnJ0mb 4oesPU8Xde+4KmwGSKnw2OpNx1aFtJHy7C5xPLKHuYCmY+9zM9y9RMguGvxUNsPvXEO9G/4BQZtJ xHf97YW4qiiYtbOsAO8R0m9UHVOYT94pj/6x0Itkq5yeU0YXuubMwNfZ5ZRnrVKNyxQ5Ilm1kGqH N2bcD8eyFlVJydABBBV388JKwKrfOh5ZHUd8//7U9+6XMFYO4OGZzTYmAvyyO7iRRKEjPElnyW25 UoL5ziiALbB2biJ+eBPz4dgChqDQ9nB8HsYg8A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672) `protect data_block P5M/4PHgCXWT4d5bQLyxafc9gPLXrc3don7LU1HGE8pT14607cJvKERJqXmRuw7Vu24p6Rikcq9u GWX7pruE1bGxXU01kyIDlaQBCbgDyYm2PzZ2WcV3wJZBP2GqoNGGNnV9D8EoUe8nkfgNlnSFShtc 3gyImEfEmPx5WTUeprbCjuzexZHjL9ufilaP7sS564ZYueRr8CJEkkUenp0vPgr10Js6pUWUtqmv dIhLyHLmwTEim0EIMvoUePfUfEe5QIDHQeFhIaYn6e4PLZqpxn+8TE5wRprrMb0/4UIt9Ughb91Y EW2+F2Z6KVEbQWRpAub7VMwRNuyyQV0J65/pLA3A6rTE7Zgi7Rgmjdmml2+kv0ez3cYDsJawxcwu 4kbTfcolN2QPPPNOmEU1y8C3UFvGi1H9Pfw8g4O5YH7qS7KhFFRghD49E8fqSkVfiXnFq5ZNPCgJ s83F4Cqdwflu8KU57Ccq2FsttPU6FWQyTL3b41ZPpeP4oNnRcomqAqIScuaZWr9xDbXzL1u8QEll IWkICPFN5UsqfZh3UzZGS0VTeWQZJgW2WVS7cmgXSU9cGxtdK0iwmQHjC0zkwWHbtBNk7hwwIPtE XTaVltnfe9twX4mAd3Ifbp3hgkEcWx1TRA1G+qggq83UQmsaUfPSoQMmB8OMZHOndrRAeM9Ux3WK gJYC5lCKHthZgsPEyPEvSv1jUo9eVnzM4zx6KWxDfwQiURf0YsbJ9tp44FV+czYe961snK/mYnmW R4ZFlquW4yfKcdlJMF7l4nOok9OTwk5KlSRs+e1gwm4WJO4vm4XqJjO3OruxP7IYhCr3ToZKi6/9 fyUgm5OLnZ9FZihAfDYedahvH6y7QmxrqM/oi7X5rH3K2eObEkWhUwfdovJRXpiS01RVy9cu3rup 9xvY4Y0C6/01SYeTB6XPcShVKj3FOTX6nPzQPQkhYlG2eNolyxk/mxOePULA7jk6ZW6Wqi+UCIby IpEh1z1AuiN9Ig2zoN2TWgkocTA/fuisDeAllz11TjXw+U60Vmg6Z7fla1qrxokGcCr07zJiB/dx rW6G5F62/FortVfdgE4uzGV8Z/vRczGf8+hj7CSil5rT9XvH28espi8U2JWcl876j6mtV9oeHX5k h9ckaRU4Bvta3y4ZluzesxNMTiUL4bHOat2pgUz6rleaeWB38gV6qKbX5TlciG5FbLnd7Z2EhmBQ KRSVDL7/Qo/kDWHP6muVBhZTvTx2iTr1adWEHxkyogMPVmMBDcDXjQfJc4Piru3t47NQs59cASDG gROO9642IOIueo4Chayp4qPPNNYxC+4SyI/79YIKpVjHvwz6VGuYdGIRK8q+fCxkdpl+XzDlwBn8 fzZuslIFoBlMzRLif8ppiAUTbRK4feEoFabQGrkBHI2HI0ogfHrAmQDvLYKtC3iGvBw0DtkKbfQd 4HqmWtO7qqutSt22vw2OGHHE3A0W0xS9OHaLjAtMECIth2PDLruFYTkhQBoMXznoxsB+LLZUmROe NrsrfRlgcbwQ62d6TUgp2CPM8gKxe3vZY+sl605rS/E2upbTAt+PZUNn6OeiwVKkMrd/QSZKCLWv GvhDzdVCxAM1ec/SNRlvK116pK5VkXlkGw5PY/4ro4DqduLQEV6asUzB7H2Sp/jU0xKOO3Pvxkz0 ZUTVHfzUtARsJBKLaLCUWyQm/yYIWj1/gmqe3EXpwkuFUQuEsaNQdkq4/CKlOczcYnAyyPN5l7ON ELF2CpCppXAK6KljY/WPXnem/QkE3PXwQIsL+isXw5vxMAMYWBurxiBXQHeW70f6FrpxCWgZjHWw 2fIjmauLrdEdqWNozepC1g2DBt+5UbekF+lv4KRuzf6IuGd1uB8ajy9So/S8eI2Ufd+UBOBx7J4f BrjEkBARWVxbafl/pHM69jupClI5QalH8gogiy7XvPACrYTE7FVOY8RhmxQNUewO/cwhzGaR6aFW wo/dks49DfBULTdLVW5snjvs7OSci7AH5qdh4zRRMHoa18n4ndVIuAKp43ybYWNxSo7Xxuqzby1B 3CDGGQeii9odDFtRhkI3g4aMaz09zjfPjzoBCU2Rr6Cwf5eUywIr+giUBbXb2z47cXsRmnmHJSTG qQMMyZTqvpEV4SvBj4b8XV7yhM+UmkcAcokVgw+vJdq46knD/V+JwmIUVmkTqTZb+3dBeljZ8SkB /KYCkFF/G4Jbz71zO2sdl1kmH1VlPEeOB0xUavI/kEbn6qFX9sGtSVdRPnbBd27VTSWbFxVr4Ol0 IK2z8hvPO42QgqugH97D29c7UH5uuH5bakCt3Kx5zUZhCbUmAGV6SDfu9abhzZdPB5xzeq80ZlVg n3o58+NrQOerFimkjAwbOznp/0iFGYQwpB/5j/bABfZJ2D5hOcHvNA0WJgKmkcBWin+1CJt/x7kv f0Rj2om44MXpn6QWssVsDLX5MvG4DWmdLoUQMFFs1MH5KY36WotcasWJWSdsYXoC/K9P5mDuSEkn c7Rb0QUhrIuVLTy72gLn6oeM/5Dk3tnXuHrjc5dsbpV8NRmMqlwpt1vi8jL2ClCGYhPmCW0DGmzN nPsmrXmoVRCJBI1wdK49J7vrvoQ6aBz4Kom9prFB2bkSATI034Tz73YZOZPtw/tgpfqneBs56cil IniO9vdPdExXIyj+J6PafCF1QGh1aFU1f9vmXGFin18t780CrkePItPrq/VQb1zmHWSgaxcCCBWC 4Yjt/xtGUABkLpoKNqfDjO97y4+PtgpgvUYiroNfKhaQzkT8+tlFsbp2yn5LWbJsGgOToSW7FaZI eEoHLrrR4DTtvHRGJq3NnkR+X2GAdKaj7eDFK8tA8D7iu0qErsIzJpi3u0fFRTWFKtFYagjLsxqm w+AkavU4zxmUWUPoi7Lhb93JL2Rjz8AnKvVMNXR4hGd1SAWLL1Ge96k8Y1s/ZzPJ1rBWbB/2uGKx F/ua9sK+7xm0zhZz7cTR6XtTdPv/d+zoZi14iQ9Rjz/U0nz2TDiZL21uZ3z/2ZgQ/zwzQU3CNFVj AmTwq9bwv2Z2ouyhTqebBb22kdfJ0a06x6jkPTNUwifYuBFN93UcRVCpxZGNpFPqlufJsctPcxhS IzqdHUNxFs+7Cn6p7ikqq/RbABIvMPUdATlF2KkupUVFtNgDurMB299y/bASiPJ7bDn1tIkRuIvs O1bcp/v/JSVXEAXCQcJmMhhbYisTcLK4eS56/LLsV6l/WPVm7E3vktUz5BYB0C5q4cTHo8LnOcjK sdA0CbYAmA/+hIXV3nYMZSO2cNiCVZNkhVS9dh4IDrSyiNVms2ASFrLKHkUkdDQ30MZBaY3ePKaW wtBYLfAqxeLAm9SjsKwVVHwQXBa+e/mxLXJoTqWSO2RarayrU0y8SOEAxRZLdIuFYJRKDSGvxcQX k6RJxfNZN4+MtQOB6DUAVyVRe6OlV90gQG0x8XNMe1ctq3ba3hzfGCazteMVBQtAxpyWZNar5Wwd 8Ga2tfzfzSaxFkIYiBobTnkYJuMPwSSoIjjSSnX2+FhlPnHvukxB7W6FZy0hLBsfcuqWTK+4eSKO lPzcPoTV5X6XLUnsPS4D/P5XLKO+8oxEEA7QDWANcRmaTT+kQdKlP51cXuyRHwi0SrWmioit7dE6 SFHx5vv7YTRJHY7f+9dxhoudNY4WT3+Rl4VIO6dBF6JhnHJQPqMRIbTntnz6/fy7GQ6oDP1TsvY6 bFf9cr1/yOxCOXafhBnDvslKSl+1UQZopNGZ0QHOruICmCIQtpWVOU/Yn8CVPFadaOwcSKRq51hh mPML9om3mKYcqFMVbrRT3AbzNsjrdnf3hj8sDnhYILlCveYnVU5VzWsZu6L49/Y4PCaQqluWTn2Y e4oYJZtY2Sy+QQ32aKz7ZTnfU0GtYgVauZwz0el7PEdaw/dbPf+uxAeBZUKKNLGWvH0ULRhn5k2s kt/i5/iu13GT23Pv+wNRI/OlgtA25KeCKsTe5xZRmbnvnf22zaHWOe5InJqD1nhsRia5wCWOaC1P DVHC9wPE5QyOYZMO6jyYnJ8huAibT7jeACzsDGku284ciChHcCqZpHlVHJPVPxauN05n91Qi9+oP /pTNX3Yd/pDwc4fcj9T6XnndmOMAEUB97u0rAFh+rNPuELE2cLM4GTSv9bC46+2i+RCR85vKz99o 6vURWeeVLNqnIeSvnuneu0wkNtSmqj580ciVF5MXxmke2SZwpMr6j316eJA34gPqDkLpPEKrCHdL za2hzPA6Wjo69Ys1jd0YkVPfbbLaSXdxjyLTx9F5XjNduJBnCdDh32kDHV29bPICtvNlf7n3E3Dr q7e29Bmz4bj6l7Rgha8N4goAKBEoI6Bu+H9yc6tEUexPCIE2MZS7Xil3XZzfGksztOoOE50iu+gJ HOmblpxFVxNc/4EUmLwapE72Q1rq44WpkpXFS3XsHqWGYhf8aIbgq9M/yDOtfk2kpnxSLTFsSWBl jGZ5d3fOyHXDF9gR/LKlPEGlWqI7COcwsxAi4OjoJje90OEgq1IndcSvb+ixafisjLVxduVWZ1V6 HLRSC7miUJ/684qLhIfc5UH6+RBDHqDcKEIEE2cpxlDBUl1ZTb2KK7hEADmyo1qZb9UwPjCqE1CP LZ7TaYfKAR6dT+kt4ZZx8O65tjKKXtArbNdJgnzZX8Vu3IbQ0PAcMYSwgeBLkT2Tl7ErrI4HA+NA PeKSTLMvGzyAsf5LfYFe8GY8SHelI47ekayEOc+tAoXG9vasCfHvehLJo46Vb6Ps/bWg5B6pSxZm 5a++tNMwR7XwWfxZ7JL75Xbt3znZVj8a4COou3iaq8PIcd900vHWAaDMRy5pg0CdnNZmvYlgFlar Lh9P/nv70AdDP7fFWlccjq8mRBRJzfk+6amBw6b2DtkGteqdWd/9+3CfE4ugNA8m3SGFSVBTCM3X 6/SNXSxjSGZ7Y6zSWHaFQyLLKktPRVXQtiRbC5V8njIfND5gpBxtKsDZwg9WR4vF/m/+pUY9zWHD UoAPQtMakRXU3obsqSJ4a01GzRgrxu8nQWb0EPRMoj8/f7JLD2XXOyusKzqQgQGA4Db9r55+1mLL 7jlsWr0GpL3qvMUWWNX/+O9r0Y6q6pZOAI8ad+0UGihUQ4G1Yef4QEQKB0QXTSl+Q8fJTOdXSDHr tyUTD7e+6Qrj0cljfD+zOB9Eh6PzV4+z8t6BtT4+7Z3P16HhL1FqdrgCPsCTtNEUEVcTqK0M8rbC +09EUnMuL55jXy3mroPrMFVadfkCDCmClJc8z/phmhZn6vzzuOaVL0ivtwH5k/BkVqVDozJiVc/4 xeIhaDM5NeYCWf8k9D0c6JxwHC8pLfbPoSA3t2Y6Y7P1k0eqJmdbSjwbua1lEVD/MoBwPr979T4K 0CDOAVmxk8LQ2X4S1GUIXPICvt3fGKFDrdBUq0BNyDooTYgksFbypO9q+mCPBzOJCoxtUFvI1PhH tFaIEiTSwdzny7KQmk6Oh7TToJLGc2pc8LMItoMbPmc003HyLqzfN5KW/zmGpVAnRPIgTnwaxuN4 th3yqG2Qi7OfLlinhmWrUODgF/nw+MzLVQkinTyUIDJv/r6d7Z8Int8sb/DUJO/wMSSz7vtdoxrt b0i3JdfoPiDhcaKRhT1KdvGWAf6EYgdu2OjaDwf//j6AtC56MtPQiopOHqkfunP++2t6e3ulKd/T 9/u6K/TXKgYhhMuKwmVxnfuaQVzyqpa5cEzbFaDtDgmSXODE0wy1Manm11EK/0+LGJIuo3JV0tn8 8CBEX2JAaRT4Xn19YwQ7GPqCaGnu0swVfZoka8obkfYNE5Jybhim+VtnFC3mlkMrczMb9OHhq1fZ 1h0ieAwajd3cxxNDFZNytULhdPQcisUNwqtmiPQ2xrw7Ao5cK9SqaggPyG2Oy4E8MMvI67actssk nAVZe0NHHgZFW4sdo2H8gO1cJTipdT3hMlwx894zZha/kw8lFzqi2WzLhFoM2qiyPYTkC+1yv2x3 /jzM9kjdBtwcK1aGw6Mqq9luHgIRkw9X0uuCbXlvcVFdoD3+F92DXkAWbCzUMRtrzRpklsP5UFkQ zVbBthO5kvHVFtp5OK4jbjteRmwWTMkfoX8Quk5LY92LTflg78QnGTvx1faNpG0ejs4AGWJaZS80 eNXCe9B8UyNtFVn4hx3zqqJ3VR0mvKxirqtg+8x6OWQldf0MjyyGfdj/pKDAxw+lBdVx4/66G1nJ aKWIf28BzE+zkP+0v46WB8WsT0N/ZdiGyT0n4PpgkZa/hAdd+/+hgbGFPLHLxgE1912rthCU14eQ uXwyESHjylfZd7OoB8QsrMGUJN4hh/HVoWKoiFQBnxZMOTcyEcdNFQe+a1nc9IyOSaf36EzIi/AX GKrqmZ7+thxpfxnXUd3/s3lBuJy9mC3RhhCyawLL4v7gYg/IAmeYuWZrYPhSn48IAEf6ciWB5wcm pek7xuWG2sYvtZyJu3l+RfdFhpZbjj08vIF2mqrJsA52pNuq+PfIjk9kcFozyCBO38hlG2RzqW5u pQn3lVsfejbod9KJmqXzZDOtVoXseKcYYhCG5N1D0qs52Ajs341voWC93Nib9yknZ6XUjx9Ryzy2 gbvBv6aic3+Ke0wmFxBMGyymkNwNFLLo0A2vXw996BBd9KRJUgHgB+R8Gy3Ei7XPpjvHEMYQa378 173Z2Mi0xXcvOE+uFp2rVtw7Cw1qAyeOs1PnXKEsikcPv9ix9iIffrMg/E0BbtOjIl/JyVwoieLQ dhDSe4AnLsU3Y0LYxjW+c51P00DlxzCe7wVAyvfljkWPs9XP4c4ejLoGR5QTWJJPHHigdfxQcn4W UbuduyIMo3hhbVHut5xZPIEwv6GMZRYadNPfg+m+0chMDK/+AZLmQ2N8khOee9nnUJdY949nymsk CA6AKRCB9LAQh5gbM7T9pGcQfbXp8hYn2+1j/DqDzu/8r2Ul0lb47JRhTX8/AsGXziSwEBXDxPbi Dku0DEDNxGILJn/Ws/2vrl4xmaXOTSBcHVguuHbyQdx9J0D4F1V+GrjG+HfSB0c1mPvllR3dG46G OiHvGoM3gpx+oW1Irtn50kurZAdaZeai6Pl1MhC0Qd0t22h0QhURqekFAa+oyShb+7W5Nep4mo+m 5jaleEa67622MjyFnaIOuw7WcnScrhfej7saUFr7lvyJ5pW6/o6tiJyPBM3cEqpFvjZaMwTa8+S3 iLJtbKqzf3qBIN0pkMX4UoNYzVGjec283kbyGZ6BgiFM9M9+NynSjV9zyzE67tsi9rTWD2PuBR40 j4dFrs+AHhsDjSQhRe2LvXcjCdh37GGy4jCIN7mP7DFAG5BYdN1EcgHp9IJMcXR8ebuOJ6icq+DZ V8lCpHvJtWU6IpLfOu+gqV041L5QbiX8wCRVQ2pEezfgExChHmuN5kPwBbPYsHkI8QR56eotSfXN MO1Z2FBqDDFTA3qQ9c3ZI7pPdBCYevM/d0s+A8Npc29pp6vopY/uMSPY/o2YfFZSf0D8FqrlssH4 /drcKmV/o3wmER1KnC7O0MuvKnq1tbijVPi8kItk1zKgjw5hMpbupdryk3zk1KRHL3X5jE0McOrp kHTIX1rK0EFwc+l9hsjPAvFN3gteWuVxtTmvVRrlAXdJ3OYpte0rcK9BuATUxYtDsQ6HYzgMSEY5 ygqupJldAk7kDunNdat0mkRyXbp9hrTUMxgenDi4LSk3quVJca6WZI1WYdkB8l+s8akreI99zip8 jH89zvA5vgWGRHSz/ITAyBr4ej2gnKiFzNSxxOnbAfZyVJQ7p7Rwf+cOb+8Yto3DAW/fnbbidSWg e178Eij+ppLIEgynJOMsdm24GY0p+XkOCLGQrCu+LVyiGRxMeEdwA+vWzUksb/jOVcjBuiLb+nGh H4yehmue4/wzbXmpETxLN9UsGCsfLcNYVYbzUhsxl1wV8DaNOOs+zprr4PzSiHvRoELHKRAbee3L sE46flC/Vp9Xofnk3N/r4IEJcMZsBdWfVhVpI+Y9nBMnzfdaLOWib3Rb1sXizOBqszivh4zh24gm EYJ2phscTPMnmPld117ZspkMIrlm/W0U7xUuYfleHKrw+uGh7ca6KEP43J0CGLWJ6YWYH7Iu+ucM gCQm1vyB+LdHR1XF5IUCgMLaqM+S/EVXT8/jk2BAgIVPlN9QI3uLEdX//8KjL72uqXWyF2nnL0uB eelPTb4Ojm/va01fOjNKn7F3KAYe2rWAsYVOTuL89yr9h1FVBhGrtZxAO+D8cV/Mav4bWpwlO39a ZsUSe+Zkt2ZCFe9glaY2oQeEEf/he2HNtNWUe2f4DvvBUDwvS04luf4Qmb6dQxLk5VGR76gUz/+4 AOV1PMtsv23Bc5Hrw0HiaepE59Qs2c/KPMztjBjCqJ26MAPH58cwA88PBwf+VpqzPHNwTjyU4qvf NdkBvwOk6j7d6WcV0RGlOXrtf6S1P5AsCzPKZCUqODdfuWxEyPzf34gzAOdNuleMS14A2Q4EDWfk qZ5kHD9ARQrhTsxQBJ4w5AcOqxOqatN8jD3PWaS37+9KTgpS209d592vLRWrbyIjlgZ9gTUPJwHD liD9leEAHBGVt53VrN7bkEYVefGuwZFkGqYTc45VjknRo9Gn37g7uCtMp61HEhaKstLjTYNR0ds6 tLchQoIqD1IQlMINVwJzMPgpTmZxwVGGOeqnubs7q6blzAlOtHH/twZaC+Y1LFgL88O6haQ3oTTr s960u+ZPJVAyq7/5hG0B58g1hrl8COcgJQVDJwcAALI+2+uF50WkYxY54THF3sLBE74fQISzAj2V ZTeSSAnG5jSTiFUZd8ew4SWWXwKrm4Oh0IbdPLee+iqqBgisK8pTtS4pkwrk1W+4MyLPLP43dd11 16i9Ft9ksHq/CvkDiomstPMCxO2/pNNKAkOT3bxUflyypeSheZ+XJJhe2Hhc8/wqVbr5ImGhHF3Y tmLPu+VT2mLBatqB2G4RACO66LkKKOO18Cn7j1p9lIJWgAgA7Bz78oFrZ4ctzht5bgi0n3nj8tHS ePjTAh0USUM8IFkZ1i6/I5r/vXxSWC9XNCPsSdmpztSRmbmM1bBtDNorlG9sgkN0yPN0Hjv+F/n0 H1Z08nOhbiFX6ZpboLILYGIi764DkQcsnDhvKv1Zf2vBxwBX7d7oHQppaOVRCldhJBnKKK6Rv/Rb qUyz01ssQIISjD10761B5Wxd5kCya2eoeKdWa629/exNg0YghJQDXg+2gbawIE+gBloYcHt+328p NarC+s/3Kr37csWoRiRXsK4KeIr69AH2+FMM4UFu7IDGYWuCPDOjSWdZ0lWC+CGFdnttXt1IyrJ4 W5oY6wwkLDCASGjOEBOlN5ejs5tRNWF2pbItXHGGd1MWm8gBt5zwF719iVbx+CEIkLwwQ71BBJ+r UBJXSHvp3U8X7f/YeC5T3linV5s+p34cLaXSg1EyUxLymSQUR7kPEBszkSqxajxMAPyb3AtpFPpI oBoy+7En9aQ438Wk/32/huyMMnXKhIlinO1x09WjkHUXur9CECNMO4LE8WFWyl1OMGKkzaRx1S4t MqDsGzHlssfAkxFP+1vGZETRhZGa8orZN3F+I/+MJ+BaoqADgpsOZzUEHZ+yFMHrIMH8JVs8sBlo mGNAC2icgbl2WG3lb4k7LdS2GVj7DEOveH958O4WnMXIx0Ds1GCsrljfxl25r3//HzGuIK8JvDnx zNUm9Kw1ZzdKIKR0+fqqRf7Lb1NuOYM0gWqfFnyv7GOjfMr1kcLkmqkll33u0QtdnXRCuX1BvfuB Fmnk+LlpgqP76vLhfEH4ru3xvv3fXBczOlTwT44lj855ltEqAoY6nxU6SArvFT6ZkQ8vhT8zYGdG uoljZHzwfv62VrjNiXuaa4Gm5yEswp58XFsGs/dYQ9xmmxHxp9s1MhzRFOy/asWehBX2jIRTHIPK +IIkVpRBiqSS3fbTKGjRry6wL21mwDhOk8AnxEKsh7XZllUnElANwik6yd5HSoRWPTlyRCG8/Epu FpUTOl6Diap6SPxwmWRusc4NJfctbfKGSc7g7IgG4dFZpgLI2OVcJ+2QY4tsJnvPABRApuqyzhI2 jym7OHfp4W+6Cu5vDm4h/Ja5piPy1aw2VvbAuPB+r62IU5StRDakJa/I4Zw2inlLi8C52zhosvJf B9Tr3GqxHdRazaav+DOQm63gCxHaBXHI54Z1NJu3dUTSMJYo7z35MWYHoh9HssA1qISF/WvCkjfx S/rY8NtQaSblG2ANtwXk5LFRzC+jFAc92ssxo/f3o3nQUFKnrubwCeuW0iQxfOihUHIbC0cIQ7KX lELQb5LXGGAag5SCD+nYEWdNuuYQvPgftxJvRn0dCCFfyyYcrY5nskLB44Dc023SJChnI9KPe7g6 ITV0WNPkre3rIUq2kd9f7LiVH3qEeFptHzVGOYY5dYZEPI+lTn8m/KqUbX4w6AYTXFJPVsUPuFcb oTFvmzNpre6cWvtEXAeq4y/B51c/kW3nxqoCuCqwyfEHnst3/Zn6lkcWRPeZy7Ny5Nwrw+RK48h2 GqW3gH+O/fMKkejMDSTLQrqLJaFpcyieIb3EiAXKSC8X2X5TzzxRxMFmGsey9I9ytCArN2WhavcP 3dWvxl9HchqDqJ2hlm2R4hras+f5WL9KlcR/GDaIMpWY4aFPvEmoXWHQI6Kfjd67f+QRvtSGPLVX EP8ktD9mNX/gLVi7bavsIdg4WcJzKbmg/WXoYl22/m2ZN+o4T8XxOYSbggG82zYN2yX0UPi0MHjC DBR0LXWN6jn86OjoV+ONrdWzpUVJnrkLevuQFtaky7+Z9OlLUUTL9kER0fB1pKXi/SHh1AObtvhj py2+N9+v6KsO84KIn7D33arLaQ2AS4Hialy3GA3sRXMF2jzQmC7w1P86OqM+PFBRpaQT9shkWjGT Hp3l7AhOTIOB+4ZfLkrRriC2tRROKJ4Q/gg94kKWoz46cDk3mrZY+KH29i/29JRKzIF6MDc7bERf /EL93xmOQ1JtROsjfTfVVRQF9NzGxaZaP4YyBmH4yFU9fKDlQ/3d1AKExvKVArj2Ne8gv6Z/ID/I X+sJ27x4/9rRNACUwFqDmQ+iy69/exch/7fK/f6f/qwtWzFKJu2+F2CpU43gubXX8eJ6zgKlGOSL XqUd6oKFdDU04Xc0G9+207AdWhn717D5AgolRhrHfVg65cPsjJFaDk2uu4dlEYiAh2RAzGqxJGVi UiXALi06zMPs/VhpR/IOAw4e2TkkggqoZKJSTtQ3/9eLFmUW6j55HgKNvokLhnnrWOKYx5eCLWSi jaY02AR+0dHAPRFxcm0ffunjnTYKTN8sm7wOMzCYhoUjRaDMbxGAjQwKKn5O3N2G32AxW/yBFr9E M7w0WaB/PKtsE4W4Y14DY8+IQEyGLR1EGEDguqxku2IK+jaAm/kX1O9KCpgcscFyr4fPok3UmTXg yPlOzJ3EbGvF1WUYiDcmME9GF/BWcLsNYQqMqOW3DbWgSdC36YprGo+vmXjWvMK0LeePTzcfqbS7 CDL0G/KzJWuK2oxCBOIDuesYDaw5IVBc5Eg2JrIM3g2IFbhDL/5dW45oyG/W5UtzhC5lepzV9NwC cj1FQDnU7Ot4MGWW1250RIAAiN/WV+ocLWH2t0TlCNQeuZlfBVp8tVmiUW9HR+FLlfITydP0BTz/ 1Blz0KKEBL79Q6zDceYDejKhcKA2djVv/o6gXOarojyLJlE5ML7BR6QdW1Th83RktmIASqO9m04V Ewwmd4cqXCjNoC9p4NRx+11u2ynl6Z8PbGJg1khEWmtJGRq29FEz5Bv9e1ucecf43tIBCKjxZUVp wJNu6DQyinc4CXuhCVz9HTfwDmtbyW+olGPEyGp8cdwH5plm8KU2eNqVPdbzCiY9jnAsYLKo4PkA ouKEKULK0rTzMx3Ud5E3ZyF6/zVomlb/cD/RJUZpmv2MPkpojJ64babzXkDZynDs1TSz3/QqXdz/ uL8cdybt2/KWtNbmpRRl0oOOS8xT+dzU/lieu6lCpCfbA11v73GNwNoll7Psp/6FY5rdBzQ0HUWy CfoyhNjnvz5APcUX50RB9/pbOdWSKP7PDL9SoPGZcmeo8fDUaQbLYGL61nzohP+r42WT62NhT26C pDh8LUQeWNy22tvsQn5x9ICdWbYZPPx/tTFFEllGmQDodmdybP2PPaS2XXO5omlyh/n0lljNXjpn eiMISsIk0bBCqGCaioPX0WpKKnHlk9WkEXPe1gsXbp6tbKrX+AxZqCMGLa3dxMGDAV1f0EZ5zKlP JrUzMkIkP0Ereu18lot4vjQOewO0zeRwbpRcdLH/yPG7pKGg2Mxku3R7jc4vSPZFZEwPYJgCe1Rh T87Gb/a0Rp0pJ4RzXaSxgnVVhM6+Uy1PMYbZNxYlaZ2+0d60YEo6Z3jgN3yE+P2wM+pagfUBxuac czUFKePbCz52/SS7RDg2KYYkilSDgaH2Ry4gFB26+tKE7IzvlCh+k8B8Or9C1lUyVoj32uyZzdC4 DLgkzRW6iapLUg0rgiZXYuRZZAMZeMoUXp8ODOlScOCRK6NEyt+m2kfGRchPGjHUej4IIFFQWuEz 8KF8ClSPulx6tNbPMdxICDLJuibcC0dxfzYuEHUYMaPk90am2LzLncwsHfI0v7gbCa3IjxNYsH+s 7RfqwL3IiO+Fh3Wxxn5z9j9o2tLtKqHrwULgmnLUl83eze8XsZVtez0BBSweufWiNKRBkfQ99NsU 6YhVL2eaDkfwLEFC28Rh5Wil3mFhhF6z3H/3iWaYH8puf91C9nNceXCDRg0Y6KNAxRGWaG70Rvfn QXA/5UP2Wy0m6SoixnNVwEiLRBCCLapuPB4wJ73pjCXZA82gJsokCGneqW7qzcvtVOsraejIYVVS 2PsOCfzVl785TmN9ZBxQE6qH7QzI9HbUgU0DpyZyip2WQpi6aw8TVCv9fpp4D7tse5tz/w2/cAvg /drAO9FT+g63lqTAbqryF5u/OkG/JW0U5819TDkdjOovGHVZ/m43cFJinAYGzIF5sFXSkky2CUif VZ8aUbjLwNcZR29axUrXx936R1B0WXfZ0kKwaWbps9ksiCuDnGD9NR3mLZU5eHNBzI2qKvpRtnN1 9C7nXXC/8ovVw3BIXgCXH4f3TcR9LJlewpVyMlA7/vTpvRNprN1AelgAPMlsbzktgojFcek/supc dksbY5+MjUEKLy/7UkuiVNxMcsXTZAeZ6fs6O5EChmiJGncUfrPehklWqkevjHFrdeM/ck7AukLr quAkCIldW7NosjsJzAIF+tBBqygsSHClPmFKXU7zfqs1+/nWOowJEpobmvTMxHllIMGVS3HvtwVo i3LbbV5NNXXwUC+/TOvbvXpVMbSmn4IFhhbtrh/1+OmLZmfH2WdBj9a9gs/oyk2MEmaW9Yg+S6ej 8+PiKT8AH6Kz2qTAGeXQiksQmvkQ9fa7MZThdIqgFv8ITcdxXJL0DkfOXQC7h4ylZ9X4GacYGWyc 3VObwPewZbjRbgjyP7TUqEvZo4CUtFO/IHG2UWXWnPIeccEUEs7twAB7QiWKQhPSolz7gmwzZJ+N Qv/DajKPO5h/sdwaA0TVgokxEhkt4E7QwHKsltXl0UwUT+/4Vwp7EH91OFpARVh2jm8ioepkizeo gW5RZUcHwE3Aya/iErZbOBIcqxa9Sfjd2I0rldJrSc1PwygrXgI2veScRrtlr8VLtDuzP4VDYoVn gI4acnOPBdNcAlpt2Pm8OapkHZYtmSOLuGhiPkZdfjFZVZ0w6Bi5OBJybeO5cZlTeGhyyL1k4x8V jc6nYeXh6YOxujwocpJCxIkKAqi/QXDew78QJdneb22sVg35dRsKhxv6FhmnFFecjUvWS9HL0aE0 9NnGaAKdOoJi3QXOTSIDUnDrI2z3cCJkwh5HeKFFi4HYE8EGB6KLt852j2MpX5ilkJZl9/BdtEiV c/KITaNNekWykRzt1yLh7iSIGvHKOJndOp/GAjM4XNseqgcR6OeKgH8FX9YsLSSsQ78vPHxE50n7 LgX2wmh+rDqnyG5xRSHLVYlvoJTgiNu8xAu1d0E7wsYT6FpKOlafNo8YiL9Lh6dCRRBgwfuYiWnh sROZqQFuQHAldtTyVr7wpC6DZMtML7OgrXQ3A7he+acUA765wGHMnQAFyqSmL7Ho4hGpMPcEAiZj Fx7fw6tWy0tm3vVW8DnAKMCym/MAVspD6hRnP1LZaMRmeYIV7CrobDWREA7u5PehhWPg/yqXRFDg HgB2M3miI83bqHLcrHiEvyEeZB8RPSiBIKVarT4rztBGfD5p0HolvFGMz+1YtROSeqwzlGoUnBeY qB75Za1bOnSbt1HDH+nfaJLxNoN/yqNPknpz6fG9gAzYHsLYMRtK7MLl1oZ7Ge0bsFBJbk4DofpJ l6YyQK4RtvbDFlNpO6s63ooGaQ37GfHXZDdfCho5QA5Gv4iIXwhVm3H2Y7rSxaV9D4Om9Ap6L0+m L0w95Sv9kj+9IOBhqBc6zwQRocn/mxyk0r13jOJnocJ7hyU2igH7RxJ7lFZs4DSX5eUG9uFdj820 WxSO1r7Cwhf6eWxzEmkUbdHqF9AfM8/TlF3Lfe416h9ayLwm2vYLulEQxhpNQ0F6/wXf/EX6TgH7 6RFA3dxKpVrWgFbOJej/U95Sbj1pEety+a3pjNdW8jWKDQirZ8098tcpCBEupcDj9zh16qnfGukr gQkoiVjxZjxnl5m0uk1ZcOpVWLe5+Hg2E5Ww0RdCgSHK3KWqr3ASfoblgC0ggxtp8qM2uGCWuD6F OFRSEepsp9Q3DceD3s1NZqWL7jrsokD9iXUCDFN8lfPVqQeVlKTt1PUQWnX/aTFBT+QIoKRQRxzY fE+hp6Cp2vCrd54XtguFcPDlJzDB7DSaxjw3rxv84LCTjR+cFLrfSg/4Y3DE9UGedp2mDJ4JDW9T tdns8g7OTWIt6IFgRh4i/br7ma/jAHnRQTlj2LcRw/nOQLWHibv3mLYYJJCP41ddMJz0ZCWDLnj0 f63DiGOVyIetjWjNFkeWuN8FxDDtmtZIf3lKFn4qiYiL0nQJ9oavxBHuiLbyOU9iAK4IIsr2jstR SDtzxk+qaHgi32q9l8Z+1lWCokPMC81wlD+dneDL/CRQ51xd6095JxPFJ53FldcGmLZ5uE2X1eF3 CRhhNbUHH1vVseGXNSNcKOikAK/I1PHhwVCG77/fzPpH0Ge6Xt/luMtvw0/bsi69rq3nnHbDaLWN DTje8Q7ZL1bQ24pZG3q1d1wLzJP2tYQXCgOg1LprtMvutgD6b+G0FCAAw9B302210L2fjJ4u0Cvb QrWzhFOr6e3AbqHL8eH1PMwYGcDbBkien5QRNB1pf3rdplikeqxBRetq75scMBeeuRgKH54LCxS0 A2Y/qaGJL38jv8Bs/6t6xCQCCV6N+pZt5947pMIyiM4zOwL7GZC1Kc1+/7itdgmRLrWvfqx4hJ2O mzIkZts2M2fNnt2aJioErqcwHtJ5pg02OigMP68jVqyzs8IHm7jyI4iH7VxLG+JXr3mFkV8cH9LN Vr/aii9TlWvvmK4yLAMZWe0ArPcvQsaqBeKb/XvXMOZuLTLGxBiM/dq9z2Jl5pjJUMz2nrEjvbk5 CrW3AGXmsvjZ1m024H69oqCHLoaNdZr34G5cRke7XRTz0gCOqW+IchbSy0vUSDTiM/g8tsSeNpfX uIXfpEIjzlfWG3QpdL42r3IKyDR8LJw3hOQBUwOXCdQ5q7BvKil/TPgCoESnHrFTtEwKMKybDl4t F5YJfmesUbbINWqFgZUzs1Avy0eFJ56ZOlpIRdNrO1lFOqZuGiJDu/JbUm9h0brS1encj2s6/dUk HJo1F6i/cj1xH37KgiE3r2pfPBihM+jo1BQwC3f/wgSpikSlyAWXi0cGNig+mTjUgeeE/eTIMa+5 Qw7ZtUUSGjcfeyNfEj1+uc+qZAcfaMbYdYafpNNfeBNZa6CxVExDqS3bdQoMPfrh8k+VcdhC8xCD CcuCz6x20a1apQVw1OSnF+HPNb7TKVL76gmkuTDcJxNP0X3f1OA+rBDrzlkLFIBIvTNhvL794WIt dq7YHH4gGyQ7rNUlp5XueEvF2mKcIpkHtYW6r6rrwQArRAgthNQrQPhJ2h2MHiV2v7JjvqLwW7Ez WNpmqodPz+/3iJji6S1zEziWYG4QUlb9xTC3ic3oZw4k6QXxqgsX1T3fzj2Y8o671HnaNqS4fK4F U61ILb+3ARP4lJsrL3IUk4Dh8y5iE2TO9hCJkFYcgfjEIyKvZtZWsQ00EQrtKC1S+Eenuvan9SHv hzmeOnOD+BASJwWXG+sa3MKa+AB5/uXAuXBRkDN/AamEg2674VV3yRx8tJaM/EGgq+tIqb2TIhDJ 4MgMJUe7geB6gMQopTBfKzJSmQ227ZDDJoQcOdZOGT6579PN4LZugdqObDMPELzI2D9CZp7F8cyx 3xLKeqmUWMuzSgtyAS/F/MaYrnEf5Fi9H+mngU14Y7w82179IAfmt0dp6aW9v8fzJ5fIX5DimUtx m5Hi6ceUwOcTgbFUj9CdZfQnhAAMZzYT+GotTFjzEVMZ1AIUWUEZ5pR0sdp84b2pTGPAULNKD+cD QWxkBDuyI1vXPjqLwCVheqXEXqE0IvcZM4TtGr1izAZUm4PQDbsw9ehGkaYeoHRMbwbBi1dNjed7 1RwD3vQCWgsEOoH47CjkjhHYnszOUYzCdF3O9WwwstJkTOw0klXzw1laMTprd9QYEL2GP+/UI9po AvaU7z+XhcahYJRdN7Xxmq6J+v/U426iXEcmJ1VMOVPPPGE4u0cQg8Q5HX3pG7c9rejcrCkvV+FI 3lm4EW+4AcV4s2NVTeCY3l2l3giaP7XDZNm0iVPmUTEWPe88hdnBC1j8ZSh31IqDxGgAuE+w8BOw 0OcnlWGGUCh2K1QK/R/TfjlnWax9SsVlyG4/Y5BUsuuyDNKJgFoov43qbdFrqxjANM2g2tChsSwX UYqxUIDMUK4bktZh2QeoCa7Nhm5psg8S6NqO1agkLk2BdJZ6lyzkrdM/sCLr2li3cG+o1W+YgytE Q8wsWoQ0+aYaDTy4jn4KMWlEATXkef1HcEQ/G51WD1joqkFgg6QzQImDBmqXWkcLddBMNiJH7CxT S26NW10fmL8F2uqAiLTHSlCmfFVHNfyix/sz+RIrBkux5MNAz7Wkrm59lrdMnhdmrBA4/WUuWba2 lmcswZfpMSx3K5f/UjGs2ftyBZSIHvqbZ7TXrlOIB23NKEX0M6eYwO+7EbSRKdBrlJngjK6fH/Ht g3uH/oyRyW2jyBAw8wHPFCl4J1WTj4kvdIXA1C+nQtfIiL/Oilhj7GZPyuj2m0JB0LILPRVDGCnC 2TrihMqGw/nmjXGAIo1Qi0m7p08xcbV7Vjw+cYFeKnQX7DKUOY5xoBa9ronSUo3Ew1BOY8A+U3qE PQXOTyNZyBh4QrktQ33ujpinDK+JxLCjNC+BH2VnesRYq8WLQYWl0/lzdJuEUKmMh4/Xr37IXyZS UVh5N6vxU9gzX+to4CcoTPq0tk0v/3/6ks3z6S1XR/PVh5+3EDnTMjH9UX8pDQiXelpgIIWOrWE2 rTVxjscIg+ebwaJQPsje+tNAJYtCRRGTqpvXpX0I1YTilGNpWvnoAP1gwnrnDD+ghEyADtj6AzHC W9STxDrr1HEwd9shhDgrj98VaEBnHEaQf/lT3XANqL12eJPdPk7175ENlNKuvTwiisuG/ie0bpLU wtZOOghnZ5WyJjbNwH1b8BqwpwVjH6ZJCt45hAWW1HLlS3lNfleJIN5SX/skwy62iLxsnGZVhtxm cT+cpymA6xPfEH0oGwSvE3sE9EH9V6SrDREWEI1e5qg2zKBuHTAGDZjIJ1rIsiXyfghbba7Eg6xK d2JYyPRP5/Jj4cEizibMiHqaKRalOLRd3RMTy7E1FQHeb8h+1dfYx4u08pqcwP4vmXqQES13LAUG oPlsnSN+w5MGaHoMCywYjgKJt124N34vOPShzUQHZNpbMnz5f6P0DN/lYYrL85QwEg4yoXsprhpV DANTfQIV5MMc+Lf9/U+rEcV4TQ+TBpTbw71/xvLaaKkXoOYF7NUZUf2WVWjsEgkVH68FfVPtJCxd 8nzdGsdMl7aGTGU6MPtjZ+F2+/eC+qZEEDPeiovijKTvGPpyxejrpTF60uQ9MTfM4FSV84iQpYK6 o1SCKd+qsDqMyMS8Sx00fPNff5+kWJ/wgNycgc6ZCBQCihTDaqvjCDHrZsuHLehSza4H/2UmoIZl 9XFeIaI2wSVpKlm9Flt4395N7/INEPdQf936/wQgHWnkygHNDWR2/bGGS8WwvOnF3ed2L0D5VPu3 +yyerw9xjDbrLfRcMCb1aUY5r5Qo5A6ZqfFGlBzbnKVwYzv6UxQ9s7L52xOKu/0FkTWX3mEWbVBY coQR4GMTr8p1GGCiCwb7tcpQ8BFZ9dPgshiUBjgE6+YxIrdFOSMSC3TSj6IVRS4ifssDoaSdAlfS SV7PgF/P/JL0XBzXZf03tDYEYAC6E+7vrJVL/zhR3RCiARbWSRBhT2VOoQm5eEGzXY1PG7+NSZeL oQn2VL8vrI4PFnqdd1HHw0z6mBBarqIgz0uarARrhY5Hy5iZF2UXwY6WdvrJMDBCPuClWI1jdqt3 /L+5CpmSJpuupz1NKbfjlnKHECJI2j2sZWKwCSG04J9SSg2x/+qmlFVe2R37yEwBdqppw4qNl23B mkIcULrF4cpqCy2ovrPWFCYk0Jqenwc7pMYfg06sj+7j+YxlD5uf8uquribJDQiU3qBTmvScf3Fn iX+xT3q1hIT7f+RVvsbSGtli8gYXyC6Ov0h1EDauSNcIEmtatDKgByk3qmPPwrYPA2pnad/L5DtE +2yyJ6bLm5zs31mI23Bzn9FmqGkCBAQ/DUR75xeuNsWLE//44kNwIGEj+35qyTG1J1np/Wfht3pl qY/1JNyphnLYoZrKpAOKs5+LMHTfLvNXiW6Sn5S/JnOso/i+21DBQJ7h3ntcKdmwHOTKDpUK6Cmc mQmoNwBiT/ruXg5L9D3vZ2ppEr5FoN4wieJqURWhV5X5VPwhyh3vU6SyUX7Xh120GWJsThI8pr+u V+V5NrzjrPykT5XF5RyYXPA8tYrgTJZw1iVt2+Dy/VHa96blpZzz8YJXB1B/UkG/nWIEBWMZgywV YKuNASoRcMEAz9NZFtdX+FIrlpOVmrvlUsKbXLxNDQxy1HiXZUoasXYJswLF96UUEN573bLEXR/e 3/VGZSaxwOwvqHQenVT9b641eGcX0eKAuCpdawu/DlA10MI37IilEHUByRAHawmStlYl9MmGOvRz XOUvXhk+MR3fpsl1ozvGIpDEAOklN4ERE1MwjQS47QiVmIjPGedHLezCJAJwieIjOKo67LfLNSBi wNBG1jIf04JrJBiD/tBqC4O8O1fT9N15A4RmWg+oTqE7Y/+PoKrI3FYkgPhhhz4/8wvgdtUnHwFC NMXq3d1cMc8msAIHBDNn1L9G/QabF6Pt0vCcMZUfTlTnpUf0TEWs6Asx4dRrgrESR3JAp/LRUqgO 4irf7Rj5oCmbp+MDHM3XZJLZvFzu/28ecApbsHAbyrTm9hoRnPQTchwTKXQIc4/LPtOLCEhaUkZp UYDT0fmy7ewCA/zWtpFMrc7EvPzsSc3hSF7rAX52wz5gptz3b6/VxHdh/mMxixh1B+KEO1NLBsBA 2AiyQEvjUw2UL4SqU/B7FrOcg3IuXo8LbB0panmk4JE69WU4rTSZHjvHbv7yiV5zYOEDLGVVcjIf /+zwHaZ4MBcTvbSztRhC3ryxjfnopY1W6NHHhRe6WZ5njgrEl95X5theWF7Fr0AtqCc/Hr1+zeTu AKIy8ITYwtsxhwssLrWNn/OOwPYwAF/McTujkT6RdiWtFMsYGreWwh56TmR9hsNx2VJr85ShX8z/ +5F6UhY38zTtxNkOtKM7UjhfbId0OkSDKSjX06zowxihdJX0W4CZrypbuNRq/KVlbwKNq8Ej0YkE PRUr5SAb0f60R3QLZ6lZoUkshU0fMMRR/J+0j7DDPf4m21Lw6XhteZYx2U50lecY5oKc48NtCDIa BKclS1AILTxcIb5lJUBhXqkX3yjoKSHixDGxEUTCnbT2GY0xdFI1jSshdjSirripWvZZ+8IQb5Ak 7NBps+6wgMeAebi3raFsOnVuICiTIH8czTtEaoDvQfEeWTcyRZ3F7d0/NYBN8nMoaqUFxcHUoM5T quj3daLoAh4R5vS+2xQd1OJAkqmxkgjpy++Xy28IKWCZ63i7OCroBHuejpTJ80lx+pBqz0q/AwSq 7WxMf9At96Lazs2Bva7Xbu4qtnEJELQniE6C6w17A9Wfn6awTuZ5UbqBxujZMzLA3PfKduA9XX9S S8XC9vTxvF254WpmAtlzpIiIsqAPf4lWnpHMQDs0OcZbPR6xTTDpHAOuPIEtvRk9EdiSvkW4jssK lOdFK/yJOe3znC+olnSczZzf9SYHeergmKNKKYDrv0aJUXRd4H6rAGKbJHaZH54Ht9XPZsC5zxMf b9GNWV3eyqdB8E9GwRtmJIi2/MKoa7COTFJAJ+8fRo/ROAqmiIfULRWG3bRHXHQfjdINmPxEH/fF RURc9baJ/jfqx8SweSQ2kBlQkb+WyBLnsgK0ZqKyZeYkifyuEaVx7LgwXXxYw7NckpiuPOBhBAe4 55Cxn53CkJRtWStJ2ooaSbcj6BgXla2ZE3eZYEcNW764KvW6qOnE2KzIng721k1WY5SpW7idYK8V gOIG5zvpBoUX0w+1B+Jgc3jjJsWZLxb+CnlSO2J10XaQWc+VltE5Nojoa2kA0pQ0TLbyBZPkirM1 5UoDg1aNcZm4jlM6dXOlmZ3vXD+6ZriGqNPy4n0mKj8hMCDmSITvkb/GXI+UAcNnGNXSfjJEE8Gr wyylqVJBIu34jBMo31iLEnyrCEWpGDjsvpX9VeK/D0FstoZfUF15JbreltNGQy/U3lZywMopcc5j HO7av12jf2V06xKh1xn4lrJ7J7AJZGlAWtyA941FbpLMRg+5NR5IydggY5nyiQdVBfQNRM5u0uGW 5Lg/MH0YXqEjKDvlQtxn2pDbjl0grdhX5wCGotG3nyebGX/DicEd3YYCELGdbh9XoP7t6oX+b5iO iHTKMNnN7qjTeSy8j+RekNJRSZIUdE2J3rXUpXQfXKlQpR2K2ZIx6AourW2K3NRI6XqXHYk7dIV3 JaLF2sCu8uYBwXXBJ6cGuXRSkBDNJOx4ipL1sHU1bOBex7ryNCC2P1LJ504M/NNNB3jS/7LRMyCZ MOrmF16CTeJntvie+fzkfDrUrkU8jIlJqgn4vOttJUuL2cQ3Vn1FhcjYJUupVg6AWh+fl8aVDbzw M63oCbA6kvy1np5funmwklW/UoQGLyU9+jCENq1Y8pcAGtAUQ945gySe8QG16tcux8WrUnqF3/0G SO+k+8jT+VlYpIJEA2iakyztz0dkyBupHWrFThUbRDCmKeD20bNmB8bf5BxJr3FMVGcWWyeiNgz0 xX3jaUA7gSlB6lHGx0Nbmkf5StjhIQzc2lMd1e8++sa5JjGMsOIkVwh/RgGaHnSltK3YEgaG/mu9 z8eo7BsseUbHaM7QQiAsE13/octRPj4YWOKaTDfeDGh0Z3u+XrM4O5zFgjJTVz1VDkO5g/ydooqy rZdlGaKVh7ppnareY5+Dj1J83PsYSDj6xZA7dk7x/YqI8Zxd7EVr8znsv+uzQEPgLZPTY7K+5dcI eXMd50zuhcyqs49vn+kyeOJnmT+BonP4oJjddm4ERHvfgfKctGKIyqz1KeqdecEpkHnXqkg1smgE CwmfJkMrc75yOisJslyox+NAWZyX5N/f0pxFIR9h7bZ2GlUy6Orme4hapz1dqK7KdoWda/CeoRvq ttS85lIoq2Y7FQOzBVzghJnOud30oUaQ1dgi7xDMPETHNGvhcWsk7LmaLRRGufoNa4NHWVjGeMMr lYxFUwXjjF5aK01ls2eGy0i68EjFNkt8Agoti/TI9gmpH44bHXb1TdInVO7ZuaiHyU/PKX8+wONt yBNN/JFSsj0DtGvHKy2N/xq3cJmO2Qb9N1VnE6KboyDdcUIIo2XrVlfI3FMCOh1l00TxtwoW6aTm 08NaenNvtN/WUzttt3SLh/Jo00f1mI8NrTXLwQ== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/blk_mem_gen_v8_1/blk_mem_gen_ecc_decoder.vhd
27
24873
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block g2RN5TBir43ECxFrT/y2GRXX5NGDYpjq+n5gxNTYWsuzDCjF5YeYUisYseKLr1ryeyQynd8Epzt1 V06LipLPYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eJKP4nowQhkS+sdlDJ3aF081jbTFWdzdlOBNNOlq8qkrol2Z2K32WIgnl06Lqx6yc1xJY0X0kmV8 eOkRE5vog2ePPioAy86OAcMONOPoHTqykW2qaaCPwvHqEP73jf7t4R18PaTf0PZeg4kzgW5BQXqF THWJ0viu+pagUeVYQuI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MDIZ/fTOzwhXm05ObJ3zkVB2FpJAN9o34cB0jHfFprFQZmUeUQ3tZW60svZwBPLmTXGm6NjoSEnE d1b16jr2OvP7e61sGc1GOIzSD5lAxq6KYGFDCFGlb2HKuXZP87xm86ePu57tT4ld2oGvDNavbknR LLxhx9ZyBV7SuzGo3PKuxBA2tnF6vIEJkp4n2dqwXnKJw+xgySn5xCMvJuNm4ghYOfBAsNQGJ39j 9OlCVz84SN0I+ZhsnI7KhLpJBWOyFN5hfdsD0RVsTRLOBu1rLKX6200sXAdAwmaB9xg+3o0vilh4 pIPe6hkIVYlfHVKU7Znj0kURPqGkJtm2RI+CaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RvD4A+WtjqxHYEUrji4gUWEBsLfMuiFWzgBi0pzOF5kWQsF7tHiiAC+dbiIZv5TBKh6/SeRqqj5f up1ybf94wq9EXJ/d1afld/HRqNac4VRPTUzPBHt4z5dEncFPVDK4ucOaLAd/3B1aieNd9xn+mBS/ wR5gmSxp/s9f+zaVsS0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NS2iEv8S/DLjr8oIhLcaUy30De4W+2t5q2cf287k87h3kSCMEoBnjvcyRcG6CE1IFz2i6ewnJ0mb 4oesPU8Xde+4KmwGSKnw2OpNx1aFtJHy7C5xPLKHuYCmY+9zM9y9RMguGvxUNsPvXEO9G/4BQZtJ xHf97YW4qiiYtbOsAO8R0m9UHVOYT94pj/6x0Itkq5yeU0YXuubMwNfZ5ZRnrVKNyxQ5Ilm1kGqH N2bcD8eyFlVJydABBBV388JKwKrfOh5ZHUd8//7U9+6XMFYO4OGZzTYmAvyyO7iRRKEjPElnyW25 UoL5ziiALbB2biJ+eBPz4dgChqDQ9nB8HsYg8A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672) `protect data_block P5M/4PHgCXWT4d5bQLyxafc9gPLXrc3don7LU1HGE8pT14607cJvKERJqXmRuw7Vu24p6Rikcq9u GWX7pruE1bGxXU01kyIDlaQBCbgDyYm2PzZ2WcV3wJZBP2GqoNGGNnV9D8EoUe8nkfgNlnSFShtc 3gyImEfEmPx5WTUeprbCjuzexZHjL9ufilaP7sS564ZYueRr8CJEkkUenp0vPgr10Js6pUWUtqmv dIhLyHLmwTEim0EIMvoUePfUfEe5QIDHQeFhIaYn6e4PLZqpxn+8TE5wRprrMb0/4UIt9Ughb91Y EW2+F2Z6KVEbQWRpAub7VMwRNuyyQV0J65/pLA3A6rTE7Zgi7Rgmjdmml2+kv0ez3cYDsJawxcwu 4kbTfcolN2QPPPNOmEU1y8C3UFvGi1H9Pfw8g4O5YH7qS7KhFFRghD49E8fqSkVfiXnFq5ZNPCgJ s83F4Cqdwflu8KU57Ccq2FsttPU6FWQyTL3b41ZPpeP4oNnRcomqAqIScuaZWr9xDbXzL1u8QEll IWkICPFN5UsqfZh3UzZGS0VTeWQZJgW2WVS7cmgXSU9cGxtdK0iwmQHjC0zkwWHbtBNk7hwwIPtE XTaVltnfe9twX4mAd3Ifbp3hgkEcWx1TRA1G+qggq83UQmsaUfPSoQMmB8OMZHOndrRAeM9Ux3WK gJYC5lCKHthZgsPEyPEvSv1jUo9eVnzM4zx6KWxDfwQiURf0YsbJ9tp44FV+czYe961snK/mYnmW R4ZFlquW4yfKcdlJMF7l4nOok9OTwk5KlSRs+e1gwm4WJO4vm4XqJjO3OruxP7IYhCr3ToZKi6/9 fyUgm5OLnZ9FZihAfDYedahvH6y7QmxrqM/oi7X5rH3K2eObEkWhUwfdovJRXpiS01RVy9cu3rup 9xvY4Y0C6/01SYeTB6XPcShVKj3FOTX6nPzQPQkhYlG2eNolyxk/mxOePULA7jk6ZW6Wqi+UCIby IpEh1z1AuiN9Ig2zoN2TWgkocTA/fuisDeAllz11TjXw+U60Vmg6Z7fla1qrxokGcCr07zJiB/dx rW6G5F62/FortVfdgE4uzGV8Z/vRczGf8+hj7CSil5rT9XvH28espi8U2JWcl876j6mtV9oeHX5k h9ckaRU4Bvta3y4ZluzesxNMTiUL4bHOat2pgUz6rleaeWB38gV6qKbX5TlciG5FbLnd7Z2EhmBQ KRSVDL7/Qo/kDWHP6muVBhZTvTx2iTr1adWEHxkyogMPVmMBDcDXjQfJc4Piru3t47NQs59cASDG gROO9642IOIueo4Chayp4qPPNNYxC+4SyI/79YIKpVjHvwz6VGuYdGIRK8q+fCxkdpl+XzDlwBn8 fzZuslIFoBlMzRLif8ppiAUTbRK4feEoFabQGrkBHI2HI0ogfHrAmQDvLYKtC3iGvBw0DtkKbfQd 4HqmWtO7qqutSt22vw2OGHHE3A0W0xS9OHaLjAtMECIth2PDLruFYTkhQBoMXznoxsB+LLZUmROe NrsrfRlgcbwQ62d6TUgp2CPM8gKxe3vZY+sl605rS/E2upbTAt+PZUNn6OeiwVKkMrd/QSZKCLWv GvhDzdVCxAM1ec/SNRlvK116pK5VkXlkGw5PY/4ro4DqduLQEV6asUzB7H2Sp/jU0xKOO3Pvxkz0 ZUTVHfzUtARsJBKLaLCUWyQm/yYIWj1/gmqe3EXpwkuFUQuEsaNQdkq4/CKlOczcYnAyyPN5l7ON ELF2CpCppXAK6KljY/WPXnem/QkE3PXwQIsL+isXw5vxMAMYWBurxiBXQHeW70f6FrpxCWgZjHWw 2fIjmauLrdEdqWNozepC1g2DBt+5UbekF+lv4KRuzf6IuGd1uB8ajy9So/S8eI2Ufd+UBOBx7J4f BrjEkBARWVxbafl/pHM69jupClI5QalH8gogiy7XvPACrYTE7FVOY8RhmxQNUewO/cwhzGaR6aFW wo/dks49DfBULTdLVW5snjvs7OSci7AH5qdh4zRRMHoa18n4ndVIuAKp43ybYWNxSo7Xxuqzby1B 3CDGGQeii9odDFtRhkI3g4aMaz09zjfPjzoBCU2Rr6Cwf5eUywIr+giUBbXb2z47cXsRmnmHJSTG qQMMyZTqvpEV4SvBj4b8XV7yhM+UmkcAcokVgw+vJdq46knD/V+JwmIUVmkTqTZb+3dBeljZ8SkB /KYCkFF/G4Jbz71zO2sdl1kmH1VlPEeOB0xUavI/kEbn6qFX9sGtSVdRPnbBd27VTSWbFxVr4Ol0 IK2z8hvPO42QgqugH97D29c7UH5uuH5bakCt3Kx5zUZhCbUmAGV6SDfu9abhzZdPB5xzeq80ZlVg n3o58+NrQOerFimkjAwbOznp/0iFGYQwpB/5j/bABfZJ2D5hOcHvNA0WJgKmkcBWin+1CJt/x7kv f0Rj2om44MXpn6QWssVsDLX5MvG4DWmdLoUQMFFs1MH5KY36WotcasWJWSdsYXoC/K9P5mDuSEkn c7Rb0QUhrIuVLTy72gLn6oeM/5Dk3tnXuHrjc5dsbpV8NRmMqlwpt1vi8jL2ClCGYhPmCW0DGmzN nPsmrXmoVRCJBI1wdK49J7vrvoQ6aBz4Kom9prFB2bkSATI034Tz73YZOZPtw/tgpfqneBs56cil IniO9vdPdExXIyj+J6PafCF1QGh1aFU1f9vmXGFin18t780CrkePItPrq/VQb1zmHWSgaxcCCBWC 4Yjt/xtGUABkLpoKNqfDjO97y4+PtgpgvUYiroNfKhaQzkT8+tlFsbp2yn5LWbJsGgOToSW7FaZI eEoHLrrR4DTtvHRGJq3NnkR+X2GAdKaj7eDFK8tA8D7iu0qErsIzJpi3u0fFRTWFKtFYagjLsxqm w+AkavU4zxmUWUPoi7Lhb93JL2Rjz8AnKvVMNXR4hGd1SAWLL1Ge96k8Y1s/ZzPJ1rBWbB/2uGKx F/ua9sK+7xm0zhZz7cTR6XtTdPv/d+zoZi14iQ9Rjz/U0nz2TDiZL21uZ3z/2ZgQ/zwzQU3CNFVj AmTwq9bwv2Z2ouyhTqebBb22kdfJ0a06x6jkPTNUwifYuBFN93UcRVCpxZGNpFPqlufJsctPcxhS IzqdHUNxFs+7Cn6p7ikqq/RbABIvMPUdATlF2KkupUVFtNgDurMB299y/bASiPJ7bDn1tIkRuIvs O1bcp/v/JSVXEAXCQcJmMhhbYisTcLK4eS56/LLsV6l/WPVm7E3vktUz5BYB0C5q4cTHo8LnOcjK sdA0CbYAmA/+hIXV3nYMZSO2cNiCVZNkhVS9dh4IDrSyiNVms2ASFrLKHkUkdDQ30MZBaY3ePKaW wtBYLfAqxeLAm9SjsKwVVHwQXBa+e/mxLXJoTqWSO2RarayrU0y8SOEAxRZLdIuFYJRKDSGvxcQX k6RJxfNZN4+MtQOB6DUAVyVRe6OlV90gQG0x8XNMe1ctq3ba3hzfGCazteMVBQtAxpyWZNar5Wwd 8Ga2tfzfzSaxFkIYiBobTnkYJuMPwSSoIjjSSnX2+FhlPnHvukxB7W6FZy0hLBsfcuqWTK+4eSKO lPzcPoTV5X6XLUnsPS4D/P5XLKO+8oxEEA7QDWANcRmaTT+kQdKlP51cXuyRHwi0SrWmioit7dE6 SFHx5vv7YTRJHY7f+9dxhoudNY4WT3+Rl4VIO6dBF6JhnHJQPqMRIbTntnz6/fy7GQ6oDP1TsvY6 bFf9cr1/yOxCOXafhBnDvslKSl+1UQZopNGZ0QHOruICmCIQtpWVOU/Yn8CVPFadaOwcSKRq51hh mPML9om3mKYcqFMVbrRT3AbzNsjrdnf3hj8sDnhYILlCveYnVU5VzWsZu6L49/Y4PCaQqluWTn2Y e4oYJZtY2Sy+QQ32aKz7ZTnfU0GtYgVauZwz0el7PEdaw/dbPf+uxAeBZUKKNLGWvH0ULRhn5k2s kt/i5/iu13GT23Pv+wNRI/OlgtA25KeCKsTe5xZRmbnvnf22zaHWOe5InJqD1nhsRia5wCWOaC1P DVHC9wPE5QyOYZMO6jyYnJ8huAibT7jeACzsDGku284ciChHcCqZpHlVHJPVPxauN05n91Qi9+oP /pTNX3Yd/pDwc4fcj9T6XnndmOMAEUB97u0rAFh+rNPuELE2cLM4GTSv9bC46+2i+RCR85vKz99o 6vURWeeVLNqnIeSvnuneu0wkNtSmqj580ciVF5MXxmke2SZwpMr6j316eJA34gPqDkLpPEKrCHdL za2hzPA6Wjo69Ys1jd0YkVPfbbLaSXdxjyLTx9F5XjNduJBnCdDh32kDHV29bPICtvNlf7n3E3Dr q7e29Bmz4bj6l7Rgha8N4goAKBEoI6Bu+H9yc6tEUexPCIE2MZS7Xil3XZzfGksztOoOE50iu+gJ HOmblpxFVxNc/4EUmLwapE72Q1rq44WpkpXFS3XsHqWGYhf8aIbgq9M/yDOtfk2kpnxSLTFsSWBl jGZ5d3fOyHXDF9gR/LKlPEGlWqI7COcwsxAi4OjoJje90OEgq1IndcSvb+ixafisjLVxduVWZ1V6 HLRSC7miUJ/684qLhIfc5UH6+RBDHqDcKEIEE2cpxlDBUl1ZTb2KK7hEADmyo1qZb9UwPjCqE1CP LZ7TaYfKAR6dT+kt4ZZx8O65tjKKXtArbNdJgnzZX8Vu3IbQ0PAcMYSwgeBLkT2Tl7ErrI4HA+NA PeKSTLMvGzyAsf5LfYFe8GY8SHelI47ekayEOc+tAoXG9vasCfHvehLJo46Vb6Ps/bWg5B6pSxZm 5a++tNMwR7XwWfxZ7JL75Xbt3znZVj8a4COou3iaq8PIcd900vHWAaDMRy5pg0CdnNZmvYlgFlar Lh9P/nv70AdDP7fFWlccjq8mRBRJzfk+6amBw6b2DtkGteqdWd/9+3CfE4ugNA8m3SGFSVBTCM3X 6/SNXSxjSGZ7Y6zSWHaFQyLLKktPRVXQtiRbC5V8njIfND5gpBxtKsDZwg9WR4vF/m/+pUY9zWHD UoAPQtMakRXU3obsqSJ4a01GzRgrxu8nQWb0EPRMoj8/f7JLD2XXOyusKzqQgQGA4Db9r55+1mLL 7jlsWr0GpL3qvMUWWNX/+O9r0Y6q6pZOAI8ad+0UGihUQ4G1Yef4QEQKB0QXTSl+Q8fJTOdXSDHr tyUTD7e+6Qrj0cljfD+zOB9Eh6PzV4+z8t6BtT4+7Z3P16HhL1FqdrgCPsCTtNEUEVcTqK0M8rbC +09EUnMuL55jXy3mroPrMFVadfkCDCmClJc8z/phmhZn6vzzuOaVL0ivtwH5k/BkVqVDozJiVc/4 xeIhaDM5NeYCWf8k9D0c6JxwHC8pLfbPoSA3t2Y6Y7P1k0eqJmdbSjwbua1lEVD/MoBwPr979T4K 0CDOAVmxk8LQ2X4S1GUIXPICvt3fGKFDrdBUq0BNyDooTYgksFbypO9q+mCPBzOJCoxtUFvI1PhH tFaIEiTSwdzny7KQmk6Oh7TToJLGc2pc8LMItoMbPmc003HyLqzfN5KW/zmGpVAnRPIgTnwaxuN4 th3yqG2Qi7OfLlinhmWrUODgF/nw+MzLVQkinTyUIDJv/r6d7Z8Int8sb/DUJO/wMSSz7vtdoxrt b0i3JdfoPiDhcaKRhT1KdvGWAf6EYgdu2OjaDwf//j6AtC56MtPQiopOHqkfunP++2t6e3ulKd/T 9/u6K/TXKgYhhMuKwmVxnfuaQVzyqpa5cEzbFaDtDgmSXODE0wy1Manm11EK/0+LGJIuo3JV0tn8 8CBEX2JAaRT4Xn19YwQ7GPqCaGnu0swVfZoka8obkfYNE5Jybhim+VtnFC3mlkMrczMb9OHhq1fZ 1h0ieAwajd3cxxNDFZNytULhdPQcisUNwqtmiPQ2xrw7Ao5cK9SqaggPyG2Oy4E8MMvI67actssk nAVZe0NHHgZFW4sdo2H8gO1cJTipdT3hMlwx894zZha/kw8lFzqi2WzLhFoM2qiyPYTkC+1yv2x3 /jzM9kjdBtwcK1aGw6Mqq9luHgIRkw9X0uuCbXlvcVFdoD3+F92DXkAWbCzUMRtrzRpklsP5UFkQ zVbBthO5kvHVFtp5OK4jbjteRmwWTMkfoX8Quk5LY92LTflg78QnGTvx1faNpG0ejs4AGWJaZS80 eNXCe9B8UyNtFVn4hx3zqqJ3VR0mvKxirqtg+8x6OWQldf0MjyyGfdj/pKDAxw+lBdVx4/66G1nJ aKWIf28BzE+zkP+0v46WB8WsT0N/ZdiGyT0n4PpgkZa/hAdd+/+hgbGFPLHLxgE1912rthCU14eQ uXwyESHjylfZd7OoB8QsrMGUJN4hh/HVoWKoiFQBnxZMOTcyEcdNFQe+a1nc9IyOSaf36EzIi/AX GKrqmZ7+thxpfxnXUd3/s3lBuJy9mC3RhhCyawLL4v7gYg/IAmeYuWZrYPhSn48IAEf6ciWB5wcm pek7xuWG2sYvtZyJu3l+RfdFhpZbjj08vIF2mqrJsA52pNuq+PfIjk9kcFozyCBO38hlG2RzqW5u pQn3lVsfejbod9KJmqXzZDOtVoXseKcYYhCG5N1D0qs52Ajs341voWC93Nib9yknZ6XUjx9Ryzy2 gbvBv6aic3+Ke0wmFxBMGyymkNwNFLLo0A2vXw996BBd9KRJUgHgB+R8Gy3Ei7XPpjvHEMYQa378 173Z2Mi0xXcvOE+uFp2rVtw7Cw1qAyeOs1PnXKEsikcPv9ix9iIffrMg/E0BbtOjIl/JyVwoieLQ dhDSe4AnLsU3Y0LYxjW+c51P00DlxzCe7wVAyvfljkWPs9XP4c4ejLoGR5QTWJJPHHigdfxQcn4W UbuduyIMo3hhbVHut5xZPIEwv6GMZRYadNPfg+m+0chMDK/+AZLmQ2N8khOee9nnUJdY949nymsk CA6AKRCB9LAQh5gbM7T9pGcQfbXp8hYn2+1j/DqDzu/8r2Ul0lb47JRhTX8/AsGXziSwEBXDxPbi Dku0DEDNxGILJn/Ws/2vrl4xmaXOTSBcHVguuHbyQdx9J0D4F1V+GrjG+HfSB0c1mPvllR3dG46G OiHvGoM3gpx+oW1Irtn50kurZAdaZeai6Pl1MhC0Qd0t22h0QhURqekFAa+oyShb+7W5Nep4mo+m 5jaleEa67622MjyFnaIOuw7WcnScrhfej7saUFr7lvyJ5pW6/o6tiJyPBM3cEqpFvjZaMwTa8+S3 iLJtbKqzf3qBIN0pkMX4UoNYzVGjec283kbyGZ6BgiFM9M9+NynSjV9zyzE67tsi9rTWD2PuBR40 j4dFrs+AHhsDjSQhRe2LvXcjCdh37GGy4jCIN7mP7DFAG5BYdN1EcgHp9IJMcXR8ebuOJ6icq+DZ V8lCpHvJtWU6IpLfOu+gqV041L5QbiX8wCRVQ2pEezfgExChHmuN5kPwBbPYsHkI8QR56eotSfXN MO1Z2FBqDDFTA3qQ9c3ZI7pPdBCYevM/d0s+A8Npc29pp6vopY/uMSPY/o2YfFZSf0D8FqrlssH4 /drcKmV/o3wmER1KnC7O0MuvKnq1tbijVPi8kItk1zKgjw5hMpbupdryk3zk1KRHL3X5jE0McOrp kHTIX1rK0EFwc+l9hsjPAvFN3gteWuVxtTmvVRrlAXdJ3OYpte0rcK9BuATUxYtDsQ6HYzgMSEY5 ygqupJldAk7kDunNdat0mkRyXbp9hrTUMxgenDi4LSk3quVJca6WZI1WYdkB8l+s8akreI99zip8 jH89zvA5vgWGRHSz/ITAyBr4ej2gnKiFzNSxxOnbAfZyVJQ7p7Rwf+cOb+8Yto3DAW/fnbbidSWg e178Eij+ppLIEgynJOMsdm24GY0p+XkOCLGQrCu+LVyiGRxMeEdwA+vWzUksb/jOVcjBuiLb+nGh H4yehmue4/wzbXmpETxLN9UsGCsfLcNYVYbzUhsxl1wV8DaNOOs+zprr4PzSiHvRoELHKRAbee3L sE46flC/Vp9Xofnk3N/r4IEJcMZsBdWfVhVpI+Y9nBMnzfdaLOWib3Rb1sXizOBqszivh4zh24gm EYJ2phscTPMnmPld117ZspkMIrlm/W0U7xUuYfleHKrw+uGh7ca6KEP43J0CGLWJ6YWYH7Iu+ucM gCQm1vyB+LdHR1XF5IUCgMLaqM+S/EVXT8/jk2BAgIVPlN9QI3uLEdX//8KjL72uqXWyF2nnL0uB eelPTb4Ojm/va01fOjNKn7F3KAYe2rWAsYVOTuL89yr9h1FVBhGrtZxAO+D8cV/Mav4bWpwlO39a ZsUSe+Zkt2ZCFe9glaY2oQeEEf/he2HNtNWUe2f4DvvBUDwvS04luf4Qmb6dQxLk5VGR76gUz/+4 AOV1PMtsv23Bc5Hrw0HiaepE59Qs2c/KPMztjBjCqJ26MAPH58cwA88PBwf+VpqzPHNwTjyU4qvf NdkBvwOk6j7d6WcV0RGlOXrtf6S1P5AsCzPKZCUqODdfuWxEyPzf34gzAOdNuleMS14A2Q4EDWfk qZ5kHD9ARQrhTsxQBJ4w5AcOqxOqatN8jD3PWaS37+9KTgpS209d592vLRWrbyIjlgZ9gTUPJwHD liD9leEAHBGVt53VrN7bkEYVefGuwZFkGqYTc45VjknRo9Gn37g7uCtMp61HEhaKstLjTYNR0ds6 tLchQoIqD1IQlMINVwJzMPgpTmZxwVGGOeqnubs7q6blzAlOtHH/twZaC+Y1LFgL88O6haQ3oTTr s960u+ZPJVAyq7/5hG0B58g1hrl8COcgJQVDJwcAALI+2+uF50WkYxY54THF3sLBE74fQISzAj2V ZTeSSAnG5jSTiFUZd8ew4SWWXwKrm4Oh0IbdPLee+iqqBgisK8pTtS4pkwrk1W+4MyLPLP43dd11 16i9Ft9ksHq/CvkDiomstPMCxO2/pNNKAkOT3bxUflyypeSheZ+XJJhe2Hhc8/wqVbr5ImGhHF3Y tmLPu+VT2mLBatqB2G4RACO66LkKKOO18Cn7j1p9lIJWgAgA7Bz78oFrZ4ctzht5bgi0n3nj8tHS ePjTAh0USUM8IFkZ1i6/I5r/vXxSWC9XNCPsSdmpztSRmbmM1bBtDNorlG9sgkN0yPN0Hjv+F/n0 H1Z08nOhbiFX6ZpboLILYGIi764DkQcsnDhvKv1Zf2vBxwBX7d7oHQppaOVRCldhJBnKKK6Rv/Rb qUyz01ssQIISjD10761B5Wxd5kCya2eoeKdWa629/exNg0YghJQDXg+2gbawIE+gBloYcHt+328p NarC+s/3Kr37csWoRiRXsK4KeIr69AH2+FMM4UFu7IDGYWuCPDOjSWdZ0lWC+CGFdnttXt1IyrJ4 W5oY6wwkLDCASGjOEBOlN5ejs5tRNWF2pbItXHGGd1MWm8gBt5zwF719iVbx+CEIkLwwQ71BBJ+r UBJXSHvp3U8X7f/YeC5T3linV5s+p34cLaXSg1EyUxLymSQUR7kPEBszkSqxajxMAPyb3AtpFPpI oBoy+7En9aQ438Wk/32/huyMMnXKhIlinO1x09WjkHUXur9CECNMO4LE8WFWyl1OMGKkzaRx1S4t MqDsGzHlssfAkxFP+1vGZETRhZGa8orZN3F+I/+MJ+BaoqADgpsOZzUEHZ+yFMHrIMH8JVs8sBlo mGNAC2icgbl2WG3lb4k7LdS2GVj7DEOveH958O4WnMXIx0Ds1GCsrljfxl25r3//HzGuIK8JvDnx zNUm9Kw1ZzdKIKR0+fqqRf7Lb1NuOYM0gWqfFnyv7GOjfMr1kcLkmqkll33u0QtdnXRCuX1BvfuB Fmnk+LlpgqP76vLhfEH4ru3xvv3fXBczOlTwT44lj855ltEqAoY6nxU6SArvFT6ZkQ8vhT8zYGdG uoljZHzwfv62VrjNiXuaa4Gm5yEswp58XFsGs/dYQ9xmmxHxp9s1MhzRFOy/asWehBX2jIRTHIPK +IIkVpRBiqSS3fbTKGjRry6wL21mwDhOk8AnxEKsh7XZllUnElANwik6yd5HSoRWPTlyRCG8/Epu FpUTOl6Diap6SPxwmWRusc4NJfctbfKGSc7g7IgG4dFZpgLI2OVcJ+2QY4tsJnvPABRApuqyzhI2 jym7OHfp4W+6Cu5vDm4h/Ja5piPy1aw2VvbAuPB+r62IU5StRDakJa/I4Zw2inlLi8C52zhosvJf B9Tr3GqxHdRazaav+DOQm63gCxHaBXHI54Z1NJu3dUTSMJYo7z35MWYHoh9HssA1qISF/WvCkjfx S/rY8NtQaSblG2ANtwXk5LFRzC+jFAc92ssxo/f3o3nQUFKnrubwCeuW0iQxfOihUHIbC0cIQ7KX lELQb5LXGGAag5SCD+nYEWdNuuYQvPgftxJvRn0dCCFfyyYcrY5nskLB44Dc023SJChnI9KPe7g6 ITV0WNPkre3rIUq2kd9f7LiVH3qEeFptHzVGOYY5dYZEPI+lTn8m/KqUbX4w6AYTXFJPVsUPuFcb oTFvmzNpre6cWvtEXAeq4y/B51c/kW3nxqoCuCqwyfEHnst3/Zn6lkcWRPeZy7Ny5Nwrw+RK48h2 GqW3gH+O/fMKkejMDSTLQrqLJaFpcyieIb3EiAXKSC8X2X5TzzxRxMFmGsey9I9ytCArN2WhavcP 3dWvxl9HchqDqJ2hlm2R4hras+f5WL9KlcR/GDaIMpWY4aFPvEmoXWHQI6Kfjd67f+QRvtSGPLVX EP8ktD9mNX/gLVi7bavsIdg4WcJzKbmg/WXoYl22/m2ZN+o4T8XxOYSbggG82zYN2yX0UPi0MHjC DBR0LXWN6jn86OjoV+ONrdWzpUVJnrkLevuQFtaky7+Z9OlLUUTL9kER0fB1pKXi/SHh1AObtvhj py2+N9+v6KsO84KIn7D33arLaQ2AS4Hialy3GA3sRXMF2jzQmC7w1P86OqM+PFBRpaQT9shkWjGT Hp3l7AhOTIOB+4ZfLkrRriC2tRROKJ4Q/gg94kKWoz46cDk3mrZY+KH29i/29JRKzIF6MDc7bERf /EL93xmOQ1JtROsjfTfVVRQF9NzGxaZaP4YyBmH4yFU9fKDlQ/3d1AKExvKVArj2Ne8gv6Z/ID/I X+sJ27x4/9rRNACUwFqDmQ+iy69/exch/7fK/f6f/qwtWzFKJu2+F2CpU43gubXX8eJ6zgKlGOSL XqUd6oKFdDU04Xc0G9+207AdWhn717D5AgolRhrHfVg65cPsjJFaDk2uu4dlEYiAh2RAzGqxJGVi UiXALi06zMPs/VhpR/IOAw4e2TkkggqoZKJSTtQ3/9eLFmUW6j55HgKNvokLhnnrWOKYx5eCLWSi jaY02AR+0dHAPRFxcm0ffunjnTYKTN8sm7wOMzCYhoUjRaDMbxGAjQwKKn5O3N2G32AxW/yBFr9E M7w0WaB/PKtsE4W4Y14DY8+IQEyGLR1EGEDguqxku2IK+jaAm/kX1O9KCpgcscFyr4fPok3UmTXg yPlOzJ3EbGvF1WUYiDcmME9GF/BWcLsNYQqMqOW3DbWgSdC36YprGo+vmXjWvMK0LeePTzcfqbS7 CDL0G/KzJWuK2oxCBOIDuesYDaw5IVBc5Eg2JrIM3g2IFbhDL/5dW45oyG/W5UtzhC5lepzV9NwC cj1FQDnU7Ot4MGWW1250RIAAiN/WV+ocLWH2t0TlCNQeuZlfBVp8tVmiUW9HR+FLlfITydP0BTz/ 1Blz0KKEBL79Q6zDceYDejKhcKA2djVv/o6gXOarojyLJlE5ML7BR6QdW1Th83RktmIASqO9m04V Ewwmd4cqXCjNoC9p4NRx+11u2ynl6Z8PbGJg1khEWmtJGRq29FEz5Bv9e1ucecf43tIBCKjxZUVp wJNu6DQyinc4CXuhCVz9HTfwDmtbyW+olGPEyGp8cdwH5plm8KU2eNqVPdbzCiY9jnAsYLKo4PkA ouKEKULK0rTzMx3Ud5E3ZyF6/zVomlb/cD/RJUZpmv2MPkpojJ64babzXkDZynDs1TSz3/QqXdz/ uL8cdybt2/KWtNbmpRRl0oOOS8xT+dzU/lieu6lCpCfbA11v73GNwNoll7Psp/6FY5rdBzQ0HUWy CfoyhNjnvz5APcUX50RB9/pbOdWSKP7PDL9SoPGZcmeo8fDUaQbLYGL61nzohP+r42WT62NhT26C pDh8LUQeWNy22tvsQn5x9ICdWbYZPPx/tTFFEllGmQDodmdybP2PPaS2XXO5omlyh/n0lljNXjpn eiMISsIk0bBCqGCaioPX0WpKKnHlk9WkEXPe1gsXbp6tbKrX+AxZqCMGLa3dxMGDAV1f0EZ5zKlP JrUzMkIkP0Ereu18lot4vjQOewO0zeRwbpRcdLH/yPG7pKGg2Mxku3R7jc4vSPZFZEwPYJgCe1Rh T87Gb/a0Rp0pJ4RzXaSxgnVVhM6+Uy1PMYbZNxYlaZ2+0d60YEo6Z3jgN3yE+P2wM+pagfUBxuac czUFKePbCz52/SS7RDg2KYYkilSDgaH2Ry4gFB26+tKE7IzvlCh+k8B8Or9C1lUyVoj32uyZzdC4 DLgkzRW6iapLUg0rgiZXYuRZZAMZeMoUXp8ODOlScOCRK6NEyt+m2kfGRchPGjHUej4IIFFQWuEz 8KF8ClSPulx6tNbPMdxICDLJuibcC0dxfzYuEHUYMaPk90am2LzLncwsHfI0v7gbCa3IjxNYsH+s 7RfqwL3IiO+Fh3Wxxn5z9j9o2tLtKqHrwULgmnLUl83eze8XsZVtez0BBSweufWiNKRBkfQ99NsU 6YhVL2eaDkfwLEFC28Rh5Wil3mFhhF6z3H/3iWaYH8puf91C9nNceXCDRg0Y6KNAxRGWaG70Rvfn QXA/5UP2Wy0m6SoixnNVwEiLRBCCLapuPB4wJ73pjCXZA82gJsokCGneqW7qzcvtVOsraejIYVVS 2PsOCfzVl785TmN9ZBxQE6qH7QzI9HbUgU0DpyZyip2WQpi6aw8TVCv9fpp4D7tse5tz/w2/cAvg /drAO9FT+g63lqTAbqryF5u/OkG/JW0U5819TDkdjOovGHVZ/m43cFJinAYGzIF5sFXSkky2CUif VZ8aUbjLwNcZR29axUrXx936R1B0WXfZ0kKwaWbps9ksiCuDnGD9NR3mLZU5eHNBzI2qKvpRtnN1 9C7nXXC/8ovVw3BIXgCXH4f3TcR9LJlewpVyMlA7/vTpvRNprN1AelgAPMlsbzktgojFcek/supc dksbY5+MjUEKLy/7UkuiVNxMcsXTZAeZ6fs6O5EChmiJGncUfrPehklWqkevjHFrdeM/ck7AukLr quAkCIldW7NosjsJzAIF+tBBqygsSHClPmFKXU7zfqs1+/nWOowJEpobmvTMxHllIMGVS3HvtwVo i3LbbV5NNXXwUC+/TOvbvXpVMbSmn4IFhhbtrh/1+OmLZmfH2WdBj9a9gs/oyk2MEmaW9Yg+S6ej 8+PiKT8AH6Kz2qTAGeXQiksQmvkQ9fa7MZThdIqgFv8ITcdxXJL0DkfOXQC7h4ylZ9X4GacYGWyc 3VObwPewZbjRbgjyP7TUqEvZo4CUtFO/IHG2UWXWnPIeccEUEs7twAB7QiWKQhPSolz7gmwzZJ+N Qv/DajKPO5h/sdwaA0TVgokxEhkt4E7QwHKsltXl0UwUT+/4Vwp7EH91OFpARVh2jm8ioepkizeo gW5RZUcHwE3Aya/iErZbOBIcqxa9Sfjd2I0rldJrSc1PwygrXgI2veScRrtlr8VLtDuzP4VDYoVn gI4acnOPBdNcAlpt2Pm8OapkHZYtmSOLuGhiPkZdfjFZVZ0w6Bi5OBJybeO5cZlTeGhyyL1k4x8V jc6nYeXh6YOxujwocpJCxIkKAqi/QXDew78QJdneb22sVg35dRsKhxv6FhmnFFecjUvWS9HL0aE0 9NnGaAKdOoJi3QXOTSIDUnDrI2z3cCJkwh5HeKFFi4HYE8EGB6KLt852j2MpX5ilkJZl9/BdtEiV c/KITaNNekWykRzt1yLh7iSIGvHKOJndOp/GAjM4XNseqgcR6OeKgH8FX9YsLSSsQ78vPHxE50n7 LgX2wmh+rDqnyG5xRSHLVYlvoJTgiNu8xAu1d0E7wsYT6FpKOlafNo8YiL9Lh6dCRRBgwfuYiWnh sROZqQFuQHAldtTyVr7wpC6DZMtML7OgrXQ3A7he+acUA765wGHMnQAFyqSmL7Ho4hGpMPcEAiZj Fx7fw6tWy0tm3vVW8DnAKMCym/MAVspD6hRnP1LZaMRmeYIV7CrobDWREA7u5PehhWPg/yqXRFDg HgB2M3miI83bqHLcrHiEvyEeZB8RPSiBIKVarT4rztBGfD5p0HolvFGMz+1YtROSeqwzlGoUnBeY qB75Za1bOnSbt1HDH+nfaJLxNoN/yqNPknpz6fG9gAzYHsLYMRtK7MLl1oZ7Ge0bsFBJbk4DofpJ l6YyQK4RtvbDFlNpO6s63ooGaQ37GfHXZDdfCho5QA5Gv4iIXwhVm3H2Y7rSxaV9D4Om9Ap6L0+m L0w95Sv9kj+9IOBhqBc6zwQRocn/mxyk0r13jOJnocJ7hyU2igH7RxJ7lFZs4DSX5eUG9uFdj820 WxSO1r7Cwhf6eWxzEmkUbdHqF9AfM8/TlF3Lfe416h9ayLwm2vYLulEQxhpNQ0F6/wXf/EX6TgH7 6RFA3dxKpVrWgFbOJej/U95Sbj1pEety+a3pjNdW8jWKDQirZ8098tcpCBEupcDj9zh16qnfGukr gQkoiVjxZjxnl5m0uk1ZcOpVWLe5+Hg2E5Ww0RdCgSHK3KWqr3ASfoblgC0ggxtp8qM2uGCWuD6F OFRSEepsp9Q3DceD3s1NZqWL7jrsokD9iXUCDFN8lfPVqQeVlKTt1PUQWnX/aTFBT+QIoKRQRxzY fE+hp6Cp2vCrd54XtguFcPDlJzDB7DSaxjw3rxv84LCTjR+cFLrfSg/4Y3DE9UGedp2mDJ4JDW9T tdns8g7OTWIt6IFgRh4i/br7ma/jAHnRQTlj2LcRw/nOQLWHibv3mLYYJJCP41ddMJz0ZCWDLnj0 f63DiGOVyIetjWjNFkeWuN8FxDDtmtZIf3lKFn4qiYiL0nQJ9oavxBHuiLbyOU9iAK4IIsr2jstR SDtzxk+qaHgi32q9l8Z+1lWCokPMC81wlD+dneDL/CRQ51xd6095JxPFJ53FldcGmLZ5uE2X1eF3 CRhhNbUHH1vVseGXNSNcKOikAK/I1PHhwVCG77/fzPpH0Ge6Xt/luMtvw0/bsi69rq3nnHbDaLWN DTje8Q7ZL1bQ24pZG3q1d1wLzJP2tYQXCgOg1LprtMvutgD6b+G0FCAAw9B302210L2fjJ4u0Cvb QrWzhFOr6e3AbqHL8eH1PMwYGcDbBkien5QRNB1pf3rdplikeqxBRetq75scMBeeuRgKH54LCxS0 A2Y/qaGJL38jv8Bs/6t6xCQCCV6N+pZt5947pMIyiM4zOwL7GZC1Kc1+/7itdgmRLrWvfqx4hJ2O mzIkZts2M2fNnt2aJioErqcwHtJ5pg02OigMP68jVqyzs8IHm7jyI4iH7VxLG+JXr3mFkV8cH9LN Vr/aii9TlWvvmK4yLAMZWe0ArPcvQsaqBeKb/XvXMOZuLTLGxBiM/dq9z2Jl5pjJUMz2nrEjvbk5 CrW3AGXmsvjZ1m024H69oqCHLoaNdZr34G5cRke7XRTz0gCOqW+IchbSy0vUSDTiM/g8tsSeNpfX uIXfpEIjzlfWG3QpdL42r3IKyDR8LJw3hOQBUwOXCdQ5q7BvKil/TPgCoESnHrFTtEwKMKybDl4t F5YJfmesUbbINWqFgZUzs1Avy0eFJ56ZOlpIRdNrO1lFOqZuGiJDu/JbUm9h0brS1encj2s6/dUk HJo1F6i/cj1xH37KgiE3r2pfPBihM+jo1BQwC3f/wgSpikSlyAWXi0cGNig+mTjUgeeE/eTIMa+5 Qw7ZtUUSGjcfeyNfEj1+uc+qZAcfaMbYdYafpNNfeBNZa6CxVExDqS3bdQoMPfrh8k+VcdhC8xCD CcuCz6x20a1apQVw1OSnF+HPNb7TKVL76gmkuTDcJxNP0X3f1OA+rBDrzlkLFIBIvTNhvL794WIt dq7YHH4gGyQ7rNUlp5XueEvF2mKcIpkHtYW6r6rrwQArRAgthNQrQPhJ2h2MHiV2v7JjvqLwW7Ez WNpmqodPz+/3iJji6S1zEziWYG4QUlb9xTC3ic3oZw4k6QXxqgsX1T3fzj2Y8o671HnaNqS4fK4F U61ILb+3ARP4lJsrL3IUk4Dh8y5iE2TO9hCJkFYcgfjEIyKvZtZWsQ00EQrtKC1S+Eenuvan9SHv hzmeOnOD+BASJwWXG+sa3MKa+AB5/uXAuXBRkDN/AamEg2674VV3yRx8tJaM/EGgq+tIqb2TIhDJ 4MgMJUe7geB6gMQopTBfKzJSmQ227ZDDJoQcOdZOGT6579PN4LZugdqObDMPELzI2D9CZp7F8cyx 3xLKeqmUWMuzSgtyAS/F/MaYrnEf5Fi9H+mngU14Y7w82179IAfmt0dp6aW9v8fzJ5fIX5DimUtx m5Hi6ceUwOcTgbFUj9CdZfQnhAAMZzYT+GotTFjzEVMZ1AIUWUEZ5pR0sdp84b2pTGPAULNKD+cD QWxkBDuyI1vXPjqLwCVheqXEXqE0IvcZM4TtGr1izAZUm4PQDbsw9ehGkaYeoHRMbwbBi1dNjed7 1RwD3vQCWgsEOoH47CjkjhHYnszOUYzCdF3O9WwwstJkTOw0klXzw1laMTprd9QYEL2GP+/UI9po AvaU7z+XhcahYJRdN7Xxmq6J+v/U426iXEcmJ1VMOVPPPGE4u0cQg8Q5HX3pG7c9rejcrCkvV+FI 3lm4EW+4AcV4s2NVTeCY3l2l3giaP7XDZNm0iVPmUTEWPe88hdnBC1j8ZSh31IqDxGgAuE+w8BOw 0OcnlWGGUCh2K1QK/R/TfjlnWax9SsVlyG4/Y5BUsuuyDNKJgFoov43qbdFrqxjANM2g2tChsSwX UYqxUIDMUK4bktZh2QeoCa7Nhm5psg8S6NqO1agkLk2BdJZ6lyzkrdM/sCLr2li3cG+o1W+YgytE Q8wsWoQ0+aYaDTy4jn4KMWlEATXkef1HcEQ/G51WD1joqkFgg6QzQImDBmqXWkcLddBMNiJH7CxT S26NW10fmL8F2uqAiLTHSlCmfFVHNfyix/sz+RIrBkux5MNAz7Wkrm59lrdMnhdmrBA4/WUuWba2 lmcswZfpMSx3K5f/UjGs2ftyBZSIHvqbZ7TXrlOIB23NKEX0M6eYwO+7EbSRKdBrlJngjK6fH/Ht g3uH/oyRyW2jyBAw8wHPFCl4J1WTj4kvdIXA1C+nQtfIiL/Oilhj7GZPyuj2m0JB0LILPRVDGCnC 2TrihMqGw/nmjXGAIo1Qi0m7p08xcbV7Vjw+cYFeKnQX7DKUOY5xoBa9ronSUo3Ew1BOY8A+U3qE PQXOTyNZyBh4QrktQ33ujpinDK+JxLCjNC+BH2VnesRYq8WLQYWl0/lzdJuEUKmMh4/Xr37IXyZS UVh5N6vxU9gzX+to4CcoTPq0tk0v/3/6ks3z6S1XR/PVh5+3EDnTMjH9UX8pDQiXelpgIIWOrWE2 rTVxjscIg+ebwaJQPsje+tNAJYtCRRGTqpvXpX0I1YTilGNpWvnoAP1gwnrnDD+ghEyADtj6AzHC W9STxDrr1HEwd9shhDgrj98VaEBnHEaQf/lT3XANqL12eJPdPk7175ENlNKuvTwiisuG/ie0bpLU wtZOOghnZ5WyJjbNwH1b8BqwpwVjH6ZJCt45hAWW1HLlS3lNfleJIN5SX/skwy62iLxsnGZVhtxm cT+cpymA6xPfEH0oGwSvE3sE9EH9V6SrDREWEI1e5qg2zKBuHTAGDZjIJ1rIsiXyfghbba7Eg6xK d2JYyPRP5/Jj4cEizibMiHqaKRalOLRd3RMTy7E1FQHeb8h+1dfYx4u08pqcwP4vmXqQES13LAUG oPlsnSN+w5MGaHoMCywYjgKJt124N34vOPShzUQHZNpbMnz5f6P0DN/lYYrL85QwEg4yoXsprhpV DANTfQIV5MMc+Lf9/U+rEcV4TQ+TBpTbw71/xvLaaKkXoOYF7NUZUf2WVWjsEgkVH68FfVPtJCxd 8nzdGsdMl7aGTGU6MPtjZ+F2+/eC+qZEEDPeiovijKTvGPpyxejrpTF60uQ9MTfM4FSV84iQpYK6 o1SCKd+qsDqMyMS8Sx00fPNff5+kWJ/wgNycgc6ZCBQCihTDaqvjCDHrZsuHLehSza4H/2UmoIZl 9XFeIaI2wSVpKlm9Flt4395N7/INEPdQf936/wQgHWnkygHNDWR2/bGGS8WwvOnF3ed2L0D5VPu3 +yyerw9xjDbrLfRcMCb1aUY5r5Qo5A6ZqfFGlBzbnKVwYzv6UxQ9s7L52xOKu/0FkTWX3mEWbVBY coQR4GMTr8p1GGCiCwb7tcpQ8BFZ9dPgshiUBjgE6+YxIrdFOSMSC3TSj6IVRS4ifssDoaSdAlfS SV7PgF/P/JL0XBzXZf03tDYEYAC6E+7vrJVL/zhR3RCiARbWSRBhT2VOoQm5eEGzXY1PG7+NSZeL oQn2VL8vrI4PFnqdd1HHw0z6mBBarqIgz0uarARrhY5Hy5iZF2UXwY6WdvrJMDBCPuClWI1jdqt3 /L+5CpmSJpuupz1NKbfjlnKHECJI2j2sZWKwCSG04J9SSg2x/+qmlFVe2R37yEwBdqppw4qNl23B mkIcULrF4cpqCy2ovrPWFCYk0Jqenwc7pMYfg06sj+7j+YxlD5uf8uquribJDQiU3qBTmvScf3Fn iX+xT3q1hIT7f+RVvsbSGtli8gYXyC6Ov0h1EDauSNcIEmtatDKgByk3qmPPwrYPA2pnad/L5DtE +2yyJ6bLm5zs31mI23Bzn9FmqGkCBAQ/DUR75xeuNsWLE//44kNwIGEj+35qyTG1J1np/Wfht3pl qY/1JNyphnLYoZrKpAOKs5+LMHTfLvNXiW6Sn5S/JnOso/i+21DBQJ7h3ntcKdmwHOTKDpUK6Cmc mQmoNwBiT/ruXg5L9D3vZ2ppEr5FoN4wieJqURWhV5X5VPwhyh3vU6SyUX7Xh120GWJsThI8pr+u V+V5NrzjrPykT5XF5RyYXPA8tYrgTJZw1iVt2+Dy/VHa96blpZzz8YJXB1B/UkG/nWIEBWMZgywV YKuNASoRcMEAz9NZFtdX+FIrlpOVmrvlUsKbXLxNDQxy1HiXZUoasXYJswLF96UUEN573bLEXR/e 3/VGZSaxwOwvqHQenVT9b641eGcX0eKAuCpdawu/DlA10MI37IilEHUByRAHawmStlYl9MmGOvRz XOUvXhk+MR3fpsl1ozvGIpDEAOklN4ERE1MwjQS47QiVmIjPGedHLezCJAJwieIjOKo67LfLNSBi wNBG1jIf04JrJBiD/tBqC4O8O1fT9N15A4RmWg+oTqE7Y/+PoKrI3FYkgPhhhz4/8wvgdtUnHwFC NMXq3d1cMc8msAIHBDNn1L9G/QabF6Pt0vCcMZUfTlTnpUf0TEWs6Asx4dRrgrESR3JAp/LRUqgO 4irf7Rj5oCmbp+MDHM3XZJLZvFzu/28ecApbsHAbyrTm9hoRnPQTchwTKXQIc4/LPtOLCEhaUkZp UYDT0fmy7ewCA/zWtpFMrc7EvPzsSc3hSF7rAX52wz5gptz3b6/VxHdh/mMxixh1B+KEO1NLBsBA 2AiyQEvjUw2UL4SqU/B7FrOcg3IuXo8LbB0panmk4JE69WU4rTSZHjvHbv7yiV5zYOEDLGVVcjIf /+zwHaZ4MBcTvbSztRhC3ryxjfnopY1W6NHHhRe6WZ5njgrEl95X5theWF7Fr0AtqCc/Hr1+zeTu AKIy8ITYwtsxhwssLrWNn/OOwPYwAF/McTujkT6RdiWtFMsYGreWwh56TmR9hsNx2VJr85ShX8z/ +5F6UhY38zTtxNkOtKM7UjhfbId0OkSDKSjX06zowxihdJX0W4CZrypbuNRq/KVlbwKNq8Ej0YkE PRUr5SAb0f60R3QLZ6lZoUkshU0fMMRR/J+0j7DDPf4m21Lw6XhteZYx2U50lecY5oKc48NtCDIa BKclS1AILTxcIb5lJUBhXqkX3yjoKSHixDGxEUTCnbT2GY0xdFI1jSshdjSirripWvZZ+8IQb5Ak 7NBps+6wgMeAebi3raFsOnVuICiTIH8czTtEaoDvQfEeWTcyRZ3F7d0/NYBN8nMoaqUFxcHUoM5T quj3daLoAh4R5vS+2xQd1OJAkqmxkgjpy++Xy28IKWCZ63i7OCroBHuejpTJ80lx+pBqz0q/AwSq 7WxMf9At96Lazs2Bva7Xbu4qtnEJELQniE6C6w17A9Wfn6awTuZ5UbqBxujZMzLA3PfKduA9XX9S S8XC9vTxvF254WpmAtlzpIiIsqAPf4lWnpHMQDs0OcZbPR6xTTDpHAOuPIEtvRk9EdiSvkW4jssK lOdFK/yJOe3znC+olnSczZzf9SYHeergmKNKKYDrv0aJUXRd4H6rAGKbJHaZH54Ht9XPZsC5zxMf b9GNWV3eyqdB8E9GwRtmJIi2/MKoa7COTFJAJ+8fRo/ROAqmiIfULRWG3bRHXHQfjdINmPxEH/fF RURc9baJ/jfqx8SweSQ2kBlQkb+WyBLnsgK0ZqKyZeYkifyuEaVx7LgwXXxYw7NckpiuPOBhBAe4 55Cxn53CkJRtWStJ2ooaSbcj6BgXla2ZE3eZYEcNW764KvW6qOnE2KzIng721k1WY5SpW7idYK8V gOIG5zvpBoUX0w+1B+Jgc3jjJsWZLxb+CnlSO2J10XaQWc+VltE5Nojoa2kA0pQ0TLbyBZPkirM1 5UoDg1aNcZm4jlM6dXOlmZ3vXD+6ZriGqNPy4n0mKj8hMCDmSITvkb/GXI+UAcNnGNXSfjJEE8Gr wyylqVJBIu34jBMo31iLEnyrCEWpGDjsvpX9VeK/D0FstoZfUF15JbreltNGQy/U3lZywMopcc5j HO7av12jf2V06xKh1xn4lrJ7J7AJZGlAWtyA941FbpLMRg+5NR5IydggY5nyiQdVBfQNRM5u0uGW 5Lg/MH0YXqEjKDvlQtxn2pDbjl0grdhX5wCGotG3nyebGX/DicEd3YYCELGdbh9XoP7t6oX+b5iO iHTKMNnN7qjTeSy8j+RekNJRSZIUdE2J3rXUpXQfXKlQpR2K2ZIx6AourW2K3NRI6XqXHYk7dIV3 JaLF2sCu8uYBwXXBJ6cGuXRSkBDNJOx4ipL1sHU1bOBex7ryNCC2P1LJ504M/NNNB3jS/7LRMyCZ MOrmF16CTeJntvie+fzkfDrUrkU8jIlJqgn4vOttJUuL2cQ3Vn1FhcjYJUupVg6AWh+fl8aVDbzw M63oCbA6kvy1np5funmwklW/UoQGLyU9+jCENq1Y8pcAGtAUQ945gySe8QG16tcux8WrUnqF3/0G SO+k+8jT+VlYpIJEA2iakyztz0dkyBupHWrFThUbRDCmKeD20bNmB8bf5BxJr3FMVGcWWyeiNgz0 xX3jaUA7gSlB6lHGx0Nbmkf5StjhIQzc2lMd1e8++sa5JjGMsOIkVwh/RgGaHnSltK3YEgaG/mu9 z8eo7BsseUbHaM7QQiAsE13/octRPj4YWOKaTDfeDGh0Z3u+XrM4O5zFgjJTVz1VDkO5g/ydooqy rZdlGaKVh7ppnareY5+Dj1J83PsYSDj6xZA7dk7x/YqI8Zxd7EVr8znsv+uzQEPgLZPTY7K+5dcI eXMd50zuhcyqs49vn+kyeOJnmT+BonP4oJjddm4ERHvfgfKctGKIyqz1KeqdecEpkHnXqkg1smgE CwmfJkMrc75yOisJslyox+NAWZyX5N/f0pxFIR9h7bZ2GlUy6Orme4hapz1dqK7KdoWda/CeoRvq ttS85lIoq2Y7FQOzBVzghJnOud30oUaQ1dgi7xDMPETHNGvhcWsk7LmaLRRGufoNa4NHWVjGeMMr lYxFUwXjjF5aK01ls2eGy0i68EjFNkt8Agoti/TI9gmpH44bHXb1TdInVO7ZuaiHyU/PKX8+wONt yBNN/JFSsj0DtGvHKy2N/xq3cJmO2Qb9N1VnE6KboyDdcUIIo2XrVlfI3FMCOh1l00TxtwoW6aTm 08NaenNvtN/WUzttt3SLh/Jo00f1mI8NrTXLwQ== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/builtin/delay.vhd
19
10088
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iaGK4Vux1Zzm9gBS3KKNmBXNdPq+lSqE3Nnx40zW9JpQDS5U0+JlSB5O0czPvIZs1e6N9M3JonU6 /VRFISTQHQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hnTIGD4PF052NtQspkoD0qYNWsnDfk/EZli95x6g3PoDiWDo2i9hfthnklZPOTwcwwB/on/PGVLy LOGgor+yT4ZX8UGtoSmScYDFDjshoGWHhtXrHczoGSF01e42zFHCzF3p+Kqif4EYEFLVI0b3qWfo JoBwVA5mSGa7z6eKZ08= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jM4x3jcOa6ByCa1VWDPoU4L7JC2eupLAavYhTE4GTMYrnvE7xP73g8zjlwq1G8Zy1ODZ+0DDopVA JY2gdvefh3SJisXvlbuH55643svFB8C9ZXe+EMovXErk8XGGsVfWZZ9248m2dlrUXREntbWGdORb Fvho+MXYXuv0DV2DKImT+u2TQDacpvX5e8ltSYsMmjYxEdkZrVMF9C544bgDvuCE9PfD8XjA3SZW m5oOMSMtDQabvtrFCxaEG4NyuxA648giN43WXdidnKPUkuB/HxDMEcw9NxHOVNuLeVs7mrwTNW8a Y8nkGhyssdB7pA+UlWrXAfs2U9Wpi6SjK7D2dg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l1zDcM4+iGcttYyoR8HHgtSyP4Fiyy45WEsaODDzemrDXcJaURYpyLa2UgO2HmqSNgBK4XdlSO3S QC2s2wdlVLq0nr6twxtavd0Mc90p3l2akMlkawzSfWC3lR7JsZexWZNEb6frZfXhesr8/8i8wphW 9oH5nUnhDJDdlXi2xk0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pHbCg0c3yWoABGhh+X5xmKdWu54K0QNaj8yiI7dbYcl0s74Nnt3O7DJj12bDcjZRfdRoiT43bXo4 30QPK3Jr7E41USUv0QfI981OyCHaIYD9DzkFx/42CQBEOSHNBrRTW/rge+4hugPE8z0ogrEZGdei kB3oPw27BqROJcBQEhzDTOz6PP5L7SaiUGBsXkKo2TeQ1sLfd6VNm52eUhSewTFcPcdSylZU9gjA /KlsPUnl2PskRWTiOzVvvy7q14ROz/8yTOqbBslSCNrDfBQA/bwCsE4HN784FAGU2BIu6GH0W9gV ySlMw5kMiPDazI4NmLxMcJvTd4Vi8xnRt0T8Dg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728) `protect data_block hz+eB03GTRCr4kl9NBfZDeU5to8L7s097FSl4rNdvJNGNcvz5hUQfrQXaZ5Mp47gyQqERKP+nbHP W8ijtIwv2LwCvmfaL8fadT9FN+LaZhINEnJ2eoZvsN08l/2IOEEVZ44+bSNALFrhtpNFPjaTrSrF l6PsmcFmWgkjihsmc2qsups9jYRpTevrQy2UqaEoA1Vb+Zt6yBwJdq5r2vUM1hRghORtxAHWHCK6 /T2ulb4vziH1aVCV7gZ2qC4ln9lR7w2RSCq4NdTVDHUVD+0QT/F5zzd1K0VFb4OZ/6dnQMT9EAIv HFowDFIDNeesI8ljw/tC4rQO1bcuvnICh9/BNm6vto9IcLvrLdyulnsdx4B5cPxsGEct+NjOApA6 zjQUgkAscgqL/BhbB4iC7jGC6CkHjOn0pAr9F0CBtoi1Ns3A+lzCioQt6cDcN9jpUA3ryc2VdDbK TLm2wz55oD7tZjjVcIqef75y5UCAd6RWdxrawX0ew0pFgFD0eCxjaM8f5uuL46JsxXvZh7iAV/zI qrlAlgTQYbI1s56KP3Txp5jTlj1+2RmWPJS+FZUaveYdIVLLVz9+Q+QSBLs+/csU5fR2Pwx290vA ODz5QYJpNqQUzxOPr9Z3dpdibMmSxIY3kOvrS/IMvrv8Sx+/kEZg3GqbsXBBtBEfyKzi6gX24IUu fJoU2E6qnb9+IEfpnz5EYyfTzWXqRlfA2XqR25WApdN/N6o5V8WtgyNQMn+a8DdpS+nV4EGrgl+j PqBzj8EkHqwtZDdeA3Mwn102WMobiYuK45XJzhJydSMqLyLH8MOHDM3ixjUEaGFFpBULCkU7G/R7 AZ6wLajVmlzDeFgGWL8ssBgLrdutC0yyMXbwy9/ZEJxjzj2689nEERxG6yIFM1WbYgAK6DpO+ICA VAmNHD7jL6hJKqSk8nZ5Cjo+A3mf8SdeVb9MB4/CHnDm38mcRg64RpVlRBVWifCtrVuJD9xq55ez NJiahlA4v8+wIZ+NfbuhBEkj77GbB+ywx7s+Wb3fApO0oyxi/rHqh4M0XplZwItVqvryyOZKdA15 uq3qoS8WgMgNdcADWoxnSEH85MukOJDZ9+pwzajNzWpoJbrTxWuXWhawcYAKdxN+nmZ2JtAHZK4z 1SJ7CCNed+5885JC2NG89Ajkcq83EaGNq/gB+ASDApcsUvTU8/qyTTDjBvGSDhS42bay8Ia7rY0v LAje5lKuO7D31QXmwZ/bXT16LyO5YDUs+NDx8sxrKba57z9MJ3wlheYDaIBhn3xWa/sf6cVVtFdU 2ugmwIHKnbixIB8GKXoFms0fGoLjJdzaDNA1iXYhvkurRfPmRXayYoozDiu7PXY8X4ninoKz9ncq oGukrhJBso4gh5uSGDmdvTMRjJn5IjV4bptGVgyEIrzp3suPiIB+93nhiN2O/+QoK41kZemI7Hmi wcahiRN+DR7FLTqV3tFnRT/mAzkAru7MSWxexmYT+oulGWmnjRH2YNjaUgbeX4xzD4j/b8rfFZyi geb/6Rtr2qfLwZjcKjsN+oDCadxMcYg8dMnG7Ehz6A3frBo9GGfidHDYXeSEU7kshUNcG4eMdAgJ 1Im5kXWaIn4bZFqTvSKCDUmkON/f8ZYGKranDqUJw44kowrLObueewIOj1LxxbUzco34TOoxBHzx mB+jPl8K695Z3eFiKp2MBYE0egSdCNSmMDHgVFHs1ieOESTQjtRQAAYc9DZu+GZ26nCFC4DvpE3M a0spVv1PAwul1WLY8JbmZGHoAFwWpSRykP/9DB/Ehr6DDOylSKLKZ5pIop2ejCJ26z2W8bVRyBtA e9TQbcrc3IQ7pLedjmzJjyhdAWG2WBH46dNrEj/ZoG3SCo2pBSX+7nNX5VIGevoqpOkpeYuUxN4n PuWanBzad80J+yAug63sYu0KnpAw14XWC7eyhEgbxvI3is5LS0vzp3G5FMPI4LhSsdgwUwMYWu+F MTRcHqQcYKpOUMjukORgcPq+2lK9AV9L3lQD5srNmc1VONA2wJn8QhFIabC1fqxNunm949aPcd6K TikNvFUjMBgoz1Xe82j6dHtBSZGQHi9bQPUwhRR2WWyGzTNUYks3q5E2me0K4MqUDEjUZS6ROfW/ I1MvqSjXwi+QOQjFbOe6qyDXrx9pglgdcUPy8ptKCAj8OpGbi5VZ5hCZxdUimWf/CDtcYBUr+/lz QQMp5NIJbm/PZFOIeCMuUUpUGwGJZDhpl/FR/awZV3pLPKbEzLxIpVmmSpe2cUDnxbbdL/ZUBt/1 gHdXhMvMMwU/7c2jTifc8HiBTulbKXMoInUlWI5pGoUEqlTWdodpEpUOnaMjGqMl/yz5H5s/IYSj gML6zkKRN2anBkDBDO/v1k3+Ytm8pSKfZNCHMS7NhKG7I2NYWZKfljtT0tR1jfv/AZ2R4cX8mQXy 1rs9DP09NiWBBiRtop/RjiGhjQuZm9NUhx3vwI6+Y6DS0gS/VNVs4OzXOoRn5T0T+zyJ59gv+Bbo E4OjlNGN0cBU8dHZL58o9J3kxURrTTK0iaJJOh5sBI5YcZzt+Xld7JPmogSmb5GcXeKr/PFmgShK cVge5QHtS0QnnlIdeD9NpynhsxcL4pXnnPS0bl3DjEAn4TjCr4MKS7sOXgZ1+C/gG09mdFe7Vf6S P0n5D57biLM28JRyzdgTvPS+1u6RnFRMb/6VG8inP3f+UfDPZs+B6Kcsph+YBS24xrFhA0yVYfcJ SuXxIeBn16cT2Wlyl9LTfJqqWLHitFtSNF59plkQARlSwErut9AJIbSmL1qcWNk6LILPtgFcbNR9 /JNDrdZz5NeuKQJl3g16TJT4Ev/8OLrjhn+mI7lV9us3lzEu6bQlWfvdjjsEXfapyGQO7Gjs2dS0 boW/Cl5BMBx3L1lSAteyVY+gEWHD4dFfkQ5Q2xOucErRr18rTuadEXjAk2bdWbDIj6Fm5pz1d+89 MrpWxD5Z/4ZVs2rlcVGTsrNHYV3RfpgYQFNhHW2DfCUPAPGCu8+k28nsFG2Gd5CdhQRHum9oEqhy /oEuV370oChJGj58HgpKqJ20CNASyjMTqmGjoX+0EhO/SCJ8F0HCTne71WmobOcP+mlunJHf4Odw xbyXaCVQ/SAtfGtIfcCNAdwOmZBtkC8huJoO1n6hBNVqVJSaB3YWWwdNDBw2GSaXCNgxDXyWh4IV K+kOadKu9hffcGNoTfFbXTtCQcw/Qgx3YZ+W4AELcDX+IuAlY/UjejMYTTQyRQUwfTSJAQIMkCUo 2t3PTNPzDewJ8B/PwiczouxMeHBkJgFSHEny6Y/VNauF/NSjfdhS3tqP6UpnjUKB/wi4CVK/uB0y W5i+6S9WjjGh/b/4f98EKasVDlz26DHyJtpSIWI/pSqrf1o8qrLZ55T6pPc8GQ94QCFgEGnb45Cv zSLwSgHbLfKslJFiLGc4a85JU76bvJ/wxukVm9e/YRafE51VPCyFElDmD3EBvTZPV/Y0q1OQC982 CO1+0059szmT3FS96W3Huamu2Jl07DOf295HzBs9c2uDsuy5H06Ms9yCpG0gcm/dkTaeUFA7U9kg JF+f4YVenmNllktmxrilqwd6Q42zYYil/TO7w5nnkAArp1mVi35vWGcclOOpQSlhYz2XZlUFt/SE MqLGuXXv/jLcgfULjJ1bqrWL5LEQ+bGx44tE3Iuoi75Xloq+vR0NSHzRTDa2Lisg5lDvHeiqAuF9 7MQqt0k6iekshcAw6Q93T0WXFh4NuNxhS/m58aqa4Yc/GZY54p3N9RqW8EkPjKhJSqwE0pUwSpHA 6hAOuZw8TH63LB/ZG7GZWN3fPHLiZjI9U77aA1vfM9wWWYKJ+pbwvp4WmRYIy3K7n9QHejxqnPWF WqAOlghwQ+yimhRzRODQUXeKyE7d3wytPk+0ygm0Xyu7s3uqA9K1sMAvvr9yWN5K9j5RBQNA6hik fDD+wSAy2VgmEx1/NQNQacJjmRXaB08eJC1GJgYz/+cZIJtFQ6m+l3fRFcqiRCAR8ITDPqUMsmhx ZB2DLJHUhF4P5w0+hU/rCs0oJkSd1lG5Qp3wtRU+0l9s78z3Q20xDklEh4HcMuitqb9EjTLmeA7S msJHQf4n6knLCL3crJKm6f/IdlqSN7US0oTQqTOi+U4l2rFrguoBTD6h2IkCMTw2c0Z5/cB77eEj GJ0uyYSMEEuHIyCcubuYaMbWnNgVXPzBL2cRYg+DPz2+N0H90GFZVJcVwSnSt3OHkLDxWqB+xXoN 3FmODc+K+ZGkx0GsS81eGlOgEnCxT0zHi/U7cxb00Ntc6AGkeb0m30HevfYh7s6JQTeHY6vJRFNo wrSkW635zwPNgsq8CJ2R/Bidgwm64CYaiW6DHxwaA7q2VfW5WMEBkEuvSWS3Fqq7GkzqwXmPIe5u UOjYau0uonlhdqaVyNWdpMWqzSsQn+A505MZwnbs2Aoag06uPO0ezS+oXiOwnRBd6gPuF1W1No+N nsq13KqbCTUemqIEOfiH1VFPEJaBjCPBd4eT8L/Hd+PX0wGwshJinC21JxgpzOzTmAIAMp7cJJz2 nBqG3DLZVcZb5YkD2x4luSZ9jySXCsfc4KtO/Jqe3aOLGXMTDWRytqSnYvA6cQ7LZj3fGs82oC+Y AXJW1j7C1J6N+1xti93eIUzpgtiSFjJb9mgJfq8VG8RodJX1nVKmxckzSwtR/9CR+EUNVRlEP5r1 AJwdfsVW7Le99Ggt1n37OeNHxgBKcqsJgxQu7FH/EQROOnloapsABMm76ImONWYMH8Rwe32+DFnl NWYKrPJsNbjFuphSvocYb1nmc0unTqChO9sGJPg1bfFnciSO4EtqCt2qzv9TW2+vsCqE+UXZCGLS FGSga2NkljJcMwDqM70GRaZzNBqNsKcAIOykIT1QtX27EFmuWLa0sqJ87jWnD+6aIx+CF47Tdn+c okXBc9ehCQSfoUipq0rLarD3MCIQh3PNK7s3ywFnQUc/BjcBhSPfb9AcjgzUsqOlE7p19yNuf3J2 DMrf6OIBtx5fYXlTIu43JJyLO8aFU3j4qQa9HEyhVjjlQcTfz5uPaRSkFuEQCAPWo93u8vsUo1Zp SfN4TTElhl4soGRRmyYnmv5S5eH0a0qL5gp2J/GbLdcCwI/IuJwnDTAUlhfd67pBx3i8ME8vi5uu 0bIdGhlny6ukPhtfNyKJmPAdItPASpAVyv6OrtrC/kMjT19Uf88R03wXygobWhw/6nhTOJVo91hr X7BzTNvzbxX6BkRyIJrTs9tSmPtCutwOl5tir0rY2SGAa5MOtgc/sGVoKjU0TMEF6smTURY8G4KQ Zbr4fW4MHp14TVTCt1SdwTt3tNEfivEA/ldMLoL1w0DatdBR0uGmkvbJZaK7nCgr+WmqWw4KOCmf em2xGvTn3nSSUGKNDnmwwnE9Exk7wyEYDLszuz1gIENeDnSIVhU+vURVCLC3fF0XNWcxZf8fjQKL 0UsxMUav6PX15/E35JMqWWdTIgtf8Kyoi7eY0dcJiXuoe64BCqpDut7h+mlEGpgaGtDI4HBcabHE c34G501giJRuA83OStpYNrS9S7AiXUMbwK+ji8Kx0zYjpmj0Lv46h4X6oh1Xa1ypYSqYNhvRvW7P /yOX4beNEyFEyLUMKlBS7/pGv3IvEHmG1HrZ7LfZlCO1PbBbkh8p0PMckBtyK79OU279StI+nbjA II2HCwShl0UIrWoAQBP5p+KOXnW5c7xENbj2TOY/pFFLx85KO2C6kn5h6YPwGLg/L0ivyGdhlIDG qj/zZX+IQQO4qRe4L9Pd6sI6SFAewlxYJyjif1dEsWxBPFcG+HoHhDlMfmSMroX8VrXOED5jd0f9 DiVJUDTPLV2zoH8yprwBt17PDBF10l+B6OJdtH3F50K4iWlCPgKCIuBriaT5hVUfpGLwNXxnl5kd OZ845rJvwiIVLLFz5YJAGuqdLOdcCgJ5HmKV0GNMS+gHNFC26W5Ok8pf9MHfrL6RngS1kDD5ZPlW OSxVUweh3A9y/rMoO68od/8yc30rY3XHzPu4nKS5tD61fVAMz+LZU1qyUXk5uv4obJ0nxVfJssoM YYiWM5pxfayk6t5uejwZJl/Y4nWfAKRvOSClJ7YSflZgHHA/acowkYItCRmvyPzfjvv311XDyL2q bduaw1XCXsi60teLojp29mQADflB6AIGjMno8Q1g0I8Pu/Z61GfOn8IOX1cdxe3YqPSU/34S5N15 y0Uti3Y901ELX544ZSejwKWB2ixgBg5V8i1Wd7BUnhhFv0INVVJRW9KKX1t+1bzFfhnB8E3mbW4L 6hFcnYt8dAb03DraHifao2nQyRIkr0FXhMVzjRW4FAu1FvJioFwpgH0xKMhUE9c3EfTqxgEaMFOX v6C6waRpzEXWq4A5jf25lJN51KmYmAI6uhuE//FXgXiZuDWT94xYRmTRChGBx/Nga6WLf4tkiB+J WZfBzNJfooOpS022zn37XjBwrJj+Ecig7ni19i9eMO2W6P6Mo12rbGzCyfwO8zQBxZDbkbOC7UqY Lx7h6DApSgM9GLfb9FPZ/q/ZeDYIuhZF9oabKQYJHJkW/ItBh169M9GpIoOJJg+a/tu/JK2QtayS He2iSGqPC/LcaNVUCeb9qp8jNHnXMsTBlxQg1s2yEYbR+tvLFFuRCil90Yid1+TV3BwQm3NH3ZTQ DenRf7CLZ2VZcPnw3Q89LBIA5hbyln6MFQHFU2Zo/gARmAZ28mEuWAJhNuUNkSTUsGmeCaQyBjKu XGV/abTAFKRO/L3N2UVhe0N+VcMT8Kab4hjnv8rcRifGZaYISEYt8O+MLRdNoc3VH93SitKesTWp 1upWNacd7jVPwWKP5v4we1HNGhZp3mwlyj3QvFpiqQO3MCSMGuibDv1ssSVTb+3cTtzbd0EY223i gE+ZB/Lse2qV40ZCZkN67zkgvxQRaOySMNQ41qINawhBLdAjEvy7YtKnrNoE3r+AXXBwNLPIRx7g UTRs8xE5qV7XZW5Z8/S2qWB3mLCo+wOM11JDeKiNSbMG3VIRiUwzwfFHy542uXo8Z5KwfQue1kSB KB+r7hZEozwpkZ9ZIz1kT1D9NiFEFROW7ZQmmD4AF/82u0bcSwTdxA0fmvgmqQ1CcDeFvSbqgknx jrT89JN1sHC28SWZ2dW5QxQyeXQYN4aKNW4csc1K/fNoKOBLSLaNdarL1wV3UV4aq7HF1BnuKAD8 p3lhONe57al/KWb+CGPWSv7GDZkQemZMj3fbIHFNFdRVbd4a41iy70WRdJNmr3kWwtFkd++1n0hA UlbxPh26FyWj3D29tsbSTw3q1pjGihZcSzKLX3IEWYwhcIDmST0j2816gDFOTggxwe6gNG5lXbNj Ti0JOqgESKRmYaBnPzv6qqs7vl7lDdZJKsHRk0m5758Pr/ZBTFmETS33zzT9AEBMQ84UeyCP/tj5 QmwddWvO0SmvYXjebpwpI70XCDEAbL0+BzGQe0SiSkBX7CanDDMAlnX0MNewyQkfT+81KlZ6lB2V Hq5iWQwoGoO86SXwLNAlQRBzLe3JDT7RX1PIbVqMPqdfYRc1kM2lpVfLGq46L6pIsscsys58jr79 S9SF96hbjCgNSH9JL6n7v4fXqUlG80hwBCplRg== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/pf_counter_bit.vhd
15
10926
------------------------------------------------------------------------------- -- $Id: pf_counter_bit.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter_bit.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter_bit.vhd -- -- Description: Implements 1 bit of the counter/timer -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- D. Thorpe 2001-08-30 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst input signal and connected it to the FDRE -- reset input. -- -- DET 2002-02-24 -- - Changed to call out proc_common_v1_00_b library. -- - Changed the use of MUXCY_L to MUXCY. -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed to call out proc_common v2_00_a library. -- ^^^^^^ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; Use proc_common_v4_0.inferred_lut4; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter_bit is port ( Clk : in std_logic; Rst : In std_logic; Count_In : in std_logic; Load_In : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Carry_In : in std_logic; Clock_Enable : in std_logic; Result : out std_logic; Carry_Out : out std_logic ); end pf_counter_bit; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter_bit is --- xst wrk around component LUT4 is --- xst wrk around generic( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon : boolean; --- xst wrk around -- synthesis translate_on --- xst wrk around INIT : bit_vector := X"0000" --- xst wrk around ); --- xst wrk around port ( --- xst wrk around O : out std_logic; --- xst wrk around I0 : in std_logic; --- xst wrk around I1 : in std_logic; --- xst wrk around I2 : in std_logic; --- xst wrk around I3 : in std_logic); --- xst wrk around end component LUT4; component inferred_lut4 is generic (INIT : bit_vector(15 downto 0)); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic ); end component inferred_lut4; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; signal count_AddSub : std_logic; signal count_Result : std_logic; signal count_Result_Reg : std_logic; attribute INIT : string; begin -- VHDL_RTL --- xst wrk around I_ALU_LUT : LUT4 --- xst wrk around generic map( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon => false, --- xst wrk around -- synthesis translate_on --- xst wrk around INIT => X"36C6" --- xst wrk around ) --- xst wrk around port map ( --- xst wrk around O => count_AddSub, --- xst wrk around I0 => Count_In, --- xst wrk around I1 => Count_Down, --- xst wrk around I2 => Count_Load, --- xst wrk around I3 => Load_In); I_ALU_LUT : inferred_lut4 generic map( INIT => X"36C6" ) port map ( O => count_AddSub, I0 => Count_In, I1 => Count_Down, I2 => Count_Load, I3 => Load_In); MUXCY_I : MUXCY port map ( DI => Count_Down, CI => Carry_In, S => count_AddSub, O => Carry_Out); XOR_I : XORCY port map ( LI => count_AddSub, CI => Carry_In, O => count_Result); FDRE_I: FDRE port map ( Q => count_Result_Reg, C => Clk, CE => Clock_Enable, D => count_Result, R => Rst ); Result <= count_Result_Reg; end implementation;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/pf_counter_bit.vhd
15
10926
------------------------------------------------------------------------------- -- $Id: pf_counter_bit.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter_bit.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter_bit.vhd -- -- Description: Implements 1 bit of the counter/timer -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- D. Thorpe 2001-08-30 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst input signal and connected it to the FDRE -- reset input. -- -- DET 2002-02-24 -- - Changed to call out proc_common_v1_00_b library. -- - Changed the use of MUXCY_L to MUXCY. -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed to call out proc_common v2_00_a library. -- ^^^^^^ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; Use proc_common_v4_0.inferred_lut4; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter_bit is port ( Clk : in std_logic; Rst : In std_logic; Count_In : in std_logic; Load_In : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Carry_In : in std_logic; Clock_Enable : in std_logic; Result : out std_logic; Carry_Out : out std_logic ); end pf_counter_bit; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter_bit is --- xst wrk around component LUT4 is --- xst wrk around generic( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon : boolean; --- xst wrk around -- synthesis translate_on --- xst wrk around INIT : bit_vector := X"0000" --- xst wrk around ); --- xst wrk around port ( --- xst wrk around O : out std_logic; --- xst wrk around I0 : in std_logic; --- xst wrk around I1 : in std_logic; --- xst wrk around I2 : in std_logic; --- xst wrk around I3 : in std_logic); --- xst wrk around end component LUT4; component inferred_lut4 is generic (INIT : bit_vector(15 downto 0)); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic ); end component inferred_lut4; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; signal count_AddSub : std_logic; signal count_Result : std_logic; signal count_Result_Reg : std_logic; attribute INIT : string; begin -- VHDL_RTL --- xst wrk around I_ALU_LUT : LUT4 --- xst wrk around generic map( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon => false, --- xst wrk around -- synthesis translate_on --- xst wrk around INIT => X"36C6" --- xst wrk around ) --- xst wrk around port map ( --- xst wrk around O => count_AddSub, --- xst wrk around I0 => Count_In, --- xst wrk around I1 => Count_Down, --- xst wrk around I2 => Count_Load, --- xst wrk around I3 => Load_In); I_ALU_LUT : inferred_lut4 generic map( INIT => X"36C6" ) port map ( O => count_AddSub, I0 => Count_In, I1 => Count_Down, I2 => Count_Load, I3 => Load_In); MUXCY_I : MUXCY port map ( DI => Count_Down, CI => Carry_In, S => count_AddSub, O => Carry_Out); XOR_I : XORCY port map ( LI => count_AddSub, CI => Carry_In, O => count_Result); FDRE_I: FDRE port map ( Q => count_Result_Reg, C => Clk, CE => Clock_Enable, D => count_Result, R => Rst ); Result <= count_Result_Reg; end implementation;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/fifo_generator_v11_0/common/rd_pe_as.vhd
19
25238
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OHeaBmhw2WWXga/8pOVTMIzcYutI6Mhna2kzvZmeKvttg8GRcsMBDXpogvkdmdxp1KLLzWXMAKSV fUAOBPVAvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ao3tKAmGrk9jDIJ5tmEl5p3MIRphIc7Vg/SqO4TER/rFDRMS3J83CwQ2b9YFrnde65FSvizCvsTV 0Knxkw8zoIma+TSgIxOnivhI3WBhgKeA2uGkUI4h7aI3JKyXt+ar8rATgfMIjtkwwZmXnAQdFAm/ DhnKD9KmESp1ihQZWxM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tIRCJBwrqw861TllYkYZisN+3Hf+P2JXRGH4rS3/mIyKaeRa8ciKvXh+DuDwE0CQ8FK1JKt0o7Wy 5niCab0pNdgMIWoeJTN4M3Yv3mIYHhxe/uhUY+qL9dbTdi1peu0ypGwB+pCVAaCMnYsMP87ovoxG mFxz/aWHoq6z5hUiOqs/8QctFGTu5uGrqo/fDpwnQByfUDzc5kOGUXom+7Ix+u0CBnUzxUPMVE8H FW15FWlEhZ2/WOv5odw8POvTaQir1St/I4TCBaM8Ne779Z1F4E4v1nyrImWHcYGt30Ex/kdASWup x0rIb4g/F4zfpMwk2F9PI0IRzfsxsXBx1PSZmQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vDR9iZfmcKoc03DxzsUkjAUcoXZpLGp+jz9oB+bhIzk9fA1B+YkBJ4B6wGhxOSVsIGzj0A/2+sve cYv4/y/PnMWoVJu5GAXMXsNWS0+yhRlFm65eqZTnif9T4BQLUfDB3Poe8t8+8qJraoiNha1dShh9 FtnafnjfaWlgFCK4DSo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P5OVsGiC3k02pbA8zjICborh5BXFBySD3cMhIIsNr8DZdx+UrjbiVbqZMU9Ry3hJ/1iX0Q8zDyFo F6W3nmvV82n8xeQJN36fxUpz69izOLDYVC7B/XqC5I6fwrewIKThxTuK9lZtFdQHHrzj3T2ZDLDy Z1+PK2wQ4cNjjft1DSS07aO+6gcWXb8X25cWmNGk/P6Hl0pzIcfFFHwO6Oq+bJ671kKmsX3jUKAg DTTCgxx1Ex2XG0j8cWCnhZjmetyd9o4fKBdb10goxmIXB8/8Sn+4BcUJVLUQkMnRwy0YJGGtpiHs ZxxUU5IU2sy5csUBb6rGbP4ap8jLGVFhtMQgiA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block xeg1xvAX445Jemc3c17YsWueq1U9mUrvFKBzq3PsgdORUCal7C/Jk+MBfoacKIz8R5RXQ/ilri/P mEP0LAp9SnUCyUesxrkPXlMrXZu+XGBKHQfx5sN+wP3LyOBB3sDrK6NyP0Ykc4HD7SarGKwalNYj mMeui2tL7T/I4JVXc6FJFbwXcX0MTTLAdPmnr7HDnGZv55u3NI+4nQWQ7dUNA5iI/ERBLJ2uxtjS XcbpESaOr0+NIi0wv8WBHPI9UshhRzckoGVVRQJKgMuatKBoJZL1Kh2SaKZraeAhaVCC+/yRUbgT uzmVJrQ+MfjaVUD4bD8/72c298owHi4TpTo69FSJhnWmiQDcJKvUIbXScpnSeGLXGiUCIhmTSVI3 jkf7CfvwBd/yVB9G6nFBMi/s1QTrhlA/FfzAXjbCAn9jV9IAzxiv8DJQF74bgD3cbsITk7gPO1xV 56foC9qPsGzYTMB88iae+Mpq2kVgav7uQwuxSV7Rdj3qX3LrFbbmrn0Ws3dnyfkf3tRBYmrcGZjv xsvaqVsBEZV8pxpvwoTPnlgUPVkhu97Zckxde+jH6X7vwmfZlZT+5wlY0SGDwjwIDk7RGPh5oNow 9cm2ZBEruCirgJ9bzMh66vbM0RTgQgfhNf5kIW/sqRReqKLFRVjPtE9QZluwRkt+zVw+OEtjvkKs KXxk/3rPxQsYRDkeXm8de2melrzAf4kZ+MqZAg936SEjWAgYlqNfVsfx7aHKlnbT9bMT8RDHk64h cXXrZ1lGHa2L9RNlvYXKxwmAxuLr3X73B7ErZapkVeAREz4bKuxvmU34Fec7dhcVsEH9gju77Cfu wRIKSuT+EkIIXqYkCpbtJaWEqHMYNor7L7w/27QggYi+BfxElrQpaq1UuMWShg1hZsUa6BpYTXs7 6CVrXwSwxsbeHK2JvwuVLtIMQ9DKHcbmgsqzfUrYKR4wkEGM5jvckYFJMlV/FwCsKMTfk/huEr8C i1+UajHGXnCPUJU8Kv+1QrzW+qp0L2MX1kXwUsD2ZtXVJ6/1tJxstpKApP8kqYe7MCN4AJMBm+KC 59CcyEtv4kalQS1J7ZHvwE6ZQ425KA0ZnpiZwK7MyHjpVjRBtePPyRAw+DQJE3V/9i/UjyCbIG1o Ak+Z3AdZomOZ1ZUP7BUJyoh3I9E5px/nzgyVTGJZYBznY00+YrmtpNhU0pox8AH0XjcLTuDSt+nh uV0/d8HV1i9CdKM7W4UsL69Y3PuCTdwn4Csk7PAAPHPauAguZKgL/qfHTnsE3PJE5iLcKKkgyIXA QkYEPijhMzQb2g01JFEEFZwi/+1cnIVGwnNbCU1xDr1N2jomiwZGXeM1eD4fuwIcvlSrwfNwczke cUN1Z3NS11VKnDizZq9ulq38bynFoDnkj7Yigzr4txTbY2WwDGscT5zjGVBUAVdUswbPP779QvLN bEM7QNDVR+aE4FIruPnBdAMNtj7Nzrzdm19hsww0oVVtDT9CqpKJwbTb5wJo1f8f2cD51KY6dk4q aCIt+QgyDhFJF89qz/4sYE2Wd0VSEK8oBLiZWikG4YdJ+3nAfJm+MTPu5aRbNT7w0X3L7ym7hhuW 1f2EEjkyMokFpDDiYjrqIspD4UdZSc5Yqb3P8qgHkPXvukwdyUPe/e9jZnKRHJ5XjmdjqY96xm4M J2rlJTwzHF7ac1a2iB4yLFDzrBLPjVMMbeFMNBMZs4ihZTuMMfqQTDJRLC09clWJm2NyCmXglVoo 2P8QJ6cUJPEUeV3t8cq86a0ha0u2N9iGCp0m1Ji74pba3cZGtXjoAnhrMcs9G8+T7HS6MT3978HG lUTOgBGniBxQbH1JjjC1KbMbNr//6c7xy3tH4aWy0ybUcFghZW+4xDZCVYALsEN9PrtsL6l4IaIA 34UqWARkgh7Tgpnfz2y5c/ylTTZO/s+2VosoM0UL77diFH1mDh9AC7AyY7gcl53y5W/zXnWtHX8x N12vOEU6VEwhpBNHGR9MSsYXoTbGBruoOvPNQM3Tt2KmZ7tyTXxGU2f5n/fZUcLTJJojq6xdWtz2 H02F36Ne2dGXtKnUsdOAMYkN4QALABY0vbNm2Mj1EuZXNblqiHjySFfVM8TqSERXwvNwFzmPR8jd VPHr46HbPFNSoR58dJFKL08d/q3vjIgB7WzusuHoS01lHqefwuY5xF3/jXOhnWsTB2mSxZaRn2d/ PZckOCPAbykUGS5hUb8qxPP5impMKvuFVX5kpf5axEKCBk/geaJmXj+MGdi2G5/t1q87sjW34m3T JybqfZDVWuHzE7jh/5ihUZLlCUGQEbtgI59RqpZ2Lfzu0DCENHOQAaCVI2ygAXYYJI4UDd5crLiz MfCi9DmXrzpbRte4iT2c8P9Z8IXj+stxu2qVRw1gHG8Tq7QG2PR4w7/c1O2nQpDB7O1Orr/aAi7R IXadwQLubICcyrN8P1qb5mp0zxg/PgSvXlpf/qRYgCLJj6sdLh3EGvLGhwHt1LXYligRBXD8nf29 DCigNq71NomWZsb/kyk/PHQexWGhcMmzYy/8TO+EalD3NsnDVSlGzE1RI0jv9aDmHeTNp4f4q7H6 pORA6kEACAnSN1mN8cJqtHo6WCcb7gjV4MjFHsfb0UPE/EO114ScV1Cm2EnMlIJRtVlYf85+UTS9 GqMN/DhyuaQVRWcdzmah5jCrnXvNCCkKbe3r4LSkROwo7YL5HKR2ebqeskaOlPJllaEG2fS4yAyR TmuJLfq9ThlJJWIarbUS7r/9TUmndnjR643sNKECKdxzbVp/7+YpoSANMo4KaLM6E8SYffDioJrl Bbw6nxJNFTJF0k/MlHwt3yjGeuYz96Q1mnPbJx9o2LBi+UXYzPwAaGCXG5hfrYLWaE4hhG8U4RD5 sTgY4KeJWCXC73Q5Dt3c+iDqszkT+OzCcG3z2JcSYTM6SPmg0dvUpMdbyP58d55csn0cFRf2Of3Y QsQiGI1jEVndsGYrHr8vje0NxxzMuRw9r/Gz6+KbkkMaqKNjjSKxVPOMBdwbqO/4xexy8/eWzkla +0HsfvcIrTCsUmWzD52gG7RXijLW4MesxOap0Ir+61WCcGvt0hy0cHdNRKLPq6gHtq+c160XUwQ5 x+8OfGeNeZlLdR66XrWUX9H6ZM4rNU6LgRc5NQIpukCJGOOr4fZbJu7K33oDLdmym5H2Bq+uAHN3 K3Wk2YzFLGqV0+DXwwxzSr+AD5WKkCkKgDt00iQeAejnGNyth3Cms+1ISbPPiKKryALxlRbR3BJl cIp8PiSYttiDA9DlsIBKGx1cMx/ghuPvW8Klmvc8Wy3MlBn0d9GgSflLtsTnAAwERvdKx2V7RzWU PZr3O9ZTDJtbyqgqHpDW6L7KoNgpW9OhvFkVI8DLirjdslIxnsvwXsqPgPNKV1gdAP3cMdvYdYTK at6q6x/I6PwGEan4bfuUgOc8nY1awqZvZMbQDB+8Eus7ijzoSPI3fVQWri5dC0U7NBB80D/3vf4J cfbGDpcMqsabM4oQVqmCbI52NSapRpTrO+6wacJY+dVy2cuOs/Cvd2ZtBmdlecEVjp98QBsjPuMl 6QJV6iAxjVZ847HhrjB2l+YR1Dlw9vXhQLq9J3pSjVbmfSjvRT0mPlILyw+qz86yBoqHFqCkJOjl Aea1vD5J8gV8A9tGjvM0JuAYTHJN0kqeteTTsk/qbocWod4T8FlsqB57ZiXDLgSI8E1qRL6chtnF uUBXzrl6FXw3pLeFY8Gz1SulMKmQCN47uaVWN0zTcmhleGxUlP7vIqxG/aem6dSwsUlnPUq8kIxf RLUHWgvEpV1pHKRirOuy/xe23whA/8HyetImPAKeYkud4Caova9xrB0YkVFY55vJfaQKyNcSHIp7 7C/2I05cFqaENbOEsO72MVyjb2Z206ZkjYpy9mvgY5FyJW/cng944wfCUYCSCNS9kDLspsHYPwrC jRQuQdHnkjI+H+F/paGPu+En0CZ+eqFKnlU1c+0dpmqnZVxlAbDhextaEPn2UVnKlXNoV/kJ+DXT Qh0Xol2XF7ss8dWmZqrdcGd5VH396sEOlzw4JgTM5Ekg+K4tg0wrco1M61/L5Izr2xOogkRZ2E0+ gCkiabFLw6Fg+odSMZ9f7Rcz01UJljpBkN8pkNBGJDitLPUG4XOWealoqKYiOBSIPIf6mMjRTPJX 9LPtdJv21h0t0EwG1l/o88c/OsFhn3ArcI0PEhwdxDYXwoWlJ0zm6CpXBk8HmcKtb9FXX2L2+LLU hOvN7kFnsQnuMScQRdIyzOwND1VaxfPwi0vqUXFWiuKM/gi6LAXlQlsqKB9oAl4GSuG4evCICgGE AuHKyvju6WmXSxu8K743vvjy4//9bZXI+gECulJ0yvrljJjhh+0T6aTEf0Ojx0xEDPcykklLIcOL 4OEL1HDX/YHsV5Lu3lnVjvRj/OKgZCvEi6ygINQAwKQGJ46zfnKh2TAsbIXUa2QNeOVSemOQ5r/k l9+gCxpk0jPJU4ZyOrBSGLQa3imp0BLVwrzBlecTk3oN5PkTh1ErO/Q9XqHh9M4qsPIpQ3FAid6c waoaH75PjD3vOqMSrwKXSB5nlbddNhUYWxsSrcIHqzRqD5M00coVzxKZ0tAzQNGIflnea9IaeJjV rUJnjC6qrCb2lNvX2TPXl7DvL6yr6IR5ERNjH2hQ0RCOdI1Eovy2TJm8nP7Hr1O4Y/1CPgtrARRV 1BKzgfVviSuIfy5dup5S57SLU+GMe7gHWBxo6C2uObCtSsl6KU3spG2T5Zd80wmzab7Ettcy3dVr HDtelhW5fE1eoUC5/jXbuFcrUfQj7IcSYpcpzwhYNSjlcaTclmbMN90Ut5WkCBeao/Sy1DO4kqIy i8EwRa+5rGPgUTVh94kZuiQXCwdH3U9QTz2h8Sgd5h4hcOXQqUF0dFDuRgzWj+rnpbqp46qN0/CK BCgTpO8ZeUxxT1xTOPKyNc8rtKqny0TqcDPKRf74c6nuKZ1/AegRxMvRWIUJRPyOtMMmaYG8Rzfh rmMK+oROwwKF5aytRctmI+1OuTrFGGmIVd4tydW9Mqi4hNF8VaIYMMjpgfkvzKgd7CWCsaW4zUea N8Na5oygowcdviClN98MFm1Upz0HhK4204RQYdBpO9TxT1s86CZsyouucLmE1JKV+6QG499TvZhL 0aDg1AJVUYa6GUb36epGjfW/jasgJvctz1Dp8C5gJ/x0QDghg1A5JlqKK27nZ7Fzdzhzr2kGGfd2 vhQrkMIqHEFdP7D/7KSw5TDEkSwLVgwAk5oanGXsOuF3fwRfbGlMB5BtC+td0RFS0yTUVOhb1Uk9 UmE8+CkxhkxEYhSi3vGAg8LgbGmNuPU6gwYSdr1FwxC2eAa0ZjxaIATtab1sQ+NXRYJqjvFk9ouf e/BM8iEdrA50fL7YyEfxFRTOr+Zjy+ZaeESQuQaNQ80x6eoFc6p+eTLF7yA4+FN0NBqdULayQZ2x YO8gLE/LRG0fuax5bqWfs6i2ot+rMHwA3OogMoDZKDHZyWUV9FUwRZRADOsq8yM9bp1Rx9gnrrGR LaJQSvqso5Ni5YONU1P07Oj1F14gl262tgT17Y5QC2w3/3OPnE0oKQtxr4BVlPg+WBM/ammQXyPa T8MmYFfIdpKoZ4IZHDCYbQW7+sKAcXmZPP8L1AYunVw24MFIp6CChtFuWQxS3r4CJMquxX1Hj5Dm bKAZvCF/M5grhlRV3X/jetsoRx1fAgoYBynJQ+v0yAUjhwM/9bUBNxqkv4DTXVdAxSqfsqc/6rh8 La4rBeWsh46pydmuZyuQAp/O3OiJaXp13CkL5nLhUg0LvWm5XF7XB7YaKQGJmS4Pb2lHqFivuMpf Bn+V2zLn6RQM1IKbEINQkWQU+FoZTkGZ2eoXkEv9/DMxBiqM+qZdzoBDW37c/WXo6fgqcMXUtaPU t9rA7acBfgQe0CXJ6341ZB1M/zzKBaY+eh4Dm1BnZPfNdS5d+fhmTNowv4Rjxs7b/UdReDVkohGp CK/UdxZ/AyhcACsuXh/6hVhCT7q/iQh/1n0sE0Fjle3GMKvj8YgHMZug7EBp7Vrc347raTVj3X3W oqaUS+GEUV31A7WWF5+hfbPoz6PgNbNx+rbM/vyAjyev3bH1jGvcqh3rz1GlSNkOnUG5e2DX9aFU JLFxQPUvcxPINf9qxXwneSRkVONc5M4/ixVU4hljEAvWjskV1mhQBUx0SNc4wp3a0y6Q8rwB32cp Y9dQTv9ltYIB7zEv3Z/kA9b4RZUlTLMN5CwRzMvmf4QNLj7lwGzstIBqdQehc+ENNswizfRxef7R tlIjI7hYeMXmntd5oTobMRdrPOZ9oaQAyUQpSU222imebn8BCTXcMLEaZ7VndXFCKtIiaWlh5Gg1 pDGtDB4ATvqx0Lg2+amHNH8YczG12XwgwzMhOieaJXZu9sU7PoJ0tivITQQGQ9ZZtnZKc3s+m3e4 vmorxrR57GMH55SRS5oSGeIp3jeQ4ruwwKAo2tQYZQ4XEjnvXVHHXNRbcMXo7kE+ZUBISJ66KMxc R2djIYZYMxyQenVspPD1IG7mmUcq83MIk07beIbpHhI7f2SVrgxG8b7Bl/9o0DiyLZFAJCUIQNDy DbdlIkhs19VZ5H3E5+NLwF6ADDa8qARq1ycDij9p/nJebydurrrn0P5SnHOiRirf6XNsWKRFrkz4 VK+DSRlCoEPKdJwGWgA3+Qxrn+QHg4c7DGDcQgO0OnlLpNrgaZ0PT5nQXGWTA9XsWbI5vbQb3Sez YGCmHE8iwQAz6iK367cGm3pfS6UDlRk7fjaDzkgxLH/tm/JViP0GrSw2q0GbnH0nRmbXmrf9O6NS DeOEPVumEgPxA0JPz5P5MJNwsHbU91oiKbvIh+Ga0WI6uNbwYfmAKKfLuEAey2ynKdTa4UOgsw6u oFupk6NqJOn5MJB1Qh22k7gA+7YJ0hpktMaedvSOYyNEww+LR8U7A5df+78Y2yqeQEE0ryJusCZw MpYQ4CKvYx8eorEy6ciTjPnqMNlfXakp0RaZEuLsxhZ9+EpNQMC1VHuZ1+fD2G8xIm6NfrY7/H98 12iqTEUoikIzkt/5doo4icIi4s2NJ5y9RfgFrSDkHm7Qb7YDuZuTjVSA3VuyLmJEjnFcDZhg8ihe wDyUx4IR79I09ux3nB8ZUQDebkGVs9HQqZ+un9HiZRtCcl0qLXxO5oveSCzUKFX01nDxj+nHf8Zq BExV2xFspczFmkQRhlx8eSYKqxaQi3XI6fJVH4rzja7H8lP1+Ulbz4As4V68YJ94xc9VVcS536wR dmYgZu1dDw/1Mp6LrIcyiI6UZTKm2G2DjUfhc4n57Sp0RVcwkQiUAg9LcxW2Zx3d4XNvwI4nkPtG hAKWmC0OiDXtKxwaxylwYtWYmHQ6Xq8e95fkJkP5JIjgVLagzFgAOcXvasAueQi+dxU0AxaREfcQ 87ib0YnrjpXtd9tG+LnDSYPnOlx/biK3oXbHVRtgHyzF9sO3CBur3K4BZiVk4AjHDD/D0BiUxNSn jhepSVzLaYC6tXrUDOz+9cqO5hulYnPgdArCQxazgYXv4Y0CJOJqymR1Bwqy37KOIfSiupUGdHuO kuEGq+EmnF1MJVZinoRTx/Yr3lGn6iP2g0rBcEtTUONfm45+7zU2+w2cDoFXWqm0nDRhgQ9kNUoP JzOcXsaN3D0CaPqLqFiMDjnIc019w1IKsKnB9j7NVSNt11jfi7H2yF1EgTh5+DSWTWW6BqB9JXd/ hxBLU4ZTJz1szPtSYVx4M8AXiG3Gh8cenVkqwWAoNdn7rTHmWjM77ryCShcSYEDcw8a2B3KmA/Qe Piu+wM9SEvC8c48LmzfeSLMZSNTnTPpFR3vaqcL9Y+HYqvzY03oxB5jJC23Ik51rX03drxucgOtb C73EqdjLYiCg7U33ZtsIYxLJqeStGuD0QDjHeGQBOs9e//DuaErThYigDxKW1f6VDs7Yhtr2u+kQ dELqPytyxrf2rNHjmQfVPEkcqtb88Y1KzJwQD872XYwWSFJ5nKyHqc3otJ/lr8gb1FfY+ktaHr7T QxtUMLG2YQ/UxWe24al6Iunwc7EcAXwkCaO4DqnH1bBLWO293i+E35lfVUI5pdpg4s1zZzjxrEwA W4cVUtZPYH9IcC+s2OOqar5f0eXT5g+NTeSv57XR8qxBosGQ4exRw8m0Zsbyw0oErZn/lbiV419K eWoff9Hw1Wel7OXd91jbBajXGLhOHGJvek0slQFFLyvz8yQ9LkVKmumBb4fGosLycy/rTBVmyCeS 2y8dsvqePLS0P2zbCJk4pF4wZC0IrzaK2X8jKmPxFYq27EfBrpXue4+QqtUdXZYNrNJJNyxuLBnj rGj5OFtSMfs6LMPAQZ/m0l01K0hCyLRV0PfCWLLDaW63D6+pcd3HcrdAAX39YqpJ2u9nQI2705f8 rYskSIhwNyjq1xDr1xkQMvXkuXuiYEeoGBobiwrCH9PLTvU7hQThCL2mx0FoK5uLhI4NARoS5mWC iCLtQ/CTCIWHs0Vdpe+BZMDVBdd++lGfYqnDH2dwZzkt2ucJ7BP686+z2ZjBOxe/2k0LFzpNS/LK liX4uEFVYCTQi3PfeeqqM0ViCnDoz3qQeeANg9tQq40vMnTIdEnjoKzi4125uUO981AnUT+5kHKw G5ACVC+SXNlB3qHFi2gtgPxADDhC/QPiNGzea4uIKyaHMcmGKPU34qsBelVEkIqEku7H63kBqZZN T70ZqbO7BSVGAbHBJO7me4ISi9ScJ8y6OCjrzc5A1UT/tLE5FPbqqKZxQ9rb0tF9uDXpOtU8oikK hV6YE0IwEzG6+WIRR240HoWWdp8lgs7Bxg8lbxt21XYTWzY1ivEWINEPIAOl0O/EBl0jWm+Dm055 Fl075Hs6iqd5sb98BQmDVpk/ws82bgKMSuPuXqDyBFvU0w1w1A7nR5GQL96LSFHiO+Fwa+dVg0WW JIeVCzAYAjoUm6WIZSIb3ezBcsfHCgU6kqHNJ/W12y3qPQaax28VxoDGex36Q0ZyBcUdXQgx5QRo wajDS0392gz1FH+P8E/H0BNSIi0DbI8V/qXMACafd1fPcu4x3FTgBTCiB7x8K9wux33ICwwzhK1I p228gOgU/jD+Di596GeBd3WJmTI9ZaUGyq8s7ob3vcBYZNCoXIL4P4ky+fcPeZ2mBLfwg/hFtnGZ l/C9jhNCZsk3FXv9ZCSrA1u7RZZElYiC6SUIGVXlBH9nFvE6q1JsqfiRN3ThW5FsUrIK/sGTG5i5 UOIRy7frPNkVeUOKLMXKzMm257gO+6oMcD0rd5/jgYNvwrovvhvm1Rz0gtFwN7ZZ9MB8cEHU+ba1 rnBMYRlYYz4Yw9WNKmxpMSDcJutopdpgC8jzrle9QNhFmlvt/6SdqLyzdPEedVKKuxAcpv8QZG3/ 8mLFGteI0Z7eKVeZuFhhrBuhGRwDSTQA5532RKlKfhP41DcS8DufBHlOpzeRGz1iP2NlGpDWLF8D LqAmmGPK0o5fGF59f6OpHWAp7d6vBl+wlcB73Lyc++OYwuJwUaxWsP+k3XxcP/RXGcqE5EiLtnlI S2gkzLRo8eDt/fNLhYPvl4eXTBQtbADBmwy+v7lNca1oBrZfPuLh9wnLVn76zg3Nnq1RcmtYlbDo y7vtbXeIFq/lJbD/A6xvLWsg/CA45n1EZURdOANRJ4SdCs5ORolCT6UVCoDMGDpdI/wl6sGt1x1o 0keskRDM1d6lzKYYKCmj2fvKQ5Kal/YO45jPjSHd12IBz+K+/80HT3N6Nf9WQ9Zrm7TreeexcvZk SdgesdfNnGq0U6fA2SuiXCIK8tvxK851cL3zXr6H/68FV+/gZm+lUHWjx53Yc5oDjY5wseobfSO8 +sItK/dT7AutVKzV4567XEiT9Zgd/6QGzGyx5wNydOdIebIZzlfG1QueP/LsooN1weXaAsCWJ5Qc mkv2HDY5Cj4V47xoBGdOfi8VfjwQ5IyEQTRZeL56b4Yoh0v057dhFIyX98k9Wme1L3AMgVqivJWb Q2BgXX0ehitWmaHwWXbBKLYr2KgFyxQG07QZNbqlvhEbLsz2JSHsAb+ILexK/48pAE4EEhQ6dZSM 0CE/4Oe8AMoAk+M0n4ycTACWLjOt6YSteuWItkIz9cHyp/e7uJ8eG/LsW6A+IykWoDsnIu4cKE+Y sHTwJPQB+PZ/ZEWnZnkJXhNC+KNAwDz/mRGJ3iEhzSmCp3OihAEeYm+HHG3j2xA+gSwFvsyc9zKX BNsAOjpjpZh9M07cAmGm4XtIEf1BWTU9qWbHZcjiZIwqmfE/a4bU0ANTU2ULTKfcpKf89YaHxlL4 s/o1lBTRxhqpj2aJp6+FaLDnPL1y3tetqMJYSlHgVgE17kH74MqrlZS7nd7FCBuKMlmnVBvdjrE0 2v5gxgLqZKLW9EAdtqyhZ7/do1ue8xGRDBv49L4FEbm2+rKGe6I+ViW/OYSqVnojhSCEfHsh3pof TJq5Ciw/kTdC+LvzK0DoaKFJ6fM3dZdKIa9BdmhoDLonXAnUWhIIagg6c479Tb4nv4EZWsd1hN8T DL+c6UnSKx80UBSnoTJyMjGBdPXpiQjF11BwRWChQ1mp4M4ct+FVeZasvrxdmd5HG+Bcs4nOY6Ip 1u9CRQ4A92AUdIYHGDY1iVM3SbDFD3Da7XG3gUqApjwODD6ggn3803B6BASiuZurSRXUqKKQVkyT cw0kp1tIlrVcDoezS6VJ7hhpjaEn+ZmHRCITPdnd+jzXB8p0nXELPkBPtmBcuNRYWyRfLNzCbhxo kPJUDpQA67Ig6hVdxMk3yJFY9owUxQJKNHGhy/Mv61zGwqCEP3/JI/+l7pN+OBJ14OWLMpCkLBXL OwZIaHlOvzxi1UIvps0d9cQ+NhVGkRNR3qSwgGf3U0Eh50YnfpBBJqPWAvVNCvJ9pr96ziL4R1gl 8jHgHIQWBJ5UF7KxRye2H/JJNKWnD8CPr3PJ/8+O1rXVezf53vv+3wpmm/Qim3ZX5EUoUxAarR4t JUWS1EhSFVLwI75UYIZ5QU2cQr/bDOi5VVe3CL0YP58grfKZRI0InCbxA+XOzPje+I/yplzXN1f5 idjztbPm2fGuB2FSbTr/xnYbK2SuoIbFTaSHyamrXMux2zWxfvf9KMS/JMhz36qU9xuHjgI4hnbI N+NOmzqSUY4MPRx+ly7js80A+1ivRgDZmmHO9EAAXM92eRTHRzddeCEMSPCUbsxWoI7rW3g5ZGl3 t73ZLI/cr5Pavt61JMjJZQx880SDTkgxeT9sCk2UPKRw4QxSmfCc/OCClV6xrlFjUFJODdDetdUd fqo9JrPLjSHNs4urxjHIpRHputt8T8Q7BiX+oB5/fp7Vz6P8Eu710z0obl80GeEGu8rL1d6yT4d9 SHVF0DfjKkqN6Pryj8BNPwoeGoTauiIR0dQ/7mM3F4RcgJ3/hvt7Tv9GvI97kjdHxY5gDczl4CVD uzxM0pynStkigPfM6KuEOk7h7E7eVBG4fCJpu8cUjIOdmh6SyuP1EISxcKjpKAdp5uHPohSM1Z0U V9e4eucdKn/E/gaOAlAom8JHbfi03VEMGRWnmYl8DG8wDih4PIzKBeRALO4p55RCEQTpkRTT2wl1 Ac1kKzsIWxAMN17vUXVmHKjOWbHgGQoBdqFcipFl3P90AodlVG64L4VQu7rp/P9rgrCQj0UIqG31 T6wyVPJFTt93nB47RpNX4Iqb16a1brK0Arz65gkQvR3v0zFlsqqGgpQbpT7kI5GO9jL+PLK6dhG5 AV4Q0DXZk0KPQJk2HQWLWoE/urWapyJ0/t1DTw4wZcV+7q8UXqBdb8aE6uPcwJV+WeZ6EbSFtXF2 KvajWKmI5WehPSkz270hCZ0HHOtQDFaWIsgzF3v3sAOqWaJlAbjG7RYJkeVbqqzfWFL1ITugw6sA t/OIAbiKBy2sK+J/kgtQO73a8CZW/Hr0LfIzb/CNVuKZVfuYCWTmfFgnNygojJv+0wGOocLfaejm dpKYCYyXdzTkNKVXilGUlzLnzXIbcE249O+ai8b+HunG4VpslMDRP3O7Ibq5vkwVmuSHHJjAg3fE AV7fmWzy4IRhLD8WsvlKe63tYdl8CC+990awqSb0ei2Vlox7T6YtH4KrB58Ee75QT6+u/Y2bVhA0 T05ANNi5Al8g4aqUmujqYeGMJB2H3fUUDP7Cl1GO31JK8dfhYjtGgHQgIPIbTu6kv3+WhfAPGPLc 6ug1tvJak/3/BwbM0hUBvDwlM626+lnYLfBPOfVrmBDmL4Mla2xf3HuKQhi0goybXU/5SloECrGi JSpNC5I+7M+4REUvJlkQaBYvnaUIAniC9rmW3jtYWKMpzESButjwaNkXeOlrIXsurqKu5n9tG/FW 4i/uzYP6CMMzBGYurEZ3Cfay4RlvsCVC7Of7GFOmLAi+WVfx7cL7NudBry6WZHpdOGiQPqvIglgG HMdzq32dnl8L9G56G8ptEZP3rmdmzZ74++oMqF4PLFSTKQ8N7yPJIRWt1LOV+2EQcGlr1gWoshET fqRX22Y8yPrgtdDzq02M+jKiovPpxLK5EgAMTTctYM30SSHvtGoN7gGSTULyGd/WYy81SZ1EcoCB jg3AoxL3n22H8CFpaMKTEkL/jXVwBNQZluQ5haWll9U80qNPrWrDeMlUmY9BZGQsH0seTJ2CPQQ3 /TTr0erA4H2OLUHp32yRdOfZQeP4nuOiMHH2sYN25PwD0ydtkGfAYw/Ird/sMX4/1TkbIKgEIn5e IOAgz4ntq3Kltom3VkuaTroFnKZIrqGXZGKsg3gSd0zeBVnn9gnTaPuL6nX+WoHkG7bJKhp+QFV0 6lNvBrFDKsJjA8jiuRJaj2iXtknmfGV032FERy6GPkXIEKqNBouQh7BHYdrgmrtbJaoYqTjnNF6Q w3J4j8G8wEJPxmBHt38v0uAa7JoOff+Fj846of4rBkZ/cnFIeuBVWJBAcLPg2B1OxlnC+UYkGwZo siuxXyGENqadUkWH0UfFW4DPWIEbfiPm7tLTy3KisUWPDluPHkvEpAkNzZNcfCgfjg7k9OdQRCsp 6CQSP0cSJeJFcVFcOSOa4mB7c5qiMIzrH2zyNUC7loMoIZxPeBVN35i4G5nefGUEcnpanXfiPN+i cuoEBMsYTjeNheFxb1Pnj+5TBz3dIyCglv8qmwhYJoSPb/48tX1/dvDLk+eL9z1ZGEPT9HRq+Tfx 5DOU3JG3kph2VGs8T71NyuSrx8hN0eWd6K93gXDIfXp/ib4wTJz3BjVe9trNHLIn4a55GiNbVgNR bzZ+c2AFSBZEkfVA9xW9yup0F/sAMShy1QqtXQXbXP0OQfNI4Fm+muSh9jEyXTvyyrbO8ndKTbah GrbgjPuyI+ygQRiQH+76TGUsigo95jQUKTlEnQhnIlfDQdUzeY+jhXmEIPQgy4weS5z+/tCnt3JX dKkwE4xvMRE9cQ5kZS+zgQcAmtXIC1mZuG3cIy810R8dcFT+U3nRpRNVoGJDsaFdpmalfzLizdcZ AozG7PPlpAx5y8LWIBWjZ0KbzsRRpthI0b0J5et4aUapxFt/FtXbSSDJnAyIrHGc2Va4NcBthXH5 My9wCQUafTEBBaCWbYLUoicOytY630+bPi65hrnryoq5A1Z2tbjcaPyfgmlS/PclnE8JwT9CjYd6 OboFdfAvO07eZybxw3SvJdKxkjsBVBMh3L5kBroXL09zE9nHxNV+iBEFIvBNuPz/bVHk3/xNHKpx aO88Z5z3nA/YM56b3yUEiJOyeMrTYF/4Zr7KWCz1fKG/6i95Nc904nWqi2OQpPUybz8kJgEyi1Hn 26KxjRpW97C+FLONMli8oWmvUA0OKkCcYQFQykT5SrkrfjWi6uRnAGJjTU1JvIv7bfP9ryN7Nw6Q 3NdxBtMJj4BoGOpOyjR2DLrQVOjyKFdLeQv2s3JYh11wSGYfYefuIHwK1JD2jr2TU5DFOBnBJ0cP WBVrs1XTIwJrABtisIWnk2G0JU16WDzBsUA1O0SXp9bIygn4nBxKmCQOWFUB1zO9p/vZdm3aOlnj bvXMgtr8u8D+KjeGT/217TqUrL5u8EI4dy/jzDPetoN4+lV+VYKUIiwmeasgXYkyUELr9NAab4WR mSLRilz9RpY6wBtKZ162Vo4lR8TN0Emg0BnTTFrv9f6OqcV/Hk4W9oLdFar7HMYppYrWeo5ITi4o iRaik9z1+HQakbUoZof0IgYCNdTRFNO3oIO1Y+lPdMIWL2zNxjCpFRxEzP/anDDsWXcvZEW0w9ai trEDkLA74b6+iHjDljbj6Q+HoRoCTWzNNny9sYAioC181bowekoTy2VHaGntgU0jLKpFT1odkzv+ /kaUTPTqY/4IjQdt0EF6X1Ajv/KETA36THrNYW0s1d4zDS5fwqMxPpW5ZbSW/Qatpu4Qoj+RGJAS ZNdt/buZMKISMYkbdOMCBJNyopH5fbn4Kgk3JKWyiY/KmpRYhuQscoGZ73qx8zT1hBgJ/Bemtxir pJNlHktftMzZIGv4VfhIx4woQ/5iWGpb/MKLpWREXNnYC2miXULEVGjFJe2SG27r2P4vx87EcXYt BvSgllFmWdpk/dgh3HWqJGSCap4+55XNuAbXHcjY4ko7CeZOKmFqX82AV8YtzBoPOk9eemz7Wox7 1fEQuIpaHJrVuU0qjNVpgE0tv1u8fxpCyD2hNs1KRwSRc9yTC7Jb8xinN2rQlj64fKUv7CPAsh4h wyH0Q+xsYFfDrKsSkFJrR2Xzhgi4NlO3moPYV9vb30ZabfrxN3vrIL91ANQO7pjH6fJrn/W+LU4B oQnzjQhtun+us/5gCRbkE6zj3z5TQdX2GhHSiMXZaOThP9ifTzu6D5gKkuH+716Fvmyhy32JT4tv yypI+6JUTc35q7rB6P9LtpO+YjqDj6gOagXQm5TGl75QR5h/2UadINUtiWnqg3UmERyI/KYH4B0V ltvfPT/MxPxcCubmVGPAlJbT7eoCHi4Tmh5cYYCxN5K+OE+LLmGnOtOz0WrGFLpNnCJIYLv+ysdt cBAhXGUiQkudvxW4zkRddidrQHAOlhT5vrI14QlJkcSs1PkqfeOQ/zpwhu4mgXjZV6zZR+EOOEe7 65dIE6F81hn0UuJQ3olYjB2n5FAwyRWfIbOFXuRe2PkcEA+IuMlbtTT2RTlYcshWkAgkXoKApRvM z15p5Eildj1QZNIqyyYsr+K8IPOGDQFHMa80EiYpU7L/4ydQGDxmi+jR+R52ilEqFzjV4+FSpvzS eTCIus6rj+YVaXJgG6AcQ+aUxkO3fuebPPSbb5/EfgPrLaIwFEAKX75Ki0a20+H/G86bwa+/p9ri fw4ujfLv+3oom8aSmVo63iA5+1ZKgivU4b0Ap82s5yTFQChxHMXJ2wEgHC2fpthPckH5uKyygxbv pvMQM6WUqbENG4k/KSh7Kda3TnqmjdZHXPDnAvqkMFm04tFurN1VNAlRdxyjLVrRALXu4D3qhgMi B7fpm5CO5S9rPJhYYG8ojbKVZS+oVvEXyQGRlBUSfQCfn93IWDVzIOiDRgBX1bcpPqCOZvmGL6PR I3Hb+sfJE6sBWGKh42sE0MdF1qN0KR4PolHZRzrTCTPeA2AwsI02yG+jM/5wCY1EiaUweR8UVmvO 9ms+reXFIcrl5IozqdtALgCvwDEyEqI8kXT6px2zA56Rv6DHd/K8a8oQoIAhWIUi0m8fKoodwDrk 4CFphQovDs+vGUYN+iCbh9XdPCXZZYJA0iHDLSeJO+oZA5VJ3uisNPl0ogFHhGtQ4yPvGYGxauJ3 esmxhde5yVd0cNZWCbMnHIPfJCPY9DhMwG08EdWbrvxU2peGWWJz8Wuw+++lZ2BS1zhnnkl63q0e 5ru7albyeXCKMKV6jcqJ6+yAbgrv5dDtSxKFkJeMILL/f2vZIVOK8ls0A3VjYtBTCt20H7HEwsaq tSqMSZR7qPtn51D0b9BQVKC0N923gwvEl5IkCr1K8KnMb5Na2WrdItBIokdIhv9ffig3F4cLhqpx dUqVtWIankKc6kl4prgdvhtV3a66PouhoeqvU3yuL48U9jNRsmcHkxbOixAbWq3PP0uFR4i2TP+y 5UpjNewu5R7jAeqAfsi0zG4MDjTjxAxunlMImKSA0BsYTOOod1POgzGfQjZNeP8Hgx0TjOO0nY7E r4dvgCvhGKjmOjtF4OiqKX/DvAUq06UaVun7Q1exC/LntSRCGhJ8RcyLj9ZnB/lYtN4NKvM1dLSU /BZSOjFpaAOQS9rE4PKOfpP9WdT0Gk8egGqnyAZ/3cIn8KckJzGcornnlTEyIdUWkhSX1f1dYZBQ 8Z0NrEBe+SFLDBbwVqsMma1rXfr4f3u0/jzbEGLAXrWvapMnPsusvIDlmcQWySy9vEMHd5NgfSjy UKO+/80smdnV/CUknAoOpkr/NuNTVvnyoSVZUUomm/FNRSbQLHBJxvdUGQqPxRQNsQO+fp91C5x/ 1QjRUW/lrsdzy0PYeayC0LtN15epYZJcBWiZ1Uxeyo26M+/YgEQn5WnAhrQBujNizOhQOwji/Lg2 J9RWcDKh41zoVRLZ8vR5jTtHvQqbGZ6ZwNr3en/l6KOjdOoa00pphHnAcCmaOjxsBbsmmMACfQR2 hKffJ2KyCE7gZyjHo0uqtw3Vs+qGMIeU6ateOECVHuaV0p/UscHyJc9xEgvV8hGtWmFbtzthNCHw q8Q1WmPBpj9YaJuqMM0tQQVQRmzFPSvLkWTUUOUYcq0PBv13llk10qvybpC2sBwNEnb4LEuiFl61 nZwjpf8seNSgVtMKdhGNlLeVfiKesSjT3IKUopAIosiRnVpUdX9ErPA+U5+hlvjIH+m1OXlyGYZ1 9a0PvHZmTFCt6kRoP9gUNHVxQz7O8bdx2iVLtz6gt2SorI/MdGZX2rpMNnv4ZnIM6KG9HiGvuIIi T33nak2rYKsDGaO9B2wZmkRQxaRwjCH8ESX72cWXtYmtxch06a6AGBSU93d48l0fN/ZY3qcCTeUP arsLv3wES1UAfNFIQULYBMw0PQLPH+qnyyv4/Y+NGnK1HlPFZqVZWL38lpbWirrHUc2QxcJHSPcE geBpAcOX1VYdcYYIwxpUbhD2To+daBQtPYrvwDXNWbcnOUbFqOaztmlPT3lL79JxM+BDjJ1Sltn6 fvnVCsf6hVs5ojslsafIhaFYoE31ybSGerT2gP13GanL+7VViv2kY7PVD+GsGhKKu/r4Txftiud0 BIKcpHWd6s7yApw8FizCSCVAb12/gu6zAXMjcrDuG7cjcWsIY9UKJqJwF7Q0CpxOPxck8l5aecAV OfSCz2GL3/Rxz1KBgrIvp3A06x7Lvz2KzbT8hWSLLTaRDqMOUHOIG1lrEyUOJawoQtP2uoVxxpaF xHJaCQ4u6JSCY3X7ohYjVO8XEwMwU67RM4gCHcPSIPrUaiZ+0dyrgBGlKKx+l+KAIc4Z3Bqz7m6g T3GAgB+s2giLaEJadU19Wtb6gK4Psc2lsW2QrK6b/BCkbEhvKRyRi0kt/OwsmwCb+F+mznwEe3bC 0dEkjVWrJ4BZAQopkOpWYNZFSx1PI7/C2vKSdASBTG0HWT+ZmagiTNZIizmYagtwp06yZN7GKhFG 72gRiN3CjDhR6fUJJL8WTBdw9lmBD7darqiScLyje1UA1pOyy/Xc1WKnYw0L7L62zMsJdWOzOQRe +FsqkvmKJoEFCa14pT0VOp1+4N4ywxEHK9RtKYr0yxBHL6TKRppFiygSARnYTf6VwVavr8otBqHR xYhy8dBpvuFn228Dx75RgsiIIe1FrRqOCwp/I59X/z1bMpQJ4fp3gLYM/em7zY3aDxi8nn+fP+Xs D3zWeilG3JIO264Jluj3pm7K7rhdAGheetL/Sxi/Kx4WzWGSbUjvwHJgc+zKcVc8LHSqb1VGCqlv UlhGw9qYPdrmne8zYsmHLKlMkY5nBYkYU0XM6XYAkeRake7rfrT6a1mdZmY8em5J2aYKJbxldIqa YNVWXCBKrBlTM+3r/7SqsJKri7liCOZItySAxcEbo36FNOd6qhyWnA69QsfsI8wmiSfLpj9PYI/P vtObFb+r4K40y8iLAUKQNGg3w8IR2dJcwioEXRW6PR9bZZoMQbq8mjjTZYESwptFkwGSPbWDWQiz xnQc7tQ57F2w0IaXg3/q1NErkVZIhSbhaKEapg1h1KrIFo6vNfCmqB3zL8mebHDLYxFqU04dxLJu h3i4QX9p6+se31jZ/vTqXX/HJGZIj/rT6oRufFA+XLus/tImRpE/6TEfq3XRqZetYS/4i3v5PLqD Ek4m5TKpRXHGOZ6rtlxLiOQ6PpM0DJ7kov53lS/lsrUDoGUx4XMGMTitKeCAMw9gm/I7eZEwL8Mj wnUhmzJ7j6Mam8fBCzuSedlnI4eZQ1elPw51e58uepFCQZT4ybRm15tCDgjZDuPTZCY0XncEZwGt yS4vhun1GzrRMgh2swme5Spj8AuSqAp4urTv2TsIk9PG60n5W9GuxaArNhy4kQ50pE5CKp6sdgHu LHFaA5g1IrmnpIxbiD5Az888mK3P+JjDo9z2N0419P8QxruBQT9hjy23xwLjoe1WnQSakNiegw+L zAEQYogVPXvVmpaTTfX9rAPycnt4IbddjBosH7AcRNJG6KvJhv3lcpV+F/mF2RKS3WLqveAvty31 8XNBYKzRcZzkYoQus4hYxtX9c7aTTKHvbhFPd9BVOYlnS+YOLC1UusM4FhAbuDKg+XlhY4gUU8iS UMc8XwpwK6x4pJuFLFClwQnGhwGvJK72IuLg/gI048yGhkjhH5zlk8tj/Kmz7wF9ptSavoB0Yb2A cRJpzfanwuB+ALKLE//yDsU996Q3P1edIWlB4yxYHGg7+2+5Gq3eF3+x9p7YLijVMii9UIbaVqVN cbGThw8nIAPB/uywQqqh064zhc52RlD37nkC+72n5bDMNOOcH01qjcKdWufhtdxZ3dguW+m+hBNJ sXiVX3cwVatlQyvYpn95h1pGKSfa/P4rswvKLwOS4FbsWsP5Ex8BYwjlHE7fy+bK2ukvr2UxVEcM DJYN3McFO3mTBUPh4R60P6ufR7k0h1Ny4CM2k0Xw355N1C+mUPf18cHgHZwNhp+IMgrpVu4dPMld 2UAq1omyKXWhZ/6Xy7n3j8TjtMUyXqP9omc4eXyajEltFnO07w+Cx9M4FWsdnk8iq6KTouaO+JFQ kh+xpQDI7p6ijarEE6iKaUiV//DLedr9bt13tf4gaR081B9mpcC58QleL8vaPXLe61ksbGVkijEU WZIMduCGz+Fu61rFXG9uWfaHNT/FWDogMXmD3Sy+HAK/TYOeJB992b9uIyw/0XPkVZ7LVOsaiByH SeLfk9QLAD5z2uEsLBvzzaIRIrQVqVszpfLjLLSzWyzlhaobP45nzWvaSZ1c53oFvmb3WJqHctOV FYbKpUjh7SOQYvji4bX6uhhSQwgeTI2QXnU+auR22XRPEioD7MKwvULIQC8shFL3WMuqJeH+HVIw rSXG3PhTdv+SKJBXDx6LRHL4omk/2npjQ0Fg0Qp+hguFKkkRa9y2OFUM99lMFFYBZGGW2LsvWqi3 vXbrehtdo07NxjVOYEassHWHjQqvzmtHqdFeATbENgY9yYNztJODqzkTt5xBq/UpX9r5OmHstOte dxtHdZIGgN8467hJyGkvnIRqlJOA3Z6/3vQ56mWeSFWqNm03koPz9vBMW2TMdbXuWMAWk762iLGD ZMlpDl/066DrIEoeGyo/IKN4bxvb5uFWOzU5h8j7WBRQxssKomMFLwVR+WSP0lUyIKnPgayuovSb pvnt8JSfxERUVFEWykXRZCOGAtz/pJ3Klska1Lvf9fV3/CTSTDkKVG3QAwtllvjNlEhlXI0KWg9D f7pa0/MqIO9XS6zceSTRy4depfxYbIyPBU4aABgKItVQxC6Cmx2ia2UlBRsBm27SYAZ5SA3KkvzA 2055tTDzemXOCKY+Oz4aHd/DdUXPiuZFW0+e6yLjzUdjAyK76LGxC4aTaJIKdz65QpRnKQsNgfN2 FR8BXMyStNXlFzqXpsUK7aLlkfHQijKKgDMfkHGMX00YXMQutQjpUZz1vEi4XIRXosggcFWNSDZN /pmcPZSNAuNmp67h8WYQ6YCgfbzcKiSsBBY46r1f6fnY+iSS9DXCaY71vn8niPTW4hDloD+vqLHV WZXNX8tu374t5nF+kjaRs/hc0YNV52dXrUOytIN+Ckdxz+xR/YgrmQ0wxTRV2klAF9gKRRDN48rG QOaP3LNX6Kh68iQGLz01ZdHhe6QXvhXmq+OvZ8CJ5oMtgkDZqn67J1xotKWRARPqn4kTNSNtDiNd bmorplvLs3C+1WyBYMP2I+PAEmO1oL7jDJ+ruHllKg3TN55KypG5nbt7IDO+atv9+atWA3xMbZTx pY7wXQ8MqnZ2uNdHfRa7vqfZNE7dmoYJh1dIrtTCWPODKYO14E0kRaJTDW+wCN2MgZExxEN3irKu IRp76W2QG9q/k47tV2bsSxnBliaTJXGP0indCltSu5DSd7b8lEvKUZ2fiAHD41+IK388JULqzmOv Wm8vd1umFS3HrrbMIcpGjUDOFfXarZgcqu7c1Bucoz2wc/TFJtk+dSgwwLJv3GBVx41o7YqqTT12 ghOW8siyFuRpJEKRoxlxC0CmKuKEHWsqW32J6gZ53kipPZTVRDnkj8SHOB0yDw360QagEW6AsxY+ FKY9yBIYMlBjGbAtuve793my6AUzYH15+rNP0Mh4IcE/5KsJ9rnjbVL/oxpWDFOU8CUc9Q+IoJRc Y5lhSPoUrUmLcod2y2W5OLUpjL2TFU+YsL9Issxw7QrZCnz6+PQkplN0BvNIS6OH5z4RwpHifTJy h7VV5/WwnV30cWNDbBL6BvVHuYl6SByHc4mbZHfIMbO7IM90gML4QNAyAje3BgfTE4Y53ZWg0QjV 4fLjjg2Qe58E71/fVA5iCy3d2QF/pMb3s29Su6Iu02lpcdsURSpswdki9kVP3xeN+6lpBeCrcLNq +hhLP4tmgYuwn8kwSuZavs+lD3xl30FYz+XCr5Lq+SVoHnpjEV3Afs4mTKOJrpxLWAQ521qh7dfB mzMytV92lYGMDIMvJoo+A3iSOgSCpdArRdyiImD+ycyCfYrUbKn9ziQ0uYEEoRCZlkg58XzKJdD3 AKx3tu/Ak5IkqbG2NeR23brO5AAZ5TUXON6Im1NMu4McLf05T61SPzEFYcwNlfm5BIrjSlQNZZG5 5vB9cV0m5hs7OVksRtCUTLE77EZYA5V+oNbtg08SwRgIGDAt1i4Wb5yvwH4Y4APb5zzuF8rfcwrq Yv53qp5jDrWNAKTY4NAOuNEHzCpUP7MeV6aqFXUifuHlRlyO38ste4FQq+SISABAdWwFXAEMGak4 OkcWamtRr/T5p+vd21d3Yqt2dEtPHDL5LVLVLMBBhWdNqbI0hzZNUdLlUEOWhl1rLuPwMlJLE77P JdO2ZboWlLG7Pps0T2Um84Vo7dnCnP3o1nV4jiakA8ZpmZToHx+/AHih3tZsj2Bxguii5fkkAQ1y 7e2sBRZCuMnnKSv6uvBabYdOyy5hhw9bcZ3BhhILsmjccw8fjEFyKXHH7du7tQIL3xJMzDxkZW0v GkaH3vGW0z7G3No/hFo7XAHwLt5hE9R200PclLk/tyOjxEnUUDrkG+yf9beuWZ0nlRj+XCEdh1A/ Mx6cuG5rs2uhRN3UJxDXTz5DG0BfdADP7A+JIUimJGr1K88kTTgDmav1qPk68xytklBRG26og2v5 yybsggVgrr0i5jtSjIv14eV3ckR3Yfmmrj/abAcFJOvI5hEIQfudj9tl0tXwwX+sR/BDz2yK9Zcy NRpGrJAJ3sa7ZHqJAYBBRRJs3Xx37hJT86erFbC9CKQXPBToC4PjZe1f1CGgPg7DXPWJr6UZIFh2 KKxrRl+nS1pzRIGij4NjOX1w/qUg8X3NJiplRVxhmep7qVJGC3UF67aitMQW4bk/0/1rQrBLc0/r P2Rd4EWdwPem7moGthQHYtXhU93z69P+SeHy4eLWVpAa5YsWolS8XBdfYLDLxS1YIJKXepvkQaJt XnRhqsuG8jArr9oUvP8ErEBvKURNY+Wc/b3uxGAYcItyg8XgW7EgrvUAS3eUmD0fLcM6f4eIy4BT fw0gueYWBMmSdEdIKdHd2DytGAB0PQqumcGSWWsnFdDovJ65o7snCxvvkYsjthQnfcouM27y62g5 nGWUaTil5unmOvJhFAyQOl5H0/PvCbatX5fnlrbdiIeUe4jNc4iTMKULLSOIyUqPCHTOLQ7/SNlC ghH/LR4Kb5Dk95vqejpiGcJxXl8J7jDx/FqWitF2fCEW9+ptyLGlT445sgJy38qh/ek410+VDrtJ OCn/nt3hth/40vU+4EOs/2l14hRpc/ovezeZChJRtt04J2rhhuBk2+mRrqM6V6bVRKHRcB8TxyUH yfEsjHQC5kDzyBLivDk//Y/ezJVHLUSutYGDBnyUv4Dm+Uo/rY1VCV9+iIYu5JigPjXcPqyTjXy/ MHoY0UxJgbERNalQzCNP `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd
7
7208
------------------------------------------------------------------------------- -- $Id: upcnt_n.vhd,v 1.1.2.1 2010/03/25 15:33:04 sanjayk Exp $ ------------------------------------------------------------------------------- -- upcnt_n - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2010 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: upcnt_n.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/07/01 -- First Release -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_SIZE -- Number of bits in counter -- -- -- Definition of Ports: -- Data -- parallel data input -- Cnt_en -- count enable -- Load -- Load Data -- Clr -- reset -- Clk -- Clock -- Qout -- Count output -- ------------------------------------------------------------------------------- entity upcnt_n is generic( C_SIZE : Integer ); port( Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0); Cnt_en : in STD_LOGIC; Load : in STD_LOGIC; Clr : in STD_LOGIC; Clk : in STD_LOGIC; Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0) ); end upcnt_n; architecture imp of upcnt_n is constant CLEAR : std_logic := '0'; signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1'); begin process(Clk) begin if (Clk'event) and Clk = '1' then -- Clear output register if (Clr = CLEAR) then q_int <= (others => '0'); -- Load in start value elsif (Load = '1') then q_int <= UNSIGNED(Data); -- If count enable is high elsif Cnt_en = '1' then q_int <= q_int + 1; end if; end if; end process; Qout <= STD_LOGIC_VECTOR(q_int); end imp;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/soft_reset.vhd
15
13928
------------------------------------------------------------------------------- -- $Id: soft_reset.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- --soft_reset.vhd v1.01a ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: soft_reset.vhd -- Version: v1_00_a -- Description: This VHDL design file is the Soft Reset Service -- ------------------------------------------------------------------------------- -- Structure: -- -- soft_reset.vhd -- -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- -- History: -- GAB Aug 2, 2006 v1.00a (initial release) -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- Library definitions library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; ------------------------------------------------------------------------------- entity soft_reset is generic ( C_SIPIF_DWIDTH : integer := 32; -- Width of the write data bus C_RESET_WIDTH : integer := 4 -- Width of triggered reset in Bus Clocks ); port ( -- Inputs From the IPIF Bus Bus2IP_Reset : in std_logic; Bus2IP_Clk : in std_logic; Bus2IP_WrCE : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SIPIF_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to (C_SIPIF_DWIDTH/8)-1); -- Final Device Reset Output Reset2IP_Reset : out std_logic; -- Status Reply Outputs to the Bus Reset2Bus_WrAck : out std_logic; Reset2Bus_Error : out std_logic; Reset2Bus_ToutSup : out std_logic ); end soft_reset ; ------------------------------------------------------------------------------- architecture implementation of soft_reset is ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Module Software Reset screen value for write data -- This requires a Hex 'A' to be written to ativate the S/W reset port constant RESET_MATCH : std_logic_vector(0 to 3) := "1010"; -- Required BE index to be active during Reset activation constant BE_MATCH : integer := 3; ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal sm_reset : std_logic; signal error_reply : std_logic; signal reset_wrack : std_logic; signal reset_error : std_logic; signal reset_trig : std_logic; signal wrack : std_logic; signal wrack_ff_chain : std_logic; signal flop_q_chain : std_logic_vector(0 to C_RESET_WIDTH); --signal bus2ip_wrce_d1 : std_logic; signal data_is_non_reset_match : std_logic; signal sw_rst_cond : std_logic; signal sw_rst_cond_d1 : std_logic; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- begin -- Misc assignments Reset2Bus_WrAck <= reset_wrack; Reset2Bus_Error <= reset_error; Reset2Bus_ToutSup <= sm_reset; -- Suppress a data phase timeout when -- a commanded reset is active. reset_wrack <= (reset_error or wrack);-- and Bus2IP_WrCE; reset_error <= data_is_non_reset_match and Bus2IP_WrCE; Reset2IP_Reset <= Bus2IP_Reset or sm_reset; --------------------------------------------------------------------------------- ---- Register WRCE for use in creating a strobe pulse --------------------------------------------------------------------------------- --REG_WRCE : process(Bus2IP_Clk) -- begin -- if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then -- if(Bus2IP_Reset = '1')then -- bus2ip_wrce_d1 <= '0'; -- else -- bus2ip_wrce_d1 <= Bus2IP_WrCE; -- end if; -- end if; -- end process REG_WRCE; -- ------------------------------------------------------------------------------- -- Start the S/W reset state machine as a result of an IPIF Bus write to -- the Reset port and the data on the DBus inputs matching the Reset -- match value. If the value on the data bus input does not match the -- designated reset key, an error acknowledge is generated. ------------------------------------------------------------------------------- --DETECT_SW_RESET : process (Bus2IP_Clk) -- begin -- if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') then -- if (Bus2IP_Reset = '1') then -- error_reply <= '0'; -- reset_trig <= '0'; -- elsif (Bus2IP_WrCE = '1' -- and Bus2IP_BE(BE_MATCH) = '1' -- and Bus2IP_Data(28 to 31) = RESET_MATCH) then -- error_reply <= '0'; -- reset_trig <= Bus2IP_WrCE and not bus2ip_wrce_d1; -- elsif (Bus2IP_WrCE = '1') then -- error_reply <= '1'; -- reset_trig <= '0'; -- else -- error_reply <= '0'; -- reset_trig <= '0'; -- end if; -- end if; -- end process DETECT_SW_RESET; data_is_non_reset_match <= '0' when (Bus2IP_Data(C_SIPIF_DWIDTH-4 to C_SIPIF_DWIDTH-1) = RESET_MATCH and Bus2IP_BE(BE_MATCH) = '1') else '1'; -------------------------------------------------------------------------------- -- SW Reset -------------------------------------------------------------------------------- ---------------------------------------------------------------------------- sw_rst_cond <= Bus2IP_WrCE and not data_is_non_reset_match; -- RST_PULSE_PROC : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then if (Bus2IP_Reset = '1') Then sw_rst_cond_d1 <= '0'; reset_trig <= '0'; else sw_rst_cond_d1 <= sw_rst_cond; reset_trig <= sw_rst_cond and not sw_rst_cond_d1; end if; end if; End process; ------------------------------------------------------------------------------- -- RESET_FLOPS: -- This FORGEN implements the register chain used to create -- the parameterizable reset pulse width. ------------------------------------------------------------------------------- RESET_FLOPS : for index in 0 to C_RESET_WIDTH-1 generate flop_q_chain(0) <= '0'; RST_FLOPS : FDRSE port map( Q => flop_q_chain(index+1), -- : out std_logic; C => Bus2IP_Clk, -- : in std_logic; CE => '1', -- : in std_logic; D => flop_q_chain(index), -- : in std_logic; R => Bus2IP_Reset, -- : in std_logic; S => reset_trig -- : in std_logic ); end generate RESET_FLOPS; -- Use the last flop output for the commanded reset pulse sm_reset <= flop_q_chain(C_RESET_WIDTH); wrack_ff_chain <= flop_q_chain(C_RESET_WIDTH) and not(flop_q_chain(C_RESET_WIDTH-1)); -- Register the Write Acknowledge for the Reset write -- This is generated at the end of the reset pulse. This -- keeps the Slave busy until the commanded reset completes. FF_WRACK : FDRSE port map( Q => wrack, -- : out std_logic; C => Bus2IP_Clk, -- : in std_logic; CE => '1', -- : in std_logic; D => wrack_ff_chain, -- : in std_logic; R => Bus2IP_Reset, -- : in std_logic; S => '0' -- : in std_logic ); end implementation;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/proc_common_pkg.vhd
15
18735
------------------------------------------------------------------------------- -- $Id: proc_common_pkg.vhd,v 1.1.4.46 2010/10/28 01:14:32 ostlerf Exp $ ------------------------------------------------------------------------------- -- Processor Common Library Package ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: proc_common_pkg.vhd -- Version: v1.21b -- Description: This file contains the constants and functions used in the -- processor common library components. -- ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 09/12/01 -- Created from opb_arb_pkg.vhd -- -- ALS 09/21/01 -- ^^^^^^ -- Added pwr function. Replaced log2 function with one that works for XST. -- ~~~~~~ -- -- ALS 12/07/01 -- ^^^^^^ -- Added Addr_bits function. -- ~~~~~~ -- ALS 01/31/02 -- ^^^^^^ -- Added max2 function. -- ~~~~~~ -- FLO 02/22/02 -- ^^^^^^ -- Extended input argument range of log2 function to 2^30. Also, added -- a check that the argument does not exceed this value; a failure -- assertion violation is generated if it does not. -- ~~~~~~ -- FLO 08/31/06 -- ^^^^^^ -- Removed type TARGET_FAMILY_TYPE and functions Get_Reg_File_Area and -- Get_RLOC_Name. These objects are not used. Further, the functions -- produced misleading warnings (CR419886, CR419898). -- ~~~~~~ -- FLO 05/25/07 -- ^^^^^^ -- -Reimplemented function pad_power2 to correct error when the input -- argument is 1. (fixes CR 303469) -- -Added function clog2(x), which returns the integer ceiling of the -- base 2 logarithm of x. This function can be used in place of log2 -- when wishing to avoid the XST warning, "VHDL Assertion Statement -- with non constant condition is ignored". -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- -- DET 5/8/2009 v4_0 for EDK L.SP2 -- ~~~~~~ -- - Per CR520627 -- - Added synthesis translate_off/on constructs to the log2 function -- around the assertion statement. This removes a repetative XST Warning -- in SRP files about a non-constant assertion check. -- ^^^^^^ -- FL0 20/27/2010 -- ^^^^^^ -- Removed 42 TBD comment, again. (CR 568493) -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.conv_std_logic_vector; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package proc_common_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; -- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; -- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63); ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer; function min2 (num1, num2 : integer) return integer; function Addr_Bits(x,y : std_logic_vector) return integer; function clog2(x : positive) return natural; function pad_power2 ( in_num : integer ) return integer; function pad_4 ( in_num : integer ) return integer; function log2(x : natural) return integer; function pwr(x: integer; y: integer) return integer; function String_To_Int(S : string) return integer; function itoa (int : integer) return string; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- the RESET_ACTIVE constant should denote the logic level of an active reset constant RESET_ACTIVE : std_logic := '1'; -- table containing strings representing hex characters for conversion to -- integers constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); end proc_common_pkg; package body proc_common_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Function max2 -- -- This function returns the greater of two numbers. ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer is begin if num1 >= num2 then return num1; else return num2; end if; end function max2; ------------------------------------------------------------------------------- -- Function min2 -- -- This function returns the lesser of two numbers. ------------------------------------------------------------------------------- function min2 (num1, num2 : integer) return integer is begin if num1 <= num2 then return num1; else return num2; end if; end function min2; ------------------------------------------------------------------------------- -- Function Addr_bits -- -- function to convert an address range (base address and an upper address) -- into the number of upper address bits needed for decoding a device -- select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits(x,y : std_logic_vector) return integer is variable addr_xor : std_logic_vector(x'range); variable count : integer := 0; begin assert x'length = y'length and (x'ascending xnor y'ascending) report "Addr_Bits: arguments are not the same type" severity ERROR; addr_xor := x xor y; for i in x'range loop if addr_xor(i) = '1' then return count; end if; count := count + 1; end loop; return x'length; end Addr_Bits; -------------------------------------------------------------------------------- -- Function clog2 - returns the integer ceiling of the base 2 logarithm of x, -- i.e., the least integer greater than or equal to log2(x). -------------------------------------------------------------------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; ------------------------------------------------------------------------------- -- Function pad_power2 -- -- This function returns the next power of 2 from the input number. If the -- input number is a power of 2, this function returns the input number. -- -- This function is used to round up the number of masters to the next power -- of 2 if the number of masters is not already a power of 2. -- -- Input argument 0, which is not a power of two, is accepted and returns 0. -- Input arguments less than 0 are not allowed. ------------------------------------------------------------------------------- -- function pad_power2 (in_num : integer ) return integer is begin if in_num = 0 then return 0; else return 2**(clog2(in_num)); end if; end pad_power2; ------------------------------------------------------------------------------- -- Function pad_4 -- -- This function returns the next multiple of 4 from the input number. If the -- input number is a multiple of 4, this function returns the input number. -- ------------------------------------------------------------------------------- -- function pad_4 (in_num : integer ) return integer is variable out_num : integer; begin out_num := (((in_num-1)/4) + 1)*4; return out_num; end pad_4; ------------------------------------------------------------------------------- -- Function log2 -- returns number of bits needed to encode x choices -- x = 0 returns 0 -- x = 1 returns 0 -- x = 2 returns 1 -- x = 4 returns 2, etc. ------------------------------------------------------------------------------- -- function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; ------------------------------------------------------------------------------- -- Function pwr -- x**y -- negative numbers not allowed for y ------------------------------------------------------------------------------- function pwr(x: integer; y: integer) return integer is variable z : integer := 1; begin if y = 0 then return 1; else for i in 1 to y loop z := z * x; end loop; return z; end if; end function pwr; ------------------------------------------------------------------------------- -- Function itoa -- -- The itoa function converts an integer to a text string. -- This function is required since `image doesn't work in Synplicity -- Valid input range is -9999 to 9999 ------------------------------------------------------------------------------- -- function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end itoa; ----------------------------------------------------------------------------- -- Function String_To_Int -- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end String_To_Int; end package body proc_common_pkg;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/common/output_blk.vhd
19
27142
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CZom0vHERP+sM9B2H0IfoDUsJRy9riNTVWFr3BZpkrcd8N+2GrPBLGYjWv5bwWNFs2qiaRKQWIBH 5SL3Ros2Jw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RCliXKg9Iz0QVLqI8b9GfxxBU1GhNUODWipyNqGvNd7T9Syer0VoYCIXvffp6DiDgM+PWpXEJgNC ZPrITDndrkqwjZ0UurJqd8Mlj+O4jokuol/hbGtnMKDg7LMTP/mcm9YRpJxuqv5WE2ZWUtD1WAlU 7OzpzsPnbliZhM0CcXY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Kq4rQuO4iRu44woH6WSrRyNcsAgSUJbnevjDngvc9cypuoYRq4je1NTd7KtIptAfdlUTFMhOQTcF fyvMO0ctzr5YXTPO+6ZCPBMymjnbHRykXwGANIGORUKHiAy8zVrLHGA2Tn1n2komEaNoM+u8Q25L d17PGNi2LYc1A9ZX79yuNo063Qy3QX5dSU2poXOWXHho+u/vL1PlOKA9tvs+dS7HzKYxYNEywyjD k9FyesJcGgO1rBPy+iEmTMF3cKMWOg5VxnjbUI6qOTjL5ZYgIsb5KR7Wy+RP+kUhXE6TZP6qsxFC 3QU0aGkYLyynNyIHyyLl9cVQHtYz+x8w0KmAqA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3w3EGD6E+efCt4Fs6HRylWTDMnbDGksrBmK2LrIuuDQNpphsT/R3PC062rFGmzFuJg/bLf5Iafea N+aHJBb97H7ueY9YF/kPUqJvkNizbPUPQpBP/2fJ5zOg61lddHncYUooATB8NAF2hcSBgU35x68X 0+ZIEJC/w3FOSQwJ1Hc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sL/FJO3bDIPRCCsg2DyY6eC+YEqAvN4pdWi2+bTJiJBIOsoCbIwvgrvycADXfLHet65F7sNM/rTn YIBRQ62HHXK4AhEPCYJ16a+GWujel0mLrgVipEjZe/PIBzOTjqR8RXDwI8IW2xOJhTKtdJhHoHnZ fRLpK84QgF3/ft41vG+L+M5INzunmmeduLlvL3yJO7PaDzNzZxm4Yb6qxrxT22OrC7GODv7eJYeF /B+o0KrZLuu0VxgdWTSijA2jO6/yo3BIW6TSbvbn1C7fQYmUfGWF6ssH9kJPORZ7fLwb67UH+6Wy MDlUpxP5xevODOWeiaWV5Hs+S3v9MGrU5a5myA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18352) `protect data_block daCdu+MlZ339oArubH/rkV9rXYGdQxRioT+a00NWda0nqcR/+85wdgLdROAvbuX1fi1/EaJTUT7w 3yMez7+vnMgFJVwqeEqR5ca+HoRV9KzPSkYgnk6EGn//6EFkQ1Bej3FBGgAPZBPG0z0WLBop15/6 ayx80Me4+FEJuzwvQtVIkgu9MFONXHxzLqhZIqnSQcK3gFhcsukGGMSDCoDlkKf5e9PPUJVqeNcf CQoJj4/jd34/8ZgD8SF37srLXYRGyfcl73GzZ0ckivByI3cFbBeYKRO8+CwYoNdB5umeA53vbtIs fq7RpHeYT65bZM79CDqrXUlELe/Tt1+aGKqoniGZX98dX7pbWqy6lDDFR+jegrXVGQ661ucDUquV JaY/BOscTTZhyxcg/o95WRuLnDx5sKYSwNGVRyjX2liLkd5wHLSZ04R32fJ+C7800V2MGhb22rNX SlEYvNz7Jlhucr+IP1JYJFDK3JDYo6fW9898cgNniQoqWl/+hbvSRAB5G25SAN80aUOv32lR9tMa LjfiAG6esdVTmjG17BKa7xdVCJwq2C0EyjSrw8g4C8qPzimuViyCfvfMBMe0aOYc7v6Q6zFBaFQZ TkB+Vp6CHlKB/6lRXr0DN/SbO0WKyvaIsXRrDi3EpYjZ8DZOYtXRhdoVOD3JJgLf8RmcXrkyoA4n 2vQ8XI0fyN0kp0I7Qlm31UCO5oeaCOdqNlTtWRkqjygJDw476gXfBME9ditsf5CH0v4i09xwy20P TEXmjg2MpfFywp/+SZ35j3vv/g9f9kFJnVd+pE5NAjxmUCo6LIw+69hJzaTRhhjwMn+yfezPMndI lmazB9ix/DfN8zjQZli2qsZUMG/w1Lc7uz2wuaUSP23mpvEHSNPgtxgKuPzGyW2xSDUOgA7nJFdx ORIv0/26kOOabsp+xJJb+ifLDe4mqYZbwVQ2MgIezNQmh1zakb3dr51i4hTCHDWynpEL/eA4bTrF pEQjrUkkf9LzsbbHwj9m+s51cfFaFTd+ErWAqGgQdN3bK5qxbqajRr7iFXRmniHVAoOz9ck6kgjZ Jtn4g5vFXQLuxqH4q0OWS4MpR4wohffTc0yhkSVPwXDFJBigDGlRDW+crmTXpN/6XdzNSQcilI64 9Z/U6ogO48bBQuaMhGgLMQR4fMpJTz4+ihqeBcg8Cxtli+hz0hUMhvWYgv1KT9kcXuC1X1nJAeNg fJ63kkgHWcLPrEZsqJ8DAfbVhf7PGpdujcg8AH4TWtcvsmpk60dV5ia2fhgIZWp2y24hr/ybdRfe ArCb+5NQNLFG7J75apv5o/AoIGfKUM3H+tLO73tEbrjfKkjl2vqHZTiKDPR/1IZmcLeqYGbJ9inh CC1KxBJLB0Ce0x/A6HNxZj/5PI5nwPNLWsOSJ6luv4hX/Kxur93BD1RorkP8DzUoEOli/HXDjlbD GN6QDsXCzO0rE1CAya84vHeLKZ0o8wopL2C1jOxyte6MozjMxB65czMyfT2Q/tUfDXmUaV+BZ4c7 YK6n/qTLBszNIXiDv3zplU+1/Rz8BwwoHVeELLGXmsUI0DjA4VlBRoQ5UZTypxk7wdRbRcI/QRE/ JqF+MhI0bgJuZnTb0vlJqBw6gLxWkc9sgQ8EjycoSjTJYd7ELlPm+mixtkXUZXtK7639EcSes+jm lQdozMq3knWLbvYENLJlWHCI4Zqx0aQbRR0zN//tt/1QR2zBCrN9OrRwrp5+PSKhb8qeunDDO58S ntHdCbRJiWZaeYZ87g1I0gaNzN8O3enIU64S0++cUsp7BeDU6GwvX7m/AIR/kPV2eHNadYUCPKhQ lOd2FfcHFXuQrIyMj1I4z2qrCI+jbVOmxvW+yFmfpEvC5AA4DO/O0FWtFVqIEMaJspB2zvaUM+de n2Yc6zH2IFBjnBQ20LR9fY4Q4+RhBGsh5rkgeH9jGroH+5hi3RtgYvjp2a7FPlpAwGhB0N3qZWlH TGWOcaz/DFIj2g/yiOlaNp3qkZqKEML5xAEpkBOsb2DzRcdAp9VO77yy+a63gpb1BH9HUYbmyMoA 5kxo1g0xWn/FXmXHNl/DORaFQh76+70EpKtdNC0bDXQ9QfijFLxaoQfc9yRBZQmn6nbnMM5KY5ef ONG6NRWZBG6rrQqQhO7HX4GULhnRDks4T7Dl0fUhTs/pOzik1oN1x4IVfx1fitK1yIy+zUSHfZkU k6f21TgzeuVyQu7sJfOFIF0ErI6zwDlCYISUCOTfNaOSnlD3vWWk7pCKq++NgPhBxjLwVcMPslrS pFZ+jzs16Bg4Aae24tq3+dv/j5H00WZgAoe8GA3OlmbchqXc0F0V0V/gk6Ri7JXpbzBkVjOidBlt fEDPhfG+O+qVrZK+4AUxWQpUQ6zdbpPAN7LziT71dRSVdvVNu9Zi/7D5F58TknJ6HJS1kkCHARzx YtKOhxRkY4mMU9HU7RTEGv86kgti1Y7ZU8MBsQe+5jikpni88SDQo5LytAEan1S2/PaAIx1mzo9K guEPPMz8d9sDwZjtaD0QvLEgJGDlNbwwj31jAfCjqJPVNOirDf8TNFNUUStFVlBEykik3jdCBBXV G8c4/8UW7DMLAnopQsuD9mcbESWwMrJmHuMfW8zTfC7WUYoMD1z+iHaADLbR8aqLo/TTMT/JU3cx ml0eRvH3w27Cqa9g0gDPVLguyUY6S78mCLzLivfJqBw9MX2XLwd/b6CoMfSshKuJAs9CNxjcGCa+ gUpeJlMr8zQw8tlcWW7IrExFQf+5JN0X+0e1QdjYix0isHQ3hhjyel5O4WTGm8OipH4Ck21ir3QZ 1wCK35wHzZlMwQpdVT9jGhJZyw3mzW4oJ2tAEHI3Vurv7Ao8sA80dO/UlZ8CgEaemWrA8ia2AkCB RhgRpPWtGFO7Ja758sZyJ9VMLs64ArBnTXlNT+FOdOTcnQZPKUK9dbTlK4848SMDDIT5WS974a2N XsDGqc8lh7M5NYZAu0m32J0dwyxqGZ1lXyhdv0PqWquD5xwbn1l0LG0cTLFh8wXD08s/dIzwmHnY /4jZaYE0D7VJDNiNcIT47IeLHqQ458CFiWkQuF5aELNAmyyNcAX944X+hRNk0oXvXZUTpLtSf1iz Z0rvypTS2p4te2KijfoIjUyBYNkuyJGyXolenc+hZAksDOqDJpYqSmOP9XxRZed9pfA4+j9HtMQa 6Q77pNJcyYrSb9GUhBOjQUGx7CD3PtT1BzQr0lRgQIj8OZUKwZWIlAlxnHTByV8GfymuHIWg0Ms+ yFPfBuRHtiZ9H13HBfjGDz9rH5MmDWmpLWgJF5bYRjwq7O+r6C4gJH44A5MIzc6xmw8I+r+2svFW bKLubaKlsCQZ5Nsmy+NmlPXtnNJ3DrPr3QjP/FduQ56ciAlredgKKP9RhohwRDizfFVq26YzZjFT n5ZMmIoR1HqCLWP6lX/cUzf+xHftC3XGRjpug0GQpCp6QlnwwTkbPnYS7YcKAB3/mX9ViD3xcKHM VwAAE6/7MIVq74L8aK9bXONDX78FEOm4jd4IRORqT38ya8emPgD3azXBiv0nu2z8f7xBgYox966K In9RHZnQNXyB0FnWjmJTlkJTGOg5ejNBwloOXBjozpdbDQJi9FoaldgaQiWG2k90ZhnPV3vrIZNK a0UXqYPF23ZOpS3iBGCwRCHbtfHeU1EyKVhIysV8KTj8I2n/VjLFUvq4yV6aw2wmtFEfE00bm58o OYOgPHQ5GbwjP9pU3XSrIodlsmfvRgDKrxwTHWLVaNrWJ9Ziv9GwO9w7OGCLwJM8by2JQWO++Utw 7HsW94K0AFJcNNUhybQVR2mYYO58OkK/IxiqQbFrWRY4x5NCsU2GCOAFdrLSmZYuP7XOQM967mKr ctRmKnC9xeQn4Ul7FQvf9AWLOnnwUsYepJHUut0vYtUf4rDTGLJYHxQSu5nJQvsPu9WZ9y8L0fZD Qsm8WmTz8OTGEZX8WEOj8ltc+Pq5OZ9HJnH+Warr5qSJqVdQj6n2S4O+4fevl+l7pZTv18Qt2PUf lENrvLfyRtrh7FJbHX2pILDwbKXV4x+mWjC1NnMbF/4j/qEU3r2bZgihCLnoY3sXZS8Nh583PrkJ tVwclBfgEwQlgWcB941uJfWnZrFMGGZXOamE22nu687Mft27J5UFoV8us+NBABn1q/ibn0KnCily kADfNIFitabjfXHo1EeBN7MSgMkujMxfJvOeShQPgPI4dkH/3XDfXUcoI3xB7g2bU92v3mtb1xsl ZXUTRTc3xr509jLQZWSVGClvwiuyNjG2/3ylA1KhvSkgvbiwnplXDQHCdfpZzYkdTfso2fBgGhOq SnErhaIc6LH0fqd7uZK6oo+hT6PofXbqtBIQ4IGH+TlvJIfEtl1e9nYKEVDZdFKlCy017WzJqdr8 0xZWdNhzKhHuFYo0zjBHzfC3RNUx5Q9pRU3vwqn+bsCP8eWPQJ4ZsseT+tC2HtYznDNAF6UjpLzW R1oVANvpnzJamaTPc8Gk20guBFmyn7aTUvFhKpmR1lBtqjJemr5ZwB4hoWT+O9YOui0i5A5aWhxs U4yOgesIh2kgQg9RQskqJbpb1CEwYgNOs5psCqBzfzHTesUHwwDdn0f6Qx9/p7GVFxIMN83iHYGO 8TGHRItqZzRDGb0pnIwnnGuEu+uPp423zdtKvnl4mTTMS9lNifuyP19Wtf3vvTkvj0dHhT8ZVi8l 2Qqcdszy8j3FZaz5HU8hkprEF1qkACIMJoOKHXzx2+mBbkf/oZ/j3t3RNlbyiYUcpe9y+/IpLnkv yrVaaD0lBrKbQ4ebt3XDryMYgiAIOVcGRFQinn8fEPvF/KZX9odelNqYK781/xNiB58fkFyMO7fM GTJtSiMk/ZtBg2cEd01tidjAAU/aVyHCQEco1vh4CRqOq7iDT76bd33M+QibBh/9i/++WcR5/JH9 ATHppKmGCuenAq+fPL3Jjceur8j480GUzbUGXlkVsN9GzWtM49u1oeC3tqguo2abE+ZAAWcXve8v mwa13RmsrcV+C8r7cB7O8k4jt3KET+dafsXqqFqWX54xRWUVGWWm6xr0SHfYAO74zzijUWN/ILMm A9sCZ+klJQ0zuhDbFPTZPYi+1xIjyBSlJFTS7wjhvBEnoYZzcqN2OnXtRcJK8I3XHvpt3UAqwN8U mxKwJINQfNRKcyAWBMHwyztBhzAjzfJ+af4Q64NjKlBX+UPuDb++jWjPbYWfeCm3bV8FyPc0t9WZ imTcHvDbGMsVIaBQdxTk4h9h1HiwrGUKnLB81v/UIoVf8RNmHEmSNKNskiMnMLnMeOGHg34ECGN8 H0VT0RFJnu9Nzyl9ZNPEj3EY18aNcRB5kE1rQIWGHbWMAyJOXdlyDbkJIN4sAkoMaqkisutMyhIG JNkVvyQdLIg4UdXhrx7i977YMXxsxnBPyloUyjUFgzZ7AI8QqUqJLJwqWCAUBFLmGbUeoL84X2l3 62wYJ6UlmhIjXq6jQl0z8vCWRhEemcTckcg1+pIZ6oKQCvqNKuqVf2hR9kakAbUQjJJ1KVb+hVsi p9QqeQIMKiVI6nDTRjxLnbrZprC+ti38ipIXV6ykWS22kVe9c0u2spV+lhPNjoYN9mznlCbKfMpu Ww8W0xlIH0sfx4Tbr1gxBCy5EsDG7lMnMQ7qu6wkSkr7l73sn5j7zZENjtA8mqcB2DXd+XNTUk7f pKO0Kr2PgZk1Ud3pMpPWmvxSzoLkcNky7mE1CSYTEQiFNTotcbKqi22aJRGQ2t/oGzdPx8wj5f+O F6WuWnPr8yN4Gwi2NUVY5U/AZ7E7wt1gOuQs/gYoWjBIApMGyOJey8etH3cKpyenHKnS8XLIJHRz pu0tobks6ZOtOhM5JTjHYlNzSMiVHOgNIFkHhIZoom67yEgH3gt9w8DQuyPTN3o67YR+13TJBhlH rpVB7Ux/iDJBHlvY/eo1M6V43Uxp4M/2SonH9I/wCBoGP0q6t93j/PhPHrcG249Z+oIkD7QqHnWN xnVEW5+H6U++lsndjBzOnMmTloHQYBB3qbqXJ/PaQU/0tfFwRknj8x2wIlSFtIKcT87o3kyFugBt Kl5MY9vpJgH9+MyT6xQ+zbkvur9QpZR3JgerMNf7hG8j/EqtBqjoId6v8YxLkaORZWulrp5vDmNh LZzCliRD5lf9BZXPqqXgX3BNQt2Be42GXzVAWbcVRPHLOeeTzoh9wvBy1MmF7erxQWqadJxXAogp jdoceei+B6pDYHfW7A9TEAPSkM7iFDg9bJcCTbnrKysfZOIngwfETC7MDjX27q11IlaknFjfuv4x fCP65nSKwmAxEnc+OvHDx4GAvyETD4DaXA0IGTPiOnnuH9mrBl2o4tRWo/nUR2HVf+4rvBOCYTuq sTQ3RLkkkp+/evG68ldIRUuU+iPq5eildNdifoaVagwWoC7kZSnJY6gCxk2tUtx3pul1XTccGp1L wgZFA2UfRlvLV4YbXsjrfcD2ajbxR2x4RKDx2vro65xTSnc6OZ9t2hvVJygjwI4pKJ41C0ixOFM5 oclAHR6H+jPwbY9Bf9EADOMXZdubdqpTcX7pkVCpxSS3mTWisb3Bzhz5J6k/01HVIO55PgY8wt98 ToQVFyXEjseTRQaiiti8tryoO2l6AaJ8287/ya78cLyx3Jm4gVn5B0FJDtU7hulP2qbJmgaqryrU ze1ckvcFnAJ/nMzH2x80spC5XYTw9/K/1zjiavTDIltor+ybC4AgErRyNiKL8UzSHVGpebAnQeFz 3YQnyUcVPcROLRv7OPT5nKei0hZRyEm3Ebc73QsOUOQ+qsebGnfvQL6rVA65xA0dghKaUdrDYu0c utip1jA5OZaadJcjk9A6G4Gif1wUEbkGxhoIG85OSOmeVvFf827t1AdeNxIl1B3jV0QUzam2OFXh s4qFjbbeRqSHqHfCcaQg3aaeVQdf1cxdA8iMlaKtbwMF+L1uN2s6tpJ3to6NBVyrVHoVeCzDfWQ0 FLA12Svh41knId6MI5RRNEAxITzaC+OSUNnTVS72ZlckkRoWmWToCml+chm4JZpqYffnDLnNEWvb hzXAQLvi/hZONOwSxp2iOgOgmFTmkHIYSk13Rh732GugIJiERcRayGow/p9bPaneTB7d6qXp10T8 lmIHOcfMUavenu8fY+bLgnFfwZdVF/7kJ63hNtLCEuQDXCYILTqjNRpCg0y6l8fsmXDdyZmBNZxe g7Qcvpkzw5/zNneMXKijoVfe87Fj5VpqenimYYvVEjOH35YoUY+K41sVZ4DuyHkItTIyrYFz59AD 9eTsq/0gHiWh4p9CMz7zB61EcrzMEdEori9Kqublu3i1vmrwbKrHbiSDkpvyOM4aC/BaZxW33oxM jeisywpPS5N39de/kSgysJ//+Ne3I9BNWgXsu4fVg8R1Rk/DGB31HdzjdQmW8RL+yBKPMrNivciI SuK2h04IGLTVBvG4PJtRVOl86VsEG5b9rHFySbGybbCL4wNNcR3i9Y0aF6lXMTLlf+HzV1r+/app 4Spf+j7OZG2nOhsTet9mzKwqHcEwnEEVhWjoSviTZWwPoFLgR8e2Qz/0iyEL3839DOETVi8FIBFn Rk3X2EiT5On4I6KjP+C8J1sCPOxjUCxk1uCGf11skyOmiki1g/ExnhCO+UX7e1U9zP6qBEekq51T 4z/TNBHqNw9Ex4fF2cYyXycaAdNpS52TuKP5DJ7rIU9Jq1orbmICGXWCY5dcmGq0tKhp46GuybMP qSX62yFRAzVaPFMoOAV4Lt8Zg0xupebQJx1uTJVIta4ll+pLHb2BbZBdn1cw7HfisKGAQpdHzGKz N/zO8bL7hlv8jOqtk1YAs0Mz6E9XWYmq1C9wf7/ydHbbqGw63L4v/Kl5tIu22tnc8AC22GwsOQyL 2Xept/qua+A8wTLyobr1Uw15IdEgZgMkalvnItW1EscEkRzHVaIVE4I8cplv2gTRhTyLj6Oo+IG1 y6nDkHinzpaTD+y0kyjRTGWQ/7QxgkHxLm95udD3FZsA+R8TxB5KzmPy9S/mFneFl2ba5aTM5VDl aBrgJLbfXKkA17YtnxYO9W5tQA3XQ/DIvCOqCgwhZ5etyGelyzZFpFNWpr6BX2+goKA2YiyfvW8A YOeLqwzpKCbUH+UVQi5zsKoIgOsFPcD3mk12iWD5c2tJCFEJGIwnbGBxsMe/o8DqT+rDsJx/kHeC UKWItuz/l6KIbRu7lBLtJd12wz83z9jCPaj3BK6HAglLQW00JGOeP4S/bsOZm+66iCMhmKYDV0lA +pwGsyi+1xHOenF5aCDnFu/AWohhUV7JU6XWY0Zb9RcC0rIN25MtpbIQagdZuz5uv9Ln4sblfy/r 0abPP4/iOk9g+6+CiTLlFZ4RuATmbMz9FcZp/7iimWTgz9+nED5b/me6KGhXISuzZIBT+yiWvZb9 75AmDAMT2MZW9Lyhsf1WqNsVIQVi3xpQydlkQEMXIbH/P6dTSdDmwW2X86hVjxGmqAvW9s7ItQIb TaLnJ0nA5+Tt+ogGjlYck7zjfm+ztVo8gWM5qhyqJa8YpZCEaOnyXfyuEEWff9+IggAUeYaL4hXJ wVvMrOk/ir4eg/okI/Z+eFLRCFYZ23/qJ+rGcFzU++HR8/6BzAmIrl3449fzbE+RubOE1YK6v7EB l0oa3uXcGf0fIi5DKh4ZgYj0tN7K8Vq4dJBl/8X19DP7nVsSNX8istOmWDNbsOBQOBU2piVrOA+p etCGca9e72okfw2SlROOfD1PJeH1tpRvJd43VAQC8d+Nu9Jol5MlF6UQSgMzOu8NV1fMEsct53xz nZlI/pIXhn/OMF/xOb5bit6JUNV7a9Tv37BRnpBNSkZIa7Rzxh0RCr3EnakyVGfTIV7jlO7xIeXO qHznoDtMoAeQOXRynFO8w6shbKcUJey0NZQLcFNSdXHKhW/wkFGs2Pfyqo0UINwrOievIBo8+PHE 6JyTaveyrd6RCnmaNAgsOpK5GK2f7/JfOGpP5+NWu/SP6KW0rS8cNQVtPaVcg9HE5iqEE0K4sGQs Xpn2alkcEQNyS0+KBj3pHlNcBgM499WYU3Tvc1DUOYj2sSp5zd2S6wEJf+U5y8ZZZqpJbPsixlif IXgbAM+2u4GuMMNbovCWhgq8mR/Xx87D7fAn9MCaUlVqPeg/ejLS4CGEcb/LSyF59aKrvjJENykE PeUqZi6hZwunr4ka8gT5sPSqJC2vu5wPlEsbMLOpx+F8EsEW5F85hCoSd+CCMZhRaP2Hrcldwdtw v+5E7oDstLMgmR5IWv8cTGKXdkmtnwj3z0y76+2CBeAgusoRDpNLgxBEN9D9clUNEDu7axs7Yc3W rGQPWT7FHX97xuBARXN82PHiiAeMC3oNfJqCKKgeALrQs5uvZcqgwecLO1AdikvNKpfM/JrVG+GT a+CFhvwVg1gWQz1aYDLPicE6q1aqTL0jj805sNx7qzfQRJU83CdbjWzVvcFnPiyJdeArLDb8xZMO hSkpOoQj/YLIPOGeYl78ZcDRq7Tpvh7oHl0d0TNEzoGj7XHcOlo/p4o4Uj4SjiUhT4od5a5Bjmed 6N99SkIAhZJboHr24agQW5w8fOgHcCoWnsB28fJUGjfNzIkmA093H9AoNotYuwYcqOFzzPvewsBJ oyXPU3TsmM2LNtraichP31oCq7By+hiaJD98WnJcNqlCMBK1LRnkrXsesGlJ3tnfs05ML2gue53x 5hL7k5YIj1caZC4AA6fDc7OwdbmdbpGyCRYkKe89QNNJI6xFoEvxb8haYUYzU7qg/MmmzNYYnFjy vQBi0AwX5w6pMb+10ddfrCbDPNFTFYcRpGcUM+RoHQvHJLPJhqzhM7eaProK/LhOJrh+77xGsy/M xPbCWlpDx6tsHIS1gI3ORCP7cCc4Mm8z74WGWycNepZxzP5t3XWl0BBC9oYNPC6gEAF+NbKJSz+L Ud+XN+OOKtTKz9zqOUWAzdrBEObnp4FWPwBGx9W8bzg0AP5XpWR/Ci/HtsiguMK+MRskLzbGt0Ya hKyfRDFRYZKL+U/jKlDYZ2tXeUDgG454jVf/WyF23liCaQUlaiGI/iTiFYSF7Qf0kzWQ+hC/mGgi ZyYVIPwrd6rwzTndBtZDqhN8FbEZloiHlqFarx6qdTAIBFB50Grac1uZXN1qbdjbVZgELyTGYCrY OMLusaddo8DoCupBGBU65qhqQGXM+LjJneh/74mncMDe57anTV4uhXdtyOxWO6y+mJPGhCKqtAqx 1xPVukG4p0/5kTF1UF2cUivkarqIepo+yz11lG8K25z8dwqpP14Bw1YG0EKzita65+zUJd7diiig 7mCKUvGN0SEMX8ntFrLv7bW/rF+QSFfbhNaJlIX9aQiKPamvL7Rrt7tK5Y391lBBJWNZqXCysuw9 odhlUYa3r4GlpYwVrGpZcWQH9wmH02HCrUctDH36tGWh/G+bWazxOXvmcb0HrXeNH+PdPscZhvJZ sAZIPpuufrBAn4n5vRgAoPgHf0v/9c5A1w/ErCjWWe4RBd0VxPOS8flYQlEN4MXYbdpaGfzcD8gX ui44kr1cUb2fklrpQaTB9w1OdPzyjdlRAYpqIv701vh6Yxil2WuywGbeLFtl0eKTHgfoz10PfrW0 KIzhY9ebeQ065CiMcQvll85i0dYQ2zHAcJCcyPcqZ9IwZLlNyYbMy6tT0VsgKp5WgaWPxcYZVzWW J1hapWI+PLvLieQLJOpfwm/t478ejzyTl4yxpzxvm99kGgr7rizqJICGSrHe08E+w+6iOM+5DVVk kohRT5EipFXxqQTf/yGCncEunX9IZ3MgWCiDS1kZi07lCT4XPP1AT7yNr0VGlHxjraR2zRw2BdVh O0uqIxNiu5SicOYfaGQ1HN6kxjs5G3UfgHsMqr9J8ZbZFFtD4qWLB6aSx0LgRIBWxZqf5k6q3KyE lq7L9wYV3TrmjP8vWd08KweS60iEpufjN/FixXZ2WX64/InMuysBMa1bG4ho1QlzfEB5rCOxYg8M OyD6QWgHdsPULOo6H8h5686kYy6sBTl6lfPrgERtwqSew8xZpNgGjxo8Rpzpsnlz2Z+oZUf+M7aB VxPLp5I19scQmcpfx0SpQUbY66HWQzWJE7yupoY1LGG4juMm1+jkWb16/9gRQWQzS6XY2wJctIj6 9jaISXwsOeuI9XEjjntx/ng5PxP+RRXV4/+DDUaHZEMOc1QzqwPuNbdnn8FfB47yEAeRW7KXvLcv 4iwObT7c6dT4uwG1W9Szm6fTJ6jlxnbmcgI7L/RNTgqWoNK2Z6xCpkXWBDcsKDjKvUiOl7yaCWF8 hXX7V/ntY9AjnpbekvskPTpvvFPnujkCLsyuBUK8ivJD15TtIpxcN56PE0wptBENhYg0X66iWuI1 EOWufD0fNmYmSeq438pRgTLvANq3KKaLxur5LGt5D1cDCV78q7JRygH1ePXL7MfHFm6bt/J2kG39 UuuCFo3Yo8jGbpbPqUctown9UuPXPfpMceiMjgY8fMXpDpfS1EZgRyrKvBSYCZcwoftOR7tP2gCC UbhARwveOGqaMS4eVN3WPXP5o86Att2AUZxeTi9dsU/PHdwvgDw5Mw9XgNm1jJTsL3eABGgLQ/h8 m67I92keQ9W6JDQadT80Pacc4i+dUYE/zaI4TfLhSQIjjO09YVTuxrR2aGSL4aAw/IK9AHN9DG2y +ASPcy/QHb96xDVmurZNZJJdEDWo0H1yp1NdsrYHEnDivoBEtIBtCzFbGqDYkZ1bAN85gM4gl+rN A2p5U2VnAzlV5CsW13MadJMMn7SEO6gFfcbOy4nhcI8/qEcFJFtTnyoRftm6yGXr2q+cjuwtVP+X wGz/tf56x+6VqzwQkFuZ2ufsbmdDWcMJ6no6GKx9mB+xXxSea3oig+HWSMRYeRIovBzGxNmwdkcZ mBvP8eCkShAGIPSj0hpEXsuaxetrHE0/5Sj+1d0TTQSXzIdlGkEXx9miCoVn2aDvg5CqQZQeXLnG J0gxqWsJgyw0obOW1psCuGM7UNG8fYxLV3pNFvubcUPqtGXwIiVArNW9yuqMZzHfbsLfomGOCp70 WZtk9kPvq2vTQlNhtPfxAZSgRwiilCnRlaN/wwOnHWFO7sn6gLmBkOz0xR2LWcEs7Nhla+Scqe3O Sxn1aRlW0Z03BujMlVLZoM7V5UMTuFTNPIiyLt+jgkIhQk+CqfHtMDNN9L8nsQj/GRLeyofc0UDJ jURYj3s+izOMJ3ZrV+YYFfZYOstH4SFoPLlBdUQAV5DWjYdJIm+B9fWsI3nHVmIYaGmwTXyHu6q+ nWEKe1xdx06PSLeEcyZscR8/4hdZNevSmnF16ARutJkZ0ncuvEL57QugtJqHy9xcHcJnRmmRsMiu Y9tzNG/bePbZ8pDTyfDqAfs6/HD7RBdfvAbmD59FqqUw6i6L5XR8iIB5q/Ox+ZkV3sF2UB4eOcvK bCh4dAK24rPEUyHFuWpmxHGbEzhIw/0cIM3TYU+/Ptka8cm1pSvEzuoMEJiOoynQiz65bNOuSlTC U/fFHVMWhBnlAYBTH2AfBS1yj5S4xPw0vjPQGsyGnzDqW54qvRpCTMi4GkPqVm+YKD1EbPbtV36+ OMV3O19ql+4xDf+lRT3pdhlErIRv+Fpv7PmjwaT8wNWmnlXaYnAnifaMqMdj+Ta05Zju5eg+FwlL tWyC5Hp0Cnm1ZfGJ0i7I/90ReNUahJc+lNmNsocls0dMmwMK9G3543YA2+D9KIV4LWrBrp8mQr/F P8Ugz3QA/laNqZrVCpg8Ya4Ip9eOZOaJaRQdxQ0kbEWnLMyC4mXQh8qECNq1plU8559qx/v8eEjb EkfBBKZL8au5ZNw0uN5dowrsowdD4cfUOWfx1hOEp9nYDD5Tv+FIXPlQgC+/rICrbXgDIG5A+3YZ BQZ9hiBAvNSJHShfi5Nb6be+86M+kPowQYFol5/EcfXZEdkLXOUx/h/c0p04jb0uV+G84nyK4kdW vQKI02v8tih/2Q17n818exkDNmZBYuN7nFzJurxofpbBq7P7xrfyNDMiig+P8aU7eD27FNOeQ1j9 uNMaUHCQhYdSBJknA23yrBFvDP0UTzAoibcdg6Os6JUSOYioE1M3Dhvm5nJqsfmGxBm5f+mWPfy8 cS1D+AaDWfoG7seqSIxAw5XlNnPgQHPWyrtikYiVhv2210RDSWMaiPQXMhbJjPkdJaM1HsbxDW2T EDtx6kQmWlbcLIcRxhJlLHQe4d4j9e3/6UAYrofN97r9jXrOSGk30X5+KCLyNoJ/u+pC2I/6f7Ic M4ZNp9bz1DEonCyGmoLTSu3xS+X+KaOcEbczjfPr92++Xh06cUlylSihn9z8gXIFMuzarGFAPp5t SFs7x9v1NKkYdmaGxscmAVofO25F/mpYVvXXJzFqW688Ajdm52vqMTtqho6AjU7qKpDuEF7JdH7W LnoBx/7yUU3GHIQsA+/FVIzeuiqd5Gi2m8KHj3aC4wSALcwZtevDPPDJnU9Ykoz9J/2iq2eIDtWD e9CxW0cjZqmIaSZNL68KnMusoJp3TU+pslQocJKpeW593Lvnk0K4rFDjcJ59u8+kXhb3Qo3RoiGD SOUUyZ/hiowyThbASeXu1e8B96v9LPEWl6IYNf+smrEEHOVEoZ7N1PadGi8IgTw2lpE5yiwmkP0x vknsou3vDiO8hc780WCla1DJHIuUlz8fY7qbvDflYDvfg3/F1hyk1mR4Xlqf/b0/3CFp193R5UKc wmHv1dc0AL/bDY/LkB5A0pQGzxQy724A+uu6Pm9PY/E1hOyapLHrle7ekYYpomWz/wEwa/pG3t3W AXgb4vBjvtNZ6qzGWLXQynP4N6v1l3IfM6dFhCc9wYrWxsbpY5ZcksvNHtnW80hNOyfbui908emd pu06QzPZbS1PBvc6qpdJ2ojZYky9xfsXwbWmK/M68O4V8bn573weMyG1YzhVdWe+zy8C0DsAtVux L2sFq920FOxjlAFQKB+JtFUJ7MbOL6hNzR0ZGVqzRzlEh8ONzUa5AWoaaNwW+U5E68UfJcBcyVYS gYCupscXImLf4SId0s3yWCH6+mlmgHST0jjCrz1qa5xOzHpBPpb4h+i0KU4vOVwoVlX37aC4Lk0Y TWY8ushspzRy77D2xfViAL2gWUWyFEncGEPFNBz9Fn8KK6DGUvGWrNecv80PQXX6SW1LIN3mlkCQ 1SZe5ufnAl/4UF7lEti0hzO1I+pizMBSNiknhxWqbmiGJMfzpaiPpLghLLKLykkT/qY43+Pd1PxO wM7zomKorcgfJ0/cZ+Y+1/+WmaqCKC4DDRcQbWo3f90c3xgGv0k0ex+qn8cwnXNEV5CxPiS/QDzt a/cvJpiNpQd7bimkFnl6Y/ATYmVZBPo6g8ReH23oskJr0xQRErQz3GhsTS5+8JyRUUgS5uhbMnhx AfJ+/Vx1dDqIe+SPdeoxmepAeenDNa9wDBW+nXmAMPO38HeVFYJIJB1e6OZFF/udmwvnPfiYoMSx cCp1HEYxlbujP41aP07f/uqQet96Hvo+cNGerH5wVjfEUZ7JZgAcFp0SUqczrfueK9zdf7cYq3ze QmwubKWAGc8Zr4+bh0VoDOAAY0dLy84GWd27iN5akwPC7rx9jdI8qlGs3kZ1vY8kYqfpB9NhzXf7 shysfYn7ZEPlz5ncwUxiF92cFrNKN5Y2kp7sD8Uqd2TUabyxwZDxsP4ky0zVVV2wLwhpQybLlkuf xwVrVMm8eXhDh+5aO9Bq5fSJz+s+M2LgEjETXOa1Sc0vMNlUVCJwfJIlT0rwNRBYC09F5knyfCcb 9bYGsDqzBjm6ibgwv75eygfSxG2k0G6oFClMBmf2ASTd0i6FV4Pv9t8fUBZ94TsAMLWEfXNh6z3r Udu8ft9HnYvG3ZcNNvX5F+jJFf3NCszXiRFCbp+X3pattZgTEqSV/DuuSF4iNg26towaHpGkZGGI zM4gn4IV/hUP6H0aJzV2Jg/xw1y1uBTFFUgQ7nd5djquboN5fXo1j+8CPa30OiP91haa2FLLfGKv eZb5uJFkRZgRvnPpWW2lzhRaz4AzCwcXQDJ04e0j7EXb8TE8kKM7D0PCOhFRhUvg+2vkdwr7/6Hr hR9WwJXYGxhQvyl1xSLqy1jRqwrwelrGmM+Z1etCRg0xKkCaUnCW4H+uusteKdBBtLtclrX/oK43 hHsANWBOWnl8Jp1ukIAVgJtHVciucJgurqqaIJ77X8zrF2pAND9ToZxIRYltLxIXqUGeDUrulgYx OhjSV/Etm8FoGYCsWRTyzyYVTperMFEUK63TzAvB+drMrTC2F2xFNDWSDTF8W5RNG0DP8fa65gaY ZKrnj+6+K12qQI5ffSrmpYXQ15sz5jbxZlfSNtAz9PBQjKbDK4GawH3K+kldlmNFA0C727Q0fuvb oTPJ6M9/krKfYbEB7//CgYhU3xItL76iRzWG+LAgQLYMrF0JbKfvR3qidEhVMXMYsMDEj40Mleua 9MPpF9bCliDtMX8J6jiGGqBiC5Q6JornwBGWxDZcgyHh/T+fbxgNK8Yo8kfgnmEI6wKVLyGf5pQ0 GhhE948yIJX6HJ2xFdYsRgEkRCpHgBTq6UqCWp1uJlitUONY7FpXIqcUoXnsfLILUs+003Zc19TH KhhOYTH/spZZcqH9p7wqP5CCA4MSrYt7t97JQ5shL5zFhvtJpYgclJlJiUQeALZQz+YxWb2GYHaN HwQtF1fez2GF+LV0FVQv0IYfxPZax5CMQ94wWvZNJOTPLNhNGLRbACa51j51cotPHmd7ckidfkse MHW+nmTcZQIdjUGGPqsOuvGhh48v9Ahp1pBrfvYtF19RUEsFhHGLsNH3PbDOzmV6CpD/2S5rGSD5 804sBlMgxO+e++MuFwz9DZRWhJ86kAmJTeHrkdepVMQAokVDe0XbvRQD0rkJ7xFwoXM3BETtRHWD Sf66DCB1DBL+aN1TCe9Mq9kQWh5PwAJAk1Zprno+yH5VtPRQlQ5PACBcQMSWiRosLWSESlfinz60 OGK74dc/MOtCZU457CEhQKuIqjxOMl7UKytrQwQbQymaqxoQYMZLTVLOXbNHQOKy1Nj9iWFIqWB4 bsqRkcCTW8DA6o1LUHzJ+27owFbgPqeCouxy01TKxFjnkVOJkt2e6oeOAWk43JkR6LlKEGVSgRzH tWuHR1evPwaKauEUI4//wVIAzo4NaEM/RWPG9Gzp77VTrQxiuQUkS9SuYCb5twA0zoMoIRdtYzYR ywqblpI4rD4olDfhF+D0jQI+WOnlFNvXnYAse/MBUltMdYg4vqndZEvxj9RCe1RvI+Md7oUhYrqA V5H3IKMQOYux7o9RuIrh88+RZ0sfhZ5uoPoxF+h/AuRyW5uPUEJIJvKWxkOWsASa2R0g+RVookhd VBqZHLmYXXA7DzWO+Hv41LALzFmIaoubKsb3wkM3JlI5ldDxHu7Rmvuuziv+7wrVnGZAyGayj96H uYD4YqKsliHIDbxbfcy4OjCrJVxUAD12lIZ7535iJ1yqdVGhoZPX9N8Kt0Cxii8e4g3xsSCgraJK oXyVdFmyB4CWcnTsQbYl+2LXSbZU6e7417qvwD7oZFQkZ+mfFo98KyerGORrWMpKptOXvjgTHswT DHC9myPZrjk3ebFWHI9pHUloaLypjMuFHfv8JTWGW8RNLLR1DINGrmGUVdhMFWe/wuLWZI1fRLsi 6SorIBexTM07kh/ZU5fzF8z4+sh3nqonBaikk2ZCWjN/t6TGd8UUzn5CSrzHPIp2zr1mSVCF3CeO nr0Mts2Cz9YgydWk9NTPw3K/5SRvhbYGKIv7VlkkT1h/pv+fRfYqdwiX+nHLszf0UJJnLwMaoCoI OUPuzBRFBta1xOoCfKEGxfzSsua6Q3uTAbF0Wt9U8Gx+yFn0s1u2JZwdYadaDyQQkK5cDkaFzPTB RlPX7xF/RB1vYS8JktdAsWz28XucS4W/uSAUwUadkzjuofRsEuFupM4g90W5yGsxF9CNwGEQGzfK ERqS68+rVtuEChflLGFB40n7Eb0h54mx2XVwoNC2+3JRQxeb8PW6oNg1uGcC5VTAsHSC7JIXBiR1 w/ogELC50eCl9UFxSXcIoFw5o3p6NCl9/MzeZ9wv+varP0Tusa/dkW67MIc7pn/emuCLfNvg6RlS ly4FqdeVoLKy7tK8Sy72Rpxvhf16sM1lSPfpLcWaYKBPvc5Tsp1hkwF4Ht/1LGnGEKjoUOGW12Rh j9m4V+FIuZCwLN7jA7UwJqmtDXhdo3JE/nWTHgATwCMzXlAYMTPhdXyIDSuEolHDFeJyxaVaqFn/ jd0ini2EQM/t3hdtkrHzo4iuIvcQ6YaEUg8LApiWkLvQDCmCCtpKqII8IDsQ+IhtYPJhQ71c4X1t aLNC4Z8ssY3XBpN0JTNs96qEDD3K8vJAc0AIz2sK5kSDdnGtJ1FpmaVFMY8uM5h5+AdFwBZuupPi GnjDHGJC0cWZn740Yw37p3+S1piW2r5uCLjSqAVA6P+zqFPjA8pFBV87Mfk+jlT/Y4BXF4yXrvPf tstKYTmmjFrm0APioCPlhZprcfdrGOwuAeHrHu3RVis20Xgti6zaFhG65RL2ArNDxtU3mVTuHc7X qxonh3HRAGfx/d71gTBOu9znUCu+CmqVjdgyzbnHgtO4slT6ZCXyNJaP03NSSWkdg5OxFEbIoY0A CTB/6IijY3jMSrXbKUXByi0K4LDNFL8iKKzmKCbiyE7paSP4KC9DggVolzXKeptjDxXYUg/K82aS DbUJPRQdHDWZ+e+PytcJk4E6smKWokcTzUG//ZnisN2AEm5snaDYmTHTzGWbJNTcrncZXDLw5YQW jshh7EoSZwv5IYTO2T2if7p8JOHcF8BN6SOZVJtN0e81YbqtvXKOcjOM+rAeiu3XqbX3Qw4sG0nt ohW2djcSA9KPzIfyLAWDpI53sfNROVPrsBzbu98kjVseUHBnSp6gHuHYJfMH2xNupmRV/hS/Lcr2 0EJ1nBaoOAEgzPdRPxtBLYDjJll2X/qfrQjt+jmyauHOd+cNB4B9e3zINTGZYAvgKtfv8nfHxLeh BydIgFaD79BK35Kv7HYByCzhunnt+W0U96BhGVDK+x3tcHJ9Vl0uj1EUNxcBJW2m5pS3NotEZEah AoSCd7xakdDvXlL/7Vx59bQR7Mh4F2t9b4T0oHvCkKCeBOX9XE5A6eTyHw2eqj3qolDrKpwvhhTC 6LFsg7FozhAPZ4eYiLIPHoE5+bVPU/YpdujO2sgcWrL2NSSyWlg7/ZdKl1H/bPAUnFoT3AG31w9v sM4gf6kE+WwMM8Dpjzq2YXkJl08DitQ/o8FzjQCOPjvhExF04e6xYY1e/C0+Xh5J95sy45ETMrCV Dq2Lrxg5uritXmFe03pFdjxAUj/OoabM0QygtyBK5qhcsV+j6TUJQ98sYREMjSme1ru6bw9Z/6hK vEQUmqtg9TeeI8d6d750mlZYsxQrtJhG3UfRb08mF+Y25Ae6Tl353QTRxUVrqjlk9GLaI9Zo8p1g Qny9uu0e/5c2fHvVk1RbJtaqKoc/Yt2WYPgFgAB2zzHBkgz/tfcpQPd2EIO7ll/cBQq8/5jccjK4 SdMWBxXG5s3fXfEhHyNVz3SGt5g6pKqLervHLy2rl6pagYwSgDngZ3+zy8flhhcZyPDUi7P8cr+T auON/H87GKi5mfuYHZMTDO8wGxtt+wqDZsc+CklXLhespp8zIYZWzwIjvzWsdkiaI+Zmvt0TNn3Y +J28YD8DDLSnwWao8Gaqh9Wz0oH0Ghyn6ty9J82xBXc1JDWTNNowtCdhpnF4uu6/PGqoen4Snrl7 Sf6VW2MM0SuWVj1RunVyGlMOXW4L4/VOSp8BTJqH/tihZJlVhEEy1hPOnl1ex8eWPuOV0U9q7qJt hKPTb1HiVTQ2Yo1I9PTb7HeUgcp3fS4z96qe3V9j63Kllkq08nKIxKiN2RjLMHN/u+NL0uLhk35O oB8R/cVLXlEPjKzNfPRmTdXdjwpuSiiVR5R8IPI6v1YOzN24nXvqNXMQHoG7LRKI4RkbrMUvo65n PNlTiJL76ID8hWlpyWqyHz1kx35vi+2tkO96byCQAe/Rse3a518jMeOCLKZj++pwW7y/PlZ95Wrp YFt+8p+/bSlgJnPvHB6gLTPKVyeEbwc9PLlM7PqV21bg4mj8miSrPNLe5PC47MiVRbm+JfwinAVo 82Zz1ZyQnABi2GkwkLBsQKp+6RhWmGHHNL38vjPLChifX8qXWOv0JAk3Ht7imYJLffnBnYnrebLF fNWRX5gqCaZjKZCblS/Mz6fps8TUaAgHG+Nq/lY3aEPCfrOyQ2REBXAnlNPuD0HEVpy05qk5HkJq YP5gcWgI6uE5ty5/wlAVVt26R7CsZhrDvf+GJRta1vbUaXaU1+B1B/hVIAm9ia1OkjO0OLZwChZs 1wCyd11rsgW1DllipYz7HdieLhf1hlG127BriIEcTbcssN665v4zyiVHezuio6Yq0Kje4dxehtC3 5KBRiPaPO9ue3dPXNxSi5jxKkHd5bmPwRuAAV19KDbPXnNxyE4DuiPfJlELHA+UboY+8JXX1OrPd DlS5sHqMVXblkluwhMdgOLLyumyLG4EJ5j8GZDcr3ohXyEyq1sVqI7tUUA3qot7qq0Eln4+y9FLp 89tzhKV/4+RtOUaXPuqg7TLdRbE9hHJq16myEZM4VI9BaqTBMq6ItYQDr6KCDE2bS6XTRq9Tl47U G4qK2BAEK+r9SnH9DYkL6EbC5UXM/7veWoGL3zgtv8j5C8wXYKdOwIxPz0ia5TDn55CHW1DvUCTM Q1TAleRwedOU8sbkyZRCNFsWZAFPncTxH3uweOXMj+SzeaezUI1tVXk7egZnHEfAyBeOZsr2E2KW LDPHJC+DRTiSkAwlMt37ePByddLVQkEOkFMgQMUpF6fITPT22wNK2EdLxnp5R12f/43SeHKn5oTi 39Wc1cmErr/KW3mQEqYuykE2vrdQ+1+tjoiS2mKf28oMaKPRRWS0MgWtRZ8Y4ggerJnP89lXinn/ YzfYXN8lUsGVv38yhKOxXjU3qWnqhpLbIBdgOU8ZvDKYjho91fYtRjY4ib0tXi14YtNLT3zkcOGD xu3V7UjBN+nZ4ZNlPzCU9FO8A25xoW8eBGVkYlZlFiJdCoKWPYMC/T2fodgmf7dT1VCAaJDQTFXZ XTjl0HAEFpSzm8guelcsb2+pawb54ZhHO2+7Ytlb9zHQ9RwnOoG+3lMEH7Nr9nlIfsMQAjmttkG+ xqESdLMX3wtqHMMlzkpAgipOx3icJqkG2mmWXQ7Z40FC7mk50MU0qpr+i6pn3Ry3g4CPzJZPoDUA kwL6QL4k4uPfmM2YZFJfFLKycpAEgqeoB7zPlCoLJqHR3wOpcLvMWmY4w8UfEKr5TOcfQTt1tTGv QvzosYum61VC8ynxMORx10ZdKvlT5lpBF9+m7NLqEmjjHbxHcOigE0OiMxluvs5yK6g7u+2ibo++ uyZVOUGAizEviayv7YVFXk0HvQ2nAfB94a2whG9DzfXv44QvS7vWjVnyeWskPqF3vE9ATZP+M4oV 89vuCGAAIwgNEO/xuJNbSozJKR1m21BHlR1QkB5b9DO0ZKZKPGfy0Uo4P4L43e40bProbnjWUW1p qhkHlwosB5xO6gfSskzcsqbd7LoUJDcr6xRviar/HTLdOhsP3c/aWHBTl0iAzhOcFImOQFIY5RkH UHIdK5Uu+CmUPtsHdgLyRsX9fzbrkTRDKesoCUZIw5oPm0z2URUHmDwilmW69QU43O8keUWtulvP aiW/QSRbXZctqyadfIfr32yqtFIuIaXFlBZgkiypgaEZKUyeCIOD+eQ26Od9U/0tMFkJHlcjB7Qv pzE0WxwK+LzL6dNuRxDsaZVYVKVsSzm+0gadDBh3YY4/f0f348aI62qVetCEkwvYN8vh4ofcvcO3 hNKRhbxsfSpRGEmpdMG8M23PEu4aVFnaFHFml/+XHJPqS8bXmaRirrfSh1plZKX1gbqxL0tw6IwT l6+W1TT8mPw2KADoqfb9EaK/bdR/xNW5eXydqMg/2zEpekC9rgPpOe2aTLt10GH8jIv9ARHJSJKB qrk+gRBoDjEvMFQo/iPERXB7CbcqUckyb86QP/AO/cvJw2tFh6GMSG8ipSQVk25w5aeEQk92KCGi Q/Nr0Exs5mR/Rfb15Gjqcb0MqUUjjWjP5+PHn1Jl05Ef+4d2ZOU8/Ff5vmX0wmTpKs3TFV8xH+hV 5g293fB/wMZGRAknwTF46s426qKXWEgCmp1GFnMXWQNYhuG3LzP83tmaaMj225M+loV05UrqufBD TFfD1XZQGYorhzEH9fOPMy09Idp5UWuiK+9k3DC6CL8A4hMP29+JGLT14YZUk09owCLgbj3aigql ivGn6abFIUAXFBm32aDynZ5LioJ3SWugSE1MnmmykTRtBaAAJ0sItF4lnCi2s7vffvROolXjXeXC 2W9/5C+LkPWmuZpt/MIW0c/pbOmqwy1BICUrVbdGR/FANK814bcoKFN8+Xd1J0ZqtX2PVofPyOs3 rSdwNeN099Dbs9otX9CdAcw7G/gOoIWr0AGvpzbqaNqEdlpSi7+yNhdUI9SbuxFFWuXa56nM5+Z7 NBdTOlCOH+5j4l3tXHgWwxrFgBXegZQ4/kpmG7yCu7P2iRQjTv2phTBBLMy/KcCFsXGt3Kl4oim+ un6w3FFYsWK6l5yL6R1X5WtcGHNoBw1pplvcTjWfQ6VuxmqcXIF5Vz0EhOatrBaT1sLbnoWyb4N4 fR1DY+YLVtxZUIEGM0+XKdEhOCY5R5BEtHWbeKP4V5QH0xJ9jth8kDocvFiFb4kSluCXiaVHc4CN O5JtTZfzUy1EVDBToT9Rja+XE9vQUBsNKxBM5AAZdxMKcYmipClORrRdQOPvdTpZf7E3WGVKE6NY lD2qOWl36uTM4K88rClm7iKlQs67yyJS+EFLYl2sF3+YeIaDmBt86vwcNxAXqy/+uTwcXYr3Wvay 15RZiWsDhZVv6KKLYrUgxejA3KjNv/I20b+xI4IXVcTfoPtqPztXvrP/4Ya3jbaQGcsq2mNDYe8F sgEeK+tD5/FlbZIpdVBxTPDEHiIyuRjWPDi+j8Yfq0UCawP+wszWkWjRcb/2Q6cM2sHyA7XM6NJs JuefwGH41qagkHjcutW+xRMldYdCRhHb6L4XZ4q3tin+AYmVM/NrGdA+q7pGZs8OVxaOvDodyQxP jyxF/tbGfuNYteBhJ7MMtCQOSKY1pxS0/mDQBjqlXYrtZwq04yTPs5FrskQf7JnpjVAaFXQo/lY6 DoG3Fw6KcPOohkslnLQT0Jx/8ZOoO6Tr/CmbZ1ZlyTSaleK8erqE57f+OZaFtevpkjmoUvHuAq5+ d+K8q3SohP/AzDXSyslu2WoP5Is5taLe8WeRJh81nEyF7nUA+dsAg5W+8yEsp2q+K7mHllkmm1f8 Apo/CqKLIkC6lgKNc2RdVIOqNPCHx4t8nb2f3Y2sfjxShgRM+L7z2ZkkEzHyogOFZmJdU6YZTvdL QN8iW0nDdPVDF+1ETypKt1FRTI6lds95TCjvbnvFnSDzGQomipva9UoCJzTPwiQJFnGW5nN/NviP 7L04q0hF2gNHkEccZZIqB0SXc56yy/3/56QSOQBAIui+F1G3dQ24N3lQfsq9GL3McCFtlvQyFVkE 259ZTzLT5MjJgoUviXZNWBULMU8mijWU48CBSzcVutrEbnNKGHJ2s9TKpDvMO1yneLjFs50qRDD3 98AD+FQRnCLDV25f4O7I+EAb+ZaJRK6udx/wqoVsjsMEyRnXj6k0n2GI8LvWzpu8ClUK1brNa8FS RmrbEAZZc3hwelFHbgufuCHkZlxAAQMTRqstL/lKZpKOI+dp+vwwpzs7hCXkF8Gnyt0SgX/wSzkd k+Pzt+bMpiKsimvSd5nm1DK+sFT9zoZklrSWuHYhLJy7ro2vdfLI1PewvB7N6D7LBw3owm1Ck28z 5rB9pmGQR+uWFgGPC/qyHtfQ0NRgjLnXNjaRFjKq8mRO3Glm8YL6814mfoyJVAl6JhYNOkM5oq/R NJ3+Az2sSEizkTaWoEVn1BhpjZnFqDdw8zmqS86aXXiYXWmAtpLwXSYdAc/gS7JlPU1RqfXcg9bK btKAEWQKPackOBnO/HZvGslQL11g6DrCWcwR7erS6EeOhzYjUhP6efjRC+l3J5L/PMtK5JK2C8bl ecrNdeSSkBSGcZx+u5roUyUDRe7oXRaGLZk5M3wT4Pf9ADx8Vp4NyPdlCrXVKLGs9YYCZKrxBATl sQfBfbHBhz8eEKTVURVtbN72U0jwtEZAkujrrB/+sXlVIm4dMSaAkPHkCEaroTB0oczGlz6HvVFr SwsQaH2aYQSXC54zbTl5svDN1B46zLSYgiHvvHc/x8K1VT7nOmIH5aukDYyR5RtxVwi0dWzby6Ol UVnxHi/fAmCAy8iynd/YPRP5w0wS8ZvaLSpBxyVMY1w/OGO3xbbSb8suOMAYjvMDKIG4dC9+0Ygb F2EZyG+TKY8YmynJGixQEQ9caF7mTFjCDyja7arS5FbskTTCsq0lhMLfUm3WMaEWz1mff9Uom2NA WTTP7PawOKXAE4XvE4VqR0v8n+H4L4RVrlOHoQq0bYJ205aKSjfFXAUW+8PTM+8lqaj+bCPcQ3w1 YfarQIWgIfsQyFo8WFiJBjFMwcsqG9iVmmQTzkyqdhoV5BiiEVAKPGG48oVoF9TfNqWFDDxeUWbq zAhl2XBuSjStC/p04HetxcbIrX2ax2NmYPzfdEDSXnFnG7GUfVtavckpJXpn3/QFKkOBOgBJM+xP V9bZPClMPKnXy57r+dOJtDSIGWD1iaHqW2TAWCh1ODVYQ6/dHUEfBW5mqi+HQVCn+6us0+VAzrp/ LQDe/UTT6KBurNQUGJNIkTLBauUSlUK5pmSEGZEZKB5KDrl4hmj9+CSVO8DplX5ABiwByOmfo331 9Li7mR92mKrU8MdPyBNlksCdDlYZme6GZHWozYkUHSW98Ky9iVmRxpvgtaGovdpvfY+3JroVbxUz 9m8JcopsD06dTzubILSNRheweTOjZKO0sPPeIzJnrr/0Pti1TdtYWgPdyoUxBw2e2Y+eHnNVtVLG 82mrP1r8QkmKERRE03t2LgTkz0ivib67S7sKxQDx0h31d/H6Wuj1TNizdu6NoOsypbrs0T6mufY7 QSE9nc7wmpkLLfWmj8RvcxmYHvajTgKWUd325A7Bp0bPKMXzgC8+D1Wzye0T5lU3I7/BlVC72SoO CTm5Ct9pFgS8OpDdwydblfKMW1imX+bflGN76jGPjaZY3L+xuAeqwgC6BaqmAAYtxEoux34q3arE gq1mO0PwsDR7eT3BWAdVfntjw6HK0xvGQ2O6rfakRpJkosgGwG9A82rwOOPJyZEmmAtLLFyXCA== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/dc_ss.vhd
19
8726
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/ld_arith_reg.vhd
15
15091
------------------------------------------------------------------------------- -- $Id: ld_arith_reg.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Loadable arithmetic register. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ld_arith_reg.vhd -- Version: -------------------------------------------------------------------------------- -- Description: A register that can be loaded and added to or subtracted from -- (but not both). The width of the register is specified -- with a generic. The load value and the arith -- value, i.e. the value to be added (subtracted), may be of -- lesser width than the register and may be -- offset from the LSB position. (Uncovered positions -- load or add (subtract) zero.) The register can be -- reset, via the RST signal, to a freely selectable value. -- The register is defined in terms of big-endian bit ordering. -- ------------------------------------------------------------------------------- -- Structure: -- -- ld_arith_reg.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 08/01 -- First version -- -- FO 11/14/01 -- Cosmetic improvements -- -- FO 02/22/02 -- Switched from MUXCY_L primitive to MUXCY. -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ld_arith_reg is generic ( ------------------------------------------------------------------------ -- True if the arithmetic operation is add, false if subtract. C_ADD_SUB_NOT : boolean := false; ------------------------------------------------------------------------ -- Width of the register. C_REG_WIDTH : natural := 8; ------------------------------------------------------------------------ -- Reset value. (No default, must be specified in the instantiation.) C_RESET_VALUE : std_logic_vector; ------------------------------------------------------------------------ -- Width of the load data. C_LD_WIDTH : natural := 8; ------------------------------------------------------------------------ -- Offset from the LSB (toward more significant) of the load data. C_LD_OFFSET : natural := 0; ------------------------------------------------------------------------ -- Width of the arithmetic data. C_AD_WIDTH : natural := 8; ------------------------------------------------------------------------ -- Offset from the LSB of the arithmetic data. C_AD_OFFSET : natural := 0 ------------------------------------------------------------------------ -- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH -- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH ------------------------------------------------------------------------ ); port ( CK : in std_logic; RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD) Q : out std_logic_vector(0 to C_REG_WIDTH-1); LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data. AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data. LOAD : in std_logic; -- Enable for the load op, Q <= LD. OP : in std_logic -- Enable for the arith op, Q <= Q + AD. -- (Q <= Q - AD if C_ADD_SUB_NOT = false.) -- (Overrrides LOAD.) ); end ld_arith_reg; library unisim; use unisim.all; library ieee; use ieee.numeric_std.all; architecture imp of ld_arith_reg is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; signal q_i, q_i_ns, xorcy_out, gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1); signal cry : std_logic_vector(0 to C_REG_WIDTH); begin -- synthesis translate_off assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH report "ld_arith_reg, constraint does not hold: " & "C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH" severity error; assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH report "ld_arith_reg, constraint does not hold: " & "C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH" severity error; -- synthesis translate_on Q <= q_i; cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else OP; PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate signal load_bit, arith_bit, CE : std_logic; begin ------------------------------------------------------------------------ -- Assign to load_bit either zero or the bit from input port LD. ------------------------------------------------------------------------ D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate load_bit <= '0'; end generate; D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH generate load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET)); end generate; ------------------------------------------------------------------------ -- Assign to arith_bit either zero or the bit from input port AD. ------------------------------------------------------------------------ AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET generate arith_bit <= '0'; end generate; AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH generate arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET)); end generate; ------------------------------------------------------------------------ -- LUT output generation. -- Adder case ------------------------------------------------------------------------ Q_I_GEN_ADD: if C_ADD_SUB_NOT generate q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case ------------------------------------------------------------------------ Q_I_GEN_SUB: if not C_ADD_SUB_NOT generate q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Kill carries (borrows) for loads but -- generate or kill carries (borrows) for add (sub). ------------------------------------------------------------------------ MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => OP, I0 => Q_i(j) ); ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => gen_cry_kill_n(j), CI => cry(j+1), S => q_i_ns(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => q_i_ns(j), CI => cry(j+1), O => xorcy_out(j) ); CE <= LOAD or OP; ------------------------------------------------------------------------ -- Generate either a resettable or setable FF for bit j, depending -- on C_RESET_VALUE at bit j. ------------------------------------------------------------------------ FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate FDRE_i1: FDRE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), R => RST ); end generate; FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate FDSE_i1: FDSE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), S => RST ); end generate; end generate; end imp;
mit
djmatt/VHDL-Lib
VHDL/testbench/tb_clockgen.vhd
1
2082
-------------------------------------------------------------------------------------------------- -- Clock generator for test-benches -------------------------------------------------------------------------------------------------- -- Matthew Dallmeyer - [email protected] -------------------------------------------------------------------------------------------------- -- PACKAGE -------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package tb_clockgen_pkg is component tb_clockgen is generic( PERIOD : time := 30ns; DUTY_CYCLE : real := 0.50); port( clk : out std_logic); end component; end package; -------------------------------------------------------------------------------------------------- -- ENTITY -------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- Clock generator for test-benches/simulations. Do not use for synthesis designs. When used -- simultaneously with other clock-generators should result in phase-aligned clocks. entity tb_clockgen is generic( --Duration of one clock cycle in seconds. Cycle starts at low logic. PERIOD : time := 30ns; --Percentage of the cycle spent at high logic. Valid Values between 0 and 1. DUTY_CYCLE : real := 0.50); port( --The generated clock signal clk : out std_logic); end tb_clockgen; -------------------------------------------------------------------------------------------------- -- ARCHITECTURE -------------------------------------------------------------------------------------------------- architecture behave of tb_clockgen is signal clock : std_logic; begin clk <= clock; tictoc: process begin clock <= '1'; wait for (PERIOD - (PERIOD * DUTY_CYCLE)); clock <= '0'; wait for (PERIOD * DUTY_CYCLE); end process; end behave;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/wr_logic.vhd
19
37687
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HUpwfbtoJu5ljZH1PD1nirfZUiqEH4rdOJmHG3byOsiHMKK3LegkCLnxPuPlk+MO+z4ctY9AQVS+ qDXnVNabAA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J5amwDwAOhmwY1AI7aPhS8ck8cUzk3ZbW/PSkoxcoFtS5AuFiIpCT9Eh2Lt0JzHUUKx72jQhC4xP E8DYUPCIo40JuI++9z5fK4HwpQiCOB47OP9CCbDUXkdRdGgF4e6aIOfD40xCprloxnLZWVs0yawE 2eWpDksVPZ7exWV5yp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kHeSBUaR4Gb9xyNR7/PmBoZ6gckk9p1h7+VOSSxhgJTOkeDKrcZOdIV1GDgFDrDQ7kzRgTiYYdNg fXk4UhiKwBVyrTjV2sMzg3+WqoUQIK6Jy3j+rnKZ0FHbaJ/B0H/GfbBoAdHe7Ll2JvXvA2JrUnjB cZCpVeHDgAOSHC+pzlRSIpPSacSQtQcR7XQ/3XaxnZYRC7uHkv276AbG3wIpLBG2zxIX3ZP+ackQ pH7/JslwJLo+2yMp03WDL60KY4dKN4/3Cbuq0p9ZXqs2Y5D7OEUZNxyvOtt0dnCx89ZP9OSkU6+U STforoN1MyOGgJ2YZ3QN/z5I0fk2RYpfEM9JsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Lu2s7AKqknRcUE5f3UmM0sxhb8YGklEChkrpjNpqeFmWrHZVTV653SjxOWSucZRxKRWERgvAD5Ge f+lfXprxLknFOXVThhIZcoGHsP1dAaIYcRFINHuR+NXvmYc17FBsIljnkMKM4grLGNoBCK5BU3oj +OpUaEAqYZcR3Ny7rME= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZNNygMQdh+aYmFNm+RRdz6IwBodkqsu7V9fE3BGXF5I2MBgRK6iGinaX8yLwnKR/gy2F4SnWUzqm SM6Hy+mVD8IIS+xm7ukIVwLbM9+0zez0kJn+qWOW6DSjxPXqHRWy3fQI42FtwyVBs6pb7/W8Q9NM y83XMjmhW9gbYNHIHq5e9D7ao/9WQ1Ytg4YhUY4H4cSzY2tHj3tbIsVO5Swzs3K1mz8KunAK9qzN WNyQE7ctUOauX1bPhyKN8vZcKzkl7x8jPe9GO6BDBcCZS9DeY3P2LTqajNPbMa7b+rdlszJkVZWF aXg8+G+Fp5cfd6qUK77FET8A+G+lv6qs6bNgOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26160) `protect data_block MVTeerMMv1ncahri/iQIJYQfSzBGFwfh2xKaJlpUO9OCwCoVcy27laDrkS4BLZJe0LuE8ZkDBlwx e1KWTaC12+Vx0oUVRm0qhku1DxzKi0BAHvaeM+m9M/g+NDkv75nCLY/pl4zl5xfjmMAmPUZVCBbc 4fU9JumW+t+smzkCaXxTOB0EC9qCndyRt3Qe6B4aYPJLZpg146+tt1igW3T+O+uIRZljRjjK7nJV omLmXv9LQpLvVb8J17Xk6Ihxb3iHq4aQOTLXzlWTc0E5kVDJNoyfbNuWKRkIGxs7M25R3kpF5W07 QQVS9gib+seLhpngCebfpN38Fd1/8fIxIdnPcVspxQqGoAAJ4POWeA+SkR7gCC5Zp6dyOJlTUpt7 ntyDhEZJFZrpLqlyXy+wOzZWInBtVzW9VE3Ja/BvE0JQwwWZ19GMDNA4kegRGB/IXX30p/ZYg924 X13LrWKQokrcewYVLDa2OzJjKQQILqyL3TBg3ML9PqDeAmedrlia8bwsq81GxXoW5N2HlA6bqCba 2M8rJVGaykWztO044hMMbK37VdslgbypaPzpWMCeK1kXN00rUd4A1+PFdMkR4MhoCN7Z+3jKwnSl /t/GmOKB13N+ECa5VbMXpeRzJjhiZk5pyA30cqi18AN1S8iRYHeWb+/CUMryOJBOxnbYVuQcr/0m 6K4f9ge7s5gQarEHkc1Mfwgp3qx0KXWxURBNbr4b3JtLNcP9LYSEWfxbwzhUzP9+3kmCg+nrMBDk WY9sm7Rcknnizy1JHMFjqVEnxvaD4CtHu0P8GLm0ScOui7WnGDFAGXiQ+60rUob3XsJ2/Rb8O51g Yl/Xqyu2nBzncOeqM80xlyPCFZPZAgN+bnkrALzhZh0yjduu0W8lwYN3GfyK5B4AfXyfZLhCD+Pd 81j1PRdUedJtXXEv+4VJKIqcKKMQhx4rxLW9YpR+qQPfbFKH70F2YqMuVs2BWqxkWifstXzG/xl5 MELDDnAlOP2+GpQkdhCrZFMS7vErc/MH8uZ/qZKFyTHCrtLQOu18HfjReMxhTYY19rDmh7/r1wwz wS7WzRNL4C7xB0VPh7NbKtKu2G2d/IV+oC5KGRXlQBWjeE5DKodz3IZNpZCLPts9y2EuCohZFIxk +qoQjlwemaC08Q8Yy4ISGo0F2eMe8UL1LuI40auWZ+iqu3p+hWmhld4+DHsf9+YVJB4GuyOwdoBY pM/KZgCr+eplopY98dMj/+Ffroy+drZFquMQoEHhB00ggVbc4bo5VMGlJsq26wuh8QqEd72NRPdR wc/V507sJPODGQeXeMHJnuipCKtiPgAq3t68Xd8FEjGVpH3XP097wfoyhuNJeZwYxzo4A+l98Q8c va97Ah8g7z3rH1+bH6IYyo0sFLHNsEohbOknfiEkzALuDP6K/RSjNrwQ48bUlm8fP9/1vL04vXYS vPHXjxB4WVC06T2LpilUo0ASfpVNnYHua/7FZNTo3cGNuvrh9iN7emjyoacblC2GQD82xbFwNkss HiNJmnRjlEZ955r0s7bsvTf2iCnFTpxsIDQyTNT6K1QpZbw8Bd3AMc3tpIuUSIPZPNpd92TbctoA rzwLf+pagfyy4XygmHfz30kZOi+EqulTpf+Hgb8Ku8O/nExcTzKMBn3S8PJnm0ioxouZAXKj+IEZ 3rRo2qYWasqJtwYeEw3Oens1mQnyYL13t0I1V8pl2HGQ8frOf2JGzIOCePD1LXlQavZeHkQTAjO4 vKIWo7Tcm3nQnr5H3ZHWEKoIFhcZiSPQpEfw6D2qxbanACXSKwTrTPFYp0DtMQu7596In4KLb+kA dZ3wiNDPesJ6q4z2LDc/f4KUYOXitr5n/8w4FiQiNmSHCcy0vVrtYn+Fh5sDC/E0Qtg6qdK45/kZ vqtQM/5yvuhLhlYg3MthYTx4ufjMennzBXfHWGB0rV/lWwiJYYTarV+NVjLsiMThQ/jYJ/CvY98Z sMEM1D1JTR/twXXTCSBC9gq/Mb2xwEZGj543CJKHcGetcTvE1sfWhJWfu4VyL+pfA+mlzYVy3lDR KH7WTWqBiy2Oe6nT5ll/pz1SyiVWcK+4nkpMKHYZlnwW10lh2qlcpONX+aYTDnivH4nwqfwVq6co N+Z6vEH7xwU5aMFnbodOO+zOkiMuv4Ui9vuAnhzpadiHhOEXl6yYFbyts6OMPBOm7PPKL3MS3vDq V0Jj2qxN3jXIWrq/LEdREWJ2YZ+xIR+diH/7yLzs/kC0hjjcwpGnA0Cyng7Jdow6Gn+ysmUAXyo2 XZBBgIUSee1NEYVpGO6BiVe37Rb65juTET5i68+d/m+IzsghtMvaIDduVPG+134I38HaRtpDhULJ xlGvPfxKKbPrCaGeesjkqXpxmuRzEkLrcF7ifT32gSMqvm0iD7H3oK5oG+76IhTDAk0V6CUeP+DW Si3dZ7CoIIw55s58oeleiSr81uL0ZvUrLheUGB8tfJjp9Sh8FwMB/9XXX151CnyngFTdl60VAgFC F3zMq0tzOlhmuf6U9JI6dJaSVi5TOwpl2mynv0UmGQ6og+UZKF415701lKHGA61rjP46dp2qO5X2 61oybtltBjyhHVkjFM19rNNz/JkNbjwsDH2VaVuBd3jsX7ihWo6QAqrL7kp3EdaINOAvgXrEUqJe hyBGH9RzUNcIm52zLJikrHtJ9HP+eiMcslQearptYKLcUZugWDISfwJq/dcA31ILZCEYq0UBYX0Q pkUio36LkIRjYMr9UDl2TlUrij3q5Efd7fCx8aiO5V+Nyt5m33mjzYBE2S3ZzMCkS2KWN41a2MnY 0MTQLb9jvwfUARaZKaLV+DJs5QzgDdPx0voVmFcpmq6dBnCGWuhHXqHyqJ4tpzklFrTSHxKYto9q U54aPJUwFHXX2jH/845ovt9eA8hqjjv2838Rpflkwq2QXeWBKOqlF99O8KutD+guSmEgvDXFF0H1 DghB+8OZ7VqULJp6m91A8jtyEDIl/2d1iHc8NX+IXMWhg5DAHMNaklMIH8pxObPkVUaEiTL7mtGB 4EpJMKTtLlpUQoVB62nXRFR9V4NTNlj2ZDWi5VCbdLQD3W8xEVos14H4rLKngmKrLqQSdspS9jjT 63Cs1fWAp8RBRDf3EVpuBB8NaxB9Imx+LIghkW6UZgOBtIw+zjOb242m1va1wVOoKMlS0RvgVT5A xD9+vviDozSG/qvFOLmhziwav+89A1FccBLYNyVpjBFZFpR8PUdU5qm4fWNa60/7yQg6SlmaeBex FxhDskPKtwv6NYkR4VtHiesrWy87iDtGvznk8V+n5I0dLN4fxArmB65aSjawJZHMrqU1LVJbIUD7 YC/zn3DOBJR/PEaIpPtHoSNwR3RGppuAotApIjlR03b8JOhj00YXxHapaZoHlKbYiqwxwbXUFO5R ESXeW02vwXAjXhm4Ng1ODBUg+kAxrYkSDI/wRfwB4d0GJ0kYqU7/QQWGY5KeiyBOEV7qqb3PTgxD D5nvxYJ8mRMnQf7hP+OEAsPd3xy2KcAQuu9EFC4TRe0cOXjj47DB9p8KupKwWhz3J7YpIpwBi/zS Tc6YGDBFBZFFYsQrlzB2F+NZsBo98aNEb5pLb77T2zr4RdQBvz5boy0ucUNWRFsjsBqRyopPksy5 H3xRrig+SGzf14Y4DPjIJf034Wea3OYKDcBzLMwaCJavnVD8gQBbRF3vWsw7FEekmUeIi1ptKP4a AVMvzTNSQfU8MxBs7ao+Jm2UKxeOwx9/fHZFEf934ysMHbb1vo06QBo+ClkmvWSkj9laBuuUfqbG 7fLgqERqtkqo2OZTvGZNFCej9r8TlX7bIj9HH+m0g/V017pTUlkWjtMR4VP2+k8VHZxqXZXIidyF OOnn5vSChhhSgzkpHYj2sm5ooFuVc8M7eJUsF7r1DKsDL+QCGi3cFbywqo/SMVF8cAbg2OJo4N+X S8+gRxNqVh3d2aOGEtQVZ8q9eTY/LyfK+3VJJgdNMr6YebHRr1zT4+WlXq5pysl6R8bEqVB9oWwV lOL1QrYqzbhiUOXEMtovYLunYK/El/ZT6gfMIxEWSGAv0qN1MYOGRUNhJnp4BiUd2QD1x7tS4Zh5 cxaFaMbeYlZWWIV0PnKXboStGYAXNVkZbZcxN3vK4NiH2vrurPKX2oXlexCXzt96ZnOoBKZUKt3D 24eswf9TA+1/ClJY1e3ZWln5r1uxBlYoYn/ufx6ZjLLA05caQ4Nu0zpmovhJhHrsSempEIB+R3Cb 6Yw9pwzov/LgWSRRd6KPqHIHBVfd459sp8CoGDi2Xz/q/9V7KrbPUOdT3zdofsotJsjCYHMP9oYo rcmlx6ymbt4nvC+ONNNVSdRo5o0NkUxA9yxPU3VRNpD0/9SYhCIYiQVun4QN2mvsbEtPO+wJkP6F g/gUvNbaRScFYgumoGfpmXd+W4P0AKhRyd+p0mpRu1hmLzF5eLRU46VTTSyg7tPyPkDy8/NIhcXC xcmJnPnJwBg2HgqM3zIvsTRQ1ekx3ENSglScKqU5Bv3iFHuk2aJEBDZWmvkO+IAKc6C0NPt4JrSq P5rquJe3ilLKitdgZ5gqXBgsCLcGb1A7Jh5Vl0+C5gczGD2tqwjjimna5LSsgm8auAvwjVS+cI6c cwwaaVILp6ynFZGUxhRASy5n0S43YDI63jrC8dPDN8Ys5hajJwYtYGuUyyFtsVxjKVR1MMJTQ5Q+ LnHWA0dfmDRyyXFf5TfG7KRefeW2JaI576ahiLSuxSIF5HJw2LbzUTjnb9mstlS5ssDEKCGpTfyn 32tIiGiWtaMvvzO70iZyeKgHbFTFhchHb+8b7AiABLC5p6xB+/LmUKKhcZ7dEwhj0q3/MEMyEV5T 1nI/E1TONjnDLVwlguonK2d3IwCQxZflRBduizgPIN3bv1X4MbnCU4ni+/o4RQz62oEXS760Rj5U ea64PrQyYEvG6UESnzTVMdVPqu4xQjNwJfoSmXNpnBGv3PDNYo9l3MKIRGNNLiFzjxHykuelvK6m 3izTo8NXgupP9+7sA6UF+EtZHkE/A8cm1qmT/eqLKpQ1WXRnh5kjL9X9MKjVKaR7PR7nZvQCAL0V IwmAnOzE/X2jnmjW3UX1B/kMoXu/T4azt6dzbWLyenWq2Ts6XVKwzqOWVaNdFLjqElvkbb7kkk93 HyEKzyuVUYwzHPvUH9TJUvpTZ4wdnwIqEGiNp1onX3/O4gvdMvjl8wnLI8l1Dx+IObq+mb43o67x +LKApbUAzJmDv9SC2P6nQVCO+75RElht4YDNQkb+pNOfeOJ5oIPmtqa1XnuOYXeE4jZf7NZ7WBLP 9i4JnM0qw2mRH3ogKSJWLIjq9o5mYeKWQ6dmMZxudAJufxMqjcdANR2bKMzp7/m/fsp5kaFz/xuM guFSYmPDprQKvifiXeMufakMsKpJCWdxwV88IFasajNbevjxcKYWhNxdepzjoGrke/ggxFQiMzgg rMt2uCIu3J1A0JB6xa8ydTkjc+LQWA0iu4A6yE0XfpyzpgsNyKJH36E2XbWshUKqUy2KW2hOSYi7 5tUdYGyNkpPEp/I4DyA+f93JCr0AI0ib8LmKD0hbuge2ugED95ZyOGatT7XKZuWlwZy0M1075Y6+ 7gLA44daL/Nxa8I9/uRV1HzdMX8+7QLgYefwdp3WX2DN1A/GzvzaQR9RlRTsvWdWIfXjgL8MaJWI 7aa77GdaUSVfBkvgBFdFUXrkcxTqa8HGaBVFIalXtaxiuOnbAAeReBZ5QwofRrJfDwLp9AaiQ+4z xSk4ui5r/aNne7vcpjsW2ghrN0a19xa304/nhboaWvhdtKglBBreIKvdCOZzgNhTxM9UaBYP1qmt bogRRDiK4w2/e5LovqyRaXCraihjUZTRT6Tpf5v9aOvcZLLNZYu/2KjuYgBIpz7UCiHCM9ni1KD6 kHPP5PxJoh9tEzfwySMzPGWZBCpkqWbUaqCdQEVf4mH07dzvi9nvVRShx3qV8OY6Fyz6AZMwKAsx eCKD2GgEdOFSS8Pl7CmWaoYVdq8tZY7hZBAf8sY8nlwUhEFXH0HgTCB3p6MnNTZTF/AtV7A9U5nr U7dk/KRKtkeADxfPstZ/U5K6Z80Pb61Wx/ElP1KsvQyWHeRSfFoHzB5cD1GPXrJ6W//V0a60j0sJ YSWFXy8Awp33xo3Ms16fS3lovRhx3pzPJ3w+YCEiVeKzQ1KHCgii0LwG4/uobex/NP6EZjWEWcw4 D39SvkI7Pk8VkYnC/i4k6b2YpKmwR5JbpJX5U9WHtgCAwtOiL13o5biCnCb8obdUbeZmuEZnBimN 4is+GpivfrmSUyfb082eB4j549Qdn2RJ32Z9CDYuodRdSYYGmLJm2WSfTtU0t3bvXRjlVrAna/B0 t1pKulOkBWrcdXJ3ZggPfsUu56BT8kZITV15O/edVj8yZtYx9fpwMOk/554FxhjYiFrynPuP20gJ 3KZ5Sf7qBcF+Zo6BlAsNpRy4M79KXCeGagzYY6lCiH5V8eDmmZOwe8alj7hDoIY70tP3bvIF32fC sI07jrHFWG96vRY7oSziqMPLGgFueABs8L2HbgJlv8SuqctKaWIY66uoVkCkOaqMhR0vVGVnGNg4 KgmXFXO2kcoUwgf+4G/GD014L5Nn+QBEM7zrZRrRgGigAham0Zx92vxVA2xv12EEtDMt51AoqCEf xQ8E8puIdXPspTR05wZzauvYryfaTY/5XFp7ski2t+nbgLtKE9dylH5e3iLWetfFCbL5rw3jFqj1 J8AmiLR1+ikdeznzTqXpRjP9/Bh5Qkp1t5E2mmgyIbW9V2VIpIzC1jGoL2KzoUIPHx3XDTBfyXSA AgnrrZsfsPn8cPhleIAH11dBJ8ikn7b9EHJmIaRXI+nSg6f1CVWrww5NMBO/slgAh1xb5HvoH6Fu QPxigjn64trA324lWB+VpqeGLftu7jCP88BlMPI4uG5MRNQpnIbu2q8CUAhlWBhDpMYw1idpWLI2 P2kYp92bEMpiTye5Ed4TQt338LoG4cmBi+cZLdpQHIj8rK2Kss1z7yG3g7gH3RWRi8lgYHFBFYL2 0aHHLcXOOWWpDudicFkEbE+xb8ER94G/pImXz0MKdA7QfgBcvCwlC9cevV0rlPaGSkV8A9p6/ZHk od1kih26xeTH/ift4Du/SWCVIxEGqyVCKPwFG55ThHFBUzdFu0nfGA3yNuN8IJbK3Wu4WN83D+V8 3SW6JMdqXFu+PM5647/vlmHJ/Pu7OGvotKtXudALLTsbxOPmo/HyCzzaewlWxhtb4Hnj9lIbvC9h zg5oZetJabG4+GtgxYwnMalDziqe5FHan3S6OFsfe1f9vZz8oQWxAnW2ziDd6NiS2dIkETWP1St+ 5eZwv/AD08C6c5Np6IcwZ0aTUCLYy5lH2cNTofZQbhR/XRsDhLIMzyYTet5rduzTE2fVfxRMPZa4 7+k9Hw8zWsV/rWiIU7tuz1l/H81PXx33VWZwdlo+Fi8PzEzVy1avqDV8m9f7TRtY+rzGZGG56HtN WMmxwqNxcYUkY8hLx7MHfyvTZAoDCpbF8s5FeRxEX4Ucu3R7euRAVRP5m09oA8i6rphuxDVwnf09 ALom1Ht5rzUTU2COycGAoSRGFkrPKj2Oeom5hmuRwWKtWW/KQSyXnA/SjKFssx4oRCGGrWWjuMih pKfWN6AJn6OJkI5BfenltDgPg3flPKWK8/O2Tde9TyKkMkcNse944LV/jAX1orYgZn4tfQICKiTe EwvjFMZX61K7VX8zuELT38bygf+m+oX9y6uxshKB6quaaDWK/uVdCfYHp0MTT3+Wrj9dXsr741l2 OEgAF9Qo/kF2/fUY7GN3vDPutw6DGRYpPSC8zTj1JHBs1UdWrxSg3e97zOIiTBgvPkgN+YpuVpCA DRYEwN5UogVCJIm3ROU1VhMZDemY5x23ucCndmcTxtJcuJAxcnKVFahdL9lE4qkeQwzqFOXiPmPy VCU1VDxB4jcY6Lv9rWM+2rDPgzVlDd47KKGtqt+y7dsJoa2KuBubfCtijILIloWdLStyxYnY7xql ZxhY/PPbYOPppwY9S2Dswtj1m84M6DZKZejlzJyzvbVdG6rNejY2LfnmVjJIvyF7bfzcaxTayaAd lAB6y+79pzbhDbwVGvgWiUDA3bZQdCz3QLjcxYUbnO4dzEn6UaTW2Ps3GFKgO5Niw+gmUshwe7TA IcNWPymgLLJHF5TgpAYMSypt2MLHX9/VF7RBZDkU+qNI8t2bEhWR11RPztoFLAB+0cY9uCJTbWPe YVFayNZxlryqZrFy0kAAE6V4pcthIT9J9f75hystkAwKpg+nzLfxrOioRxzpgv42vwRaRCeXIW3X OCWpq3XDI3jFqoLr8xRCkKmbzDXU9hiWcYqEHOlxCHnhMhwU38hsdwEw+zzl8h4ahCd80Y8btQdm I50kGi8u461kKx9EaaDudxGuKXkdtgCfsNKlTl01vGxYJUOEshGBKNQKzymNcI27jVABA1MRtCWS vwjOcFNmoqXKLTWrYVA67ruVN18Dwyh1WyMjkLGAxrGMpQci0KOf4P8iBYYY9gxUJh0yMheGJXcE /PQIqS+/CTj6O3r4i0olYANO/tFML7+xt5ty/4WnClPkrG3MMXudvZ6+ZZhNMP43R/aNRW4784hB dpgRtTjI6z2g/27mmEuQdZqaBH31awiSdLUjgho44AzfKqcP0S2eYMgWi/G8u3L95W20GzayK/le d2S32UVMEhCZHKGhb+x5PpfCr33KYV5Nln7Gp1CFFK+VZA17WjQ+ZmmMjBFKmSqECwbVfY7qWCdK eGA2QGc2KinVOWiur3ZTQmS+5b//yjqAcQb9/E1ihAupBtmojtgfG+kpe8NpA6sOv/nZXC3Dn1p9 YJCf0SlK4XnOXQlL7bBgEFIagfefW8ct1GGZb+CnMZrfKIjA+85GbWzusMDq/Qt82RXzyvIgRXwd Y37bbZF8AGc4m7j1MVPvX8r1weVMCbxxTLl8RYVcDm2hAXZYz+R8WTlXrEwvkITJROca+S2Pb39k FP8RRWDIQ3uSSYXrf/3bEt31eBSncuYppef0V2YgeCUOcCAkUB25zjKe7Ag6i90yMZtzh/U9NExi 9x6jnAyy+ILexo+tR6UX9BDhRWbIu2FkqZUuSABI8HZ27jpeDu/zFF8SkALj8ntT+xic4uEsF1M2 3cpj59M1ncW2SLibcO1/gCdxbdQpQU3fMObdCwb0WEYCXDCICJ/Ws5OUrnv7Ze9Myyc2oaP76uAZ lKrj9VHH5j7c8KjJIAEbtiz8AzzjIup9hg+5ixlKkLspxGaVb7gJzXcdJl/SuZq7894I9PT4M6Ad puJdZ4BsxJ5C7AhIBLEAwkrKmXhYp5EFZ67Tj59Hw2afF5chCjwoaP5kpKYBht9qxA9WOytY160K ZuSBC9JZB5qIsaLT0feHFUD3Ro2SdD9CtN9oUXeWxKg1HP+u1g3MNmpxY/CVqscMszlEUsoB3WkQ 6nbNYljkGI2IzjJgE0ePvFXilDZ3rzUZSzOA+dLom/6C8Jp5QtrtnUqwZVgSBXvLKVcZ6LW6xSvl Fp2Irw8U0P8mKgul7GosNq0ZZK5AbrBf6dNYs7CZoNZU/Os9n1CjH9MunrI0Bc08hj3jD9R6BLdn xjYTSlM6+l0l63+1Hc+T9m5cW3DLHz1fbkJit0kxmWd+gNkZNa+bZ/wPlXmO4bbyJq69xjKluXju +dseO5J7fWm6Fz8ZY1bEFoXPZhPU47TyTtD0xV8wiARUmt/fxObexUncEbW4HSOek3Bsfa2IXewM YMH38cdX5vS8TLKZ887hvFUtI8LL75VA2kngCfeeNBKNhHw+nn+3uJhvYBjUp7z/QlDqbH7x546R kdEPKpc3MHxtlSMuEITYtYRuha4MauNgcVIf4tBkCWafaxWyxjQs8fOCqecJ9PyhqHGm9KYSgV7U xCEHAokjVzp2XG2F4YRBHfYcyb9IXj5/0640VPPuK3NCWacOCXExElyrhYaLW7DB7zCFW6DguB0h QbkfCPQ8wLieFnjNRToiXFCRSrHiTwX28q/btyZ+pAJSC+eZZbh0MPGlliArRKQz9bzFDXOoCzQM 558Sms5+nT54QFyRVtf0CFw/blMoM+oAW60vImQ5mQRPVlvDBqEs4kD097Wic56U6WYA+S8C4Gu3 aayUlWdEX7AsC/5MGeefHnrRrnH4v4uXsyKx6Q3QTepuGvogLyegYBnLn5pPTKJzefsdJuM4tdXZ xPUmrfPGRhH5cN6keC2lqNDvdAF55TcVorMDbCTqtxtTZB6HZob1zoft6c8yeAxrfPudy8gXFG52 8EZ8JGehd/i8PjRl3lPtGCadW9XBMkUqUiblOMLk54MzoyAHYu1tP+7JTJGCqeOG9iaRaoKL+0Qp V42M7k5YjK0pen2keBMkNzAAkQDeIL2cnbEeIcy0L1vk3MRFa3Bjr/6bYbytMLrhdc6Ab5dxit6e 4+xiXphbj5rebSl0upTiyEOdFlsRjvA2FU1+QtxFBD/F1gtMj5E4saxQ4M85H+r+MJWv1DciVfHs zKUdSyHEPuDSR7y49Amez1YkqjkxCoXZMsVQj2VcFT0G6u+EYIQMbM/q8bg5tIydsnVLibzjaW+H hx8/pwdJYr0RHfPXnTkXV/dPS/zHfIWrX+vkZ0mBPWXkAJu/z1Kco8Fr9IeRvLbBMjpE2IwoI2O3 JpZLFbn5jUjAx1wzfJgxULgdYkVtlbmj8tFYiUGWYGVBycXRj9SNAPFAI6BGt8HG41YNLmgQW5na SL8Y4/ibnKxXYJLliKtLrTmPtlvrUEnGyOZrWLflslFRMqpYGc4/0SBd5y3Bkx1tEbF9ZGitAvBO 1p/G0eE2Z6jFAtDV5L3pL2+JMD8aKG/Dbs+4zyn/7K4XfGYc8ZQ7FBx3vbceGiydXvXfTO4QjUg0 vxDasIqHsSwuSzrVGPlNKDuzZJ7EAn0PyExuY93SeHqdd5jAFTmP1/C8Br+v55yRglmMVAfhBilK jeBqAErNDIQE33Bxz9QIT5Fnq7OuEcVPBNpl4BdKJo8XZ93byDIeIvrTBQ8/40zwyqWoL/JD5oMO 5v6UDCc5hEhsvU4BPuFAbHHhid9BXlN3s0mGildf50Sm8BvDFUalw3tIsbpnaSSr71nuiwwPQchd gTT9GipiU53wGPowKK8S4rpl4BiTXoWhPOsv61TKC2wMmLSUut+uBe/z3irJ3HnXr65n1Fru1SiK 24SyacUBxmeHqumao4DtuPYjB+FyUoWuE+9OVF9XpeM+eKKJgdLuVZdd3CnngPc/Fj07SG1lIKZk oJBbQR0oVF1MCiEfWg6dfbl/mCE9FDH5NCzBnPm/fVfKZxu/aHVfkiSRqXqWSYbhroWmdY+mF5ph tz0AvN+nXDZE8dkMQDqRf0WV0r6dVda9B0Fj3Jssy0cfQ299F52rMx/xvs9JEBDR38lShNLas9iO DgjKGPrgmFMDg8mflSKEfGtI/7S440I/9QVY1ESrALCKJ87s0DfZknhYKPs5+00iGQe00Z70vs3n SlZp4YyBoxZocnMcNGzzvJDWTXotTePs0fD6g2DXU2TkOhcv7o2UhGMz+j2GZHdI6hkI80LIQm9p QmfTVxDIR99BA8SUsewxUuEoQYBT9k6RPmxsIMIGZAJjFabiRNLewHPfnziBCjRibhhP2DUZpq9H D9MA9LegaurSsXITCoamK4di0rOs954lxntxfCpdrrKVC4NO8h8BDFWI48/xNHWaHTmq/XPfE2Z5 TSHqmmGJoMijMXL7Patuao9ZDI+FYyZOBjS5dgS7YlCs6kchLnA+XN6wR0LjK5OtpxRbXUryEpZ7 z7NtYpt/xeDHlyiBK+yXiz0hawNkpwqJ4dil2NUb43OBqrixlf8vDXyRxyib/A9//4aOtfQxy5YY BEtW+V5FG/qwLazI3B0t7D/RuO48EWVthN15rmC8+0ZTRjULmJMGIG1gMjLKrqCIkkCaVglOC4rI 6UqQ3RCD0nfUpsv7FQRY9a/V+rky2BQjDo0Xa9PHJovW7u+iYOxv0EpgLptAwY7ehwP93L8R3SvW eIa9iYL9ZRytqlIljIMaTN5BA72RCd+kLRskUiFDEjz8+NHtcqf4i033ijciNNBdVOMFH0miS8Rp S9EOzy9+5Wc5XaNFVr3lJ3g6MlexUYCceTxR0Y75nsbzxmxHXh2GSzM66Pw60ZEdo8lqoEJ1MYSl nBGOCqe0XBbMyBf/6PDOhdsPNO7JxBFZXOCYTEZhyAgO7eY/Evymqlkg0bgXzP4BD5p+urD7mfjG uOrmfg3FpL+JtRDVEHnebG3Vh/YtW1hdcCwSaTO+apFkk9sRjD/silRqZlpxkx1UKj2SDx614dWH 8v3DRZkrCvGqVPsEtk6iweBaXgCE6GnR069/RNJ/cXgI5bIqm+i1Z4gVL2400I4vg3MnGBjclZVQ im+l3s0SpChJnYJLREQ5kwd7kT0a52X7ZE1O/e9s6TvU7t36so7qxCAsOJub32wqx4CmOSr1lYG8 fBtuRsDnI5FYr9xTqxAUBDFsadrS2cL8oclvQ6v/juy09kCy7uuPCKaIyI9mVoMcFcUOxYTXh7Fh tRHe3k2kSXM61OqxcXK8Gvvf+9tYfmlZxfYaxOvaaRj6290g1N/zHLiHrFCMWFuZj2iWJMNnGKul Md28AOVzgoU0Y7Me07PHqJsXmf+X6jFYWxDDurprsL1EEY5WAeA8OMgyE2FB6pY9C6Wi+ldrP24j dV0SHeMIxv5LirwtuyQ/lm7GnMztVUerMMt2+HCmCyWWWLVbchds1k9B8p7fEPp5Ryj+QXpWXYFZ r+0n9DkxZx6tKivXEfOwMgH1SnAw/TmcMQ8PC3BgigjwPfXDqamUKrmXYZoI7FpGwZOdOh4OT5/b CQ9Vp8+Fkh/kAwxXP0IVM/1ePGjtw2pwlVZT5td2pKMARadi+8/UAcc9MRSmo3Ou8fneXgp0mY4Y lsliKjm23ROtjtXtPkHqGrEEjT5KVBAsJbY4YgLEUwiP8I16hL/oF/3pPLBa5UBKqh9emXZEaB2w j4X+tCowfR+tJxeuKZcn24tz84e58N0sKe4VJKGM8RnIrbYk+vn0Z0A2+ojVfsKoGXGg1xOQRLFz 9QSGo8399OYmacide7GS0RzspZU0YBTa4DkcoOkQnr6JsBgv/CfWUwMTfHWJwEK1W5WTGyb9jPGP 8m0F5zAdw4ul/xaSARecsm5LyAy/TE3JPMQdpGJRZVbljSAd/5u6DlzxAIgyZlnRLDM0NCdaY/ww bMsBDg954/PQ6XCy4tIJ3LljwQSqV0Y8mxeIh0XJdVIDsIY3b5KHSujviNsNHhBH7ud32js8rIZR Z98vEoECEcDQqkcWDQB0B4paQRaNQqY/gBehdoPc/Bb25KHa7vNwEK2hM0GbLJy9rCA+KfdDi1vL 2QtYnfqZcg0f2JLzLpzvroaWo9uBlWEoQ15DeQFahSd4slPhMua2Vrj7DNjKw+tc0CEX8YWPyt1c ZgB5k4Wac/3rEUnqaBOkIoGEZsss1OUmpGCuYaek3TXOMQcvlqqqASa/h0nZxecqCa03PGoWdz6Q sO1HAK1XoOyxzLnGPpgHJlzfkgyLGhmVvHnhOxLmKc1J4iAScZk6BZc8fT5yShV8MjgoaAytjh+K 0XFUxkeqAa6vBKKyLnBhlvKhVT4vGYYzblbCZ1c9eEZssYceblRLHcAKGkWBUjI2MWn17JGwURDP EpEUstMiHNlXda7V/nupGD4Amt9FP8FuQwvPzlnEgnUDFHDt0bBKum/6xEskueI8vFT+AoJfp+ZJ rXl3KnkdxCEK1V1b4+MT7qx4tedpoS15u3XVzNndNzF2mY6veaIYVNrqxZXSVjWFa2YM/kO18fxA X8gtXNsvNBwi9eD69Q20JqTtvJ8XFkCvPovNq+bW2Cc+9Yx3tLv5kCmKMIXvZZMH5sfHcAihFeyD j0GwYreShd5QmlTsp6sBoVyD+F5kACpViLyRk7CLdU6XyiFbTpA7WDrDv3zFupWdhgL4xeS9rWeW gMm7ocDzGZpX347x51q5jdo9vLFI7evt+14DO3Qk7QNJBMBa+vf80I4cr/XYBFjYPEnVoNyvXu3r TFZEjiQH4JiNti+z0YkK4Qc9qrmP6cr5DixHkqLZXDyaqVzPec1HUq3JSfkGUIkPBp5a04csNLLW 6LOmzJgXZEXUHPbq7Gk0Fqs4QKdUYxrP/hEYmMr/3EieA2Goi778GTyK5ULSxuQF0a1LZ+65Cfxy rPAb9kfijS5WTdMnPzsPBDF2UFRybeQiVflKetnoGvgujIOCSMyyWwtdLNx070aSG2QAZwQY5Q6w V9LiNRjQgGw27hhoRo6LruV8paM52Qv0aZQuXw3ahoUfkeKPskwdnKzcmomP79s7UX9jUILxXN3q uFLwAZdfe1S8X4+ouZ6A/k1PNUT3x9pGc+ngcvsDe2YpSsIC8hyHcwzgAnym0CFKZGZDBLY+QdZG fQ7I8igc3l4qt3VzpuWpSIF7C3apfQvHXgDc7psgBdSrNYhtKx30S18dsKh0zh8LK/dwORC6R2+9 l93dd0Go7QMN0dmeAKmnoryjCsUfyKjEOaGdrIbVG0Awb2TpJHD9hQIyeVvhGRdU9p2rrOz8j8J4 BDVU/fPuOMGeCpNTe+baA6Yn/wy+UksNxMgDYAQCR5L8ZpNcbucrbicoPmNtWYjGgpKy1LXJ5+Rx APX0WEXhWgsFAgS3T4RrTSNof1DNY3gYXbuSwmfiRVtOeAPyBLh9f6lX0LU9ru/wgM8/dTLxj/tb +1kcK++c7zJlI4oU+OMqIR9jyXmxppePuFLgkNiorKmeV684DEWQB/ImTM1imoqRGlDf8Ve+/upD MgtZDo7U27FvFCL/Bsh9OyUhIeFJoA7/HIK63U7Pmxn7oxMGfGC4sBjm78kn3OEiOeL1vHuMa7Ld ApCJKPP2+iafe8EumXy1ojITCXnI1q9X/LJ+NkNfxLwJlnl+Emkpun/25bpdVVWpOg/abaLlxlcX qZw9Fe3NaByoCI85ZKxWTSH0Je0CBFh//DcNVAIkK7GujaCJurF6oTgw7fl5b9g5ZYq2tRfAFpSM Nu19AUMgV0Pv1VEW+MtHxptjKSaTFBVQ+2Q64ckGAak9DNAwqmUJdNfMs9RhLsLwWwIz9Bi97WlM KLgwJTrJ3meyLVMxK/IjgX5M/+6AhF+WkJVW8kfN9iqyxHnkRIYBahke0CuJ8rVzyUKZmBRtQ3a/ nOgUjzbL2JHom1NujSamvCX1sALuNcPUmzWGbKz8LQnqbB2ZoRFvGQuSpJ/Xvqm+IpthGq3cqRi4 UU6HJJUzd/TO1m0dle3QME5WSKbTV7/WoJufd2uYZDtwcIT7YEjjTmSUyG7X9A4polw44CgyJctH 5XCMwpUsl++0Mv6J+/FlHneQisaW5WSMVKF2T1bbL3I6/JLsJpmmWDsOmAdjj5aGDHasA4v+Lhhc eVq+T4oN7lQR3pI7EiOxOsv+yOz5nYQ14EUDlm5g1FDphk0LxL1+MmyZiBBTyi8/hTkFulNCNfuj LCJlEmFstc/9rq3llcwN0nuQLuFk3RAkMxBgpHxodE4G91K/jwRIAjAakICqM3QN4lGxp4qUPeXy lnd56b7FVjWwac/jtKPRbZd4nME8BBa/3vJuOr/Gqb30uF/EvW/D3kk3538KI6VmvT78XV2KFPUC Z75BHnCLu45ETGuHKyP4rhCcitAJoizAEAvCUiI+ihEnVqDP+zgoEEdMnBfSwwfcqnHT3pExwJgX 9zaO6Jn/bZJFVaeLL7+1P3v8PLW/MjK/Y2iubilSiycDpRxqNaofvhFhB4wBdu3WIIYVKFlgkywd 88Wn4qZHLVNxm2EyOuN4cHeR88InpfrMZn2vY9KTz2L1Big1ugVq0dZjMqQv9R+/UiKc/VR13rW2 iFyVLaUtgdcrG1mkwCNZy5c8kCYghC0o2l8mfnu8z1R8FuRbkCCBUmQvnXN9ORvaLaBQw4hRXrsB YTQhSjtzCx15RNoor1yv+I7Fp0cJrh1T5bX9A8o40FTln9de8Z6hwiqOxAzBeVXWuqAPBo0fQimX D/VS4trcY88VvbHDCRFG1ACLg4F1tfSVH4WbtkD1BFkYQbSa/IZQ9pcRVY7Mo5ealt95QCp2F69M 8aGumb8MmNwFQms3de9KnLEw0EuSHQsguIdcWLD9sozt8NMwbJoADpvatyEMfPlbV0JuDeYwCA34 +Bm5Cdp/L2QIeZbuFUnlbIzIx0rThPDHyFXQV5LeMvHgqGBNNugXZ7gR9EF2fyvmIuh++5RpK6CR UpCCEWns0vVQo/oDnACjuNYh/TDueV17miHuauoqVsh82r7V3cUrb7yT3CeOUf/c9KRTILQd9xU/ vwEZ6itXg2/ntcK7y+CbyUElpyr63ir0eXqEtvHR1bT9/pDt/W4IFiY3JqNuDOlwnpR1QlulL7r1 KrbOQqlr+B4b3CziVDMyrBnLxBzkym6AyaFIaSc4JEX3LJKHtSFk0iGADPkthxn0VWdiQ2TT4GZ6 hXT1vjXFEzC2TmSKbWKcC+JzyB0LN2AU7wY9i0IkOis6wNO1/fqGpbMAygHEYG1cbNw3hnN4A7lr aYyipE8/GQ8FxL+tkkBt15gHQ40h+nXSSsqGa4Dvv4bIUxpifTz7oLCgBjxXoRIPp6MSL2olWfdq pkfA8yG/DAx7ZRBaPefDy+XV2JV3g8/EFp1NvRskSZS9fRES0n9x0GKwjxqeT09Im2g6lAZMd572 lj+af32hnc8Z9ymIG6lkkHxa5y+t5OAQ7O31fhCoJRUrTTcp8xBXxzgKzCSO9sW7sZtPg70Y874B RQf/azBJujGkhT2Kzw6FQS1X3ww9R1HbLonQ71JA+fUh+w6pR3UvoT7IgkTBhZ9om6KFUBUZPt1o 3VtYVawyX+W0mAL0zg+v4m5TJzGHvh5tPMWuwasYbTmFP+tEah/ip1LZivLWkPnxhSbOi2e22vKK tLe0RLL9Jpqj/yBJ17RWwHWism3ukgYAmeqx+6ce8RUfiJpQslm18WrdiemXVGg3ExRl0Blwy4jv 9PUXo3pwUUahWViTdFZ8WDl2TIm2BY2vSbbe9nyc/se9k6PbX8JItweHR2oEizuPkZfuhVjKG8Dd vCUrbXTLot75q3BcE6wr16vIzI2R6mXw3H4reMXdc7ee6pZwcGgnMyOCd3aVQUm1JRpRd2L4wC+p pYYYEFq+/zajO+3EpTzHbBPn6i1INdo1FKJcwil4pXasrody8XnQH+wZjxIB0TiuvUOZDAOH4mks Kt7ov6wjfUr5n9N/ObfFyN4B5zLwf7Gtt19njAGNCpZgtTLTMnpxUWGe+yUKXlrOLA4bWhyHv3ua djGwME5nYZwcK7MrpbUJMuyaIcSLNr9+7x0nQX7p8kyCeMR/bvpLggz3yCOJ3qUxxjQkD/psQLkV 9tyk/sTXwFm9jjUtguIVtpIZ9Omj/rfp5InH9yry4vViOQOxvGxVWioYMvCTTOe39+66lpjU/98d hd2xfVPcJZle82yuYGGykC02jWCFTGpuvlR6Nl4gV55k8sRVm9B2fpiqfx2KPD7vn5EnKkwER5zR GqmEAiSAsvTIED1+4CvY8+PF7yYgNmOEcUCwyy6bguyFaojNH6M6kqjC0Sw3au4AuA9SKsfd/hD6 3vyi4kRbSjXZiNXpSMCSFgOoYbF6mVs7c89TUO7KPpeMOLZYjH5OwZtIvIdA14qmmYanyUi947Ad pqOHMWw7KR9YMwM0rVa6iwb7rCcneKANJ3siXTNLAjtqn5+wBs50dyMkDS8DYBxfl4rvaKwLZI8b U25SYY07vFs0P10myg8O0CbzuNZ8hHc1YHFDIpYEZpSGp8BvvErR6jSa7l/O1taokBTx6tU+B3jO LEACXebzKSKsamgqTaraFH35c8Mb5qDdgLtxg8fYvdlXAP5lAOvLjsMwSeFr5mqMRPAbO/u1CpZU DLtIDkhnybCfsEc4scFJpC7QrWmCKp87xsRkx3OQrKYOW7ESbH32Dnocyfwn/6n6rkza0BSmHAdj Je7Ua6nTFSjVv/HKDQIPqITE/mT56pNApgbAslErR/EN68+cCZgYpfVNhaiG9FRp2z5TI/eo1Bi8 WWaf4PoX6gOTD3ug3cT/hXhIVd1t5tIhwEYmvPJG/OaZxmS2wCbnaionj0FRV8GFXJT8jLBuez9M jXXNhz/AGuAEmpLzbKAeqgXvRuEz7SLIW9c72gV99zl9ZWaJ4a1dQZlkZS9g2C0p3ZDDt+KNXLdq eXJf8fNkDzX2Y5yLsXaq1RRZlITnQgDtHFaVlZ/eFVizNBIXSLlXf0/45eLew/R0xU4M6bLuCuB6 cfReQFOdLvEX9i+IXk/8VsZpt3sc7PwKvTv019oagaftw79SV7zVr1ximw/mrts3ExyfkNlkT2cy 5BRF0TZBFum+PqPdpbuUdnvlLgG5+K9LFVR+/xlI2denVL3N5gDNxgRF1UGU3m2yKFqaDwC0ZfEw PE5xaCdQkrIejYKq4cJCH6WbMyb8H0e4t/8TPRU1GILqGvTF2HmEtyP4tN/MH7/Dxrsv1TnzpDkc UM13pPzSH4zg7Nhe6CHkAjUpDuLqlL6+nG6saqJscBhHfgYhKSP+nFYro1Oe8XhXz2EsKuem19U0 7y2poVhKshxhEM6pl3P0WAj+qOU5EuiqUm3QaUgiarCwakBZmFObAK68oUa0v+8AipuDsAp9KutH YiApuQ+bPOs8cDl9SgBd1ow1l57Qk8fOrAym+bSvGhEfLT258iWa4eLJbPCny7wheE6X2YrHLwr3 We0HIrVRKn7oVxx0KJlP8LDrwViDu48lnHYCjZtGG0jxV9fRGbl+7NAoA78Mok1hnIOQDWF1TXu2 qjL3f8FF59slGK6GXI7voUd6ofBDBDe+1dIAfcLjdmEbX2U2OicEEen9CXnD6HFYLe5Cge6xCc/I RGmV/c/JOGMjB5NCDSNxSxqE19aBPW+pb/ifhRo1Y4sUoOOE48VpUNZgVbklXYaILcRyYUsfWNa3 jDtRDwjZb06ps49qx5KzLqLB6YItxD2xSz0qSpoIekf9shcvvyJQ/Fsaa/5A/kw62Hy1v1lPBpjS eMcLnKhEvCIh4BPYPwgZj7lY0GaSpvZ3WPmxkJNW8/8gSK/U5jb0jkjEt9t37GM7Xw8Re3VOiisH sRL1JHnJtI43P55C9vcnhb9IOU7gI/xubjdy8Tq/EhDlfPdPrhqAnonu4MxaPnhLMNZzlGji10SR GsvLm17x3z0BW9cUlfKUgO2XXVkt00YVHEDlDhNM4LC+Py9OK4GhWg4qF2e8cOslwoD39hVzKWQ8 bUNLNxjxFH+PlijJ+6kNB2OwJYPfKigON4X6ZquWOQlberzwYZXkSL1KitzpRf4D2uvfdLXmqKv6 nUX3DOZH5ef35v3zKaTA8BC40NxobZh/odEn21Mb8FPI3j0ALqi31PecINWDMLOK8SofWF6HHTa+ KEoD1JpW9HGdHs0F6cktsJFFkjpDmmJaHhF6k7ZljkTC5aT2r9S7FQAPJwIiUQlxZ4YUgC9JDPxJ +gx+0IJtbkogkm6TGCXRTG2nt3clBtKBCKGSdiUQ00s+J9QXeR8dGifO4KhyKFzMhkkYtUMUaEqR eYm5BCXqwYSxtHn6/zfisJKVpJIWVG5vswspKcqbcTqUA38Ygoz+Wu4twEBEPwhPI+zEtFcUrSaG J2QBLclddE29y5KMhfG04wojx2KjnNZTAD8wOH5kkypSJoJ/KBCZtxREDW8+lzQiXYDL/vfycV41 J8QsVklQNhaDdkiofGb30/X+HUv43pyDxwL65RlwA8GYTVml5akF+CNe4mHv+ojniYpRG/0p1sKW W3h9qhfwgVjClepP0yTBJsJDeGXWDWVYzNiXOfodHdIJFWovZVCz/2MwGYmOWyR+9ZPwunZyTO6z y8OhE5kFlZQld3IOx1sP4HPxCQQKuWhh6HRyH12D5B9X11ULVAC5bV0Pm3zU9fFU26JKgTbaeAgW +bLU6OrhUpAnttsWKyZk1skUpgZ1xUkEyyCr5ovf0WrWnzY0+B8r7TXy3ouo6mvbzoEbuRqfSgKG FdUBSYonWTUwUlFOI+7pyq7tSWEN27fCi5X4FA0n3gr2N9qaj30PWkghhVtBEUfwyOp1NYP67goy 92Jzg1gTBtTsp+FqAsqlddk6oN5BOlZNhww3D9EOiJsWNGcqU+GbzdkoDnydWsiUli8MhAl0HwsB E10hHwMmMHXV6/a9xESERTUP1oN9TpksETlKSzyWuHHd6rtuXbB5MW9bLeMdNP+XzXpALn2hcnlB EzGz9e3zogT/kR8/rxK29NAPfBJxXUG3i09ONb/HyJo5sUls7HpYdOaDzXb/uBQjmzQ4O4aZfKBK R94rhOtyRuNsTlRBwXmQwg4izbf8Wx/VL8QDs/py/ej7oQW6qk3JQEcSTkky3MabG9/2SDi/Upfy y4CtA64huWmRLbpU0WZeQD/C4DTt0Yo4Oy+xriZBrqLQQKsnYGxAMf8Vx5yNK+5cmCHUj87pmYYb cCA9nNotr4BREE5RdSdeJpmQOWKfWfPH+HjnHkE3cMUHAfDjTZtFiYUCiLxsK2X5ESPL64f5C295 4bP9UUwVCwb/rjXmNu085YzS5EBt/GnEkMmsmmYAB2DAPhFS8rcGiR1ymBF0+QRkWQjxNDiT8KhO /+30M9/jhJfWG2OIPjg3b0rvYPt0aQUY5iT3jDFcKMtQ2feBInsxWQsilx5O61QPiYhiD6+glz4a RBXYQk8M5zZ1hCwcNfFX6mJmgeRHcdjZ65JqjOQhXMFlN7+8kvoIIpF/PC51T8EpTkNmfuIfSTkG EuXQR1M4DuvnYVky2ci7yLfjOZruTXPqUJXs/w5MTfNgEOuyCnrPBKh3ssO3fyDhQTRDWXal9bjf hBXnTT89yJhz8v5mSCXI5OTSGGtL+2xn3seKuJ9yRHTrMAA/1KqD1aSeSqXt+SG8cZGvc3HrEDAY P3epdMSMK/eNtjyg0IQwOMThaK+iJA4rvgvs8Uszt4zjfI0hQVE3F5S/s89upnZjaRE5Nt97qmmg Xie9nmGT4buh2FRzSjbNgRQrpaCK53kZR7amPYR5SiT7xgYjgfmFAHqGRsqm9Z/Es5Az95tif2l5 LENf1U67Kuq5mHV9d2S4PMWXZn0+nN7tucVA0QzwUgTKhGI3jG7MgU8jNciB0VNgwA9vJCWYb8je ADQ+GV/4i5UHAfw4vneA5R7U5hFuGCwPtk7F9AWou6QufQojFSfRXRleG7LVSQ/RhCm9DCLx5RqC f6CdbpmsPSRmBzBjgXi0nByvv7atyfOzIo/BqoLaO6EkoEp7dw+NLn+snMCM6OUmK3DYTverCEZW CPPw1wheMjLkb32jcwgtiQbL7xJTlYbnGcsl6Dbo0Dd0ae31qd5akjzzjinDBThk8I0PiI4WudIn qJvxtqPTDEJmX356cRYizHDQkCVWHBEoTfUtghjtJCTIHM0h4Tew399CfpegJXHK+zbJO4SMX0hE 7CHEAUG76Jl0D7UnfOsUT4h4sWxEVyIGPol49zl8exGoQwoyiDiOXrrZ+5iMB5YRQRW6dMn+A1H+ 5JlDFrrJ4XECG0jo6NEVzqXJVk276DoFVh9RrcnVspSfr+Gby9cXQ4sIgjQp6rYNyDFosWGBoEyN hyAEmCyAQN+lXxeIA1vTKxI/79Kyx1eIU9a26dOefQn9jCjLGLJH/eYyfzqTA0FKMsGfApZCPmj2 gQpauwXk7tUJxatg3cq3wGeVkwZRhuAt/ZD3J9agRXkSSLSNNpMqAJYjjUJZIcxJddJrzhXrTL3a u8gdt18h6coAaVf7tdaX5fqO1CVxlLV7NeO74iNX76resze69wZhoxFmRtfaiQDXv1+++hRbWZvk X3arQfNVAyUptu7nm1cQJSd9tv+DRJvZ2fN7GFpjpjUxNBFHNlDD9HaYE73O4lR3Vdfngw4c6NtE gzh9thX2zAzX3vOSA4VQnenovqII7esv1ncBYTvvKAM1+O4PDjAF0eXPj3zACG048Yx03HHxe7Yc WZHfP/yFr3b2pmWbL9zkiFPiNgh+r2X4iD0owuP+NO38Q0wrhdnTl0Hh99YOhjeparSlcyi/UKAg t0QWlIL7XxljbzgFEZck5CcQwObyxPXStzqEOBpDCoMtgPQ8w8h1/mpaimeWjeV9iSDvMz/X81ZW xCqF8W1wlPR3VmaehmgAJckHYDEO7l8J9bsIdbMAP8JnVzCD7I+k7PQCbsqc2zqYkD9+qQ0B3kGB gBEU1KJCrXsCQMAsQxTxiM3jhg1N3ajXHQPKqXNr8DoDIpOOBXXG00LgxzVhIJEmzlp+7QK9qsxm sSsqL24VtAg/ewHXUbir0Puoktd4Nf8EArdluS1iq0xYuxJmITiqj4DfGteHXQ3rVv9RdktLp7F2 ILhkT3u1/jg2TRXAtuui564hliwUgdyv2QNPkcsEUK3ZDtuqli48E3LtR494nJLr1rlkcKSID6ee vMMO3Xg0/i4DMxvULnrBBBc/djvz8T7EJnxX2buUVaAvzrI2wYi5OvpQaBnsodD26OnVS9QQV4jp esm7iHLkIJgoWsE9Q8oMIIXfn3qQzGeyage57AHP6r0uiuCKTT9VBAktP4THB0mEomxK8LnddhsP 7V0tyN3Apn2uAaL1ld8Yb2EN9adnl5vlaOrxv69pgm7R+sjN3rm6MqshXJDrVxT5oDOsuM71TLZi 0sI2q8Q0ayyyhbU+6mggPmnWS6G5wOT/qVjBc645tgaiyHneAyomAduoIsqOj7Dp0l/CALyJWmOv ucDBZjUEzUeBck+jXv0W+x27KXbHZR9rW20ZFd4VDvgbgRePrxNJXJhXZ/SSoAHwn9cNohoAEO5z eMiFsLdr6rPzkk8N8BbjAK0DDDbqEjsWe3NjRO0oWlazKHPvYBXuAWNsuFZnnt2pOIJ+7Y+kdU8M OagEBipnFkc2KxVEx9ucH21TTgq+HSetPD7wZKxFm4X04pz3Mj+e3iJ57d9IZbnEau0NaRe/Ti65 kJUbPshttdp/7joWTVDn17m+XwxN20unrMbdd2QkQVFUb9QHjxmaZfYz3PhqnXJlNWd7agbCLjk7 bSYdYHP1HhXJPMV2a9dqS09xYzYvbCfD2olZFLNmTObo70JidML5vXcbPvu15c7h2VNM7Amd3Jy7 abt5GVdXt0QNeBUZVPBGN6v0rtmb0zJcy5tObGO7pbYeh5Fb74HdlxFtuytKkuEqt7i4Mbfv6O+A P3hH9LJ/varQLOXygfHJMMPyoFj4j4aC52dI1UqpARmNOosBM5GA1T2MHLRmfqo6XpxJpbuwmWYH aWPVqrYjcKZAk/NDoy9aXifXi+zqjH/X5QqXFqag3AAYSKn7PBkZ/c8D8t1nANncoi085JiW/ydF 4DPMgjMfaoSkNe7OCq4ezCAOURmAf7VqQPDKjieB9U+my1H+CKEUpk/ZAfvyZga1ov/OwXTpQtdn kiCBDeKk/+F3FkTC6KuJwa7HyoU6j0CdOdfID4mImXDMnZLAzNxlp2L9GJmXRUrAbABf0lYYAg39 30ZvSiAVJVBsVQKZtgwB9mwMQ3pOc1ry4Y0ido9NdTM0mktDGiQbdtmy17x9NLDwcovmZv1Kkm7Z fruq1D3YHy2FrmvKkyP9hRtZa60IuRUiZWGuS9XcJPDCGw1JJASNnIbt7ol5culHcvmhYEegzYuN UhP5wydilCLCnzsxhf7EYL94Fe++7ga1bfjkePKfzWtJULt3av7u7heabZWzJG7+TfqrAewJoZ3M XCm4JUYFOwJulvbY1yLuQsQFKN3pMqRWpGIuu7+KfXASJAy++djenbueU2GwpDHwFO2blnsp4NGB K/mUPNMSxOHA6jVAqHgfUrrQMWrKDQeZGFiyZlJJaWvRf5XsabJA+VHXXCKYsRSOmd5tumZTdlya H2tLc/UudWig+pbNJRJXNbArVwDQrU6alFeto/sSyuO1/h6KjI8CNV4BiCWNo8c9ud66WwjZ9z1C bidJofWWfQK0iwb7+fFydd3Dzbc8JCdpR4o0bPDcMV5CHqW/SFMXuvTQOfU3jsqGr+A0hFG9u0Vh gQczyZ+DEtynL2e5cMCbCkRlo8sAb5rNhiXrAhvspJ85MY/dAt3e4ONa5eKwaVFSxzFyE699XzJH OIR5XXDbRmIECQyZHwYyS3P1q8SFt2lqk283MIm3K6KCrR3o/XLk0F9IGTEHLQOb7tD+gnraiNy2 PcKHznPWZsTF2thcnUhcH3t1yhY6V+t9gkkBEWjTYhMiigONwk37EtZGa+Hr2ZXlfA3lFHJ747T9 SjRrsCCkCzIw+4BUAm2yaS5RkFjO3XDilXaXht58W4Ciju2yJ8U74Wg2tk27ha+u6BuCLgmeTeAm brUSxvZUop4YPjy4k13OH/9IIPBx/K6tBG/zKQnWQNw/cVxYEkktGBP43ybxk+IXHJspgLHhRy8o S5GlyRR1gST2Ljh1H6TiXr6LfeqtQvdIjw7Cs+WAp/JLPcOdYBLX37wa9mJpm8qrtavnwP+WYPVu /OcyzZBPKtRV7drlpaJ1Ph6+QnjlHyoss0HiI/aZ2hOi/geoNFnqZrWyUxXmX4cR95+vDagl+6E5 kJCJVskMJ42QDG9X23Uh96QVB97tg47O6FfNvxoibvb06umxppf6OzWkpLVm2CH2/96mPfpYFlxo G9ZLBhB80uIeqYcinREOpr8c/F1PLWfBovwEfr38tCikldE0n32y3YfEhGQ3sjh07GwdDi8EOJws P72jbZp8Ko4htma+gsYI5M8KjptYaoLeclI4+h93Xij7KuDp0NHIRHenz+pUozRQwUl7aMGH468r CJA5S2nIt4dmrb7pJxgLKPY9h5fNW5pMHp8gp+jUwxaV7pSHn0iba6UFdZQG7P/DCJLJz14ZUhnv CAQ9kyfPQS16LWWnkbuZIGhTd6S43odaVHYkeZeV2mLDzVaPh34Zf2Te+z1WGfOzGFtD0I2pFFXO Cixif8unEmle1pmXLZPuIJokCy43DqrIBvj3dPgyqvEqgseQ2+YjoP7hqVMWfmjT7qaeACRfEYN/ Odci3/NrinGUYCFRSBcumw/h4WB30Qww/YNy4gB5jBnuF/QoniDSclgFfOfmxdpl6WTds/FmUBIZ MESS/JvtCutGWmlezjPzUj9iPPgOezsw/UoFroO26sqWKkCxTt6BSjZCI58JLZkvGR6hCEGb89k5 SBeENehWsSN9cUWrhscC3aCK6HpGoiAklvkkQ5gIiykgbIs+Xd5kNoqheXj86fh5dz/2P+RFfXVi e0w81ZtsHBvUrPEDFawn4hz1FLb62zaKGBAmTPOi/w6D2xW2XoFKlUP346eaHmN2AtYseiPsEqop Kut2HzdB7nKMu6/uLhvLtZFWObsnq4XYQMIdtpWdvqudtxN7IJtjh7qt1Vkd8DLKrG+p36SP5ih5 DG2Yps7AUWOLKFegvtQOKQor5xDjKl7dR+BQdQNQGEgf6487cRZ2giD8lRLXnGrezgpsOMZdzV1A XioW64UrE5LO2DkSUzAeH94jycp3rZ1mZpk3xT0qRPRRnXKREDiAuK2qJZ44ckyLzS3TcGxTOTS7 KZldkdnr03xkciMJ+Iv0GGYxcAbwKJQF6Jtxu3MOVxkA1pML7JXHl/D1yZCziN1KV/F86QOmUQlB 70VPIK7MM/NDYP16/LDuvzThkdv034pRN0dnBwY/UeS5ZZXjDuBMVQd6MslOQliUIPPjbBe/9l/s zNCKfQW0CxamuYjfZ5JkkAgtqeeQnEjnT2ZMfv8HF1VdImjCjq2IyW4GFJRfotEfjwCpUCMcA0AD EXES9TCav7KDz9vPA2HjyAyAFmWGQmoSWn9FjMG4mY78zXGVcG73s6RS7oC7uuzGWGzibt6X7mAR 0NSToyHQidzqCH4iF5cUfEY/ybe+1KIpzM4GQqmOLf+Hz6oxhPAzHClZvS9IdaIdk7jicZEklOjv 8X73gOD2wyZXQWYFCpvSI+RqxAb9f8amGuaLwwXmHyXRLIx85yOcEAq2JhQG5eGFDZqMFPSTwlkv ID0NRydB31g8FHKBsAiGm9i9CGEfq9Eq6JpPb4BqS+03Q0VIrkggTTI3bqbyZJhrwbET/pgX4YR+ XsnJ+UATl056xT8LhUg2xgwNsV5HnTnBYdQOYthhUcMCudm94TrkEsZkPR0If8e9wa+Z0stekSRs RiFMJCgm0WQ+CglsfVKAx2cAQoaLvp/mOrLBTStzitpD/Kg3hU1MAyqkr6WVfLe24sxlAwuvXMHa v64sJdz2q6uoQOfPjcEF/OkU/bdVeHcw0+4wyHeoKvKhHhNVMt6PMA3St8cddu4+6WqfGb9tXi0x bNGsWAu9UH9dJrHx8KfxskDW8uwLnyDuit3Far5OmKbmcNq82cDkhujFUc06fDBpVqknDYpXPp+x jGsG6CQnc4vbMQ2X3vg+V23tPWs46b+6ygpgvQtbtNMkYkCsfYZirWPxTGL4dg21PDjQeDm6jxjV JD7cmWunhniOJCdRhDZSEMwVx6uX1peTFr72bwkPt03kMP1pP/TEnI9Lbgx9FYJH6i4BN5680P3t EZov7gygYnAgsshNrpZl2LXDiXHHkP+f8rZ9WdekqEbNfIoAocdLE17CMddF1GQRJQIxs4XR8V2J NeH7defNCRlxEUaOd5vUtEafjPUAbbJID3VqdJQQmeZwlY4WdLXGtbhYw6a/ayUnCWisDsbI+7XT 3ZURaTlZJIVwUKxYntzF+Bdu2ZAqGl5k8s8D7vUhjZr8hEGvwj42yZor3lMMbDXebthPMmF/NRXq LOugSvf0Mhbxk6XWYb+5MJbDWpsE2ivOwshyJ2ufcLb4ahMIbkBnkll1qy+Btz6JynWIIMu/44gI QKcvmW/Hh6cTIZUUAmoGM26dEC2w5p98sQ5LvEA/jkPRZC2bx0RXDw2QYm0KXPpZHw1TRWk+5ICb KIeVDi/0xhoaa98BqUziINq2j6AhJzjzhnyZ139E6WUnnI99C22gt2oRZBfAp9rcieNPFEdyJ101 BvKkBbP8zvAK77gE8zYdfKcHFxfU0zoD6A8DCpsyi8Gtj1ybwZf3IsXtHJ/8dcAB7AyIjlcbaZVA CAC6rssAZ8cyEkmlE84jyU712Ywef+stenqdrmCmITTcIDslUFF7VYomsbR05uRbeXbAT/bhmv3u vqeWAmIcKwNgB5WLdy0r3ld+56O9Qjg6BVHKOTrP3SEK/w70EvbxTVOmo2eQ7m5OZDp1o87op1P+ wXjDen9r63qYIbrVckblCaC21GG/jG06BKQrSgQYk635hVSountBVQQN2CkTsIJKkdehJ0q5XfC4 J70k4+hk8K0HzDleqNknMIgv6kgPS/t5lit7FQNx7AEtGdGdGmMEK7bOaVyLmDok/z87FkqSLMxu JgwG9YvKp2RJdzOKGMlpS1oL0VIyKTlSK0TwAC74CEK2ULGCkO1ni9MFimvcxMNClOyuJYUjnKFO oM7CRm5SR04jcxaphXE90mvPt3lXWuYYKk+dhW+j7IDf4j0cUyMIlxcEuMspeJ2sBCv2BR47RvZV xx+W/rLt67bbXuRighOVdI3/DCijbdpgO+USmBLRbn7S+MjwxqiZLvt4X6iWzkskWI/HTnFe/z+V 3LOrNg3ptALF5tZVDQKKaXAQ6UhH3iANtdVfkPFNpuQLWIeuFreJjbIZ+QuBz1XCIwXjUUbKT7fH GIynjqvvUIAGFcFMSNV1fWNZ5Mq0vZ9IxUIaCeFEYTcOn0LnTd76ACs7Gt9CRHNA6SpiRe8bZf+z 5TrpRuaUXGmoZlN1MDkM5SRRPlaWSE3HmDWPPwBX0TV9a7PqUohs5GPboPb+OUvzA+d2bRg5GFMp xDML8MQRh57eeg92JKeiQ9KuyIxdJGvvA6P6pO5eIcs/wg+6uFhm+kDjojF8wqTqZ7GRQA4bMXaa H8anQ9xbyDAEQWSAIwkZTJDMwnJ4WlMuieggPooAKPVF2NirAUs2l1u0KmrdAoK0hh/xgyYwZqlJ TViF3QCflQt7IqrwhK2YykVzaAu8GhDA2w74LsOAlji7ZLrM+mc8A0db/UW1SLHA2OvnB/oTQb+z CBpRRlaatxG64hXFA5yKL4mBZa/rVmD89IG1mT1fD+8ZMJyTKkku9P2TS4gbDwGBSqY4qY6/Kd86 8DGhU4CE8YXNSB47HLnZVtuEMeemMzC/9WH7prXmSJSWoD4vpsO3/FGd32PX03LQPZZWcI0nIrEM pBGaeFqOo2v/COdDaRzh82BjEFHaAivy6xWp65/R8usHifcjLNIUosM9QnFKs+DD3YqCR5DRR6F0 6belvxkW8fStYbTOKx6v4BZWdKPWQidlSWjm7MH1Sp9BsbDvet3UfozoQEyt/4w392XOFUhJ3qGe s2q7MCDVrZrvEO0SGWjW6f4CRVLPl+59s8lD1JIoJna8TvS4HF6UStMk1fsmNdwoMNSQxsiTOK9L rA3jvR9MaJwwJe2zeQd7DwvJLsd5PofWpxLANX0bEI/zxdcv5qlxZo4C8EZ2OnwgpjsxhyNwlBu0 qNWFaLlNmZTssQ+5JoyEhB8wVUFVRheKhWG/iq7TsGU1+AeX+crsPIILr78a53io4swIK46YECWc PxiSyn1nDgsqME5w5EttimpUSpDe2IoPrCTmjloiU36tyHbX/6FQgxajfIpY5Ma2vz5BcHbNvTQi dFdEDQk+PzWwZkXB+xTDPXNY2YOFl7BUntwaGNUgmVZD0KwFwAAqBZ1KUDEKi+B+HuN4dqijZIh5 gyI/KqL7JmYNk9H37wovIRuSevLosK+64SEkPb/YjGDndO3lXRKd1kqf1y+m4y06+jLDuMf5sYTw r/EbW6ctWPCzC26ZyR46mPIOa+Z1x7zkWBFI2HINS9eNBcd7BLupAN9WqG0le9hk6SCGmv0QRBd3 uEWDfo7J1QLKgkF10ro0GE9uZ6eHTXB+JP246ytZkQATQcjyS6EY2Gs5VBjNvNZck8rHjo4Qmz9z Ea4bY3q2WTjEC0tZdJcOn0MqkxA3T8qSamB+LAtCrb7RgB2i4t53iPOPvQiXE7RZ0b00G+KqC/oB gXSJA4nSZzFtR/tmliY01HfX6IUhTiPBSD2zYfOelb+dFYhyNY2+8b4xPfEiNd7igj1FpVULEd8V kDp1wwyt29BbJZgPzOBzuwpDOlIVlOonPSfMr3t+I0XEvKEtZhCJYoB7UtFJZqIavKXfTsGgXvIw z3H4tfQsC1du6XQq+AlvxVW5LB+7fa/BsLo0lMuBeC79VX205GDdViX00UHOddNniHVZGz++JLD3 ZYX5nGFWiSizlh4Y+erqO9guZyJahIcYnp22YvyFvKX0Ut8dBlVrWoLZ0YiVZi0JY4RRIJ1fKfoX tQEGQiVyi4VDtvnGpoobobwdkC0mB/aXzODCqLZwvR20umNOifmhyPJ3PX4KA0pKNXf+pryCM6as azB6Aq8hr/OcXzHXGrlvdaKOa/zpojxs9icIX/ra8cmkAS53YEplttvWotZnqfrL28z8ptn9jyvn s01+cXo5aqSQGkM63LvXQUbSeqwi3VQ5VmDNp5Bbans/McTxefN/Jnx6u/OwTPN70FKlu0UhlUPr j8x+bhzGRnAhT9E+ksF0heu4maxJ058MDz+Q+hNs1rV6igF0Q8Ht1jMQfUKvqIzoaSdzvUwTUkEi o0pO5pKKR8Vp106frAvUT85nF8gVBU56n8vpUswY6mmXowX0VFXeR+0TY53dRsJfP7uYt3UsIxEy ClLWTIWC/z0xR6Svs2EzabF8Sbs2sJLYbweEU/wryZ09U//GGC+stHoJ41SKyGdiubFOBDWjUR36 jpBk/gnOT3IwPvvdqR2DUuDLDbNfm9Ki9ZI5E1ZLHAA1VrfI5LsKk6eQo0nYLtZTlu2KrpWha6e2 bRTGlQyLK1s0OtHImXazgyit8tDIPSZMg/fjWyn44eT4UUnP4emaXSW3t0zSZ7M/+YyLNUk+HJWu O9lZPovRYcXTjBxeiSmEd4yI0a66NZpl4xIL784I4B//0s3Q7WJLhYwl0xxmao7MoSy0VizCYin2 bt/W0P/qcmyjw6vFzZwX2m7InhOvxdJpLMya6cL6Q+IRFR/tNba+jo66ZJozeNwuMQ7kxN3kJ4Zz zgsin44bou8C1yyXthutIkG8oIkSOGso2kMmHXeQhaHO/a1vBHJ5zAAx/KzwDwc6kx6aMkDys6Vw 68ZqBaqWX4VE7IJHRHwmC+jlpGT8Uij9fO4HoiYJSvXkI/bjudpx9gd+YcWXKIEjVly3kBDEWcZN Ol24tlSSHyx/eHdNFrSgGtbaLcKff62+IalQaOC/eQoCGa9yMHAvkOXr52LVJ8R6oZwP6msShyOV qcBrr71zK+nmmMjueD2QzySw56MSYoyyD5f2GPtglCgDKXexk8X6u/Fa782WitBqa9S8OidiNYLt ZyGSNL/TAKzKbIrO2oP5YMygh7BRpKQEtKXKgS4miaDKmdta9nJQoqZyo0/+muNeoZfdR3CcbwdU +MObM5CtvE7ykbbHBzN6pXY8J7+T3X6fCxVlatUCvdszXopxhSx1NiV4FQESHm9CehBveK6EcNVB 8JEHsz0rnDCz3V2gmuGtqTDD7PaedM/P5866yL003TruV57HY6Y2JfICmIOds4LUV6mn03cAcJ87 Y6tiTz8rnn8wKX7v8lF+9kztWL2nzaO5fw0GWuET7tlo0kTYp4LSX8rqy+0xoSxQVC84QhQEVPsC T2cQAgdciuIXCo70EoU+HlIkLRvOVb0QXrf7JuVTNw8NZUdHNZeHl81IcCPJMwcPou7De7RY5HvS O5Xz/2DuUyJBRTeI8m1DcUGqeeQZ3sGdw0YI+Vzn1+QHVRd4mq8Lr6tD7EAxZ31ixsgHM4G0B1W6 wLtuHCGZKTHbqs+kf8zmYqmquWFzLSXm951GuvUVoJW2KrLepy1k0xLkOqqGFynjgKtuyBMr7QS+ vQ6bjhcCIKIWgTFboWkFZykdnfymiMwHI0Y6PCdm4d6UlUmCQ+Q+a5OcIr1lEOlzjrv6HZ7Dubm3 KALpb5hWdOw+rMB5Ijl1IN4QtepEQaus/LZSPz2R3PzOXx06macCwOnCS7LZAHIWFNrac7PkSB5/ teTWUZ+rn4Wvtsof3jTOwQTivr5LQKxG0KjUHUYCcqmRXw+tWD4UgFakEo1jOUaIsrSo0XNYF2Jm LPE3BFMYQctebv9a5AfpsHFoD6TUpLYNg2RooP5fMsj7E1G9IVA3YSBCKgZrWYrmrXKHfhpAn8/I Va/56p8IjX0GOwoNFE5GFwR3xEXiVMD9OCM6gKVeK6yd+yLkYFCshJTFwN5eThIl/06mCW1mmRwG +aZLH0mQxB3HwS2/cP8F/S/mZRGjhZ7S4xbJgMy9tO+tri7rw/Yi5ggRffaJSaNxIKrLKZKaanT2 SM8AGFmE+elYfR/pJ+xzisrRsn0fbNsh26yDHCjnN9/09n1u8G1XU5RJL7M6W2MyEU/kTHtrCPgv s1Iijx4d0OuyVI+zoLc47KlDtTeyxoZj28gQHlQBWwHTNtKBE0TsIe2XS6LtR8tSkcRbjuv/mmsC REGmnsCnfY35apT2Jno3npmGHnUy/VUU67bvdJIm9HTMQJA88JlX5Vg6WKM3VcjNhAMjb+9c+R26 EGR3Gfwb72inCn9WcbihLndL94ldAy1HEVG63vrYxXkmewdj7yQO7m1afv2SwybcUBnWRwzUi2ak /IPvL++0ky4MXGGC2ZHsATXX0255gLtYI6tsnxmq+cV2t7Prigf/PBi0/fIrGj+qeDVIF6uxLqJX LQfdYX9uBFG2JaUj1nV+6TOBW4ffSIdGoQSwI0URB64lqvT0Z2aA0BtrSMn5V4C5el3oGiTbpfxk ywlSZq42fF3JkmuFx62MCq+FblfrGjMs8x8o8WwDqIgEKPJWGyUJvMleeMfBbpvmt47sqNT977ac iRJ9t7bmDgA3L9PRKpP8UvrCZ/ZNVJxa0L4q2sMUfvH2/Srk3t3Gj6QYRIGTJ6GAROhNhkuhnRal dcySsHkwcsuqH+PHPO4NtIy3jQoR7uPRNCN5xb+KNGwt6S7U05XsWYpDLGyQAgEMLR2zw4kDPAzB 7ZyE8tkKC1u36YO8ij8UWpRJn3fUyjx2M8N5DA15yAXJ7S+PSewbKEgzs2krPhblQdCKSQUUyZm+ WCZ7FyRuGqq90hhmQfbZmfg4n11Vl9J6FIaaCJ43gurqQD6/b72MEzwOs1qnv+5xMoUJf6U8SS2Q AQLdpMR8FeL8sxFiIgNeYDf5f8AcSmaLJI0vOdms9/NsDsvlyWTC4HR0WUtyIXBHpY9pnnbe8UbU FOmluUmuFKJg+Sw0lARGq5L8D727XG2W5KE0SrdWyx1zmNINvFcXcsXvgHbAQrgefJQkas5Pv5ca s0xpnLQMvucMYTyxLYb2SnDKtS6qmEXUfuxfGOBYlBok/cPUWf45pFDxeQF/sVG16fcw4D+UfNVC rkQlzr0enc5n5jlwoL8vi49aGMdukd4gbO+SdW7GOxJlB1u1OH6yLAcjyRo0i5Zx/nLm7bjoXphX k+B1GpAkPVSvuCpRYIa3dWi7089E4ir5NtFQQW2WTqD20ZyNM/TyDCY76EIDzVPrMAXeQ/AqxpZJ bvfVCVaCK01Z9Frh8N+aO+FLCAJlTAmWOi8+Yw8JkizYQVJXft91QjMbiWBfGykjFvSpV8ygIN1n ZGgsf/OuiGrmbiVsqabdc2nrevIhadi2y/XQ6gmwerSbf2DYLP7rpyFHaMyawtoKKt8xFbn75VW+ ykUD2tLr4PVBtSkg18DxyuUNkb48ayKoXP6JQYdfapR/UB+rIjN62Z4TtsOOXeUieaNIuWnK6hJb 7h7IPo+NVhaFAHGRZ8jo/2Ri6JTosNlKqTXAaXofJj1Xcxx15K0zyiAItf8VoHeMD7qGETS9YN8i WD00V00nGbTv/NFsChttMI3rxzPY806Pk9s2Svq4vL2QMqb6dnu1d/KSMnq62pw3P/34r12BburV jZUWd6YYO2kbRndTMi6z1DsIlgA2+JtNHtoUFfz8hT3AB7rc/0K3LeNzYgpoCPvidADuZrF7LxFK v/snnenwaQCF27AbWFCI9e1nQfJFaBYBOy5dtfWfe9fIRoN5e/f92hHCpdFRukb9iggipndi3Nnk f7lsMxg+h0wpVuT6C3h+G7TTJ6K9V6yxqKx2kCbajpkhmzjzNp5ER4JhIgVyiWW4MZ1afXC9oHWM jWAOVLpxHnoBc759SJ7bWRELYo5RiyBDcNtbhkDAsQyfqn9erIBmFBUPMYCuhGZv3Uahq/UNNgom cf0UDz3sr1aqs/lWZhOvaqXqqxiDQKzcDM+BGRatdHM295qNBhefFrueZsDKOSlhjPZckEdokdzp b8k2f+xfxppEpT2NB8IEzoA77vA9cECdrWGWp9IGMCHkpwMpV6o2w10thS7gA4lu4MAS9FF8X/g4 x4vdBzPojQlOXR+C9aVsUsHhKSFNvWtPWOrcy0lVNm6eAYzHYGxbFPu1tGOcEmHWyyvy9ismkeY+ 1fZy61LnjkEP7SSEm3PVN43ooXNQ0xG6kpJUGjrL9xf5ZnbfAO1dlmqV2O+Ec+jhgx4HjvPsLRvX U8nc7ITsW6aAnAEpf+ixcvcSgRicuTgsmpV5q1vRo4ljaAFQYo9rcCnDJ6RDYLOtyHk+q1dgqw67 fCtK9WJqp0KXTyGzESzuLNA4WXBJnyIN9vUCO664GHmc11Om8dCln24ThcpPSBB17a1a6GKG3vuP K1n8oW2n8gkmDSiKjHKar7ORDT1Lk7S6kAMsrR7De4C+D2Mjaw2eb8c45ujXw2tKnCcSdBulty8o mNFIUPU8to1edzFT3JKXcuPx4HkrVwC4ePcEogRLOZp5DEg9DT8gFKmJKs1Oq7ACnazjIJcYjeGp vFKPC3NYH0AGQ+1b/HUtAEKpXhx6pnune95YPZFiAmXieTBkGpGkfFBQVW6fhwT2nz9XgAnAufd8 lkMWNlflHjQHRpfRcBsGBd/QU/Yjjzuw7z/l5gAvGJ9oMdrEc0KEvMgh5z7VNFQ8PAhybSB24Lpj g1fLvNvkL2yWUkom9K5QvmIhqZpqdbK18iEaR/s5efYUQE+moMqG2veG8lFCu5IHm/M2QX/GqmTC JUEeT7WD9a9OkF3OLQUN6za/E+hJTNtAGCJ3YUo+Xg/efFOBJ7i5400yaDqia3MomBNDBnAgWePi 4sl0MHhJUpVLjn0Ybh+fIYYoE3d8jIku2GUYpmRBatVMI40UGDvd8BZsLBvexM8hlZk/7KKQvpiS iQbFSL9Ul5LWtYm89ZvtJMRcO/e1V1MNg3ETV2iK2NDeu/ggcIhwoMqstvvQGs6Tb1FZ4hYngxWp 0LCzgHJk/No4tQri18rK5KiLRsHKih/Qk/KwbppS4Wa8LmJlvCagGhCKLNUqf8h6DWxb0t9t9+oe 16Nsd7F5Ks39SxNdm/lMCvYEOxg2mhZUJzR7Sx0Rw6YOCQfrwgCaDung3C1K/DY8gHubBhszk/9J y7iYXjUyfxqDJBRaW1wZyv5QXiqgy1JbeqgAU6GellEWi3P//grXrF26oPTECk9GqwBMaOHyKYsA krw05DOe9h74CRCISwiY7w3J5ADuGZrFKtxohB01iLnjJI33Ys1SMcb9AuGRLsFSnulSl3sBaoUw mRYscinqEqBzvQm26Bqi8QVaPNcZ7+49qSa6fCuf7JfygP6SuqQHPY9Ehl/1GmU3Vo3ye28u `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/or_muxcy.vhd
15
10538
------------------------------------------------------------------------------- -- $Id: or_muxcy.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_muxcy ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_muxcy.vhd -- -- Description: This file is used to OR together consecutive bits within -- sections of a bus. -- ------------------------------------------------------------------------------- -- Structure: Common use module ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 04/06/01 -- First version -- -- ALS 05/18/01 -- ^^^^^^ -- Added use of carry chain muxes if number of bits is > 4 -- ~~~~~~ -- BLT 05/23/01 -- ^^^^^^ -- Removed pad_4 function, replaced with arithmetic expression -- ~~~~~~ -- BLT 05/24/01 -- ^^^^^^ -- Removed Sig input, removed C_START_BIT and C_BUS_SIZE -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- Unisim library contains Xilinx primitives library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_NUM_BITS -- number of bits to OR in bus section -- -- Definition of Ports: -- input In_Bus -- bus containing bits to be ORd -- output Or_out -- OR result -- ------------------------------------------------------------------------------- entity or_muxcy is generic ( C_NUM_BITS : integer := 8 ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end or_muxcy; architecture implementation of or_muxcy is ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Pad the number of bits to OR to the next multiple of 4 constant NUM_BITS_PAD : integer := ((C_NUM_BITS-1)/4+1)*4; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- -- define output of OR chain ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- Carry Chain muxes are used to implement OR of 4 bits or more component MUXCY port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component; begin -- If the number of bits to OR is 4 or less, a simple LUT can be used LESSTHAN4_GEN: if C_NUM_BITS < 5 generate -- define output of OR chain signal or_tmp : std_logic_vector(0 to C_NUM_BITS-1) := (others => '0'); begin BIT_LOOP: for i in 0 to C_NUM_BITS-1 generate FIRST: if i = 0 generate or_tmp(i) <= In_bus(0); end generate FIRST; REST: if i /= 0 generate or_tmp(i) <= or_tmp(i-1) or In_bus(i); end generate REST; end generate BIT_LOOP; Or_out <= or_tmp(C_NUM_BITS-1); end generate LESSTHAN4_GEN; -- If the number of bits to OR is 4 or more, then use LUTs and -- carry chain. Pad the number of bits to the nearest multiple of 4 MORETHAN4_GEN: if C_NUM_BITS >= 5 generate -- define output of LUTs signal lut_out : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); -- define padded input bus signal in_bus_pad : std_logic_vector(0 to NUM_BITS_PAD-1) := (others => '0'); -- define output of OR chain signal or_tmp : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); begin -- pad input bus in_bus_pad(0 to C_NUM_BITS-1) <= In_bus(0 to C_NUM_BITS-1); OR_GENERATE: for i in 0 to NUM_BITS_PAD/4-1 generate lut_out(i) <= not( in_bus_pad(i*4) or in_bus_pad(i*4+1) or in_bus_pad(i*4+2) or in_bus_pad(i*4+3) ); FIRST: if i = 0 generate FIRSTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => '0' , --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate FIRST; REST: if i /= 0 generate RESTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => or_tmp(i-1), --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate REST; end generate OR_GENERATE; Or_out <= or_tmp(NUM_BITS_PAD/4-1); end generate MORETHAN4_GEN; end implementation;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/or_muxcy.vhd
15
10538
------------------------------------------------------------------------------- -- $Id: or_muxcy.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_muxcy ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_muxcy.vhd -- -- Description: This file is used to OR together consecutive bits within -- sections of a bus. -- ------------------------------------------------------------------------------- -- Structure: Common use module ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 04/06/01 -- First version -- -- ALS 05/18/01 -- ^^^^^^ -- Added use of carry chain muxes if number of bits is > 4 -- ~~~~~~ -- BLT 05/23/01 -- ^^^^^^ -- Removed pad_4 function, replaced with arithmetic expression -- ~~~~~~ -- BLT 05/24/01 -- ^^^^^^ -- Removed Sig input, removed C_START_BIT and C_BUS_SIZE -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- Unisim library contains Xilinx primitives library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_NUM_BITS -- number of bits to OR in bus section -- -- Definition of Ports: -- input In_Bus -- bus containing bits to be ORd -- output Or_out -- OR result -- ------------------------------------------------------------------------------- entity or_muxcy is generic ( C_NUM_BITS : integer := 8 ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end or_muxcy; architecture implementation of or_muxcy is ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Pad the number of bits to OR to the next multiple of 4 constant NUM_BITS_PAD : integer := ((C_NUM_BITS-1)/4+1)*4; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- -- define output of OR chain ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- Carry Chain muxes are used to implement OR of 4 bits or more component MUXCY port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component; begin -- If the number of bits to OR is 4 or less, a simple LUT can be used LESSTHAN4_GEN: if C_NUM_BITS < 5 generate -- define output of OR chain signal or_tmp : std_logic_vector(0 to C_NUM_BITS-1) := (others => '0'); begin BIT_LOOP: for i in 0 to C_NUM_BITS-1 generate FIRST: if i = 0 generate or_tmp(i) <= In_bus(0); end generate FIRST; REST: if i /= 0 generate or_tmp(i) <= or_tmp(i-1) or In_bus(i); end generate REST; end generate BIT_LOOP; Or_out <= or_tmp(C_NUM_BITS-1); end generate LESSTHAN4_GEN; -- If the number of bits to OR is 4 or more, then use LUTs and -- carry chain. Pad the number of bits to the nearest multiple of 4 MORETHAN4_GEN: if C_NUM_BITS >= 5 generate -- define output of LUTs signal lut_out : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); -- define padded input bus signal in_bus_pad : std_logic_vector(0 to NUM_BITS_PAD-1) := (others => '0'); -- define output of OR chain signal or_tmp : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); begin -- pad input bus in_bus_pad(0 to C_NUM_BITS-1) <= In_bus(0 to C_NUM_BITS-1); OR_GENERATE: for i in 0 to NUM_BITS_PAD/4-1 generate lut_out(i) <= not( in_bus_pad(i*4) or in_bus_pad(i*4+1) or in_bus_pad(i*4+2) or in_bus_pad(i*4+3) ); FIRST: if i = 0 generate FIRSTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => '0' , --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate FIRST; REST: if i /= 0 generate RESTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => or_tmp(i-1), --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate REST; end generate OR_GENERATE; Or_out <= or_tmp(NUM_BITS_PAD/4-1); end generate MORETHAN4_GEN; end implementation;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/dynshreg_f.vhd
15
15946
------------------------------------------------------------------------------- -- $Id: dynshreg_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/05/05 First Version. Derived from srl_fifo_rbu. -- -- ~~~~~~ -- FLO 06/07/15 -- ^^^^^^ -- -XST was observed in some cases to produce a suboptimal implementation when -- the depth, C_DEPTH, is a power of two and less than the native depth -- of the SRL. Now a structural implementation is used for these cases. -- (The particular case where a problem was found was for C_DEPTH=4 and -- C_FAMILY="virtex5". In this case, rather than use an SRL, XST -- made an implementation out of discrete FFs and LUTs.) -- -Added Description. -- ~~~~~~ -- FLO 07/12/12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; entity dynshreg_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_f; library proc_common_v4_0; use proc_common_v4_0.family_support.all; library unisim; use unisim.all; -- Make unisim entities available for default binding. architecture behavioral of dynshreg_f is constant K_FAMILY : families_type := str2fam(C_FAMILY); -- constant W32 : boolean := supported(K_FAMILY, u_SRLC32E) and (C_DEPTH > 16 or not supported(K_FAMILY, u_SRL16E)); constant W16 : boolean := supported(K_FAMILY, u_SRLC16E) and not W32; -- XST faster if these two constants are declared here -- instead of in STRUCTURAL_A_GEN. (I.25) -- function power_of_2(n: positive) return boolean is variable i: positive := 1; begin while n > i loop i := i*2; end loop; return n = i; end power_of_2; -- constant USE_INFERRED : boolean := ( power_of_2(C_DEPTH) and ( (W16 and C_DEPTH >= 16) or (W32 and C_DEPTH >= 32) ) ) or (not W32 and not W16); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). constant USE_STRUCTURAL_A : boolean := not USE_INFERRED; function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component SRLC16E generic ( INIT : bit_vector := X"0000" ); port ( Q : out STD_ULOGIC; Q15 : out STD_ULOGIC; A0 : in STD_ULOGIC; A1 : in STD_ULOGIC; A2 : in STD_ULOGIC; A3 : in STD_ULOGIC; CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; component SRLC32E generic ( INIT : bit_vector := X"00000000" ); port ( Q : out STD_ULOGIC; Q31 : out STD_ULOGIC; A : in STD_LOGIC_VECTOR (4 downto 0); CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; begin ---( STRUCTURAL_A_GEN : if USE_STRUCTURAL_A = true generate type bo2na_type is array(boolean) of natural; constant bo2na : bo2na_type := (false => 0, true => 1); constant BPSRL : natural := bo2na(W16)*16 + bo2na(W32)*32; -- Bits per SRL constant BTASRL : natural := clog2(BPSRL); -- Bits To Address SRL constant NUM_SRLS_DEEP : natural := (C_DEPTH + BPSRL-1)/BPSRL; constant ADDR_BITS : integer := Addr'length; signal dynshreg_addr : std_logic_vector(ADDR_BITS-1 downto 0); signal cascade_sigs : std_logic_vector(0 to C_DWIDTH*(NUM_SRLS_DEEP+1) - 1); -- The data signals at the inputs and daisy-chain outputs of SRLs. -- The last signal of each cascade is not used. -- signal q_sigs : std_logic_vector(0 to C_DWIDTH*NUM_SRLS_DEEP - 1); -- The data signals at the addressble outputs of SRLs. ---)( begin DIN_TO_CASCADE_GEN : for i in 0 to C_DWIDTH-1 generate cascade_sigs(i*(NUM_SRLS_DEEP+1)) <= Din(i); end generate; dynshreg_addr(ADDR_BITS-1 downto 0) <= Addr(0 to ADDR_BITS-1); BIT_OF_WIDTH_GEN : for i in 0 to C_DWIDTH-1 generate CASCADES_GEN : for j in 0 to NUM_SRLS_DEEP-1 generate signal srl_addr: std_logic_vector(4 downto 0); begin -- Here we form the address for the SRL elements. This is just -- the corresponding low-order bits of dynshreg_addr but we -- also handle the case where we have to zero-pad to the left -- a dynshreg_addr that is smaller than the SRL address port. SRL_ADDR_LO_GEN : for i in 0 to min(ADDR_BITS-1,4) generate srl_addr(i) <= dynshreg_addr(i); end generate; SRL_ADDR_HI_GEN : for i in min(ADDR_BITS-1,4)+1 to 4 generate srl_addr(i) <= '0'; end generate; W16_GEN : if W16 generate SRLC16E_I : component SRLC16E port map ( Q => q_sigs(j + i*NUM_SRLS_DEEP), Q15 => cascade_sigs(j+1 + i*(NUM_SRLS_DEEP+1)), A0 => srl_addr(0), A1 => srl_addr(1), A2 => srl_addr(2), A3 => srl_addr(3), CE => Clken, Clk => Clk, D => cascade_sigs(j + i*(NUM_SRLS_DEEP+1)) ) ; end generate; W32_GEN : if W32 generate begin SRLC32E_I : component SRLC32E port map ( Q => q_sigs(j + i*NUM_SRLS_DEEP), Q31 => cascade_sigs(j+1 + i*(NUM_SRLS_DEEP+1)), A => srl_addr(4 downto 0), CE => Clken, Clk => Clk, D => cascade_sigs(j + i*(NUM_SRLS_DEEP+1)) ) ; end generate; end generate CASCADES_GEN; end generate BIT_OF_WIDTH_GEN; ---------------------------------------------------------------------------- -- Generate a MUXFn structure to select the proper SRL -- as the output of each shift register. ---------------------------------------------------------------------------- SINGLE_SRL_GEN : if NUM_SRLS_DEEP = 1 generate Dout <= q_sigs; end generate; -- MULTI_SRL_GEN : if NUM_SRLS_DEEP > 1 generate PER_BIT_GEN : for i in 0 to C_DWIDTH-1 generate begin MUXF_STRUCT_I0 : entity proc_common_v4_0.muxf_struct_f generic map ( C_START_LEVEL => native_lut_size(fam => K_FAMILY, no_lut_return_val => 10000), -- Artificially high value for C_START_LEVEL when no LUT is -- supported will cause muxf_struct_f to default to inferred -- multiplexers. C_NUM_INPUTS => NUM_SRLS_DEEP, C_FAMILY => C_FAMILY ) port map ( O => Dout(i), Iv => q_sigs(i * (NUM_SRLS_DEEP) to (i+1) * (NUM_SRLS_DEEP) - 1), Sel => dynshreg_addr(ADDR_BITS-1 downto BTASRL) --Bits To Addr SRL ) ; end generate; end generate; end generate STRUCTURAL_A_GEN; ---) ---( INFERRED_GEN : if USE_INFERRED = true generate type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); signal data: dataType; begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral; ---)
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/ramfifo/rd_logic.vhd
19
48192
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DZrqnYwqMkKoBvgXgaWSB1Gvc9B94Zr8xHWYvXS3Yo2in98iiVsrSf1RUePWKa7hVSyhM66u+GP8 6zam55ovJA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block paoR3khjnzY7oR+WJ9YkW1A7ZzfFLvvVEXiP81AieLlGnfQuqZTzy9TqIBQ7d7KWJF2u8/GBJ9gB S/XHVoSTyo6Jte9XVVsqnnFiHxvEAnWbM2e9+Vyqd/Q/lFB3TCGyLNKIFNdGxyml1xea2Gq/DUf6 P6PVaPylNEwivSbuc64= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IuseMdZSknnKUME+O/YmMG9MKbslcWjYg4y9t234jonRTsM/8uUOZLlJPdAz0Ojsb7gi8Afg71RU Er0Jr7fpQJ8YMMDdLQ9qwRqf4zAR9ZhntG7zWMIroK9jxtC2bvBKKArJREVpkzOWU1g2+f7dJ4FH ubSzqp/ur3VRiEL9rSTe80jSph04B3Z7vLg49YvLUGmYKlwP09xV4/46qike4zQtuofkQ8/u3jTv rlLcM6RtgeLWfD/CY/EWIIuhTxeQiucCqPyYilV1cA55FNKfdMv57PsY4PVV/CwLFMYY9INUTcQ5 vlvEZIaCBXiBH5TWThAkm9erewSr/bL5DW9PTw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cyY5ZPlO3Eo0cmsRtMR6yuz2Eu2e6S2W/D+8CcC8VsHPfbx1fHUAOMrMRz8rOeXuKPOa7h1hSFcJ XZ1TcAU5VIvCkM11jW1o53hK8qachmkkZZnfj8JtjstmyVTyWri5LmUnPYRufwJmQUQ0xqMJytkR VTqDp0ZVnyDWp2/qKN0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WAcKeockg4TPNpKWNqCVvf1P8zBdM0HIqALOQnRkxsC2RA2Dy+P+XMiOG7cG04xrgm5iFejfnqcO 5lDRzw1y2vm9IxrTgVR8u92CBfbBU5si2daX0ciu3+tUaMvbyjjRBHmWEJd/+ZgwpEBd4jKx2KQp YmRUDFYL5WDDgF6aGgbY7bniF7p7fSFQgxz06UbHJt/aNGcXnfge+DPA60LgmbiAZYAbqv+bSmqg gA91XQkI7oyEKtZ35D6ZzgJ25i0EzUAy/u4ctGTC1xnExC071TQUx8Fakynqcki4h3cwrvs6RbsQ 1XULS0sNZpYYdAavNOXALBW23U6uD7bNRcfAog== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33936) `protect data_block VOLhYhIKkXWjetLUjE5rW5DQXkpxt+F3F7mQn6k5W7YbdJ7D0Azpu3IcXaUiginkg1B5Th4HqWU7 osBSHKIuNQ9LD4/HNdyzIs2o3fqQ1P+qXRvablpy2UjG9YhuDEnW9OgVmv9f5La6dVTzEZojW2oE Jw9vS5wgzsBmg2AZjsVx3HbrZyjWXSjhihmuDmrZTJMaKDStk/4XJc+tznIH+sK8G8yeA8jtlQ7U HmT67xWdPf03iaiItCELiOLHHqlolMWUU2Ubq1E2XbrTQjP69rfk9bFHAqohuchDXshcW1rpTVcd MoM/EB9OGgGNWyHGQXg4uI5PDl5U1zW04EZvtKGgMMqDo2d3B4XIZbubk7UOE1twoeqX+iIEzhQb d42uk2oTeemZqxC+THqnNLCA14SDW2x6Kix1J7DqT59W1+KO0M42BfAEWO/4QAXclvgJHR7WiWy2 cgptGj7rDcxjbKbRvSiJ/UljMcbRcULenLv3Gtxylj+0RIMghWJK48W+RIqOZRZbE7rFLcFlb4KZ T/qWXpDzxYdGc5H3CivxzRbMZuYXgxBVEdgEwVzGVZgDlvGfTN++GnoI0AK6ukBztxJhIllLYeAd zutmH/rjrM72X4xngomKftDSWQ7MrBuIf3gIMfXXG31trMiXyNjt7JLVc36vf8GCGMu/F5Q3zUQs 2OlRMpgTg4heUkK3UZGWY117sVeLFfr6wk6losKqPD/QMOLup7xwaC8uk5jBlQaw9QkLTGhJoEdG NiAYraxoDirUYQaOE50v5vUqOXwdaSVfEp7WrsES3fVPZ3d/42yAidFBfK+B5gOg41GNOWcQU0rc Lu9WmkFuGibg+298643vbMjc4juikAunkj7krOUVg+KdcjfSrI8AZevM37uTWy30I3nQcfPCg0tw 6vFU1RDfa3DzblYRXmKlqDcau/LKTwkr9bPIN2krQnel/5wNa72oTWe9ciUL2c8DmjLs3zgjiOvI Ad7po2TP8ebwAplXkib57pWIY+pxqjEsDjSWyu33y5nQGR8pHeOn8iwivdRF29d4CUVqZBWpb8zC 4fXj5SwvtKnvT6v9OT8M0YSH5s55f2//a7BNio9PePo5CebHteSUVjXDSOuC1HSuT41elJ6M8YW0 B3gzDe9/X/8Ay3YYyQ1g1HYgU4kQKwuWSCxb35FGSpRCuMht1INf50pqE0+hazgN1s9Y2KL1iU5K C31mz8uoe9c3C3hjFkvhOw4t+pHAgULRfsmG0DeI3DOhsxnsP0fu33+7Pakv0w1jakB62mjEv51b c5XjoRbM6hx+EPfF0Y34iz4g0Cymdi9mBIOJIXGpLeOvHDpm0UXLS2I0Sbvvgoc6gpkwhSS4WKRr cvW/gSFR9SjY9WbQdSG7/S31Ajy/+3arxU0YG0R8QHIf3TRcMyDYci1LqYw2NtGOylEwpqVP9DI0 9SW/132zv7ciMCt+0kNhFQPuOzIEQdJ6iQmyESYs0PJ7hhdfYLN5KRqILQicbFh3AK2YGET+koHI mThTPugQ2GiyoziMq1/dHLtDHvlnCqYw7TQQPdtPFfRtqMofqKdPWbMZWH0arVJWvjiyUL2215oV c2+W2Yj8RmjiEi3LH8ToszBBxL52Rtk0pCj7C1qsKnCm8nDBHdmBuqiskjo/MSswALP9k/VlTpJ2 c8UvqfYq0mLUi4vua63BoWIOXkROxAS9bUI4LZ+U3Z0+2ohV5xeqQtDqsjUocDq7G1lEMv03gfHO YydiyvJjk+lcVtpTUs2QS1B1tco6tnV6xzmimjuRBAymv8F6hV3Wwdd1qxYxmYmyptXvKv9vR+fk isKaCMXjI2AkZcsmbYrf6LM90DqpkDD+hqyswhPxSm8MeTVq9KGLlUnvzduriJLNtxPS4DiXRmZ6 o/44ZJauPW6B38LMhzeZa37Bpot20wY/OfUgL7EA/KiX3ce8Fs42IgzUiTa5xDc9XQEkLsV0rc/+ hwBqUR327bKXnTug8yVhICQU3CbdsOlbCvxFxDu3rFQ0pRM0PJdDgp5hH2oOBbQ71fe/r1Nhmuoy FPjxkq0buqLHVRypTSLBIcwWZzKzPT7+8Jodm1gNFPoZB0wlLCdGU9dXyR1zKCDYg/RMGQdFWLmd 04tOMIlSPpOaxt9h92yfBvGFNC9BJPLvZPPzIuA1D0GS4a0LLPVMy+qp+44Zas/wj+CgBWCZAdMZ lnCJHPr1PkSVNw7o/YixDIKjh3r7hkKbeiEo0qGLemNMMdcj0Fqk2lSh5nEk6cfzApwbkMtqmxCO kPsDa3xX2LKfTeIHKoKOny5rECp8wJcUDegetyzvLSuGD24QIdaYzXjrtPumMDPNUhQgZjzf9YbT Q8qFjcU6nJ/c/rhSfFSgA9UHQjcMBeIDkk7x4GjUob6Po5zII/4m/Kx+QmeBz/D8TYFuO7UfqyXK ob28G53il0xBFoLXMr2DMrEA5ZhVS6O4NT2QhXupLII5KxUtTNqMgkBWgVf3k9s+o3RsOyR+n7Cq HHbU4HJGDun2nxN6xzQlbqpBq+SZLb4bgD4hHNx3DTrYZGFrw64qiS0iuOU6GCytn6Sq5Pl78KMf icV4V7ZdyDK77zIoQI0Q5IXz5TfB6BtyYHz3rB55suWbt+iXXSxyUauwB3RNbt8XG3SoBSndVE27 zAIcLjdiLuvbLcUJrLsURqOFhoDd77DFjqujA6xkZVwRm8/7VLllvSwZaNksm+tTixoJLHSOhm94 NVPveZfrn9GZIf+cpC1S+sC6MNiI9lX2zvv42eT8gvw8sO5tlLPaqmr5Zdpb7l+OR2pvGOotyFJ3 kdjnyYRqTNQt/VASAPNe1XjfS1CwhRj3Cee/9ESe0XCMI+nRwME3Sw1l7Qz4L/4FRTdYWwQGLyEC ZMTSaEfo2g4OvBqpMW8PpjVkO7zYlI8fGTWrQt98yyN33AjyFBYhR2p+zjFogFOjJ9Hb3YOC+iAB /38qvbM2+2j6syeYqOyKRlAvfWP6YxEtWPAoN1mDi9Z80sxoJ841iLwRkpKWP0t3rvnF318/gZ0F 3FzdI/RjAmxPztODhwRfw2B7E5YJ4V6IpnoiLYPaChldww9oQvCiDyUOcySm/uKkp3gmqqMch8GS uUIzsWT4pKWg9Zk0jpJMkKKKm6F8XPdZVCFZZWPr7K1zn96u9nrElbsgFxEjg2LNE0tR/dcIv/wU eb/aSsNNKmnTlp2Vg0Z0SsdUFdZUsWdVW8foqvjAqqiZ1S1/zem9wilF2B60JXR9wztX0VIhFesD /NK4x7icvBgsyeUcigsD9IVcbJAx/4bXeE3JcUZVT77WoBRTc2jmlnHW/G7cN2VqJdb77lME1dDs CXWcNR7OxOaVCbM0PIEdqlJxaZ9PRhJu+uxWmanywEmTK7rx9v0H9R4vYXRo1sWWecPISjeba3+C GZh0C5+TFvmphiePEJrRzDB78o6V67+Q5TNgJ0AIPlaNuZTqoj8cEg/LubUYz1Sei5LlYiFRMHIh H1FERJHL6dcx/w1isoHNJK1CZNwFH1edFD0alv1lq5n3wr0fYmhlssQn7HkjGxzdXF41aWMtzonw 8jyCqO9j59Co9TpJVhKXf4wKucweAdTk9w38Vy49Q4DPv3j0ETDWflZ8J1NbNspOBhR7AS6vHRcD 28jzM6I2sRkxwi6Hf7+wjiF0LBZcUZeQVgd5pDpD8px+Me3VFCGnoVIn9l8YS82vIL25UJxQqQAp vZXQ86zFFXbSohGme5MxIt+iQ1NjQrizfoToh7+cj2eCyXJuP17ST8cZa2pO1Em/ayBm9ltV5yrT SVFUsAAs6FVJtmg94tk6CaLmutv53EoTYsk8mPZFrdFV8oA8hVllucEtUj4JXcLr2zTdGkxhZJPO SpuaPlDfIHThkyfIttjkwT2xeeToXCpf5gIxzIS7dcE4ndat7EYq3PPGohXO5ZZKe0eiSXSyeAxX vBtAWh6uFpF2m60geL8vUuXMGvm2bAHZm8SZTCxq1KPi/uGoksEWmgUJc5f8/rRT++yr6iQo0Q/A fN2b3SoD0Q9Cv8sMAfM5HbfiPw1g5Vhpew08fNvrEk/KDHmAAo/X3EAFnXzfYHV4XA6i9Kz7rH8o W3n2eQMwBso3VkxTNtS9im1XZ+EitOkbQ9jO//STKyk/RPXfoall2EAcVe3+P4+9bmsPj6YEai16 QYYYOC8It6Ps8z75nnI9HpBSGQvso4jo7f/DOMvZ6TJggcIa8BdZLQIrtlHXEqJUGUfim44kcNUa hrlxM9XFtmKibRtYp17KYZXyuClrYjoBlL3MspaczijP8L20oiW5GamktvH0LilEY8/Alkoctfo4 dZ0w5cj+uFnsS3NlBAjjWsgHQLGpZtXF09dQL4la/rIaMiONTTOuSahWhumfUZSbwYuxfttU+5Lx hrbQDQq2MZ9LD8bq3ZHF4UWFUiDtjchp9ndrjMM3PT97IJ2cgzVPGEHtLtWPn8+jPv2//OYGYXW7 5TO9+vy+jccCoR6wl/sKlsJTdfah+Byec3cqeF8cAAwOKzwz9XlsqW4Wlidd96qyfvWhvfoI26nc ODj68T70+/A5rWUGj94hkKkj0tZGs+3vRPxbFnvA50Fu/JLKn8IwZ+z0RUgnx+3mLZCr+DIFlyEY FasG4nKnPH/1225xxD23s6/wMuQx3YZUg71+lXd+baDjz40BYZ+zQpQ7nf7Jcr3T23qg9yN9Xo57 9bH5n5d+R2PflSdGgR1+ExTZ9yFa5nEIHYdL5FzITW1uq4de/kv6LAv+20Afha4m7tS0LeqvaDHM nwid3v2ca8eN+qOW4FzidqoiUTMVeOGTCt0lPhjzSOuZ5XNshdFP5njBfvshFRiZDZN6oZKexcF0 SQd/mGfMoNnSJSths9F0arZCSd+0Vu4umo7p8kN1o11dF9MP9CgJ/ISciJzp5d3ZMilnxwWpj9Wq 63MEUx/9MmoHI9XXkGffCzohdUlj5lPFciXL7hERZCYqZefpEwrKjIYh4Bk6ZReDfcvuOhOfvKN9 VgBaLIK7m2MbQRL0qz1pFq1APVYo6tsvfisx+2JoewzcnQ4irMibLJEEKxBJGNZ+A7CorgE0WE3M l6XYcivRqUanGR4+dvL48PByHIwaD0rDSaRsjL6ewP4Z2wsVyf2OEAWAqYjHJ3z4uj8R/DZnzblk 7/zaZI9FRa596vyW2FFt9ijgtlJ7DimcfOyrFpDQ4uwQHT4viIcu0w98dJa8ny3etHNuVfnHkKXp R1Ndcoe3plI5WsHvas/co6VB2qzth6bdIZw0oLK+GoyWcSKd4UkzQ2H7AIJrLbFaKtwF9ix29v1M 1S0byI/VjUJsRomkOiPEMsL/BVAXzHUF6mkFhbn/y+JtCykEpdGGwvog+qwFBWd2c0SocE0HMYMW ZciXWDmnlCzBLlUmZnFXKC2yY/YQHpQVEQWnlH4lWvun+wKx9Hsm0pmTtKaCmCGmUPJQ/HiLUiHF /tXr4ZnmGDxmRsD/fA3cZsw1xyIXZV4EgfmSwbgyMWtIt4ruHAKEpLU7oc2JhSEUhDyQUA1E1qxW FwGyyWI3itYO1pViiWCqKa5j4qjXlw9ylCXjF/d19hNbb1WYWATNusqIFSX19LxNIdi0V/mOxJYC jdrkqrqck/7kJG45BNMsoSyJRxbDWdleMc6hs/VYTpVj2+6CPX223Axyu3LFo5KvboZntZnALlnP xHJLAMVGTy6s7ANDlpIAiDHsuiu/1qab8qoeUniPqvDXvrXvU2Fv8hcGbcyIhrcFDGE8Z1mUcQ2M DGTxKhxyUNWh5cS6gYVLYShacQXaPZ79b4iyrv+rps0LM3uheKqw07W6eaWe06GiAii/ow4rAoFX PzW13XzH1m8rxas6eZLamgod36M6X4M8Dnt/azOuB5F8A7tyu9gKM9GBWyDK3bu1cgsxBZ+6hcWj gnlZ6pTnY/j2ENDIXmfeBNSSuZmy2spE+yCI2JV5HvmPZBjCIhBznsiQ9OQrV7LjEuJC+TqgS5ZS olclMUCFxDXjyEJRLp6of5NGl+Sd8sJTfhrEMVU2KgbIRRoZAcHGcF3GAF+hAfSx/u0QH410LL+Q uMJSIazP1Eed3PgYRRNyWNaEfjs8O09BflT5XZGaER9uY/aF0VHv4QY1u4JLJcO2Xf1lGasx89S/ RIhXU93v9QYYGx0GOxU6uw4rdZ/cIbuFkyODV0e2UbR1cNjt7P3tzKjAcoGkQN9uLGnBV8+XA3hk pxochmb0JpoW1UjL52YQrswnqRftE8mEHLtHtxBvcp//zfgfHF5uj4QOUP5N1341/bLYWwOlORVV iXuj6xunkPdAj92yI6/RnDFVhTEKfWtcmWqy6jdmyUy9AFXNES6LTWMN44lNVmaMwEKXB+cEvow0 qFKRpZT2rTcxspfMISTnH+2yxvntl0M3KY9D/T5vKfLR7W03px1Ql/PB3EjiVPaNC4DHtnN98Z2B z3k9uBlVPmz5JZJRGDXlyWr0ArlnsI/y/pAr0/SfnpE8/TB5ByTkYNfooYRb3mNYPX4q7lZ6gBk5 T/f6BwFl6wb2OClwFu9q4uLq26V3B0LsS7mvSosrnlSs5h66FMw46pkvdXhTXm0aSDfsjjDZUgjZ 39+EUR3mzeSohzp1qHQ7r07LFbmgf0pVrjTjZ/CgZWTyd3oMcwdK7C70ohRjYxvWfL8+liwFDWoi ye3Jxc5shzR7/IN7tPB5cGhrjMgeaUwzQO6eIdOwUkfnYdt7oEuCXcieVeEphFFV3TZEDqTRTSZY 0vJBU7oisUQEzQK4gD0tuM0PXRojcNHUucA5yV4tV4sDrunZLkjXuQSXWS/Se5ro0WTW4yWZX6OE oVZAaiBFNFrQX8D4PCWpY+SR11vC45WpRyZUa10wpU6eeZoLMpnFR/ItjZvBtGEDrc5nT/PjXOqY eFA708TvAZn07hxAHLPBUenrshgbulBTIzesNwSidA24Hgxg005bHF5mXLZA9dLpEPosmH3n/xgK 4sJm7AxGovfvLfKffkv+0oYu2bTpWaYrnV/dpAnMtNqCRXvf0Yr1twbensdXuvzjeTZFQ7pXJfpA I1PEhNQmNWyIhEVrwSlpA9qFIQ2PGKmXcq161aGS8lj0H87Dv1ju+3MHa6MbQV8Ing9gYqrjltQo OueAUxf7H84YFWtqXftOktxxx4SQ612Mqf+qb/gDh7jbvF+ItDTB5OeW/WQToumx4vsdVTgbnvfk kD4El45FKFvZIT6PfsfiRj76aCkTy8UESHrnkGtwS06Q4OJ4P89UozIhoQdM8ePE71COVsZnCamN yH93vEJ7zJ2uqFzZs1+84aY7MhNJfwlvkMgIANxpAPYzTZDAAP7dgwVuXCAJOfEs0QnZQNNpupuE gRpge+xQ0tsaO7kEdriIbRlp7TWM1RTx/UYZmJYA6jNNMQ95Gnyt73vA2AP6hdvwGB5i6EHRgoBi rUKeVyNNG1cYFlXOop/TgplqWIhc0fMzfHg9Mm3Xc/z3ThNVTK3yCcAGdbochbG8cq0q+l8XiocB 44D317xqpvJFOXCbXp7dx8arZ2o5R5z+vo4Sp+dIvHJBtsSCzbiDqsFFdbTFlgV8nuK64eOFZkIv 5fGayX3p0oTJKuoD+c+kWuWwkqFkkAC+9Lm0pIRoEeXVeLw+9AqvHHOq4uIu34W5+mMdpkkmrOir nXMKUO824HcxYoN5ZN22t290IDO3JItaPEc92Azy7xL/VwCbs8LOtzRr17URJXCK+lq6Tqoxsoe3 VC+RHF7LWRPv3OkT2R2OUQImqVa9wWDvRWnBkj1etlD7mTyTqB9HnUD6CRoqiYolP5ZOP8UOzXBU woCiFX/5NzzmAt1lXBnbDDZSJDhk/IuBa1iswshzuY6C885mY66aNU9G02Bg5b9aishmWj+qDyW0 m/XduvAKZOYCPjVx/Twx0vkDlBc7OXoUFl7eXYZXBHvCk8FiceLr3JC6neeIivl8G3w6qxNFdh1/ 5pXesLq1QeyC4Zv1j12G/rtukaziTxcnZQti06u7Bgu1yfrMZ3TiTORg4mQYMruQDsaYFUCYHbWm gavrfg1QhMt9omdN3L7woz5DhDsEkTLrXMoEyGkNwV0Gu2N8ftarBAQMGUpyLA+vjdsdOF2Hoo2I NLxYByDaoJ7MOEti8IqhLnzE9uIiNNS/q4bFuLBblLg/pXhgKJA+Do9IzObKojsnRzMSJHDQqHxj rvA/hkUgach660iiBj3CjkW1YbHhyxz2lq9JZHLQxdKoNDOgc3mWDXWPp+7bO3NSsCNZwt9dqr54 QIX7b9PAXOnuhfozsEgQxykY+ioVFyzRMkYdxxl6jM/frLiP33KRlQu70yFblqwD4KO6EX9DUYec 3K2wgtY7tDyMYRiIoUbUYsbmi9gSopMRpya9yrwEO+n7jI6phzovqk2wbqTGEGTtrvVBo7CtOOBQ yZLvdSYu0cn0ki8Re6vLH+9Oz2Y1PLoMZ0dHyhYzXjK3qKjf4iqefpnIatMs9wIeFLQb6+8oQmVC KIiUTNWj3dcVGGaoitRd4QWWd+av8XJ72RQS10vexhV1/q3qtAiI7JWwXeHV1gsBOtUAV84eiCSp Gy3A9b1YqsbzK8UTPjE0cqQ7Zs2EKTymM/AYoGr9dsPFmRexfsIKeTerrCDcjCQZapHS6CEbEQgd GOiZOzGSOBpbRY9P1EuP9l2Nc1kyJqBB8cgLFxiCyl7cxmn3gy9ZwRCH2RfnSTiMCPxXk+gxhpHO MiZygT4mkAAIqaZD80x9A7EgQFLgE5m3xiq6pm7oFZ1+POmIEbM5L1hcUB/H2Z6OVY+7/4Rklay+ PmMpmDXh5wn6m6xhJyPfM7u+HiVqWhlJSIg1XlrYjjWgpL/DKd9Q2LHMPxIlzBDL3JRFG8NOoKrt XnoBouu9MMfGhF+NjdntTk5aKmtDDoT3Hn9rZipfC6fsE9D3nfM8XJiHzp7amNO/u+M3tbIgx6Uc ARssUPnx8CR10puERWpymZAOLEvFMr6gs4TVsEoCSbz4JwogFKTWdvCD8Ocq/7uss4lHb5DF3RyN 5IC3ZnkXPvlRvaqXCP7H8KwQPvik4REVBoQpt5yxwRS3S96C+/NRPxjvxDEm1VuHHMvE6N+eZKS2 Tt8sD5rUjjCb6bQ/vWCyk/gLNbvECm138Ap59gsbD8wV66hcH4SBSdJHQjI/fXo/9LTvaLZgLhtD L2ED1oJJXNuYblyN/a+n+ff+LKWWYd9ZGom3EZXpu8J5BlgGECpmmZyZXJ9pmMDD1fspw1/zDmxj ewEr09yDLZD5fMti0gsirSIqHwn0XE1lw8X3xXbund9Fg3hRa5TlNQPu+OfEsJDCVn234+EdsfTn Jl+aWD7MYBcMEoGGcL9Y/vlnw1geQq89GsSM1DRKlG1ddUafBZ1SfXgEKmd9Bx0qutxMQh+6TM9Q HOGPrSv01HpTIacLDdhQGzjAjLR6ikEhm6WzTuEaG8GmF7wYnofJyF9Nsq+sBFAUs6R65synioz2 3g6ZKkfGExoSsipqvG19necdHPKZOVQQJGzPHdTphRNgU+1kYfxHbX2R23L2YKIn+SN38rKZhd2a Z21ELlRAD8GqeDfrKGPg67qVZAMHkSox8u91THHAr1PCOsg/S3EJG0swW3sbK6tPNZ7QjUqr5bAk vOGCw8YL0o8E+VKbMa1pPfuqjfcRNaVCgyj2BjUQuYKjcB1fvargXZTGfHQYuaOIQTD9yeLzjJdg DZOthIGzeI7719OFrUNrdT84ukipCHrIIlyC6kU0LnhwIOj9vpcBS5VJqXozrOEGhbUIMiWrjjza 22onpZZCtYhEJNEBdNL49WnZDKToHFLl8H+P8Zp0gFZbYFIRrVM29yEzm+yEcJdbGxZc6q8hi0zC iemTBEnhZ+uduQyqNCDSx9zl67boxy59h/YHHkMzkgH/8Gx+/+Urpj8EJIrlmVq6Z4UgNgfx5JrT GJusCuwkTZWrB0dRxVx9m2GtGXTNpesK5OitVrb+N50ygGCp9pMcIaIDBPoRQeVdSRz0pa+BmU0J p5Iu53aq7h1493B/1j9NgRTpaQSyyBRGBFFhZ8AZnMmqUwHGfd97rYB2skk6b9iu32v60IIbQQC3 gWgmJE5iXMOR2XK08VvQxBPfhlsKYiVjsrbeBMsKM9TchBMEfa7QuHmk/MIKHBzicwmlpPU4MW3f o0rasNdqS1zwIFjZt/AjCDlbxU/Rc8WT8GlzcHGZhDGcHvHOpKzKfXUybKGGjJB4M6XiTk2RP+UJ djzVqqWp5R9STR4bEROYU6Cbe/72z+hjocSMAUinNJHqgVAMUBYq3nW0dlG3uypczXGPCjJ8ChbC zRYs+bQVRPNuQjjljlV+zDYfBac028JpbYMnOAnwYK6qUnofzePQhQg/4hw5ISXDe6VX1aG2D57D hsTby+Bo9o5nh3x6qZUpc+4QXWD90EsSKsSt8DSKO6+7di5F7o5lIgIB3HvypU9v4/Fh8zKwRFTI P0d34KiEwBooLQJXoWlC6ffvADw5tZt982TCO6BfKeU7QzNtXG/FHf4zb1kXiqcEt1pFI4RbSOV1 1HKUPTvx2u3T/PDODUFQchG/1fnVNZh4vsz3Vl+A3GtiMpf6xtdrwSjy3NjmksqXgJECk2NDLb5g BB6j3KicUeBzRjj7igl1fGYKAgPNYs9vRQZ64uWpU5Vq+VWJBCKo0tEsUAsgXCY6U7yPmlVEQcnZ oD+4Uv8Qk6qBx0ZDyMoZ5rEtrY7ljLSGLM+pw7/D5Hm4O0Bk2PnNZxKWei7TOejCrUD6oSW8mkk0 q+HL1C7r9n6XNDI5LyVX4/0WfPCFDR13LUxa8JUbGT6Y3bRzG/A8XFfuhNFCyRdaS2SRF2ITic9c hrPIxA/+4WJhEw4qE29CdSj1BCFmVso39oFs1z62xZQ4d3jd8YPwkoKj9q7f6CDMri/ud3zOFdWN nb8BR8JvOvDDSAq1c8mDsBfIySH3FsTZ3rTnRpO5aZ2ZJ3kmZcYxRw+isaKuY15LoZQlBW7bvJDF vvfXSDjToRiE/d+RKdWiEgsZtr2dUHFSG9N6DWxJMqpchHErqOBj6Y6BtzvARWXCaMICkxDo/ozH StWnIzO9ZTkaF34ucHj3ovvBI70ssJX6Ojza3HNaCUyTdcAE8+e/0FmI9nfWjT6C7RmqS23Csafv bgl1cEpLLJRG+PCR5t+g78QU2nikiMLJ6o/oIDbIqr0Elkv6reEmo0hZ5KZlJn/AGriaFrraXjOy fns2EDTade5fdKai2iG8cX9Nq1nEoU7O9xN6zTR8cXKMAc0dSqt2VIhyuQd83oZZLlf0VIyvs1Ku wtyJgBSbH7NODDXPI5+Pkb1HEybg9HCwsEPQaUAmyisN7Cqgyp/0+tZC76m+X6eTY0D9FtlHoSUJ GmGMwR/JXBdpwJtM7qmp3wqaTTV5jYXnpwE8DPehYdcGZ8cLzs5jKGNtcVmq77CVhsMqIjkKNVEK 6q3wQki1Ex/ch3aygOjXPZiGfRTnSRrqbeyoNY7iw95P7SvMMk1TzXdMe2gH0rjPGxukuNyPjitD 5sdKQR78vUlheTykMN0W2SlbetZfuohDwHpo6EfMqpNVanCsvbIFoaD9ybUKATfcxxzMvIAqYdHz BdEx4R8nuJZ6/ZGDRbQ8Scjlp5xAPO+AGNCLajRAlrlE4IlbiiksqlpHEfk+S4yjoOnWyMR9h/dt vahZJxbALZgoP80HnyDGgWSwzzOJDGjUrXVBLubHNFfdIxvmb+V/AsfGa53lFF6iqjEcZfm+W1oi aT0uBfsat/lNp08jKJG1DIEe+FAFQtS60Mx6RcqxVua7Cqs8/lQ1WYyAkMgonyMNWcdoelCY7s3P G9YiOsKMyNVqvJgio7SYsEGRFvtKqfU2mZXM3N7H4+uND4+jvpECzPDvIn13FKI3F1mot/rlpy6B P4GU6tyjJcq6zCTn/ojjBO3h/Mt71ZgWjVGs6m7eYsFstteaXAx6EdjQsrr8QqzBwnIXnY6J1X+q hLU8xWEBmmpo2UDVorYnINLNAraxhVPtb20Lvf4Oidotq2cdFmU/ykNgwuvjcgPqo2bjobT2BCu3 rSDfulNSapSv090ou57LXTz0Lic/WU5xPmb+XNxNYSRKAKuPNGqhfbKsK+Xts8LvoXonRV1t/qLq Lo8WzqK4P/2j3azz8Zvc4DOG6RZYo2RWcgJiLFfOF3aa+yddeAIGYxHKGRyodmSK6QWEltZxNWN9 yKJV56mJgvl/1UuwCwmP1ta8sm8pxsu43KP+ufHYVYztJOjlC1Cag/K41Y/EYUvioEu+GbnVa6ZF WzROKME7xdZEOlAeXT+7ttrVVNgtaa3J/42w0dyr6N+TB2OjFRwm8uXSIah4dXc/Xiow+E10XKux r6is0/npYamZpR1np3/ZgKOj6Ob9Rxvo/rUhyH8at0WRAE+Rn3jQrxGn/UOmLprc9x/GvJgXTtxZ 7P97PuXRpS4/AD03sQbIqOgsJDIgSarov4UvdBJ0oy9a+sFNyLoEm8uwIvGDSV84/1ziGCJ6Sbhw EC/y8cDH7tJ9w6C7FZDaSmO71vYvClPo4u/L0Q6s1FjLPqyhk9zW3Zl0SusYy2HoTXGxEromOjhU 1AaMQS94N5g0RK9kZYMMsmcdXpN2wKzKdym+fFXp0EKhKjMBb4hP/zjhEaxe4WmhzJT0qzwZw6km imxlcj1S04xu2gD1zNDr2fYarJW4GFYMfUngULyVbGk9zp0e3OLmt63asEn1kjgl1iREQFp/6l/u PQzZoD63SRK4QF8j2fLG/HQilfcUQkNE2VRQvScN9Vbo72wQdzTaPg0XD6jmpuSBjpZ6jCoUxHdi +yxFX67B1q4gnECCjQtQJxV6edEAXU3RUu4gf2YCbZ/g/8TLqeDPKL3ipsJNrzGQrMT86ViM5DPW Q8Iec550gGJyIKlw8EuA7Ly4TyuAP6UOKWDDspHqM7QJv/Pip6JMrhji0Vt8Vw8UuI95pIQ7jFDC N6Y/OUBzSWz3Sl+Ht3+M/uU6gIZnhSK7DQ/RqsWtoMJKoaoeA0sq4IKXtnWLGgxEcCNxKcsTgfeg 0pZEgqaqTDox/tD9IYiwUEJeUiaT6TNESB1GjKvuhiAPABG/mRitu0CVnkRMT6b2m6bg5kpfuYKB +lqpbzRHlq2Z2tbu5Euw2vo+lHubdOw8Pg8xRevLntyq4veRSBAB7QlT6GBYyZ7QF51xdiLSc8rz Enk31WczBhWkJZEHahUrUmc3hgqSkQGbjjHxD9moQpoSc8kMHUFtYAwfqmnKhesdZi5nFrHTthyk n5uBJjsehOrCwAmG0PTAoHptO4ntteAp5znGeT01B4ecHlFRxs8v3M+eEIfMsPivTvfdyrXcVeR4 R6EsEl1M9SYjP5UFWjPXuI85H+CF89MiPAu6NNxnFLAaIBoU19K/NgtZHCOZV4zQWVJCAHowvD1Y YAHDAESJhmULERWrMYM41kinr3o6jusBjQpQEccBJOIW7Q7RQWsFZQUvaKVV8o+vY9IqRE8wS8Fx TZrDUL8SNh7gsGqVNvlUx17mnCdOGa2ZvGHeCIcsqlNrUpsVjC8eaOYzyf9N0r8ixb4KxxXLtjWd f/rBOdCtVmnVyebvNhpu9BiILwHlxmZDRVZa2PLR9qVqhwJyRhBY+ve8hlQqscLM73L3SMQre4d9 bwWOKbG8OP9L/Y224kGaMAVqmIBLi5GJbHm/MIsToNOzJdBTM1jSrcV2arBi5tx1DdOz/NPBXc+e cXWn83/u7Y/eIuEO+3gHRrGJQfa0Kb/XduRX1a8qcFaZmffXe3wbK2lq6JEOuBZGwdnmFq5xWtmQ Xq+LPrqPXdyVarDgFQ+tWX82/88VWdsK6gausMn5FHF14Had2J1Dlge+JytAN7UZBVW08vRTw3f2 nqmuz05OEXdzJKH10xPIBfPTgcH2A4UsDi9zebkvjn79N1wfJ4ZpZCyVYG6AIGFc1hdfYdYSaO4K sbTLWC13/AXSAH9BBjeHMBIzyF7OpIm2cVMcrQD7GJjkDVXR1ei6UIVTmD+1EVtYc5CEAsffoda+ EXe6it1S3FEdh2PvPPrRQhro0k7ErdhBu09hKjoDbl/I2OMgnA+fjquRzJLGEB7ZIfQ2kYJVBVQT lB35agbmEXW0NUJf18hXr52Y+Jqs8VfCRwzx2HfDwjejEpdbsS0h30fUg5u6VQ+BykJ7ozSghZT3 h9RTUmFffGXL3rEVzwby8ZocNbHNlHI2mbwOzPz9UP/Hcp4sOb9iaPhtvtK+3YSwXGGHn+ZnlBpk R9Ki8kRbt/iTMmjhtoBOpVWKgScMXc1CH+SYLmfhOsVSXhiUDXU+GVGpM5+IMxNoeOpo4rXYp6xZ ArEPuGWIuZ/JEuaEp9BjNIihF3ux3aF0z38NrUSC5ZUIPIGhAfrI6HIHu9wviMdvmGI7Dq6GK9F8 K0Lxn+8c0jBqRVOFxlMczx2VSyKMQLRuWY4PmZilKNhDZ6VgUzGebnKn2rvTYbM2G3MtIGFrK5zI MdYDUMVT9/NfT0ISyQ5i+aDp9aT9/GamyfGtitkYezmiZuikRVeYCx29DnkHUiFXBxN6ksOGhggC 7gqYd7jNxMYGmBbOG42pei9w43pPoWX2M1BEJqqoS9x30qD7HaT1bzJaqQ8dqhO1sOQT+DtKz2gl 1/HSl2Cc0rrga9w5Wz8QOqUXh8B8/UZEhIj4b8RdlaeJHXJ+yDuo/twqt0zXi3QTGQmcsQTf5XzA CYGOoIffavg+ecR1463nJan1O3FdOJeP4Kg/OQRxz7xqTteuAQ4u2l0Kv4j3qqSvsZKiCz4hvrCH REPuBLBftvVmmb+eMx3jQqoXs9vSC+lTvXj4iSM8ZP51qaXHrzXmmTaOTOrk7amMxrNR05Y1rUap SgnhNt7U8Sw9sp/tTozToalxkO3La9Uq6RZfZlWOncfbuPttDUZLCULq0sRjlx85AWm0uo6AkbAX W0dxcQ0DuyBTWrxkM900hTcKIVIipETljP9PEgPJCsPJEvp/3xfo1IZED7Nsizb+dlfJ5pcBVsgL Bm56adCZzBnu7lmVpnptrRJUe9/+zL+Fe29jxKlou6y3/gigaRunV43MpjTvlUa9zXhGqDJmYpas mAGzp7kJIInowQgHt5wZGKUTyHfofPLyhOE6aS2ZtC4zE9o1mk0rm4WicRsZqV/oY9+phoL7H1HG BWcy8C7OWDB9PfdvUysTAVLzLW+3YMOASm+Fwo9GzMmK4X7Mdu12TbHvgdXWku4AR6trOGEUYb+m WRVCt3sNX8dVYApn2GsYVNTyOQ64BoIgVo8JG54ViNEEYPJNDGRQXKf8tYsYHp8FytLdp1y/gPlM 2TQLHCg2eeMnN0KJVHHuD+CvxYHJLbHDnQHP7E6+vSajU+ENs4CWBD0Wq9VQ5SrK0d/barBYxnNT 6yTfWtJYsSbB+mLdhAwyCyRdXKPsKoCtXEl5n2s/gzEL9yizd9IdwV18tEt0C+OdQhjEAOof5jpc Yr/bgkdxKP9+5uiPAzKooZS8iIOQZR6X3kDFT793XOcT4o32IMOVsVIXOPykVvZ072FELzj2PoCa qzKmE0vHk1A/VPBsAub6BHDiNmezXbUeaXd5Na3R/fDrpdloHkBIANF5dm4CQCvv2wZfzunCXjYJ MtWV6ma/0dyyStEJwHoCnMmZGyByjCBQPMWmR9iI5oQd8nGuBOzltpH8KnYcxupiGGgNhxaGzpFu XPH1fzuKsKIc3zxhJH0RRFMrjG+eZI8q7SUjqN7ReE0nNS3v3vGbKca+TrTOSMs3a2Hsem2rM7jm C8/eXHXdM5UT2L/d5oG2Wk4dlTc84TrfwRbk3COqf6oDPCTeC2DPUjHZtXvj4qqKqfLFxJn5jKXy f7OQpBn0Y6H9rzqSqqWk+DyxK/1eqx4rGbQ/mQXuXU96zsft15KgR7JP9omft3AbSAmvCZgs8i+d KugqRn0mHnnJeeghvrqMeuBofFHDDq003bDpkIHrbfckyl4oqwvl+T1/RgE/p4d5/nhLKVJH/0H/ rtztQj+R+zp0JjSiydb8SDxbEma9sdEx2FtkpvUXcbs+XunmIXI9dWzxdg8IS4jNcCj6Tztv162W rppePVfwWFjujIQuqNbAnQ0WWoKy1MkIhbTUZHnO8CPBJRHpihVO3GEjwjFqfVNOztaMPqzrs0bf fbzWrV8i3gbvdjmB+n2+LrfEg03+qE+56LxHEG2z/zyMEOwpXzYRp4XFAjzS/Ft58/XZtIJrLyWT /7aRwVmzkgiNwDu5kOICGnprxlQw9vnMvkjzwO5JF/BJujv7pmZQn6vnfnnqvh3uYhCdTtqa9aXB 0o0/VF+9s9L/HdIMEB2lSU5ELrNHTkGX+xOdu4M78wNFwWUjKINAaOTlCqkjld7SXAC9xhO0htBO OBXqkSHCAPKysMDT0giFk+45THjtILUceXXlQNXFLXBlFYirhwYD++qyerenxgaNLp4sJTDQWPWG Wkhp20eFZ+ukA1KfzwrX+vcqcCHqSRnpKOOO+AMF3vwHvd0J+7cD01U11Jm9KzaWxh1ooXCswH8L AJ89TV2MYadVR50JLByuxDom4I26GrxCcGfuO25KJCDFlIjtQ8bJIIJMVme7Ga90jLKyTSZVWGWW fP5sCVoVc8buEosC0sdddhcXRIEJFu0wVMX8bD3cE8Dvuz6914yBmllGxVCDsX3NwXUMl1NdigQh USDQ7vkv6WUC/CP55mVx2HM08LwoDNWvGY3pOs32wceiFvJSXnnrOhN56MvWXHmLNy5DzhEkc4L5 GXkwjkbhT/rzji8JZLkb7z/KKljdEJPzAsTZHlWqdHULTeFnZuCNMWfu2OCGsX1fLVOWQzWaDJ1c sLrnhv7TaEV639R9OvZBLnal4aQSa8008v/R+2FVMMP/6NNwQ0bHgB+apY6ZsnYKlOrLMfvE5eGC bB8mlrkwOVnegmeeArTG9VUBDSiQ9Pf5HM4KpzJcomOdd7fw4vPm7kp6/YCqTcXVlKmNtvTzbzvu AMP87C1yHs+RPfOeurZKviammzOAUMv4/hYkq04O5aksB+SVwQ0m4fsVWcjI//V0pLX37Ibh3QDA JXYWgReJ2CcHNHIlGtSGUKlZv4scTtTDXmDH5oP4GPwrpR+UyvzkfXX70HFqaDanLS8FJJd6x7XU OxrVNYKKPYnmOk5HsETm8Rt2nK3eh/99RKO4TA5l0Ln5lQBLcRbE1Zvwq6Ifj5d2JQVqhjk+zaAX BoBfsDKbGL7se281Stym4Af87XOkpfU/7TZfOAkKePyx4intS3X374wCTpUJt6pVyF5YNyhYzSXX 1CuM8Hzb8Xa4N6ib2QKQb4vhE9Towg+GiJJ646M57t/Yz4fgBGMHGI22V1coE/SOEUMGIPcVqomC Y/FAjBBkVdTCNt8/byYrUVV8t0ZwPcBakE8m+8/IO/6uymp4nAndPkWl4/VjGO1cbsBBm3m4Zh6o +NxOVz8I69Aw0XJDAAeurQNEiqjUOHrurqzU4DJ30gw+Tg4I+WMLNMqF5jGjTYalNEMtVyxCnyKt aRoO8UQ7EDJzrTsLz06tWN4HHJfgLkCP2NL41AdvuH/nvnQUGjM8ZWiu9173vkzzEaWpBhEwToQd gq6OA7zbyi3q7t643sAdsm0o2QEDXeWMan5zKcmonApVoHFAtCJW1nUsw2P39Ooykq4IPkfU1AN8 kI/dZZHOdc056wvEihh5gKV0Yf8HLFC8oaTEtxSr+Z6hF6ao7dmaLZVLJGYUH9zAb/J4iMY2FA5l j3m/wByyJDED+fqQlvnAl0+M1JZyUoC+5qfLmc7T38srKQW3oGOFlqGf7ROaq3L37Rslq1S7DR0j MotOVTpjTw3dLuyrUzKpNyxI++XLbf7XohWiVbThEF+I52v6LZUXqh4neSyIyYoaRXCqjY7bqWaP hKd6B10mqL5PjeNi46KHiNOBb4+5QlmHFI9AiImZYjAHMMo9ahXyi2IfHF07MiPM5UrfSw6130DL B8KPpEUspxODrazsH8XzP/HgZHJh0E6PY6fbedz432xx7/ASXB+v/G87fkDFekfvdJBVPsAVpKGU NOQ8ukqsAd2S0oRmi/4kIg8TUNaihzy9Vx1DEv6aEK6Lebc3VCTU4/MhB5EOTcn8vKW3W+z57CKO G1vaIMU3WrP9TI25HKhWlAri4OhjT+dM2SY23JMbAmpORfJyIOZTFsTBEs5nEZEGaXOfFAsHlNlr pBtPvqKmb/KIVo1WwqLNiBS3tEkskc2h7RXAf+7Uz91Zt1CvhaulLpMdR3FDq9qZuIZvxTi1349X BgvRJQb87iW8qbnSlA6SYifQ2wJewqsH+6xDydmdCayUIjCPNEWcE+kxemRtR1DXuL5zlJ0gGkDc L5G3J7W15zur376Bjcod2cZsOh82A30n2E4kUWCHtm8P0C1il5J8s/uJXSJlPvK/P6O6YZOTcUn1 jK7vYqPpvDnys5Z11VWITDVE8yU6SlHIXSOfV4MegSG8SP0922kLAyfp6kfjRtvwC8oGHiRcQdFz oyQEs3N0eZuqBTcxbYwp8vjdrf+efyQofKqxOq+hB01gOZiuureY4uwtOJo0zLVjaLsr/Vr8qV1d 9Cq7AL6NGFQ6vnMMTuBbb2ouQiL+eWs3M9PQGA99Y8NIe5S4OYHLxaKQjBSEoIJK7NIsduWtK3PZ ennsydxEfCpHQSUZprlMBNroklmR7j1+D8TiuwHY74rrr1vfZvxOvFs8UhiSjCKdT/P6C1Ak/rh/ lD3Qy+1rFHOwzcepif00s7+9TqwtNihAW5+DzI+MeXiENrJ/Nt5G4z8W7Bk/MvB/13mg5LZL3pTj vghofzFevv+BmRSAcdOYGDjzjZzjZebwzwYCkfFRhYhjFT4Cd34FyOpSADgEXKo23E63y0Y6Yr+G MvM+S5hEPmC7oWK4RtH+Nqv67919sBKlQNWYLjmAbVmefYNavmz4nEwxG8o3YdQ6UKT7KmA7XqEz kjLYMdNlBF1HdQpCmZ/4vMJQifiDAhoQ5mXWoxrvf5QlPeLflKu+oyzoBE/VxkpiUaSN/fl3lYdp q0R6y24kIACJ67jnJhjBfeLA6k3e8XPkGm3JL8IL8FN/eaYvt54CeOvquAViH8Sph3Zq58FKKL2d FY7gHFvqy4y9pAoOEfbi+yvYxfl6wFv3HtEfzWWtj3P0I6hIM64QlmGjsKZTDirJ6aQhDDIOR3pb sfrVkBeXUVasuuKmTx10CRktuXBlp/iiDEz2lCkKvGTYHeXcuA0rDt4IPeEAljJmMA9g9LP1mV4r yvbse8KaNM5aijKhMH6JB9ngAx/xCcBjhfu6BVRCqlM5ZNYnEJCSP29ueU8rXfJNDPdfdWXdNx4t mW1WJk9LPw6NYqaFhU9vjIQ4efO+uJe/V+PaHYLBvBN0yPvWAgpuNoOJJRF2/HxlTgd9rKNDbBy/ wEMTnIQoVjuPgmbXFUnxK4862wp2STIhw+byb584TPkZEd9m9v8+TAergKkexGuySCMsUAJuqtoK TsAngN4XFR02BmmaLnzySseR0uUmz7HP/FueFV3DKdPESf6dkbUmzVRHoNWiTEQ5R8XMlt3xwT9p H5q/Dh8fe0HewO79UZa2ckqJYOWS8ZLtwVhcxMoquWTY3ua5x1oSn2668UO4nASEI89am2FobGpq Aw4oqbTcpah+iycMtJf+IxgF4f7MFCjqmFkEcKBNnKTgG3tKr6FFBeswli/qTFhoFQklX9sPlbEs hQI/BzNpCuQSQYVUD2Qz/tbNjk6vvcSUDt7EDjlCvxbRQ1n/LgdAodXEUvDbOeaNhSNqvOmVqmI1 2c4WuysYH7LXpb+MVieD98rSSXpoqex9zjdvTfoJnZxv+MBaQfwXMYBY5HSuiRKgflaW7TPN+SGt VbX2z4ki4dLajUXjKs5C5qTfrGGcOEq3NnZfCdunw8ft1haNP2Bjv3c/w8Nh0oAdmkWxUvWuMbgE 47a20+64Yee1YRvTwi3C+/xuwGzoQmxTGUEkhrIGv0wCMrD7q5hmPy/S1cBwvK/pMTT7x6Ix3gCK FXuHINwea0GMMvNLeBU0MSQ7LQquOdG+hLs0si93LRdw3BKpV2V/k9RTuVs6wLi8vboo6jo1meHM xliIGbqoOYrCshFwrK6vjkwlNR7YKj7fV4j/4viQUgcZQPlPFOh07mkFMwxtiW6fKDjD1Prt9bp+ WSX11M69ionRP2+zCrTA0fdOYHmf5kq/qhwIL4y0ag8o0dsUlgYy6LM3cfphz+0utu1uDG5UjxPX bP/XBd7v+yiSIOEbKupkTkiRpuk0lS/bySu6Oba9+Dp0sp/ZRXbto2/f6ZPjKjdZSzwn/UPdYCue /MtCAoO06m/Oks5DDFlRdrO8arxpfG58QbRdlvO+ax6uFHlQhKxPr6c5RJMwpZ0gLBU0ZdPtklIs xXln7vmJ897F78gpresW2bNx+le2JVW2NkqYdHFBa8lRmyrSfUL/GVhu/tnDa+9ruVoTOFSHv+UE iCI9OP2S9YKpMxDA8y9ZtfVe2we90drar3RjWuO96fYLYWym+TFWogLD5Ub0TY3VPHnuKcw6FLjm 09sCLREkVWLCH5+UQjGWO1LioT0T2EK5BXz88537nvdy55aEdTk5T19RhveXbFCm9p8w+jYKKb+l FznwCl2IN/qpUHpRsNkj9TreqVQvmX3dIIeZRlBhc/BXeV4o++Ewbe0kRUkzV3yC5ugqV+a6SIa4 GLRTwhBnzJJKE/PzywADxgyDbFL6LwjE/lGGLryzEefVYNE5tShcOzYyxWuYx3UY3Napm9LtU5iL 4mQ4lcxxbIobqbwFjeDDao9yfofqMsVYaUnjSf0AwzsiNpYPu9EVcw3TC404Frd/qMl5lQvxyKGi H+UWgMxKgNhpXUuZiBJopmCsm/H8vtE64l4yVAxjgFCZ5u94pf8GVCFdU0qRnTyLd6lmkV0fHXhr gDXvKv02BjQwQdgdEASXRapYWFwNWS6NJzzO6Dpd0fJDS/PZuvjp8arv816twVSxArxe2c50MpcE gGHgBvDHmyGRLgPT6mPqC3pnztcA43/90ndbMqof29lRqTTOGNIXA2GzaRqc4HoyAS66qvvQoU0S GxoKacz+w6v2dxjXAg4i75ZP63ilTDclvJ03ACXY320Hb07QD+bECfDfmKxvXH8zhf4hCuoNVAUv 95XKtfpQ4h97DcSo5JFLsWPYTyOhT+2y9mohDcMjg+LhSpwuoyTys7ZxGKC+MX27uNSeb6n2xn6k 8AGBSitm3L1d7JQvT/4YFiuiElgNt/4AbT4LihUyhSLG18HjlUFajHv4dKB2tzxJbGF6htBKag4l zRpKG1mVNpicIygI/IJk12G3sLP9LMwSNg38kdfbZataoIPKydbVYJ3QmYc7JI2Fv8tZ0IThVoaK 5IZx0h9/L8C8CFjxfOi4/kL3O/oTg9SdwBa2I+ztMVdBErwxlDmWYURhGFz9iZXkHbvJHOkt32hg sIPYg0CArgFiR0vv9XKxH/uWhPbDMJFwisi+oIATn+4go3g5iZSNPO0t/A/CMPcSmwfyMHDa+NkQ cxyQuRaTRohTdKqXPVgkYKjv9e1iXK6+JP/tSWul4STPWaH+J6HX3lbxJunFheNqNqM9lIRva/Ja TYCyVGZxSbKmDyFsxZipfHUaaRviFOJeF+VRGg1tuYBmQFjt213HatM6QPsaw8mlI4Oc3zLLrPVv SDHc+uzPsnnR6uCI6F9X6zZ5/gGrixPBJEkDKKFoqDN/YVY4Bas/OsdB12UDxQHNKrrP335wQ8G4 rWO2w1fjGL4FbJIxQDTQLajp4pLace3AeZWW92ho5RDBiJFjn26l8hKLnGXSBvWFWOUyFUGw+FVp zzuO01NipuuyyHi1/J5OJvGBWzRmvuFkLG6tj4mqWehnIo6S/S+JHYIlP6oFnbC/F2b+ccIZ4585 yxXHTViVT+YDtGGG2zBzUdFHlo0+egeLTqrk/3OdgcyIYcucJxGwbGj94U6JxIx7ZaP/5kL4PKuC 5GmMAawJHpk3Jmq566N25PPL5MPL4IvSW514bW1eWOZluMgco5Y3jLp6IB3inYT/hbFPpZ33qEYQ AuMEIWX1L02r+1xMCit6tx0LxIilnRuZMwfI2jAbBnBZGv+yfR/5v1BMXBu8+cZ9IAeWLgWcGLuU /FkWvzEWhANumV5T/doHjUIPl6lfjzeV1as8d2NfA/ppjLTbOlTshBvcH5BIfQlpzFJNB9MCXc4f y2shyF+y/9tqlYRjPkw0YiCNBEEE39ySZYSkCFmKlOtAxAqI9QtABDK8XL1V8k/HdKSLzi/DV2UV ZmjIJRfsrz7UBiPLOjVVYDn4+fQXWtsPf1FiymcnoE/sYxkQCJL4MVtmFaZapMfY8H/3txUMuUnV 6IVwVOTum6Ngu2ll64mBBDYfjhy82UMiV2OyAz+o7PIAK0lx1/LdlqscOab8K+tEl3HQwbr1+bed MpGDjgEVdWmrcngm8fsmSj8dcXZpxhthpVLKrHpn2YsVbUOCzYmjutarc5vQuoGzL8MYF2e6oJwB S1n7qGdpVCh5xgXQplqWi6F/2epehK192qG4TIrfv6YkNwnOeNcXTlVeeElPQjD3CU+C+QAHvwhz S+WHmY9FO+Y8HaBzRHrMf/hJl4WHYifK4p2zhm6jFGIiu76c2PjNb2JuAxr8uKThJHyMqT0JHAU3 sfEC2ftl6zYsOvntYy6Uqjv5HamV0MeS+ENzvtB2mFfYN2oIN/msor0Lhz+EUqvoWZpAWLbTV1Ke zHoj+cAjYU3ifRrkmA44dxxFjCVS33Jdv7dY8kk+KK21+5vA12XZ8WW4oFDuBAa9KbBt9Ex8wusd o0cIXLMGXFG5uhUUNCrj4NlklCEII083pX+X3hsRlYjG+gfuPupSeMKj2y18fcacB3Pd+HA/0flz 7ro0JnLxcbJpWHcbd8z4Vl/e8AtVqbAwyQ0nix6NVgdU+Mfp7sxjUJ3mC7D6jlKTslINekqVHXtQ 7KvG0jIW9uTGkgQ+TTLQCNrJ6Mj9rVRL3BUF7qNLftnu4c+OCU23nIzlretX91BwNKBwyk2eaQTT yqHN1lNvdmR6wTQiU+HtDRw2ZgAsrTx3ziiS+Tjhg8zqQQ9yO2rFEzIvS8xwrV0TceJvXUtURC42 XjQRu0ygbebvL4gudhOr4XOwI6uhqsPG/TUrCSFOqz83II/7FIG5fLWCtDID/JK/mneEXbL0A3sY w3yMVfjcktNA9oUHVkofMPLjDlT9e+JIVWI34++Ebv/vQ5IyH3ldvLZr/yR2viFmPC2gx7zMwSWB FIIE2YG5AEEcj3BpdO2GpKt7VYBUy3ZkMCBVvqEecmnEkO1UCmvfl1kaKmTtv+RxNQJGfX6xN7LP dIzPlN0e7Fu2J/CNy10zpj+U6x2LxK6hslNzehnBYWKkKzF4MLm+FFajoccglHHQOm7YAdfsqmwv 13SVXIN+LKbHfh1hd6sBNwbxSPJmxza++QsFPww/msCNRAhE9QZvLsYFWC82fBQECxODWKUmDMDk 4+IzR+7wD/YNJ0i4a/212+VZ6IjcmfS23rs0PbkxD2ZRDYec2nfSknMEQHL5eOatalC2CiYdmLwS JMIvM8l/lJRU/oeW15DekpO8SkU6sT6H0Oeyu382YTdSZfvn9SsArLFe4wZRiYYLB052NmKDeRgm fpYvysZEC6nQ3YfqhYW+ul1bm/M3TnoKy00VWcn1WVfAMKs5vVv3lB4gBQIGa8M3jMA9TkffhJ4Z e78MuSHXlUwcaF0C3S/bYlpvj89q5CIBFz+ZeLfSsHq757YqASiehzSJQrXgWHcvORsH/pchlS80 RfHREStnOA8tchmXDhW3Soal8BZedX948tD3WnhwtPsgGiNODtcmS2VQEwmiHMMh+twxXZNVfBCR HWYs75GUYEAwhHqxo4tuifhb+PHkHoH8GPJ2yDEDqfPfOBJfi1JjEXNpEBpwzp806iYi9cG+LhHu hUqhwsgav0b4TipS2ObZDK0/Jxkmp3WFzK/uq3hzxW1Ywd4GXYsYLNoQ5PQn2m99ftXNq/KJnNLN WZ9A9nipbziZ6+R7Zy5HydjL/dnAqKMBFYazca10OHG1IZMpPsHUG25+S6oBGfx3J91n8yf6pg4U XsHv7/R6Ld3cWg5grwPrvIbZaACuEAwqXI5dGBuFi9JkhvM1YYoqxrPyhMh3J9Ra13zTN0P3LGiG 3iKjbon0/eFCjSN31pTMYGi4gFyN4vz8JfaUriivqYaNStQJl0RrNuuM8auBNzaTRiIlIdT9HajA bSpub5TFdqGROBFcYi4q1Fi54JWC5PWuFGfqhtBeuLXiFXhrSgsNXgTqa2WLA9/3oPjW2ZijRaEB bIZ6D+crIrng0DV2bJiN9i+PHhGadI80Z56gwQt+61b5trP+bkpNHbwl6xvrret1ZhEC7pC1HaER h3mzy4e1P08VGVjPqeTbZauitx1gnr2+WEFG9O3YeqC+2EdQEJdYSS9+We2xjFBzgylQDdKptCQ2 PAgtnB/LT9vUSbqw4kbDokp5RlQE15qOIs/DxnMe398tbvAFbNFlUtU8ii8otwJyI/tus9CtrXf4 uDvHbgugI+rRMnzjrXwi9wHb8UxLnfX+knnJwUCFpXOEbUmJfLsvaG/2U08Q2StRjqZr2FoQ0ZFB gSKIhtPXKlEgVtO5jvgztAYwr5M/XTKWJoDZRfTKLOntCOc15rGiVy+964gm6Fgwmf/LRb1Mn3cT lmx+gcsVlliUUeg7CjEWeYA8mejWlB6B5k6C9J5p19B+Vao2QkZSvZAuDKdmr2KK28K7lcabLTFE URwYofBoOG6MFxg0FIaDUbI5JQPr0DvxHe7nTdQcJr8Q9I4Yxg+xccfhC/MOroPV9kka13ALNqQs Lt2Qcv9qSXGNfBrPl/2rL6DVU2q5Bly5rY+7Qzj8ZC8yAlkP3W1K/CKtFoQCfnib+4MaQgIXHsve x4OahxNblEpX//V7xn/0bzPbnQjY5Nw2H2WFojZT/ZIiBnly/PAEaurJA4wps7L6BBEgjz5TiiPa LXzvti84ooMNxNDZlB2LOKCcicZDLfd0p12Bkmsy4AUTnch5O+wN8W9cZsvvF2ltHDmDakQmqjLL Ga0FY87TvbEi05sMzdvqMSyZtSAQRLI1cQWap1SGszvmCp+P78t+jcYVZ5uPVyW4mcKrRAdMuBWI /FNu/eXzBSFq6q2pTaAIGioegcT/hNvLUev+IVp/7gnHRCwJaEAFJgcHBbzsXPm1htQXo+UDCWK6 NeOy+JYuYoXzblfkVHO/q23vKz2AOLTrMr3u0yqMxOeurdCG9n9XFoRossXhVF60dU4pEyeU8U99 eqn4O87F1k3M0QOCjfY3/1YYCzAcziCO3DGFdi42ufkPgYH3V+0X8oUkEk1tV7t7aiLapq949b3J ynuZHZR9Cy1oQ0lJhIoBsy088A9P28oJSTGsM17DRjiL4TE6TQ4Woh7C4bkcogfdFsqCqpGCIYZO tTia/iMsDcDGucYqkgpfttLv8TzmusCKeJT/5ZTe6VZuST9fUlB1IUT8X72jJ518Bk48Sj0yiF8C a4rS3hk4oVMaUT3Xr8DEkz8rcI0Yqi1LkXy9aKiGFByoqBpJjadOEJsF0YL1r95X/oxcN02FmTM5 57P+Xmq1XO8k9RsjH4Cw/NvOkzur7qP5NoYZW46hv9xDh1ExW3lvM0K6+DaOKOy1NdvbzkN1kPD6 932dNFpYAPtUf6b/PbLGM7BO5fjtVm1txA9n/GG4LuMuzPep8EQY9O17QG2PcJmv09Q9hUOm73ik E2rhSM/HOT2MFI2MUSJObCJOA9UIEGoYugEkeB3FeORc91QLapRoAqMVsEilQiKWmT0MFZvZHkyF Uc4diGfJT07NKwuwzNaLk72mpndIEe52qKAF012yQ17KkKooreSEo3JVgPequrtOG+QXjv6Vq/2r hL4KYN4s5Uslgqp0ptM68Rc9FlF6so6hQyuVbcmSMKHSN90XD6rZkqWCTvsJR+qsXyzCewF3j3Fc R2ahFLsXVKnKFZExjJhSUH+b0UJyHSx2Myvj6LdSAIQzlYuXl+eMVvLVGpF2IP1CNONc23tOvfLk deM5wa5O3ga5I+VW4zT3sCL6qYWLXNOORtZa+bZITOoUgSY10x2p6rS68EoBSOwksYcx0F/yWq7x s9cYNhDb3+RvJqB3jFGJleHQF1XU1zYJGJktktBQd8Uh+qmMM3XzcCSzQJjks7a0cGgWU0Dg4zxu f2tnT1TEnogGEGpo/h57L1yGdR60GXUVK/rrUkblBeis3D6IZckTaWIl6w19FZdD6C75T+3YYgp7 7r4GERNU5iNIbHi1cf9Cki1Csna1w5/2ic1wpRzwvW0AVxtpDyGqg4qUu/Pq8bS1/zxoDQ25rhNN rp0CF5UIKwvSUyQhvMcKS4XWyDEMhnoV0csknsUdmvGvp9G1/iz4wmy5GbMJbGfhyMm/fBevFw+y 2QumnDLkpqJ/PN1SDMmdEjFOkd52S0KqV/Gv9nadHo589a2zpFheqf5lApQELdyzpsDrj1Vfdb0a kKMbuSWmhOM3Q0qTTYSQ/02SaYCMiQdfscp5lcjyunBCQeXdAm07MmneqTdWvKTRjtmGNhtfPuei c65RZho/EDc/9Gk3C5MPBVnPyE5pTdwuHOm69v7qZ7ZjPN0Y598eh9srQlZ6a1VmE3J9P8j6mBCp pOc7riZTkecSl1fvmPi7UCek5qjyeCom9Q37BSLsarsAOdD8XAYLRd/BfBVmaTp8B8a2/T5HugPo y5M45DZHvILLOhQ8NkCDtc/G5YU7advoPcro66K60gnbl/ysmLRan8sJJMqGNz06yuemFe0hOPEc oMoqPTR/FABokt00i/rmcY3RidJiSlr/Z4orTs65cjP/17yU0p3W6kG+Gy7ctJNxr8iHBK+JTXod BzQ9ewBmkTP3fBKqlmjYMEb320WwEDN+5yUL90M3ReZ/+VfDhU5GRH6YogyNQM8V7ElVE8zyqjvn eW4i8IDMkYuE59tBs85xIJ8wArGz38lJGPik5p4WuwKowkTsiC0JZR0tbFWlAjXTZN6dE2q6QtwW Zefv3VPnokw5Ix6//Q492PPqtwF3eI/FPTULiXc17dym/lkh3Wyuf932fX75JX3VmPEeZTIilo99 6yMWuNEjYB9S0pt0GraUFgB6FrxFJoKBNJVoYIge4E0Qr9DPSxlxa2Sov7NvB0T4o1ohqeN+aMai Dsbfb5mr+mDO7LCR20wwF/I8F2K0bMuPAgSSZ5otcDyMximPayyw7vefX0qpFIJwcov36HTOdoXu uSTPKQ3HhfFTi73Nc8KuOr22OJQN7Sk9RUNgKVupGQ/ZDdz7ePGCrKqALZMX4fQAaxQ2xNS6G9B7 IbPCV4mXmJ7tRVO9FPZm0dtb7eYav9t3bMcAuL05GS0/f/ZTYv+L57GZZXewiehPFwN+138vVeGn evL3XytYdjW/ZhzAwu2EJNdlDoDmKFVyl6V90cZ/IFloXSTo9rbsMGdRX3mFUUCZBp6m48ShI0Ck Tvs1JJ7WXrTaYsltzmJ1IooGQQDq8ctxBY6saGZ8VE0I9pVLO2hcaHwPJqBvoH4Ry/rx4cXua5dn xgWIA7gx+aeQR466d7+GzAy6ULQlwdD0kiK1VYcuZhR3iVGggW0nbfKywX8iBInfg0ALmwsVfIRZ qI5swIMlCPbPSExmS8adkLggoXb/0+9MizGivBWkz4rLT5W+ZkRLIxWvNdBL8W4IkBvlPQ6E2Ia5 9yPpMTdzGS44/L76WflVRB/w9aJqs5cOa/l6ey0SzsNOi24lut4DJZP/LOjL4ZjliNOPqF4WjVk9 PTURF3Y+oBbz4+LaT0HqwvYBrMPPmj7yz6nN2lq+PGsmIxtIcDsUUV3PrJ5jgv5NUWIdJ4S5fNPv /oYoJv26Y3ogT+T84BleyIraQQVNvS3/BjtuWV2L8RmUGgTWx9idPREoLuvjGwPh63MEi7XaO8EY fvAUQSdy+zeVG7f9E1TYqHBURqL6549InSgclxOJ4zRnY6IguSbo+P6z7njLGRdsaCXONzhGGkJV ow/78r60RLJnf7WvrUoEDtkxXJu8CnNHbb+vZVYU3FXcY3LYM1I4NaA0uDweze2NQYlebYxfTDJc 6lwgNC5EqX9GVtWcdsvR1/PCswK20HVaYdHwJP6R6gNVkRBlkE8uv7yPWKqDseP0a6IfIRX85tM8 wy2Jmtm7Rz4wg/MZ7KNwc2gjxFIMJSggPiJwiuX1ov9fHpzqLePw+7DwDRPkTWeUsd9JD7BvNNBr QXlOWG9ercwekslSqRGZqfhpiUNjkoKTUarDu6j8geh6dUXOGp6UL2KxX2WId2r4PGKRjdlbfoZf 5IT3P46lUNaN5/+j4UIPnIeBXhN04vdZ1YjqMs/88gsK7vh1kpFTlN9tT6Fbdxx3AvZWVY0LaCwg aS3WBwhHYOZx7wFUgrnwCito2wKHjDdxoOaUdSjvLf5Xl+hUSlHrzNxHLP+cq3dCHMbXfP0uXeUl BGGz1ep7mhXG2IVjIavSsVgdX0zPRCOkhJV98CUYtaEMj+eNi7rN+QF3Yoi7wn7zwnh4kUrjqQe0 b9GXCIk+GrpfsOjFnOcHwJ58G0zEogZ1xjUCZcW+qE92In2VeStTTv2Xfnk6ZPGo1fTZWy/SjAC9 IAUFI03Dyc40LPa0NF7OnBc/9u6eE/38Aynkwf+D0jzJ0BFqdB1/9cOZMAygXKzdYEY9TJIs6RC9 usP3CjqaPDG8NQ+xpj0W4LVenlsnLHX2yc3PaIC4iF7GcSwzey5lD/go3s+z9V/Dkf470s9YVIG4 7BU8WyA6qnkMiImFp2H54hNz2s5S3zDCcU4eNjJ9v8BNOu+1uKfM5XUY4v+Wuqp3P0L0VF3jvTcW KlhxFsFR5SLBspRGS/utmhaoq2Fh0lbGmUzo5PCRkPrp8zCrvt1oTM+k0ZHgYCgCq2+5UKymxFin M1O4SqSx24QA998yU2psNBK1HFFPPdfrMdUQJiVFWIBgR+1BM0purBInCYuzEirCx9FkKk/Q2S+1 P+l76MFY3LSsXvPd6nB+amm3J2ju3SjVtfvsW+Dt94z04DTvSXFqyDk8kQhmFhU5T7R64hhn0iPj T7u00VFjtBX9hcfyZRK7OgzxpScuINQitb0yNThPVwgAyqsYoMlpXchcRqE9aukTKY344JTqzfmo DzYbJD7GAPZ18+wZjEU2gLr/hUNog7Zgtk1fJ921hLUK3e6qe6zMssDwUNU0kdyJ3T8ZIeT6pNam LWDYfi+NsikY3fuhQkgJ++Dd7JQyGDJ5Jg5oLsDX6RDgyPpouZDBteukiYxxtrtylrm8aEapcPlu 6LeDf1dVP2Afib0M6RA7uLkHTcfhR6xLkDlGdAvn4vb8NY5dNjbTayYFI/FEgCebvBI68t4UrpmM MYTwqkr/h+4fDZjYg6tcVdmt/J1RbmvfolWf6n2AabHaIcjqlIIu0gs5l45EPN5s5aWT3qciFt3M U2JFFpmrSI3zjxdjA571lLWXRm1gM1Wz/K6aY9uCvSmRTgp9RWflcB33CCq3548luJUS8pxmD3BH N2XtOmovMIHUcudPvulbhm9kmkYzEu00qSp+OEkjs3gNvjCTZ5m75Unfw2atwaWo+0kABz3JXbZv L70KYL0B+hf2MSMVRx+Lc6XudMOZsVQ77Kb3q7SrFi3rzvdSUhE2SDcun/AH+cgb+qBA6qeWnZnN ayjc0LBLwUmi7xjHt6Bm+0UoqhvgpecCV2nNBXHcYvFZNReQPZ4UWwYE7+4TsRmlyer179jUH4Kl Ii/pDiCBaoJWULis1XxNODtcUed0lXiPxrlzxPbmzntqvpNob8kgpkiE8ekMLYL8uYy7YaBcpqqI BFkN7DJUrTJ7pBqUH5vleq0zQVkPjHj3+kVdVoQDGFv7fp0D1SEJmlrszZzyncK/5+rlH4CpvSgE ja+e4xPLklBRYRNaC+jO2tA4Xf2kRnjgrs5Aqp8XVFCC5mBRm/Viaj6qQA+bd0fQtAiqGyg+IChU bR39uv1Mh83dJAoMB/zfCkRMiQthYomooXHQGOPQL+01S4aguqSNSgOoGkfol4Zo+924YVUpoTLc Tf1Lw4SOhD/6h089dlSFGrU52MZ77qUJNUYi+Y3ehXh87btIqoiQpgtpZqqd8CdMIK3zLkvfhlaH 1qKlZkYR/pHXiaWwqeupBmXZjbYtq6yPCQKAnButFUNHFjrdUe9koUBJS5IyA6iSNXJn8G+tlHk9 7Rn1ELq73cCS/CeIK97GRlOIjLNA+gL3UN0FhKsn3rx7S9w+f7p8zXvDNyW+ZzV6wSLdrcsou+sX 7ByfJBUFEAhEA7Wx6b0qHdfd6pzdXXZmtSde4OH9Lb2n1TA79y3eAqQgn+q714mb/mQLqCO7xUwY v1jvejt0i89sPZ+5WAM2IalzicnSPVb2f7xnjj0YtBYn7XnU9lQdIInS1VIBuTvRGCpX8bO2pXss Fb3ucWBWMRPiz8h4IeqMTj0kbAAEb7lO8Nq2yuZCL7zptcVS+k9xdaTb3V0pc9GHf9Sdm+PuE4cT ax1aIKCOpce38VtIqoNnjx5MxN9kkqsNaN0c/USRgtJIK6eG250lsqEY634vKw2g7BEjGzd+nV21 /UCvAb91G83vAf2KkOvd/VwkpWnlGLaeu2muV2iu/PXiLuai3UkiY8SphOK6jlaOvTzUAhTn+h6f HS/AZSA+C6YoVVyQbTa3UyhP9zEiEUGTwntHvvOrDR6n6y9pIbu1qrENKuznmYlmqeWoWuHdJYPM CzyCLOcgHDmFD2QbnQSctLYWnN0t7DCpl/kvP4UsS7/OIynPwCKpuqpfln/tpLz3NixEWCdbO8qu zj+6uBM7SOSKesg4ykb52Y+OA8TVR6MLfUkCM9xIJb/RY1TSjAt1Ab4XFaUMKGNs5c+b87E3Sw9v X0qA3XEYzxmI0n95PxdR39geXF9EaUF9SE9JVc5TVSU8rUXae3p2ITuHP3mB5e1GTuKDcjQmq5Zp KF5kLbQAETNxUkUOuum+IkFaCjgh40HxswCtI0ddaS/INQ0NSqhHjc2AXT+cTMqbDVR6ptH6xp+F 0Ui4NqkVaXiEL2MxdBdWSYc/lfpXeusjWNS0j8FM8EgHWE+xCIZmJBWMJ9NUiT+c9BRs9jz/G2V1 6w6kbSdBCWbP6cvb/F2lRCOUaWARoajgdkxxN3HUBwJxW6kPZAqnSElt14aZN2Q5tCJaDvLmgGhm o65aPvIWwtiqxWyCnrx7IXXsaq9tc4huE7Fs4ZW0BRapVRsCfP4lvMi2HqfPYOGWai0UIJ1+a6hG wXDYHj3Kr7zBGNpcGX32gMYE17/6tANZoqnFPzeT1uRQuusB3jdRAsV8Gz8XvpEDfrcaD/0yL4QL F6nb7arIcS6XelqNm5zOqmcscpuzDn1Il6dH7au9i/P9lhi/EmErW+s7Cka4B+UUi90a5qR5G0Q3 NI+8bRpqo7AjGpMw4L9R/RKKACNXEMYWdlb6TVoLpCjioVLIm4C+9c81aqg20XyzC59+kBc32Z2Z 0F4kJecDghmRtzOxkG5xpCRvCnnvttR7ErWKaF//fs64qqZhHPOqaOF5Ha3WodfiwtJIKAN69Pd8 XVNjmWia/EFXXTcHfTO+lXgLkW0tJiy/6tx5Uk1t/M/SBfX2MLd6mHZxg3MqgSTjh2opv2B9wQ0H QyXPP9wPk3Jx93qqXiV79EEKmDE8bYTdKpN5EYVdu0iexMRc/WpvciMKprqFdyb4iO99Pzopv691 cjGYF2l0pfTr3QofgMIewSoJlq7RnXurYNX0O98y2fS1DvDErhp4jM7s4B+Ru8Vorpwbd0pJQBZb Hc1+F62M0Jeeya1Gfb4dJZD/ZVTF8p3OTLn8EBBXx1MSrNBofVKlVJ45y1DCrR+AiuRzVWhOfpau 1L/KGKAzr5oRl8JgXn4SxxDgekekZOO40gqWGFaJp0Qt9w89DJ3FSSxYwfBG/ILK0PaDC8RzGU3j hOeMGmW+Zs2XC5LhTiJaZBYCAPrMMPx17qBg7QOpEQtBryMEUu91SInPRjcSEdHoL41NQrVOg25f k76hhn2kYW8vFNh3ePafoPjKVxJ1M690g0/pFhsJX8BnW7ToEpGbM2/Geu4exdkPvxwcJnQ29E/H c0xB/HB1v+JUA/ZyGqrHkvYv2YfCg6g517FoYlTXRILo3Lx8QeDa2OCxi/4ZUwYhj7pTtk/NNVW5 /NzBiRoapsn62IDGYWHuCE0AZGwTCp4BQztECTxMMTH8ltwegD7JHpFTwAd3sFh3Y1yF3WuzZpFh x+SgS6GhWWactdqHSxCTFLNqthdXvwgW0TRcPWDaGUF0Q0iWT7WPHB76ZaKo6Urbx7QqXG3VhQJH k2iN667G48bEv6Qhr0PfnUgcmUT1eDDCfvOxgr63tNK1IelKvv7yKomAcxLc0C0kAespgYH9cX2N xUDxk/2xKLK2zCxKM6S35o9RHyfaPVjclFf79J7puTtX1SLJcVcqkg90HwzG+ceclcGo9ZBtbxUb 4zEYQHzlSSM4wcSOnJprSTxGzIvcBQ5+eW51PIFc9BRzTYQQV6YmrlmLpzKv8xKaTpHzTz8qU/5p RaDpYhb+2aqXNZmxYuk5P/wm+cZp/fRdjY+q+8YwCD+6Z5VgJygvpnCHdxJMXoHjsAi4pZDnDEN3 TYDtMq9e5zhk4wp0mhtViItaZ0q1Cxc3g0YzsKo8xFhC/16Bkz0VkWVsaDWXIKruOtVsLPZ/Y+kQ 0cTd7NajmiPGaIKIIiLD4EIjgCXH+zotiWmfVu8HfXgSB+vK5iafVECKQy7abdWCFWG+GPGIPwlG Tmg9WZQiBErn7544Dvf3QISp/GtllhsR3WTeVLOO0qx2K3pDgH8l63u5aZVt4YsJb1DeUz7Tv6px mxcdxPF5whQnbhr9ux3ImpekOhgAvGgeFNxKB8r3WQAx5ALujelJLJh5NGxH1Vir6OvelLHLYfn1 39ugEYy4t8/dE6IvugjYRumh3PpOxl98SJR4hW8E+FZjCeKZRwxPCmCqpZPbrDIfKfhtLe0TwGrJ f6hF+llKgJqawvGQlfYTj7z2w1LacVa2SM5EFUXotvlIjpy6oNpy8jxE+Wy3Sujfm+a8EhqM1m8v /vt7pvsmT/A5wKcN78oYJONtN5xgwqOJciVECzUoqPEAh+uiyo4+2ROeFISkNzxgvw3EMWPfyZ4W sC8h5VMBSkYelXW0x2xurxLvDNeDxAtWBOd2T6WbeNOikVeZOzcVVi31sBj3zJZeimQH88oq5DiG 2U1AEdu/P+NiBRcHqiPDFs53ODm1Uz0o8pnKpZ1zOIAb5YRu4wBCBAY6vePRilBGOPxpZbsUReiK ESyUFiwiudgZj7DU27KUAigH+3JBlNJELlum/KoGSxYAoSV3uv/SjjKG4Fqld20+ZTscudY+KGBZ Vd2HXfk6QCbZ3wkp3CT2ymJs+a5D2xWEn39YHEa+woNSUt4XUfZXvVigSSn1IzuwEdWOaZ7BK4F+ dCgC84pB0x+1EWDYLWdyuZfepEX+armijXOHYOECjTTZmpSQE/7epXYJu1P0folR7XmwpZLN3KZd dh6j/7n7bbj8OKcCq8dDSqtQoe37Zs8N/i2VukxkWAX9c0t+apAhaQVdS1q3XktOn0unF5uOFJr6 +rGY3131V+ZfqfSsJnqdT5aRPRmb8FwWVv4zHlAyk5GcskH2lIAIMrB8WuoXdbCig1JqmYNDhPbV N3oh+U5YJY4a9Ar1SVUdc7fDibHYRdAHeUryo9ERq1BBJF7/REQoPioT4bjwNNfLzNOA2iqgVKm6 Z1Y8rv8QeRYIWZKKUEaqn3L7SEuqjeLRDjPJe5ktCsZzsAp6blQWODTj+IM1KmBhkzUbbCI/ouNl RImtpf0FdwJxX6k54V9yNh9w7b6zd1dPpsTXS47daHbZtn9bslZmqxlqBOhPwowAzu0cNTHlcTrd gVDx6vBqh6R++uDdAqLhXRcuwPtaiMRo3/Hq5goYVQltSnP9Q1IXJpPLDJl8XkMdoInsV5KpWpDL taFj+euc/sFBaoteMN+qSV6pM2MbibZEKgY3W2ZS35NWHLLgJZALLmjU+8N8XEBGIKWWvQNVRD5o lDA7CCh1KqhIIvySlURidUhLHaL7blpBcQmOhFy5Ahtd6+sAUyjX/JeAfISMY+G319AVTVJICMkb 64j4iJrFul0a3t4TRwKM7yiJPkxb6uWOIJnLNY0tW0rzXU5aK3qp/kOfRZ+HskIFT1p1Is7sQxRo 1h29yT0HaIqOuB40gGOtzcR4A5yk7FgR4TigsT7rkXWnJJ+Tk6ufMsYHTeVqf4R3Jl3Nec0eODCD vEjE4Bnr0Xq5IVXo5eyAc4GsnT08XgrPEDC89aLDBiASQ9/RR5WjmcDL+8V3PIDJcQ/Pbie5GYlB 8H95BYBKVbPUziYS7CK/TgUTdRsXVbbgVw0Uw937Qkxt934uyHgVT3L3gqrzqQLEjva7MjIyZSkw iyj16wJ5G0eA0uRXAYxHRJSpnxC4khsj6DPNXQ/kIoWDWCI6jatj3Jd+3z4CigwnNZF3xUAA+i/Z 4hBRJEfh3LK3sFR+sRWSr0byfpfOGu+zpF3KPrgDbGTMniiQp8otym4OcCW/gCmGEgKD9urUH4gZ 0f7ACHpRfDYNgh3jpkkV/PCl6zdVKIkVm/3DJlsOQntSuwaP/1bh7auVB5hPYMIESYdNf5B+vcpO eOeAtzYbKmEqr02R31hpezZnGbcIgfj4rxNLUvLm7RAWMxlwPHRK1nH0t+xZRofOm/DantwREH0z 1Pm9izlFHsgYrQ1jNy4Ym/1FajaRJkKwYh73TVEoSzAR5mjRVH4lqBKCL6/Winmisu01YmQGAeJ5 T6fRaJiKVZkWjIvDm2zlbXG5PsnuzGLXP13vkf1SmVCRcwKHXn+LDqIauIuksQ/H5ykKo6VO26v9 s/LvnhBN469FvQAkMNoSS8AOD6K+RynB/h24dWzZKmqjib6tid6KnIOpOSgctySBgzDe5nKNz5cs TMs8vrrR8O2n5fg4PaNWTiGLPQxdLkgWYzkaGl8F5BPnkuUefATI09KZwUg9Fj6TBVOTu7loGuwv IF/hTqVDuNzFBCO0TKwpJvCLp6/BP3qw7fmWLAzPteDYh4wWzIr13Vbi1Z36Uh3OhsZqXsjqj1np QgveeYvcsPDqk5FNWxtjdDR77AtOEmc4KECMxrtQcB+3ZfQg4cza47fBzEFJdsgTN1U5QF7D1SfA YjDc5Vp5ZXUIrIgQs6O8voYn+Ps+erVUrwZUDL8IgCj16SrkAillQR9cy1tWU/+A4bc9L50KJPBP JPrX0kb99pvULelY62HEIYoUt/Cn23tziN7BMx0BOoMROI2OlskrzuYlQ9BQbylGhsu73e+0L413 Ob3Cgq0EK+B3KecjU2JO3b5sQEGzW4GDIGvktSzjasR9xNOaaHEGgmXrwN3muLhMBoIO1JHs+9Q4 zNRYRKWeKAdNlBHS55jjCstliW32ExKc6HshKdHkfPoDCzLuWuW6ZmMFE6z+bh0l6JmMdaI/WaeH KQi6HLgPDhIoSvIyOPBaq5ldwUgkoGHJJACseJIbDYS5/1GRDnlXD6qzPlO608usGApMzzh+189Q oGgn/Zrd9Jdg5rYsra1XBIn9AfD16+d/7aMbx8kVPmrjV1Rg3rz2itITndIZraO5XqqxW0IEn0u1 zx2hrFPVz86tu4VDP96IsK+iLxKLu6kJDMX/teJIhVJfsWm0NVSja4Rfj4TaGFp/MEuFWMlNfwT2 Q91CuOg76QprJRxrKpQShy37iB4XQxSpsLRwdcVB+bZ/LywQ4/hOZN/t0jGDIgfqHWx+gzaEd2y7 rkP08X9YRlh/+Duzpsdgj1trRNIY63E0sLFnW6JzU+Yt4Tip4b9Az2fReZBVFLM1bTshvYuP2cGm i4FjL3TSa5YoSikUpr+EgJKcg8aBUHBz302SGHPOvcw2fm/TL1DCFKyh/R9epsxDJNwdPwTbP0Kq JRjO+o3q+vBhuP+k16lGmWp+GrlCxoFyJSlulEw34GIQ4bYXM3c5AHknmQpnMWSuWnV0Uj5KBfYy EkKSgsjc/Ti9c9mtWyKgTTNIUdUjIavhwj3ApMp2U7bh1Iz8oKNsc9vS9zxI+42tAWT6nk5ABcAU TuIuTyW9oCsLXduMkJwQLcl97pQJ2vyNq9g8bYTDyukFLMiYet1fp3MRz6dYZ/mZm+T1Z58FOSdo 26gqhPbGV3qFSkI74AFKl5NOZr3mA6XrThP1VYU/ShNQRNoWiuoTwYYmJYedFDTE65RLOPVT5O9I PPBH6VvEsjF3veBHZihnl4Z73KOFqpxOWY2DDkE+5hBAojJTiokvKCEQxXJmKmBK4ciM3RDNG54m ITUtZA4JJyCPGXaLvskKEBiDg/1gXN0xLh9EI1hs4BldbVTHbn3yZeSWvP/tsFNxS36q88MUXLZh JVg33mMx8Rlp7F/jElM7ldmhd4UaDcBubsiB2WmqA0EHcXhGDR1oaxqWgLiKIxPD1eib6E0k/AXo kt4HvdwYV/xqucX51CpTgzrgI+gu7hoqvKn5ANdCIEI4SQzsljrqkfKvDYvWp/XpFn8AYQ90kTel OQZj14cVTlJnkFYJOTEi0sf9hQYMjtXnpMcTSehPBVhVCQrqO4b8AwOzIH2v3AqVmAQszGyXmRX7 KM+4iIH/PWmOe72E+5tmEypTP19GI3XU0ZDiyta0aSuNKRZa+YILvSlQmcIvjJzrtBTuoB8DK+IO JbJQxj+iLzny3mpN1EnjJMKAuAJylu2X6r6c56drSUTNbtHFfKMkohdjoTAqzniAv31dtrHfJEEF 4YQl63i+drotBLCyrs3GTmCvgdAusAyhKOdwEZYsY0vNDxJZ6nVuW+tYtZ2gV4UEvPO0lvbp9qMg iGIQG47P5peZy7I507V7G7AiKfIHW1vwP8JmDAq6cbCfX6vCN9B/7LwFhdEMOvPRqgzR1W/k6iFT LcqTorvcBPD7insT4IJWlSPXrT513/UtF7KT7JtBlrfCR9g0+ZDo+uaPzBc+IR5q0gfceyobRwmk c9b7WY4OEmkRcKl5EQCLY36ikTRhQiC291UxVtVLk5GOCxCOWd1FMT+1ZUKnHJ7XSNfe+YcAbm7t zSlebVOUktdpsF8Vgx16LhVpXXtFxLw/+Ul92bGG25nEoahaOOIie5sm721Ul93OUdJeoc3FfEwu 4CLFZJ45v11Tg6QrAZPT7wDxi/hwjsSbrW3Vwyy0ZOerbvLhJEVThTnoZ6wLqD/aO8AQOlPnXnpd D1Zuo4m/BzGDDcQx3bs2b1R/KT73BhUK2/BSLNbqLKnmwKP4lR+zoqGhSXonPK+8Ya86MkhJ1t40 PiEpEIgwa3eRlnlmNa/+X2bxub5265e7meGCTHZbhO40B2c/9oNeJfJozW+6KfWCvzg+cYHp8N4Q wppcNB0LZdh38dXuXXTtBv16EZ/ZXfG/8LbWpSaiI9/cedpn6dMKAzJvpB+MWnXqkhTHFN+gI4ev UynU2uqSU9sdUtpAk1w9433kR88SCWw5nimYYWlSlGGSXSD3fUX0Jkjel0LpV+2EWxc+Q+aY+ppA yJQErKD00hHY8LYVKOa4DHB9Ugsb2ti/ZLGHbJ15+Dx0YKpf3eHsEhRjlKKEZT3WhN3ZqvB0R+Iy k+6Ev8Hl8jNBx3Un9XDBU96EShI4kM5/2YSljkx0UWKN/JGA2MbHdGnfelaY2tvyhaocw55VZf3A TsR++h00zxqsXrXyC0vV5SNPJkhNBHFkTyWhJZs/HMMIoPVxlPQcV+cCTy9ak0Ez7GXFZecJu3Uz tmbwOPQcExOF20OmJl5+tDfbM2DThbkkYUUyUfzjLW5WuR5wI3jU5dMLjlOckqwjnPD9TQRG9Evq iboNJySxHaXXmFfOrGGDeOW1dFNnqQtfjRYIfewAW+NS/hoWhJMHd7ssL6JggY9dn8+uPMyE4WyY UFX8n4jn65oRmNBpMkoFPdpXY4mn0wyL8S8hGlJS6GvJTKU8hzygVHp2PUPn5EpzEz0EfDTpdNhm d1J1X8+JThDe+kLZlTEv64UE9+JlQUNmj6yq0ScpW+YPUwcs6MM5PbfpfpbqA4hLoHDrT0ENlLiP 1E9936k9sfKgcUci+vH+OWf/XAkNjFcbbl/371sC5VitcW9TCeq7SapY7bWNb5ATY1Y3iFkQiLZY GYIdr4ufCjqgDBQ0YSgY4rHF+/CLr70/HYGOYHMdea45+nwQoG+Lm+VQaMFk8fWg2pGC4kdN233f 8C5NnzbgCkvK1WAVivflUTU3Y6tQFeMQnXo3C7r9CNW5jtvXubI2QjG95xzRtQzvORnk3YC9t5Gp EgR0FmBZ96gMDJCGuVt3EZIWaHfFUiBR7sgZfY6AGNsKxnn7U/79FcfB4CXJ6m4nPExgH7HjGQVp eZoY8oSX5U8F77tEvixoglwqSUAy8YpqoN8Bh0Gm/uQ1tJJjy//wMMHuEjifG+e/EJyS6EZgRj1z yjvXZrv8Tl79V+lt/o8morI0gqtQUJ1ftAddlJ35bUgj7EP0iZ98Aup36GtDcx9Ie68QQ1egFDk0 Y5FZ65mRvLdRJ1pKk6G1fXzBpaA9k48ZuNW+LzZV3h763JuJ+RJ7Tcawsar7Wc1wCUeFx7I6DkS/ xCVMlZ5K+Kv722IL2qwCvxNLaU5aTy263GukPybEvbuWedy6cF0YPqXRiBs733818Tuox8EGSQT7 1W9vx+IB0AQDmiQ2B89HJB67KOPa7rxtYZ6Rg5eBapAiCTqsf50hMQyPrnCJVMwsLEm+ItBTW4dw j9B+iVMTW4Cobs8QHs5KLgWvFddFxw+WDdCDbjKV8bv5DZaEyGC7uEHlR2mWS0cEVLyZbkV8jOK4 BQe0xCpSn8alSkW7EKNscX7hdLNn/oUUleN8DOg9Ib/VoEHdk/OvGo++J/mNmD6YYzrhSwQvCLRV LqdbWkeIQDgcHe0Ivur9kky1h2RqJci1Fqikv+3/xCAdrSgHXgx3q7mAKtHbXxzGH9a7WV8u/QYI hfwxS7wwp5cSxJrUc+UONL11922Z1pdxKDz7ezh8Jhy63RR2If1EFLOO1YrizK7/0el8OQDMZMhe GqBOUTgwmutawgdSoKXmLzM4/3GluMztUz50b/ukJDbs9lZPf44HufwYeZSu2QolYlhpStKD9Xsp iZKKaglJmwqLr52jYDERCXtpH694T6KRNdZ5NPLWMJZUdJMZlnuzA9ENqZp4uW3GS/XFVfB3cG3U CD6H3pmtLeUJMiGUOwbKti/pOytvXnvMTTyppue/3mOh4x8b3z0+/UpfoiXH0MCVdgShYxyKqT6f CqGraS4JwlhkmjTt4WPPe1mNdQzsXFANPQPdUKTOBwN3TNTmjVMccC3F7MTQCd5I4w+teHwOOx3N +5+GZuyVurU7RtJKimblWyGV+AlZwHybKy2gVvADvkv5S3jzkqvT6/cHL387rSM2mob4b4z7KX39 jsb8rBhGR1pUeUh1HZ8b/eLDyVWIHeg2z1dbQKgre+DIZTJ3NRD+HWU8KREWIXJdMNsHd8saECVQ /HjxuRFVpo71eEg6qOZKaLp1ePo4crXrYM1AaNlyROdptOpvA2uSP6qqrEMawvonJD6gRhNLDlWU TF57tScGuo2LdIHgKC0A8THwoJs5y4ElwN/fsVtbJOSXzZ+z3uuLeexPNYyR+6m1SbyQgYyFqfP3 NlbYwlM9HRG9WoIeLIC9UuHccZi+960bnhuImz1Y3SwtWPhOykePRt9iHSRcZuTYxn7wVSeBVmtU EOrLY97xX7o29+1YSO4jeyk8eBqwhDZJcehdImIHlhMsMGBCRqzJkRgrCgv9YxjiY2sTySnQWzJf PEWGyCJvqqJ4JYvF9hoYYbB5A0Acs1PZocqNBDG6r3xaoTbNWIk12D4qgL8hCEPVWXa7AB1Gz5zD ocg3wj4yDblBLDFwH4edHZjydb7zWGzc8nPpVqpOZYZ5dwEswCtL1s9R/8AVudH6IJq/Cs0jaiEg XPl5TiFM6EBvtxNlvk/RErIijba781vEhpsvLFEaQIZscOkTxlZpEtPOfblYL7qrk/XfJ+BAXZ4u EA4wnoq2rc6ANoPXZyxa3bYpWEojVnEwDy6wWHcEZEmxyIW7ZPTumMoDun1aWUAvtTllTA3P7sFv VvNi0QbY8wv9PXLZqjS3BTNENzfza78zPTGlNdwAMxIrzTCYnhTUVjAUKjSWz6yDn2oXzEqfr+nQ mHZ5GC7xv1scBkD6alBMc0aW1N3A331DRHIEeR8VT7Cfoeugiq5c3Ianq9wUVNcE+tWvwdTvx4oe gbeylq5WBx9yFsDiGD2zexk1viqq8BWa3vbbVzorB6WYy5vbgt61z1UM9wa1gCKW0WKXp/MoEIBe VtNmp1aZcyIUWy1ZUy3frt3emy1OnN2Mj3+q9AXC/0HryS79qyxNe+lXJA1zu66DnHDfvFh1ckRC e34e30m83Z8Y1eW2ql4Z/StkdKdwYEkjyreOVr7gLuufh8yH/8kYmts2SplSkL0gLau7HT8xSqub FIXX4UrsdHAeSBbd2ZyuUA1fRX+cqV8TVFY7aO9b3/l3pjc9TqprD0Gp6lJhACntrQlDEpQ88Sdi 20peUI8TViv3suLd3MTwqQbOZIKEJXFWtwtjISaQ7nq5W5ERmqxvxgcqCprZkVYU3zv+itwB4ulQ 3SsLXLyTFqe5tboe2A7zJFGv49RP5qVMJrihLBzkEDLSAMi2cGE/aV/EM6yT8D8ORolWQUT/SdVg Xyg9jRy/jWhL8AuTztEQ2/kAnfW2noIfcciAtq+QEMIynOtKFtGmKiaPO7PB4XMxfa7SOVWp6eny czRqxiYT2xrswYWmFXWSchxNCOXpWwZSmNJ40PGFr/YS/PufcucMy4w+UkGhqX1/l9B8XpB4Obia /m0IYymBt4qrfKy7G3DZS/YMgh4MUdt0t6uE21VBQjtvqZ1kVTPgspFtd2o6XliZ3mlM3U/yDpjc gxaJ9BE7i0yLaGBd0uCpm5z8Sotw/uc9B5CLC8h1e75XBHmPUKsQ4SpXtXPQnJ7CsT1Q4ycCU3dO ajt3D3TX3Juf4uccXGMs1oDvOyyL+5lVbvZ7gED3TqTeSEdil047S2w14Pnkar2NEfDdxxrobna4 SlIiRRmQqixWpicj48S2St/TLaDyvUTK6IH+MUbP5NqIJZ86cJcZiOP5SRO1ZOXirwz2UlJS1Hmz Hq+wtLfNkU104rYLbmc4t6bRqVLRNtEtH/UYLPtVG8TovlU8pqsDm+YVdtGUnLean3XkHkLTbT5A XM3yKJLW/kj3lEHVaCqyEUiIffnu0R7Mju/V9v5CQge/pom41G3NcfxxU0BlM9nfeTPwG762kwTi nx8rL5cFWLmbX5N8Edx+oq5xMVHVKx3r4re4xObdkAt5y1ONo143PdtHuO7h+1CN4fdpMiFGeFro 9pvP23ft/rL/vZL4/PfQnXNIeUIcnfKR+5s9pidvrPBQaV8QyMa0dSm6aKySQDbQ9+K1tJrijDSm bDLFYgg7kltO/rxaF4fEt5TbCiVgSQWtdDWHo98oJMKNOmBQvcCZUvxl05UXOb6AupwIeQ2werlN 2XzdWNwLhDVdN3rcmAUa4NPX5yuKVgJM/igcfU310oiTFIyhYT8ONknCmqCFIYUjrOC4pfbCtI1b moFhv674SM920DZUh9xg5Al2mr6KrPVvxVwtAU+ovRYM9XOTzziL4bWTR+suTQZnvWY/66jUtSQK Tc+RlSZ5E+Bf2F69fYwoIaq07OPTWwP6RYAjFKs4/2Ap4o/B/qzzTKwdaWhgQxr2b1NN13BiP0AB u7qp0ZEae9Neh8VPkk9v882oHCB/lU+KThfQQLy2gQJMy4yZ2viQYdx1UjDnjbVUB+30Km//nQhr azePWU3eeoTqbjqdKFD8fLBMefNN3mY4G2iqyW2CIo1ZZa31pMGvJSvQ0Z1szye5ZM2NJ6ASGbBP PSZO19Yn3QHsgbde+u41wEro2TcY7n4pXFvXzrhph8EF1K8S6Kv0YTPDIIXAI8Z/E98AO7uypgRa zPe03pXwZQXhUToD967ZaX/mys1ai4rqAPWn9PPOHqrPh6LoDrlVdBTF6wGaW4NTPzYQQPvyY9wY 0qV1jptlezirUkjmNcTQG90Kkl9zpvd7QcIdPluOC46zlY1IFho+a+uoSwQbPfArNMOpQR72endn 2IHS5Hwi+qDfUjCgHNZX0pexvz24Cwrm2B7IKk3YDXUwzQK1+1BxdOVJz7z2RtmHEjCaHd4g+l04 MxCgeUb0aQU65PwbS/sonq9Ne0U0QAGf/oyb/mM6vgDnDpxZVIWRvs2fbv3CjnQcdtu186GAFXTa E1yWmfUN76hhkzabTf34gkoYBFIBZGiuY3ZdmGf9Ho6bi7xI/hJtleTAQtx18lRzv8g2rbu2nk7z 3LvKox1WNmoGMa4XyilkeWsrsHTItT+uYqzGPWYuSFv11My+hQE319R7c8bvESIJDWDZe4CE3jr2 GFmdBBcdxJ3Ue/y8wDXgf60U7UymedvfjjlysH0U8f77ueIsfhu5mh9hMj464mqAILvCnTUDrIxE Uvo9nm4C7MA3EnZsTU3ASnlrcei+GfTDYFSAyG2QZQb1IbWqXSgSPHF5BnFS0NXHWexYOfhkEqVg snZN+N9IOTw6HeJZHpWklpqPflnGyJ4tCCvJybOVZIBWnWUK+TwfyUZKfKUGB6m3wbDRYSGZNLXn lGdxxF4Ct0YN+mOc+HoE92VyMJZ064BcHLRsH6eyn5DclCIE9mPblIKX7uC/82POlA7C9+k7okv8 eE7xyPm7AynMKuQKSgz5rrXdPOR2Od36gF1hFqC/wIz25nsO1fSwkyHYZOaBHaWffv9/d2H4SeAO O6zDkUellKmr/VPT0Sx0oIsgn9qJA/sxkgy7t3nSJaImHva0AjNNLqk/o9MFtT7x42LGLdwM3gmP YxsyzkCXjCDzFJtm3tJnIVKplbVzvpOqJX3SU0Y5CciKXhW3jyTZHcjCFKas3Ohp50JTwBOmgUNK g9zuJ8p9cbF6knjA+zZcdcHJVt1GzFQ7YUZTjH+WZX9b2kINBLTOrq4et7odFauSWLeKDn34GkW1 Mby2756do35CFal/laUDZLHGTBTOo4EsS8ruRUb3sE+80lJ9eJnxTJ2j8aUDOQXcn7HPJMIen/Ct BOgrIZ2UCioGTeBLXljPJro9XxtYu+zNHo5poSiA8Q/7DxzOB4voV4skEUClB7f/K0Lgl7XIo/V1 nX4gRuO0omrO7jac5CyfDYftVT8mscjNx1o/4q3NJsz7R7Bn6J++9Y2cdgJPFC45XgB4uwDMq+TC zjOMg6/UcE5Jrg1YrXhAmGcLXiPyf5U6tkspWq23s8pCMAbhPmJKvyRuehGM7HI9Kx4A+c5r8Sli GD4o/9R0yK9XOm/hjOmxAIxIIfDQTuo+LnHBUInmKqW+5jvr6XDt2rSeSX4Ctiug/WhD3FvV9wx+ ywqDaj3/emJzx6lqroPinjZxJYs0ueKrGKzeL0EdrVngjqdZwE1k4ISc+ViIdwkMs9N1OlJstScl FfUkkpt4AZxs7sI2in9Aj5nc5L9IG6NxiLSGEH+/Ng5P6DxiDHaLYhIAsu12mLg3Xz0VaIMx5rKT FrVbLFeEKOKuORDS2Wi78ozOsVC6ZMcoVzwvBQtAyWknxd3Rc0+f6HR4Km16SIphs1jhEHoDAa3Z 9lGVr77O36xlrEmnHDZPsNU/nhTY6xSDUuQqZ0eP5vGMnB8Z/YKAsU4n6+r/ki7f4OBqrYtIyCS/ tZlpfSbGBEyMItDiG7ZPUT2MnnGm2GGvkGj4qak6fmRysffM9qn6su+UBq9q9Erpd9XF0msj2oXh g9sTJc73fAb0VOrzLw3qau2sONEYwZJSOK0Ua+peAIsY0W3qvMDtJS1GuJURJgTztEjCtSMn3day qeRPr03NQY3S/pfedGnAOoLIDmSC+mGnxOO7Yz+X8TkXRt7k1XcsGa2uQ4wRZEvN/MTijWMIEhpi yElkmhgOdMexPlCdBDZuCI8UQ4zodVVkhZhnw0VwotGpwpZsX5CaQF4Oxe0KI/HYTEDO9xd1yYUP QclfmR2S1J/0EU+C/Y2X2KpsR2//EknHkJ4jtGbV2KvaFd15VhmjrcsXoCS/GXYv01SWjdM/aBx3 R+ds3pVsNlziX0XlYu6R8Q+sAhseZjSHHN5wE5EzbC4+YvS4VDYb9GQSH/aJZg74FpQbHWZ/Mf9v Ny0C85nfxizkrCUh3uBNHx9MwaDfVXPIJdrTYxg75ogtNNYSfXqB9gXjz1E1yAJxqMM9Bn4SoML1 FpGv5pb0lNUZyak5fpbyRvfZ3DwIwIycep/+Mdt9FcShLcETE0TuT5VxGaro8hkL5i/Y7zguNeRG o5aaw1mYFHSIQiGhXOOBeWy7HzfwsH/AxUlC6WejGWMNehEyVK3ac9sYac0PO63AsZf7XWK3WoVE 0Dpvc9f0GUZTMg3cedKecEoeTnWr7kBy9w/agRDg8fwSwf2ebqb8wHJM6HWyhYYbCGfjjQBzcAoy FvAy4QiQt0I5QUzOhEBgWhKJnVZx4dKa8KKyVtFzxggDIVJPPsXMpF1gMnDnaVmxz0fdAq0xPFpJ Z4HLZfuYmeQfyt+ei5HL0Er4+ZnNCjYEXoS5T3gMtVV+kiMR74z4PNE3AQe8D4eIQt3BtAyiTJdq i92bhMkGnZKPHdsxrKqv4Wlyekgtbii7UbA5aDZXeXpuoz5aUG4h0dG/lCXbLc36je9cfGktXXF0 5qi+SFehOXQWrWxlSuM+vSGVpWEyzk85mUndMLG+NOhPYW9d6lo9x0/JM9GOKNETtCiGRf9YJ0jk X4zbmHU/jIjZYFoXj304ypDc2ErNPj9JezkFO745IJxVHTYDEEEgZ0cbFT78qpK3SaZZEVPrb2vg DHKe/5D5eD4A4VE9fGIz/35jXQZ0mFow1HHItqY5hHgwjBnfo29BYeykcgbZBeAUWqAhNX+E8t+r QA9Fxb7WuedWGc0ARagdSzAozDZ6HNxFejjbaYXwOWuS4H30qqY42Zccyc9nmqrCOTLucYBPyAXN E2ENCP3Wdv3aGFleNodkVaKOqW3b `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/fifo_generator_ramfifo.vhd
19
78408
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p7Rd+JJS6BPhm3C8uEMSjtB2IOpOZImN8ABL10O7dB2/wknTrPPVnggIUugEe0Un6rsHScVa0yw8 WbsjeU4skQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bancDuzOXzE/C1Vj5QpW3wyih2C6ymZ1vv70urQ985WeT2kXc7KQyN00fbod+1ycgrcEzdZs+OxF /cQLUqqV1PAWyHyEqXlxABFUHjs/nxBl/f/B9V0jlBhAzKCCHBVtW+DFv8KpHE75Z2lg+r4JTjg7 zQiXYHxUisemJqUJdhA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rZMEEzwWFXOuo5snJgtfZx9Urf7eZRBCxLhuSc3DgaT16zNB/FC6Qo2PLk9pQbhTwkt+6VFrAqaq rIuJ+6NqrQaj6tzRnuILLQxRIcZaZnlaNGPM0QELT1/pgSpbDRVs/w+jfcFf6hDgLWdb7+lF2lZt EzdkUS2z3RzGxMw0dEl0kPzX4BrObwXWpUb1u4DD6JMZb6O50zBS5jLIs04xzSPqxA3PuLRWpuc8 zAMmWK1PCPqsF6JmUA+ToDlUTA4DP+Qb/r/OItKXADHbpGUiJXq85NgUc8TOMYazRmcSDk09joNa rvnt13K7ONnKnXu7DU1cLEZpB6zC/Q33/JmxrA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fSUvPGwGSOzh5U1OjbBgxWaXchd+ErSm3+d+gvsNPzEzvrhBDlsbz7cjXesFumQgP32hemPRlsUr lFspe8TkimNAMoMtRIt9Rpr9MJxdvSAJ2AckK92TaQKYGICYWnAAwRZdM4hFhKQynq8onwVPOItS 8G6qhIBnq17qx8rO48o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MVMseSXR8Gidb6hUpBeQo+a3Ho0qfbo2cQ4XmaaPwOf5p+bpngyRNVgFStTGlS9V1Gq9sxZR8m59 KVYbqvyTG1F7VywlVWjcCzm53JiHqc7770pyh1TFlHFmlBkxaKOZI17/BbAJVPtrgC1AFUgqJIKl KWFzGNfBnaqYhwSBpkZVKTp2N/RCKh6/dORV7jPLmH1kXSt5iI647oKA/xzmV2IPvCjRau9wfIMP 3BcMw9SliL4YOeA2gPuyEVJdJ+sinBGqyYpGCshGE4syCgACrJDHcCC8bST8+Ee2RwROkSw85PvD RmNqdRJR8yBkuN8MggDeHwsPe2oFAGN33DaQEA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 56304) `protect data_block Iv82dFjUBOJtp0CC5ikeAzmK1F0OGzQayjkX38cIYatIOxf7Sc+0UDNdH8uM4zjW4KY3N5nnWdoS kL9NC+RMI79KYV+cyoIoh9a4WnqJVaraMiIzFgt2KK+kKDnmrIcgPPjBWCBt8VdwcHRi5Ut9B2Mj LWMF2Egu0qyiebByDT9hU83VKBC8FuCbHS4cMzWBkS1/dX4FKbCwuLwv4rYrRb3RwIu0u/ZGZML3 sfgcdg/t9ARFZ6jjG8LQo56KBOlP3Z6yDZlipM/l8PXrTiLYeS8RkrLXQuXiG58+Yg6tddKx0VDC Wm3GbF+cmnJXuMmZTbFQna4SzA+fl4zGdiyCiB3/spzz864E0//Xa3s+bSPHDUDkABkp34vQYUlI 8zqNJooOtt8RFwbLXWAr+gdzpGfbW+vxUwDzbkRHa1TYBZpc549hy2amKl6D0XyfmVWJi/XUfkDS QnBqOibdObp/1MvseR1F/YI+4zRWfjeB53h3Kmk/92gKe12QwFGV+jniLguICEL+rU9T/V9hosex Nu70r8pJrIdjLoojfvPmE6Qtf8rTGKe05E+AOibSesFEDHcMfoz5cZnhy5nZ1hIYvftB9+idhW1c et4Sg3KZ2Vmkjf2GwJEqu6PUEbq9pGdlOkyqwZjYymXqruLGjZMXnisf0ObB/jWsILVSn9CdOLsT hsDnpoXnxqFj0HE0mNDSfTMSQ4NJbQV0odITaKG1THpbLuObDBTVXgoFtTKMI9+YKA2EqUSt47hv 6bDgs17pJruxUhqABEBA/CAqfL1DFMzRHJuUG/ATdqLAHNrb6jKFxCYWR1HZ69k1jbDIgbviHuoa BUi2/7IzCtmqg5Ftl171TyHb5Fcv6J/GVfy5irGeqrwqXTv73EaepP6ADzSGize0AhlkMkr0itSZ hnoa66202gxrtD/GryFfYM9HnU+4GtCviapT/g/1HcxxsjVoakp6IGspv3iQwXY9Y5JaiEeX+SCE 1b73rpiUX/cDVl9bilWYODGaMonApfTzBubpyEqS8NVofK17+BzAvR50PFsBD0dsip+tuIGXy4Z4 /0DAJJcxTeWQIfWFPvC9MPDPgcUbe3Dp5EJ6WI81XLz4XO52aaducvt4igg157BZqeMVpLXfkCEB zS4NutgAkZjNpQ+ZTbGVVtfLPWr9AM1UDkjEfz3Yvi146TYcV43OqGQy6Te6QyWWlfsq519kal5/ EgEFRa8Z5BOneytGZ8xd3jc+PRpFS5qMvtflKCpq5t4sOBzXZ/bSsYH8LXnth8TIY/YCXN+PLqoh pJWqCMa7JaCwdTyL9XiLHXyBq7HbbS7jr0kwuDt+pdzFYoSI9k4tVxU4ad1yIliONrV3HVCQnXou IdQ+GmhnpVMNUUzrhkN4mbjH+i1IHJN/3AvZUdmHBnXNVQq8bW0RSORvzjapDD0GpcCz2M354iLh A+cpaRTjgyAN4T5x9zE8jVuyW+3YNs1dt1ThGHlbg/aGY1xl4v6w8PMRxdgo6kVMKiMqeXZVQnQn /4GuhAt01ehL2IOuSr2Ndc5wsWCZy7Vv9LS6+UUayywO8Mzwx5HCHTaJ4+hb5EqIxY+yp171eAY8 sLaOMLeCHmHod/hjnkUoKj0cS3s4wkyFihHKUmpWDth4NdMRqlhj58gejNnsE0162AL1fkj1/5ge o+SgN8oS+BoKpAAC8iFyGsYr5RjUKUtI9w65oESNn8apEDxMaV31UIBxQPauUpAQCADTdkiDYHE6 TWj/0DKvdWkvyPodF6Q5KgMmtzDLGPwpLJqpD9PoKjecv+1hS3RhTpgfXUnXTDwXSNKAnB4q9fR2 G6h+k4LfFhDzW+14abIcGFDMiYFT4lc9CPTNj680oHvhBnpc9nbiJcJM68CEmPXij1fHM9FfXKzr M3lyCLuv7PBs9A/QdQxk71NRF0qLm+8y+Ndkj5Ba8KccCp6DYClkjmLgvKCshKOUJqaE82TVOXla 9OO6u5dfnwZ3z83r3rVymOOuxqMOUc7wUIe33sMUyJcThq8+d0rqY2oSPT5hlIY9n64lnL7Lk8lT J+iAICzSQ+rvb1xCr/BN9e2cb3ySOXF77PucO6ncPuEaF6yRU+v0TdrNsNFyEH6ESqiETu7/m95l Mw7MzPP9IRWyWazGgQojcdg5T2ORcBdW4G6BIF5uGgp5ThiH2qlYCgdZurdZD1ZoMA9GKM0o99pq 9zJlMVixQKpvv3o6a6xF/sNCkur1rEr4fAVvB8ec5syIhkwLsGDMLqpNsgp9UliBACzrPp6BcG19 06jH1XF7HO0KMFMzKBvmM3rlO/rWaW03TNGKsdeRCoBRtBeokdirkixwfPBkhv8S0ObaUK4h6XpW LjeOSxHQVaBv9GVQdCE3TPCKInayheBkC9tXiqcGeL/MgAxh0ZJRL9+Bv+BnV6vkajk8VFxsk7If bdAN05kdDLcBjzqfUHC8P0wm/QWS+BiVvgrLgUDSRto6oaZHrY30GtU8MKSglgUrwzREQjXEf4Ce 0bmOYpuLlbtY5kby/GvXAMXrTt2yiHso6yuZU2sRWtXT49AGPUf9A9kUDFJIoy38Yl932cQqLXWT LoKX/3t5RP59o4GURIgjN949c+Muva5gTigk+Pu1Comh9HIvSTIJh1Hn4Fmjl+ZRGhZiQ4H8V4mr H8kodbDBhL2Sx71Zahla1S2w9BNrwcaj6giesQSSkVoVhWv8AdMQYvYsv66E2pc+NrZeHIpuj+fw 1IBqRvte8WuVAMTB9XOErQiL3OWcq/HN7bE0RTLGmDbcJAnivAoSlDJf6QT4bj8N13zHYXysjE+O xm0g4q3B4t/vuTGqIib4EMaxMm7h1t3sTlYUhUL94uObNo2gLeFHmjxFBPULlwJPGtScEPFsskSk 3R4HSDGh7C4JKYdqOqSuGrb7MU9F9SjufTnr+ROSVWqKqfEiPMqC4SMLmCh0T0U0/i66uN04AKeZ Owk2TTJXM4oVZPqdB0yuI91l4HQMb+HORuvHQa8BJ0NqIdtTO37ysbnWRBbpRCrRCoh6OW3bz5Hx VQogeuGzfcVQ7/09VA/eQ9nOiw3EN8DFjdF2kaHBEpx7XFkcNM+b06mhbF+fFsIcCYjyuZXjU2a0 2ktVaZlxjh+W5TWCLcv2X5+oTv30fp7GMhKqokQ1UKSIyb1/bp5ZVejOWyBjqFb0S2dZPJfBZCQq 5u/4WfUDNM3r2Yybb9E7uLY15oHUUMtbT39/c9zIQJVyhkFBR5Ou4LXjHPjsEgrw45FT8iFPSCMP OhM8aQDFHb2hRsj/NXnTdm0aQBms9Im/P4IplXkkYNLJEP13dgwbKACj3ALBWcidpGy0iWCXQohz W023Wcoi3sqPi4IfxH948R//BmtvNNi2/FP11ozNlccdsxVVm3ItKULmNciePX33Ksgcofdr/R03 f4gG4vYWsUOROxpjhbQnO8x+EvVatrDZN6bpVr4E3jpkJbZZIxOSPEg/T5pKZuVUyqB1ZJUXT7kQ AShWhmgLl+00meu9ZFKi9HKCflPTiYw5H6mTah0dONt2ufQjcfM07P8PI19Wibe9ilEs2X9YoRHC N37BjRLUNbL/C2vbSZWpnbJAEaFAtA15etdOirQv2JNN7l0cOtuf+r30IOX+LLuobXdd2Dxhk+9H +H1gwSMJryRoJF/BpCv4cBNOfPruLy1yACjP69ShTHhbp+0e61dujxSumNMqEf3lqHXeOHqVwAO8 4+1bG3GKb3H6kWvcI8RdWYYQz4Ggyoa/AiEgHNYrAbWd4JnGJEoN80rtVWJSHvMhAT3qrrAqay3b ZlLiwTkXc0UWAv99NSub3qafJqKtwF4pNAAZKkq9O98C4+RuDpS0W0EMGkfqUxbre9+BhuQBamgE 3juH0HznDLf0SDjmjTR28Z7VstbcGlMunViwxSWR43Nc1KuvnWkilco5b6DmemlxmxGkHwlfi3pd ykXudE0xoyLcqil7pRcJKQqfCnvsk0YvG3RvsgDAqYe115yPLT8N9YWdEBw9S8zH0s77ai2ii9Oi kK+7MP3/RQAuWw+J9fWCJo46IlpTMF6mPNhCVXVr3DOl9yEhEfxMWguAWG23ZI3hRuoDcrTuAN8M mK/JIoVsoX6cOgW9B7qOGcaZIthBthRJMY56NC3bdWjx84qi5b5QirNB7jDX86XsxTvSblMJ4XR0 EYKo75yg0jBNtYfiJOG7QHe3etREMzbZagAbm77HqJQij70zY8yZT113rK2KOKM8HeYzFOzAq+CU MnTGchlX703QyWGTC1GkjT7Qro74trPI94MZwhUHw+b+kC4o2sJPP26dmZ+DKEIa8pZJiDiAPviM kjkYXzX0B/r4Vxx4s+rxRtwimLp82HXCrfZLKAm22lUAbZ0hQV1WRgAc17K4xuGahOnO+kfZJr2n /WUyZXhYaNgI/TrtGva/herOJjPvfKtRk3ul6SCnTMPk85BL3uR20/QoJa//sGSpSRsgDnYUqsXy 6/+k6RO8lhk1Dq/94S5yjO9u7o+gQvGqQc68PiuS/cPyT7zNC9IXPojl3zl1NA4Inrjc9QlTTqJh dKveVDXkS2uS+53eFDnEWDjAh9dhVMUkcuffB8EqgyI275U3D48iV+fDNok7eLx1scWy58jGY1Kf irGfpnf+ZjwwUIm6Uhe/rXfjSFq+DexvMwmd3JSyVVoIaHnV3HKpTAZBSh3FpQc3335KxkDbyIfd 5KvQWE+XWimyTnLYOMlgv9+V0yE35XBebFUezUPRAKtPvrXQgxrHsbBfo4rs8SAYmfXX/mwsb3y4 Wzvd0AJ4tAoHaDWy79koQGpu42E1aZV2hM8W3v9hcg0N1E63j0Gg3yUhrqAQXMg17XK1n7oMo69N KiLVPhh/yPufFDIxNq37aAA698hU78nMv+w/aYNoXE4FQQ58wPk75fy0kV0BdaWUm8K+GeZ2fH6c Tt91U597C3iaf4NQJvzDnWo+1c08u+AfAxalmCFomRirMzRI/vtgFHBxeIn4e9Dfd+rFro0LYJob EGJxIGk0YFJGlfL+aZirbFtDEj7Sf/EgS/XRcWp4vackoOC3BASqCfEEfLBqYspnMmv0395ibrG9 XCqTuUawE7qq6d9QO4RfJWXO7kbZc2DCZ5odRdgZy9Y676zoucEPdcDP0Ql9Z5fArCxn8IpGbhAC 9SCo4MXHJmKOBW0xz6qiQsJiEKcPfOggDjHWGZPyNQ9zgRGvulbHmdF3JE8cP47UsX0wotWIzkgN 2L1vYZWTqSTEL5s3hWBK9vTHFpwf5I4lEFWZY08fd6jIX/irrX/ayYjhSUWDKTr3PMZwQXBp8lW4 2RIWjJoGTMTP5srj5NfAKiHxpX19ySy/7YbQdSUKYyz89fpUGD18ORtDIYXlDFYx9aa0/feSX7s4 pO+Iz5wowDspHGuoqBK+D7BV6Npfd6mJ1/8RRMWqKS9mUPB4cz7UHB+JHupIqiQsFI1cW2Ajxloi utNQOgsbfSPeo3i9WesYxwxyEQBYKdtN00diHZEhmAwGeSyVCXQ2R/lho7SIz9d40+M9ye2xmTRr E/NEhmnZfIGtu1nJ+yqzxHIgWCTaRWVbf0rcqLPJTbRbYgKm1R4lZhxV7CZsKF29GE1t5szbHT8t JiXbJysLkucFEOAhA8NlGkUQzV+1Ao9njuaTsQ87ftAgtYWiLuM8DzsNMPeoOzyW+/063NWHOPcO BgB2lkQtN8MSlwEx4eO8q9KU5TdK7sLGz/JSuSIEP+yc6Jyf5aXyRuDK3RCfFLBOcw1M7WPWxGBr O0TjqGii9CrEmtfJHPJGBAcJwn2RYmBLY34AkNThr0iQZLYNv7eNaXtoDyB6ncofsels33V29O6G M7XQ5cwDDlAMokVpmlrPSRxRStXfv4Jc4GQm5r/FaYqPGFx1iYBWkFmUKmzp4k9DZgqGq2H/KrVb FSXj5Huk+FGHV/u2Tk4uGHMzbl/xYYiuLhGowpsePBs2GftRoXCRaN434a/HcCe+MFmg/WTcgGej EqZtyRHBgHCok6aetsWNayGJiZJN1dtkvi+rw+RmqvdV+3o2W/D6CMpvnQxNqpTF7MeysawOg/JC WjPVBUtBMh1p2gZgEC4sRDg3/2kFg7on6ZD8ae+HiK5tORpPCFgi/HAtg9SPmu2UNci1+PQ0K7ha x5UAcWGxQutMIGhzHxZ0/KqcLAxSmxVv9ZkLSqJnKSqFMr1Ah+jNkIE8HrW98kwgpCWSPkvsw9oA YRSyVw3dw+j18OpIZZ9S5dht2z4dAKqJ/z3w/P/kCEwNcwfmHar+zD962MHkUTiifzPUJl8ROPCo i0seE1SBxo0IT5wDFY3m5B5+IC272dFrhIZonG7xUMO3IMLljNFP5vFsicy+4BjfBJ4RVbYSfkGQ NajJlkpJ2p/EqjtK8TXmlgaZ+fsilScIza/yxhr8No0LZep/Na5lrNOT4gbIPIlL4NuOm1j9zNoc LruHepY5h+C4p2iXdyo/OTyd2rYuHuoFMmHlhkkpeZ5FLJhpj8xqvSX82Tecl4qSUbx5URxl7wcQ OECKt0wdqbccpse329cuLwEW7i4GWpfUZLFePtCQkOa4AEpaF22XNaN15i/zePmU5riOVVtt4pMv E7aKDrfZ8+oDnyJL19joQyqqzYa8obRPtxqh8TsY7gF1CUqEP4GZCkgrLzfKhtg5EYtzH8CxMdnQ 1kKmurfYbmS7jzALEWyOCWeiXfTtpsquLicRqUpP4tj/0Tozr4qnJ3rbbeaGF54Tvj7sa9Y6lf4W EhZBjqmBrS6tUU1ACpkXaZxEanyHh6obevxEBq7CnzZuFn9EGl76jPfVmCOnMFpMxHN4llJoTo5Y abtj3YeF/E1B0RHkxkLh+GEkQ1FpJPZm7gcI/rH7hWfGDbfv0gn6HVoAffRqyQmLT70Jts/0CDM7 gsn2zZlZJUoT+KtRflJywq2jSudECPREVylMqPHmO8a3+Vy/ie/oJ/yHKmNFB/DqgGyUgpBKK1Om ChJ1XatejUFxlzpmB1/8GIbGPHN2aEKg6gAQJ5hl+LXGN12qc6L7vSoZIBNXYRF2aQGh7elOFU7A bv4RWtg3yW8u45qGWf5lzB5cmHtkzTpLQdwy2QwmUuA1qLqjIyZbthP7Rg9Hhkv44DKI86HhiHa8 gEoX+q9lbdaUGk7DLgRRF/kNN4MfIbJbHV0DVDxYUnRSyrLvCB5WOdzwIYCHmdYfI17Iw8CH401R Y6SHB92qFN0fjyNVEVkeCI2V67LpaB7R2uL7VD4pZf4ciyyX651Xyhh5WPV9Z+hLcLh9QPt15tGm a7GrB6Jj2AXqoByESiu9s8l5Z/SBfiLbJkc9pzJH6L3LvCNx7BLoL4aK8O8dodEXCJSAhfjeSiIj qiWbAR01vsCOgIHnv5Vlmgwe4FmFr6taXvIGMDzyTzyB1ueMJz6loaLwdhPgZ/m6AGx6/rgoUJbz Yhs4hT/LiLGeSg8r+tdyqTbNQNB+p7sbQHMD3DmNVysvrx+PBqk0yn6Xozdk3LWk6240dbnsTfkM v+htPb+TeSltnO68pGfmW0XsjXPx2Rwj6MVV4V9hKiAsvFSyMi2fQyfH5+Wa/jhgmTIX3m/nnfIw OppnOXKG6S1YpkvdwDOSOPQOadsmkG2N+uLatxsi9k5qFMVj8CQcsq/5z8kXHR3LwWazK5KdhUlb 5u2usZVhPwEeBzcG0fBCF8i9VBXLiPiU/V2HXHMd0R0W8AB9UoqsTkqqgZyqLQ8Llt+mP2NtpfsS PfnJvPtjSxa+Xwf+Lz/NMl0yJPRCFMY/1XehqK9iqdhVQrbQF898c7UVZI+x1ZCJFb3c7PlKYoqF awGKc1Lk1XHqGTpPg30eZSHuR71tEKTVCtxufi/KENKqXoONLM9/0av6AAsD6E4gzslwYsIPJ2mq V5Ht4FX9eB423c436rMlB3L1X/YOlNVYr2jbFJl6GcCYO5YXqX4Ds6xD91jfCVDDR7Nonvc0Mmuo VDtGYpBe8pqAdEyHTNjhhZ9VgJp7aEiYYZaFlYTeakCvtZ8lIkPC8mPNZ70QaJhYXKXQ2DXoSmVt Oojdykx5PyrohuHSdS1+3qXxMUWJrVVWlrUe7uU8Ykm8SngsPS8fEvUBsPCDxWTkWjtgCvMRX7WA NjHOPJUra7WJjhY7ClAJxKf/yJ2F89u50coCG4efr1ajnuvC2JKomJ979/DrnU806Bbyon7I20Pi +ZrSyDzMKQ3IM4hVSUV1bgXE6nxT43NdyYsy5D5VaPC/+jbV6XRuBWMtkBBm8PT5cwIUDSqHlDRP 4lRukwra4pbdNfbLPE0FB2IcXX7YE1XB/juIxBgA41rPvk0iyjDD9PQkNLR+MZRO+UdRTzf7ovYc kA+O16FMRnvQE2Qj1IAwuevUBIzgm7wCLQYY6hvQ8oLk/G8B1yuqK/CG7aKchQP9FASKmTvVd8QI 2IhBGzFRK/+kuXMHueDgTGjalfqTuY9QuTkDGHqwL+1thiFN37BLZ88MVc+xjCcGxXF4jFY4Hmkc 7ISBK19wnth43RbNKu4MIwJhHAPcFwchumXMlMa4w+UUiQX09B1u04J9e2J2GTgSizU4ASSc4uuf P2jIieXChYzPWEDJ3+OPOqxCQrul1g4QXhEydHaxcBw4hAgd9WQ1M/yrNbPnYyqNAahl0pebFF58 skjQjxr7UDlgq/tpY4KSq0h5BLqBo+El2iZvA7s9XDm4CR9C/KyS8WdvWynStPai08912vREc9IN b7/opW9EOeycpz9kado2gj+MwPuSN3jpcNOm0MhhIvn2qEE6Ewye1PuleJ0dDiEyTckYLoptnPvT VGUDdN71CbtTjHOyxwTtscpubI0RepIdjC4c0zWTta9AHpHbdvvaBOe0DVmZLmsW50LIpiJX8yh4 r83c38zf5khQH7AkChIr/z632K9tHdmJuBH9swai6/DOf7bXv9R/6PZV2FzqwsUxXyneIHZi2mng p/pTRjMT9RrCKzUn+4doJutx+S7V8HHjyoJ+IoHC3OFW1h1jSSg2fNou++8sitO3qPiqWedl+pmL sTapSD3GE7oRoiQibkUArFhgR9ZM8OlG5Mp8YxXLJRuLT60wASizR9xJ7OaQlDzc/zsYg/Apmn7m MU66ttbYHW6Bly9TFunkONmQRpdNDEtVC5UX/+XiOvdjq2ZGNRFMKIvtkIaJnXwrarkTENhYzGQV rH7PnKtaBEJxgkuAgTYGQ+T6TAEB2SUxKiDnXUmZSUrUTFaKbz1zZHDIpdcdz2Z0Gf5oziITKHGn jkWA3Y+J1kt2VA/cw19m0E9VXlSKnUuKUrcu7bjq0mmrJLW8VyTYfndeG8phVtiSCaA0HpNaXdPv e5AbbK5LxVJusRTTEbPJ7a3/5q2jExg3FHLwZkLg0coW4dm9SvUBT1t4E4cpxXCStf5ZLrmjkzov y5T5bXCD4ejY5jqpFfQIOTqDlTlqungSu/JPq+nDEGLQ+FENA/qWvPLGFA/GgeLgeZBAXXReYvAm 2fqhhBish/M86qQKaVzQcmpW+EkKrpbICXTrAQA9m8Lg+PvZr98E5CsUJ16iTRHyijwwtzoLcbkt FrH0v5t9btcOj9QRxXmCKo6w8IOA/awgO/zwwPrENZpnKAqhfy4k43V+4v1kh9U4Xl8Bl7wOOJNT s6Kzu9ESh5TTeW237yMH6l+gvBZorkvUZDH93x364t5bYWw+CgR1Q2hrcMWgj5POpHs6qkGi63oV 255lNeEEh7ZZacXLhGOIygLbe2DLOZZRyNb0oVcP8bBUhvgHS0NIz3nxNn/vxIdk0CQionTDMjcN V9Hq1uajfDc1PRe6QWnh+EJ4H5kH/DIdqcqfQq7bxd44lCYbNMsae10tBvZFJ7xBnvnALp7HN6kE 1THyckX7a76gmdDhZ57BZzEAGoAEzs6wdUn0lLsSFSpLuDr29l7tzc0jVSjVJmH5oX8LgTVKUBDG adAC97pHqCSGVaMTe1xsfJ4/vhijrsNUoSQZ8aYKZKRDfqtJA7BQMe87ka87T+bY3BDYPVt6GJ3M yEprWhNs/RfpghDP4KcmQ/FLuSk+G/CRFgOJ581me9UJ4u1oRBlqYamExmtnelcw01YhRh8IW283 FOB/00XDOGtekae4LCop6gQKYMyi1D7y8OJiDFh/5sJa57e/D6eSqkURmfcoIPuPBtpuGxdNTQVn X4cfVHyn84r1HkIPn8ItmgyOe1Rxzv6/ZlEwDS8goPyEPpRdxbltJVJ30D+yWR2T2bEcs7kx4+tY QHTCYCX2hdwj8BL3Bi5/5mL0xABlB4s0NKQ6wE6iJpmscUtVYHLDSwOL/O+dyoNiYP+3be8P5EW8 RGaVd4LXTZs1I2MyHyXhL8kxwZPIA3uM1XiOZ31QeUjJkVRvJViMJbBYlEjyK/VPO7aMzKt/8FTr IYOJFAekEGbtJm5yo4qEyVqXJDQ8NHjWbyXBBlbEDQT3rij5mkqmfEoDz0Ef/ZHEMhWTRO25Yc9P xk3qLWHMuZjRcI/pwXz87d6WqXDwedlpkD9E8nu2KjkRQz/MNdCN+R3zvz4XYoN3vTXWUSbvZ8RI QItZYComwHzHLeN3bW7EB2me2TkrKaQF9Ap3n0OR83ks/LcbV6IQfQNsgYnWRul1Iyz5pXOK4kUO /S4axaP+iho4Lx4mYRQOTJRi+74EeNeAls2z3CAH5HpmNJKUySQzNlcO6nh316rAMX5JF2spmTSX XEo3Vflj3uTme0ZaJ1YExy2UQUh2hInnQbFZpGiruXUmr09ZWv7qwbp2FSJ2aYPdMLztOHu8df4y Rd/Oazuh71QRjRiCuW0vhfb//zod5jNy0+aeuLAogpSCKfn0dC6xtXhyNClYpEzZhsPscGll1jGo M80qkWEiEX8mqWPswxy4GuWuzseB67mNRpDVemfsmoOOVD8inNc+uZCD/eKz8H3kSAJcTpCNgQ0v 7xD8X8OCwmYQ0M0xQtUVAydqIte50W47rdQtzwnMJh9OkTH7qgphWFwLPsmJTJLwuJfLJBaTLkWx MydbeKDpbeWaNIomqHwneGL/++9hc1505AfyaXrQhtXFVJh2IB0+RN/ph7HKatcOJ+MsFMAku2xV h3NMgyHg4dOg+Srjbc4yT3dUiJ9o91PSsoGf4h9QxalnrAex14RA5ZQ75auBbv70J8uA84XE4Jee nsH/5ZqPGXYy0I56MxaplNLlBcPypQHaYUQPSszZNITYN8SV6GrEq0sFmeFZirEMD2Z3ikUHlVIA 2SD3ogFIz+zhCvUcJqnLjgS6blDf0G5VsoJ0RC3j27CjqIgRM8yaPIdtRt2sbfYlzldIQklppzEr /YeKRSQOtpSMmX3K2dcB+4oOGpvhJjHGCM09eD1gwAisREOMolCsHXPeUE/yO6NKbfZoLyle1sq2 pUaCXRLHF3wUik4/f7plTVvYzmGPwFOP4zyWYAHA+mFSyc4o6pbsqu1RN2hfVUy1ClETHMqOa/ts ibjIM5ABzZKDF32l0OBaV9sll+Nj+NaS1dgBnpQbf3mYTHvrJKhQD+M0K0My+T3bTEOHx/i5t7D1 yE3+xR8xy2OmaJcPbLMGsI1oQEHbE5fcYtvM5WVXm0+tfJALs1NhoI4G0gg4xV8QuA7Ugf3eL608 loW24dKBLWTPtk0so+Cc25G1+7orzplMlIc17wmUzIm0HlDbHSkvQWqewsiF90MdR+BYcf+IhrX8 YVNer7oYbaAi+Xy4q/1SctzDMkKuBdVFmOtgjEUWR8B/k40IY/zfMEemvWVr44ua8TqGo5EvlDMZ CrggUPsfbgsMd+vbMjGYUnY7qiZ33s57EgbJeZ3kfml5/0gq5bR3EnBWyV2ytfy2I4GS47F8OdrN UeqnygcNisGFIhtWGUAL/6CRhr7yHC/+xpI56GbXgUuWKiWiPILlgH+Fksl5RMb5HFhwKx2/nWpe A6GgzXa2Wxw2kNDZt7VRnzb7vh+HmXas2KpQcwBWTyUUyYjL3LDWfn6AN0/932xnvEnbECLNpIFK yKEwKPcTWwEy16sRBtyDvZ5yLdInfaZSfn4jAuBgYBlPhqPkZb//iJjuyq1lLpfJ2gmpOsTRIRmC xPWXUjLI0+2tPJhIfx8eCv8B5C2CpZhLtTOVKIfQMyza5TZqdifrFnf2Mqk1rRJclhHKZVF0CxIQ cUWOjNKObazGS/6/Ncs7T5K9DT3IYeaNxilW8UnllJlyo1T1I7oYlz7WbxqvZktSrzBZzpMu9sU7 O6Jh58MWTP5DXj6hhpX3cMNkmRaTZcnJZ2ZNu0MXDulqg/lMba6ydDMI6QetHdDlUhK7qdmCnxP0 SiZ1RQx04kpuf44aYkrs3BTgkt1njfBPwWyDS5ns7fTlOqgAjiBC5VCSbyljd5N0dugl+wLlPdPi LI31UfjJCfinDwFGpo+p2lVT/Fd5jbwmbaobmvuQ0cBTGP0B2Gst3ykXD9OODasYafsgWYlsQ2mh ZgijQbb7FDDWw7KSMzq0ba8pcL8i+3d4jZnvZOsmqhMmpluo4lVwC4rIf34GYhsssDqwvrsLa+Ux IKssVVIBvNs68n09xUDH52q1k6BDuK3940YidPKElFOLEKCGLVCdIzJhMd++bwDsySoMFSi2Z8m9 wlI/6D5s6WHp5kkm68j2Q3Oeev9cV43GTVRWTEphmJJcokYeZ+R92Z5Zcg1JqUavk4EhakTEcmz3 1TS/33Rch0++fxuU7ZxCe6xcVB0r1x1MataNO0E5exxGiq+KXQh/QrEDXUwDzJ5YdHuKtbi2sCED niaLxeYOQkpYneBrD7mchCFMydD92a5HzBvxTro3zD3Er8tw8XqMYLO2F1K9oX+mxYGqLjJGT0+h uKBhXMs27YzXury9s+hBHDKpIhWS50ZAx2eMpYMkiEsl62lLNryzl6uMBAJ/lC+hBBeR9vkE7M5b Z91Qmmm35dnv3q41VPodJ8W+1sZEny1cOhPMdmDWTYhPYKWSIdRRT5WqinRUtp0qL8QwhV5zz01r N1UgRchDcJbsMOfWfa+rZhl1weIrXwMpuAxP/Vp4Hee+afmkNFYO0vBouAyUQxI1/7xkkTuvwc6J 3a4dgi66j226fVP3aRiB2EmpMKf6M9GsiDhWrxp5WR1luVA4Em/hb9tngAgkTP4hwzyJdVIepHoN HLcJXB1e13iQl/oNm8NmW8lF9EB3H31OQkqKpMBaIpVFHmIcBeOdARocpCgzbCOg18HXWrBgj52W AxK4IvhrCd6Hw4031QAsu2dOG11L94soruhXN4PSmXiVRhsZAoCwlUPGYVQmsVy5Qom3eUBZbOnP PaZDwlzZnCGOmzpdrz6S5vGM7ugulwPu1Ggf4LVrN9mEPaJPRLexRskcHZQRnf3uK4l7fp/JmIiF z5hyWH/t5F9RAoIJs4QiWG/oVNo2vD/4S8tHIGePkV3V74j/ZR3f2eFwmPqYo+RG7FPs3oeva/LU POeJbQMkYCcDwQ3wAAEQbIQUGVBQ0cJjr8Jwdgz9vfFdBUWMYB+myBqWp5fsnMDslnkUUFNDEWDT YtaRLlPyj6HTldJR8ToOpwPeNkWjOkUwlNOCTO0E+L0rASTOwnFUNekQFVJAFt8tUzIEAmckyfoI W75d/SzzvT5a+tHfye1mxJAimof25G6/Go2ZCHcX4vvE8cZDi8XX3f42jg/iyHeWbXXhIApyoGPo S9oObuu0HrTypgy1xZ+iQtZKkOWoKvSEnkfYwO+BY8Xc9XHkbD2ebDDNHLlvikVTMs2hy4DZ/gH4 NqwsMEuOCkgJq0B/6N0bkH3APO6yjGxEeAkMq22A8cTEJJvkg8Y+h2PvXa82K18gxlMhgR9TXl11 u0w6I/Zqf7LeL4PX1oajjHbxEEot2O/V9+BxMmCUKCM7NgEWsMoex/OUjN+bnEC7qzsRb8UVNPwZ ZZzay+8eqqNXGZDJ9euZTeazyKac0Om0AXiHZcwINHGTfnQWYLTBGbzj4+FEEc6HY6e+vb8vxV2J Tqio+KibSS18baPj3qfRcKxJp1ahrRkMd7BTCcYQaPKW9qY3hI3VtXWsrpVb2m9ZbFYCqZQl+0VP N/q3GqO/70aZPGvh0WmgMWcWumccfAQgGOtZ/V6GShH4/Qp0EqHnNfPqfsQlbVPfMdeXsFRyJqGZ bps/93/mAFX+j12lbYY7mdXqX3zZFkCwouGzV5XgrqKAHuI2Jl9N/0xd7rqScHIIlN41amf85e5C /HCGQb9+a2pexOGLyx7qtwxbIF/l6uFaiBJXPspD89d/6kSbMZ0S55rmC8SL4aGzGOzwxeIW1xNk XrFf1zWP2nvoYiePkao2frXLyUdxBbG1qhjZi1qoAwOX5upcr65eutg7b4gsJHv3OrUQblTivvRi GxbsO3WbJL4/iRSNEHFGeFv1SmFAPcizcp+x886mqAoSUcsnmM6nd2Aa74X/nKt6rw91dlAAxfpQ 3JOhjko1NP2EwX75nk9Qhzxk1R55pTxVn5/i2hRO9qhFisBpHUplZTzrz1ZkgcYn7fv5nTuA8tbw oMyoxcmur1ozs3EtGcBRN/q7moEtu/brzScR4r1kZlpXdFbCS+Ikyau6GOCf1dKQktT1zcmmxfUN 9E4XhHUVTM8uahUZoNCDz0ERMC4SG8D9Vl1R6l5Davh2ur6XZor8mVHcGzyXDaHXZjpa1mvHtSSR yifPNc4ad1sDsnL7N31A/3r2T+PWeR+fdqbyhhyK8sIOPB3N7pUnQKGbDQyyBDrEmDjSRuh9RENh yntYLWqrs0ZqrYh80w/J7g/Z1TpJ1BBqUO73t+RV4jFs1UgQ51rQey24slJiO5UwSA13n05wFpjZ 99UTxqzMJbwrnL+pYZSAMol/aDOsNYQl/mCVzvV8bUYynw0TSD7WhQ1QhAl0tRp8DnPDoG83Cwek Ckk8dTQrQk4wmKEZfVlqRT6hAoAtfAkWvnfQ2ZDXsMEG/fkOen9vXr3Gqj3xefv0P7FGZsfIfnny vF/t9HzuGKrhbaRJjWfmu3Bhh85rEfig+Eo37eDE2szz2bdtGznpXMQ9hckufbmjhcaZPBCvikOi FenCSJmwzNwG56diSfjGIoBWNv/sC+8lKRgbo+6Jt/UzVRuPOhBvlzo2iVsRfGK7XYGcF+UApOyw sOgxmd1aPMCT4q7jClW1mpXhhVIAYoNsIJUSefSgdhzD6EZwoX3Fiib6RPv3px5tiA+BLgvyvu22 5RMVphi1PR2QR1OOjbLe8rYbGmTlekDBiyCa5+8iTOZFnbH6vopssnGJlARkamPnjQuzWs3LMAj5 fKl9B9Fa+NKhNS+4BTY3XcWecZwgnUSUJQlMsH4L/YRCCpiPZvoAd08naR056EhZyHhaw2pqtk/F Yd5A+C+HgHxaTp/BiVImgc6PvpVevIhWI+aUxM3vS34hOU3PsIqayWgeVVM1262SfFRAwQ1TjgUT EMRb8Tkbbf3PIYrmm6kXqlJ9Fm1cFmkk8sTH7HxVp8nW0aja5OhZ3f9eSBGOSjwvXH5b8Zfi0e9U 4qsudpJjAru2AeNC+4oBTDXg7fmZzbAnmP3VmPTTkyQ3TdAwKmT+9ZDhq9TBp+CdRwNvkaHRoR+H ni6A0ntvG+0dVG3E/xbR8b5gayZ3FJ76ZsUTdDgbwYUY906beZLgXkKONi6ZmuonsSIdiPEQSb5/ D72ZgLU/tnscHtzNcN3+aS7GCdcnOB7oloL2tAbcmZsV0uKcOENL+Dxql0bRDzBOC2WB8HqHhjcd 8qCCR/aeg84AgD++LVgBHKmTpCmHUdP9/0A8ldu8n1gx3pUdkSl71N+DwfQiEGb+k+/q3D6F9dh/ 0GSQrGywWPPBgrH7ar4qRR2go3+RRgJZ3ceJRX6Dh2/I4KPs8YzUhVlRzDJ3bEpO2aIbJeFUWXko Nt9KJBpx+ok+2NIZP8j9TB+DOdGs1h9aDP71V+JfewWQ60Dc/lbOaF3B7n+cppjmHOFx3268aT7E +NxjwMHMN62eArTo3LLYe/pwhimA2kziw41HJMxTg2TfIIHh0DvJoBN0ZuQniIgQdPWr24uh69dF YJV9AKv+j5ihUEBXJMpdmul+EwenTcZDfTbJwL+yuibYbIqGoO2zw/gt+kbW6pubGO2nmYtICOLp DtDOHA2aBPvMDMZB4/cxImqyhxIQfZdPrQHVrzzIftW4UybFfyLSjxB3ckJCa+yy91lX2KgM4HmT 5Hb3Oq6WpbZW2UviwkzWgFwvSCpuEsiq9J7gAKCg6dm6LwzORC3sGXu9D8M8thBwPlnks8JzJk8P gpPVXNB8ICK+A48RgU2qTlYUwuKH/CgekRLTZknTxRM9Wo8Z2FiuI6UgfW4twPto/lAuxNgFfsjk Uh8sXH6Od+jlDsZNlCxSG11DqfYM6O9P4q9xBBJv0C8cGYk/sKKe0k5Fyf7KhkYUbFt6OiFd+SNa tKQKUcqDIiXTl8TD9AtZtk6VqhN4ip+pR0z4xOCoi7+K3nRhkp0GQjIH8InBEwM+BOqZlkqVZb5/ SQQdOScTC4+S2PDODmC4Bf5KlXT5Cdvqr7P86C+799GoIBi4oRN1us7tHjF3VKtltMrjya5c0KG8 1UnL2mJKxzMQoQahcuVMi4gwrg7hyknqjKNgVRbp2DQ4W40cFcxHiyhnOM12SAJf2qtidKqOATxc uMgCPiWWClHkck+GkeZbSt26znHtYIj+H4y9SiNvNBIDHrEXXi/afNwUQ6E+dRqRVa7TgqfOCCYG Nk+S94ZTyoBOT/SECDOSnP25Boset51LN54jgk/maYfVZIlDaEx+nPB9oZ4vjYkmXPg4NmI24o62 V0jHAEFJ4Z+hPbaTnvUbSF/2zg40r0Dkg9fmc4vkSOx/+tWf+hQBsyaVYJsyh5EGMR3w3zdy+ROV n4YiXqCuPXij2FUmTuO5SHdZddKYZ2AbNOGRnwI5znja3Dpaddxp+isT/y+ummb8oNVnW1fpG2AT 0Dr0Bpn8EZ1BdoROabtIoqin2r1o+3vEb1voiy21szL4yFX3EDJNE5unydCLPPfUIZ9GeC6pcHZF /pweaUk99g2qm9QYUfef9TquQuH4BnldULhAZu+8BRTwfOCJz3zwfEcy6QWgnRy2nT0ReyUsEbI6 EnBzPuvUfLD/+NyPoYQf89JY7iK3XNe4fZUUJ1K93Dn80iUIELzApviwRlzK7rXR32Le1RM4h8n9 zKK6es3KTyJSn3gsPdXwWJqkQyDdgGFXlYpUlhSjiA+UEI/XIzcNuJvKFXZAyOcwx54jsB3z+FDE TgO8W19LgoBTyNTYGFei5tnJMRj6mYXMv+g2qYUCB9ASQbCJXujyvsfryjkvp6p1QcYl3ycDhcmM dpJHuTJEaUimP1H4X8InqmuVKRw09DZEGK0jk4EaWd1LCVN0K4MsaUczEZPOcCrBLrr6Bonq8HIW bXwPGEvKCLmiE4qEyV//rhFmHW+wA/I8Iy0ICkYMlnO9GRPZngeAIqMkbWTlgUZEWyE9KBrpPapB dGYWQ+2/p3nXW9ML6ZGwG1GCPsblVPXPCa8QtktlvlqXkCL/9cF0oXUHJI2NKRC8QCTtf44gNDDM yvB2zrMpJgN1ZdG4G74kPsE//v5BlMS8JOB0PXnbek2cnrKeOkeOuDCvIvQE0W2qVUTGBEUrGWok zVBAG75oDi46INSibyYsuSDx6b2A9+iHpAF28A2cO9kFnq6hIlKi4rYNJGbHerl+iMRhl4ZJoZyS BtVnkqvagFZSn7SMOgE8K7bIwobs1JHonCgROG3cQ93DXOtSRdP4kbDwd7EBptvUK+A/Qc7vMYC4 d7f/rnWJDW1l4mOHO1tEF0fQIQU3ppzVobIyF+Xev/75PdZXFZEBBEplkZGo+/B3BNQaDx6+BYTQ LJresxOZiTvD1YZHT4yuGxkX8q8kopPBl8CSmpOsCZh7KmX7oTzkJSWLlNJDeLyM63jp91jP6HpC fRek2X7lZI53tl7bRtwLZwOydBX4/D4YesLXiFDib+PORxMh9UUDCHrvzToxOPyS4XD9n0lXsyvh RCEBazWE/fxGSAsqmbq7pOKqqwPP7PjgCt8Rc1JA9sIMKjg16ZjIwPkFsD3t/veeyCWqxHHXefuM HwejJBQffUOKFaPiI4VKHEH2mjpULNM/zW22HRor4FcTMHXtXSLrJbChywDKqhNoN+5nvYzni32E 1bnTPSYuiIN2ZnSYre085ooHB5fFmt6ErfonVaSbeAxp3OUOKeNSjsUU5d5RYzfYFIkDBWKjB6Nl W2dHXrIlvQBAcndPg+U74J2+E3umQWiPpO9eskF/uWf3JqEn0Gc8w5trCbdzyDUW27kL7EDq1CV/ PDuEgEHEv8PXsrT+B16DfbnciieBnrcSpGqkBQMea4+gb7iCjdPv90l+vnPfu8WSavYi3VqEbC0t pvoOcFjd29JE1HxnBiLFKgHMnOVvJPvBmvr+jJbVtqDMuQHukFaeESh49kdgItX1ddcFroQ10e7v AtAcmWuzvVbgh5l5+J1enHlSMpbp3zLNHshFT1GpBY8khOXP8vYzs3OsMQ27ZkxDFwRqzy30PfCZ lA0ll1KoZxjuRI1zATYTqfYCOuI84s14OlIZLffwW91hHR4hp9Lm91H7/UijvwYj091KLY7JWw5R hxAG6YbUafLVG0ap43mdBXTwYPMAkyOJ3lHmpd5gOsmcGI6wHSScNgSaKKTHoefuhPXEm4AcQox8 F31VrK6WXCsi+LnOAtNodc+9GjWI0/k3LYazBBPfDSvhRIWsheprkvO7r/kRYVi4EL0LFNIVC+d7 7EwyIG1P/dV7K19jLeM4kAU47QAZuNzrsvBQvAyZ1ex89y3oufJO4geETch8bqh2s2cu0FL+gTu+ AoSylNO6apLbqU24MDtS27KGAAZPhbGwqfE8H/fPCMHk/f5/KsEilYAhro8xVB7mc68PJAzkq2p+ 0mfg5g0OPGzSOkmL+aX8eT238v0DRoHaPYWhBAuaOewnnlKOUjs0WFV7Rk9YzWRJ4hExnChBZ69O 1GW4ZV82NLWj9IKt5Pwn6lRLbSAH8GZ1wQaQRRC32998cweGJZBqheYmuruyB8x93aWbPaSG84IU 3ejtQKXzcC+7tAc5+/j0sHh3IhbqHrS8DGHG5lg4o7WDK4MYAig8m2bY8qFAJjwFRONVzl+gyB7N +E7y2+kGdFEBmtzOz5VRoUd/YPh6L57g5fSAdd9YOfthX7dwfVXi7kb9WzK5kveAvbegPOKctF2M IG9BY0EK5LKaH5yvn/bsyUR6Ded70uQ6ENs8pRx9GfN53EAorJsAfRMjoHMFr99QgXLanWza57ei 3iJY5lZsdf7Q53JHVbIQzwQf2HSwJABSHZdnXY4brK6aXEg3chZGqeMrFaK5zeEOKHZbb4apxH2X 0eT2Khx/SQ3iX0XoTYBEa4+xhFiRs9qmd7IBTecyW4Gjv/uTog7sPEhM+2idAd8OXfIbI7o9004P Jb6L4BTdyqGd2DP9I91TLkMXCTgIixNILJrLAUYMz6OAixSngksa9IM+pZUCfn+YhGiuHF4pIX+i ikVmwPe+uOvCNc4Kdfb5QoSoxbxQs9janOdhDCmu+GCucVi09O0mS2qk6fS8Yc6ZEYE5soTv5crY Izy+c30dWuFvdIEnJqGhp6af90X+JujcH9wn7FWznIzrm6WMvDiuSBIxhg8PPMhalE2hkb/VL9tk POV9yGONelAoBaCbdx4LPZYTeToGZ6hwEvVg55nSFoMmFzSajDBJPWW29C2V7N6ou9mnno++abmu IqaIGQZgNZyGqZju0PpLOxa0WGNbN65MUQGq8BX4tXJVo5b2kgkiZ2sQrW2BegsWzRvOe7EGDnfa 1gN89l5D2vUpEzSUlx+TRSRqmfjPT34gmxHJ/sa1uGNEHusnW+paVQ9RGfzbhnGkRu3DS3ba+qu3 rchIIMuKKXn5nME5tJJSFgmX1OZNpfENxcRImvKDC0Z7cL6tVJhnyFf3J6Z/qj441HvtKPtX8id9 ev48BhPxDVRcCbQI+74AddJxurkXXpO3kYZHO+XE0Wpmggdghx1rVAly2+AZFOAXX6PDAn/mpbbT zYlFyJAQ6LAUWH1pI9pVdBOzVglqdVYrUa9ZAOjdKn0vvbPlPPSpydmDNPU4hSgO8/UxsMybNp4a hnQR3WgYrv34Ycn1ZbSH+18vUw5R8sSWeTiwf6Egx61v06KpFq957F7eBCSWqUynOdUoBzGKzHtV v2Bm0/6vM8esSRvlY9AVgHwJvyt56LLPZaNH/EJ9jOaMKuRYjmlnN6SjuCPGB5QTMHXPrp82HR3P zo/9F6lDEIuYgJLsC740h1XarHrtJ/NLumtDHW/YszvOs9xsZqpjNaqLc71yxz7TgnPHE4AsxzXf OZQXlRgFi5K1sBRAqN6uN053jYurvA2aoUBCUONAiCtFcO7umeh//Qjx4zbquu1Yc0y/1lboybzT aGv6PyJZCFxmZuKFLcH2HO+fubH1DADAJ1zNQfXT4QiLH4L5YiEzRQYIYBteCT36sbb30YBJMI24 NgVJH/1WL9A9zRj8hKgD/pgZUxfTc4DYLYYbjOZI1LFrVEsa7KRm/2bGiD6vKLn1fsTAumUu4X/2 VPATiC/TBDdb8oAAWkjE5jbk7NV1w+YRuZKW8rYx2KPgu+V+wi8oC18b2PBWLpUtC5c5wlK0ALL5 b336/STBnYLsiR7pRFBd9tJsyJ/hvjpFXOkqS8qlphIXVaRV5itvJG+D+VtB7f2VzPn75B+e8Pi2 5bTxkNWttTdUSV/qSjGHUh+j68HtMlG75BS9t5jwRpbQTuE+/ymTOSnXdRzz1IKG78NsX7x5EL6m I6YCYpjQdlpH7yFNDYuqTGs0uhn/vJlig4v2o7oRHqSLaP8+mc32AoqFPb/u2c1TOsSk8yjhxCq3 f4Q3UaBAGSyss7Lw+JZ7PYi99u0zbtoeQFaoW3BY3Kr++W4gMimhGVkXyOnm2bPPGYqo6ciz3TjD fFQvYS5J8kkOaSlLEsIa68AIZ3nEoLAG41o2MNhvGbM8x96vaBVQ9wt9EOtk/2vZD2XzgAgbM0dx Y+tjGELszYQX1wCgKzIfBnrE1CGAG6YxrpGNcW3/AYozCj+hfu8Q9AlWaCLIPWveXZinlmk4d3Yg UtJ2PJe4+1g8/arYZBlTZOaZn44j1rQDRK8NZXohIXlEfPBHYoc/lDnf/hqDbVMAw702aoAJ02ds aKLcI2NnEf19Ea9N0qgcj0zx+ID7auMUOP8pzsl7gtOx+kj47rCYQymqBTxtmlH5WSe5WitwgzSU 7iim1U0Fy/mFisnWx7UISGRgTiNJDnDOHZQhN5NDb9yFY54EgkivUhWCb8npZMXqECkgJtxPREsZ 3KIBKFSXo63m+MKQ9Ldp363mJznZ2FfljU0GeHJL6gbWz5FrAjXjQL3kwIE/qhWLoY4a337DX3/5 LilimR9ERC0TI33+9tCl25/ZhaVxzZ7RT66aqYJoPqKPfAHunDq8PpW904TnNIIFNQhGUlFofTNU Ue7tuPTeQXwwJ6o4aeRxkcqZbFQePfMM9JZ/aDNm0HRWLzOVluY6OgN4IIyyw73ZnGgpTr38fRmi 9B8QDlnfsRJ0SNraEVBU2OgwOtsumHE2ifdLbwmmtZr85pP9rc6nEqGU2nU6X1OIa/o/IebNEM3V vzC1gXUSN4vnUc2+VrI+uPjP+3L2Hye9sp04NPTdcULrGAPt6ZZbc21GpNETlb/m7Lasmz7x7hFV DE8MD738V8NVCU1HPytytVSPvvTmI7jR4gxe+ID1x9d56NMmhx+4pfy1U4hV1lzVbd0K4AqfsQxP mDzQ6WlJkIUXsU2TGHEZBsp8Ct5q6X1kqC0soZkflgpaBS3x4v4woIqlOF+74U4UO+PfOBf8WLw2 da3dTYidP1K9iRrmzrG7mHLrrkqUvPcW0aXLuc3dJiHnTJEOtpp//P5q+oeDqiqBU6caHXw7BJpu SBY6PH7jMZOGue6KR4A6XoXoC4M0oVOYF3Y9cY2AWJt+SFiuYGysTebdSWk1kzAG59e2BENTY/wl 8lclLa7BMhTsR0d5M7jYz/DdkX0bKN627oRPI7LL5RiXzYBS6WUKVt4eOfSpH/fAThAaeYCHdJDL 4iRHUqmcdQcxVSPoDTQp3HTVsDjEf0Gw4o/vSjWcvMlg32sGXue2D+VH1sZtT6bY9xncrhpEjyXt zLk25Jti5i7x4a56iCIZWMWTG5s3DMjmskNfEOlMRSmyjFWOQ+JRBwaAGqZEkrvJp4qk4QcUPNKB xRMD0NndFbl1xQsugiJrBkMZ8dqOcKDUgH6YuaU40yP/CtXP69IP+12yeYZYdClmeH8xM51aEUyj sYgEaDmBC7IZeN1lDmD2C0jck9oMERYClbu3ZbPsJt9WlsWrawvwHWX8uMhK4YaER/u1SrOKzjZe pevJ7UXzpQONATx0bZNp9+qe7rTdKx4zEF1NsF6oK9gCeCHZSTz9ogOTJR+LdehnJblOxL+4GTB4 ylsXIiCj+8C2RTXB3Nh5t54763gyfMeHYeYUU2ESN7C/Nt8TyYthbnSqIFnaT9IJVuVPciWApH+l ly+ycP0EUx2jsIjfO39RogAZciEuKEDGHnJtuX/DF7bieDw6KP5GX9bPFfPbC9Y1L733xP0PoAK0 qCn5+mRRsiV/B1b3VGorfvTRc/xxuSd1jPQfvajTewZNPt52s1A1IOUDgWijxzjBdh47Yjoq4slZ B4NvXITWOB5i9AUsDd+IS8tw6+tZq17esUGHeIqm978Tc/pvYIhpb5MhJqrv4Lvu3+kKEarEiXL7 0zh/Qn5E2xFeRrCr6/3leLsJgtwGEwDzhtM4cDuSJSpdL3v2FK9pyQBhnmXj4wxCwXb4v92Jd246 wmVfkBinBOGGjgG2i6u6FLTrivqW92hFlZsKFtEMoR9+YGYoHrUlCYfCsghZ5EUuj/KPXv9Wg5Mi jD6YdPvmzJahmUSTJxsBZn5DpDTdH+/Us3QqihlyM/hmPTh2WGRdCA02WUCkZtS+7HrmSZKiQEOC fB2CNqJy/2kJwLLT+tT70odAoxAeYLe+NhsdG44M2Wo8zXbOhrLsTchbQOc9Anc5evxLJUcvK9ej /olA7KG1ZRR76zYv8kfcEZVCCOK3aJvpSv/j9iu4IesUNQ3IVi7ffGtACwpAM3TBVQsltAbyrz42 1s9+atWuQqwqWqYcaKoVjNl7eCSumBr8MAiuqN1SyDivvj2ulW1GmX8NO8NJifpo3W4/2jIC5dKM lPm2PNAkIngJbrxpRDRAPO7+oS0h8IKgF4lb6kSe4+SOJYCBTWuyTP2HIWGDr9LRP65dL8w6MKFf Jw1BwQ0cbU5szf+B5VyL27CxXq9wFtvUGQ/JRAGcTvN65NPPJ656GOgHUwfFQsJnL/vQi4LXrma4 qKwO5QY83g+vHf0XTDBbLtmBuzcORDibF3gxGTMdTePey6+zZXycrIUsCUvHsiSzJFx+yPCy8laS lM0vXOvyf5VNl0pVgxl0Ni2NSgP0o9vKt268HHoUDWn6gQIwZJN8V1MbGkkjyqLm5HuxFjALBod8 akAr4ycVWsX7Y0BA8Y9b7F2wdxkcqsPm6FvPyhl17+gPCq/RsTLHB9XZjq7bd202nkzYxOTeL8FN zYKXPFM9q4PeG4t7qm3UWua8TQc0hVNiJ6ryJ8Rwh/ASVzAuxM//Qvl/rTc0+ueDUqf/VqN+NqPL bT4LTdtah7A2AMc81z2dVC8HHdvjtMn6ztrOuXDdOcXRvDoNoDoFKMh+TyYbNqJmt9lVkuiiy7C7 0Fw2kXoklWpw9vGuM+di9nviJb3hvXxzL3AJUJGTeyJ73t7YB9LFnngbJnZ38ABRfGti98K6t/oB yA3/2mXxRdLhMigW+1BSrYeQIw4grgYefY1zfdCcdJKIc2CjoxFTA0/2HgmCFD6tJCR+pCO+uHif oO7t0mhKcAwTO64hvCPVDYD0E+B88aLj+/oNBAwVguhpxEbJhclPqVbgkvXK7MV/8I2/wnUsvkxY bflsIwWHVwL8Hxj2RHx2Oj2Nj7Y5XH3qQDVklM49Tub+iUBvhYQt8iejHsO7rY9p1KXN/9NZLZbD /j6fhc/GZSMK4Nw+bdFUG4UVGIoF+plo/KqmQSYGDHbDHI+mxoR1BhffGEoZvALnu2ENmAfT922x wu4uvOHinpxiEQ3XHMAYoJCAGZShW3FN+nWkTd1HZ4HmhqiqqIkstRDNAH0ls9QoXPS1q1GDa0Qj piAGQsQtSC1QI17epTFLxC4emzk5toRknSCjAGkMmKQCZ0UeR5OLA6QkmoikaPi528cw2z1AweOI t1O3yBcKP+tgipVGnOAuRcfaQK7h+yKpG7bYehiFBbANUqS3q9ILltMKEtLyggZd9d/jYpWHP5uQ xegerE9Sffax8in+mtQ1OiwVXCizhr6UVjsitT+TscStP5nNHph/esbRDsYzE+bpFI6T4JYCLJon UAzn/vvG9iqMbRZfh8kNqak2T1lVCdSx1dJPwfJL/ZsQJcc5YbkDz2x0DqDDkhdreVn2j4UqtLCq i5u0mXQTkHBSDON0+mZAXDMdIWv/liqfd3xWl65c/ig0S3MX18jAl5kTPupa6IppC9WrJac8f5my Hhse54uMm7KR8WXv2xjuqxwk55/mNnsCHHqbnyM6dY2lKNYZ38kzMMbjm2v024IVpQ3tkm/mwDNq tUSBnARq1Y9oHc7LQO3R7XBMm3Wxa2ucW6lw75bO4s/g+cDz6StK8tzWPEVewjt6PuVF6O9kzJ/i 2ZRMN6RELgDikcOwnLxueqtZknrK5h0ZYhLsIDAipsYABa4zws+XXk03/ITrH4EpmUHz6eqHZul4 ayNncimIbqbPNKHBg5zU3m0mxTqZyS2fD6wGsz/UUDGxxNEa+03YNPYH7iWMaAT2eD7JEO04+0cF Rpo36thrMjy6CJce1+D1gZ/dBOPYOlKiABvvzvLYAPXA8CXncQtbs7d/tm4z6QPYW/h4R9dluDrr o0dVFpvPuEUVMDe+RF9d86rTqKgwE4j58+x0v7NWNK6Ck0NeAsvMEr7YZbIzl25Hg/Db5bpFlYGs V4JetBZiNonYHuNmI9fVMcdMHHLSLugalLtjn1TGNuEBa4M3Vd4k/IQf7O32pSz2y+EijVxMJvIJ yr1JpCGqHP+8ZP4xAiomfaCVw+3bmzc8rW4GCRzsdZhT4Fp9aPSVdqezRzGSWYtfK1200Ktcvdil BpdiC33GGTW0Xt9CrlC5DitD8zBgWxRwG6LVSmugTdl08iPDQZxUwZjsBCI92I7t7NjDzb0UJDb4 S4a0j3Z8mTuF/9xYJspzRB5yvvCDpsxfXt88+n0HL0RCj9x/o2xGfzeUOS9VaOlAGoP/c+N6fdGA +Xf0jgUnEEGQsIZ/wb2FPrsSDjM53NKxBo9NhW6ECUi/tKNCR9i3FJbbL7rWysrOdT6ZY8Jkj3Dg bGDSk0sXPUnwqcEs0vcaOxTH4BxbcsevXTQt48XqZGWB039UrT+0oBB6/FNGn+lp7JhUg5/EPT5o SCuVnXLLh5NTRMZOIY/Jun6tRztEp1D8/7HmPxct37i6QLMaNgYLO1sMpehFnlf8i4ISXJTejnmg 8KVeB/bb2K2ym94oNb92z7fcyoRwZMldC7oTNcy4e4eBhtayntgJUOPeLUAfkEGVRTIutyd+fyBb FX1QFANdt+6wmY/UZq6AW2UuxSvW7zBLS/5kGX/uMiJnDS0J5kU1Qr0EH7TAipoLrD/qyUf3ZzYA 4mtuJj0IkS4fKtyCPKP4LSB/88S216JvpgtkoGycopEaVd0HeRD7IAm84PodOBkPpHLnJuUhaCdz iB0+GRMdAFBQg4OaTAYC/Z6Nl9ul9wJVqq+cpmRe3fS6excURLYHLvoe9ucosU+tPTnca3jD+S9q n/4AX1Bxj37A4KV92MW55+754prfpIr9pFB3NxqKxE1doRDXZh/hf9hpsQrYo6zJfPAu/VYJoz8K rKUWRoFg3laylitK0CelOq+6ET8Cia/zQnZlVSDq/wh+gl+MDjSnV/7GTrF8fLZNCDWLWwEPwRR7 3tLOXUal1AAW75aYKfp5x4/jTrBvpDrJs4udLnhx3vGNz3uNzPijBNhEznkXi/KvPGgQRW/c+9kP VRdgq3voAQvOpwtuSA/4yWfP9NXB4RMhcQNxtpDVgDrBA5AYEQmG4r47V1snHnzCjSUPvoYxoaTE QZnlYHt2ySegECut/ZBdmpar4osYnyeISH/a1UMOSOlih1g2MS+Y2/qmiSQ6DOfkH6HhJalySWeJ Theh6RshORlbMtXDGBItnC3oahU9+MaVGTHsKBEoEOCHo3HOZEb8N9yon1ft7wUPrbX8g0q7wcUq E1kbOqZSrdnFfhTeDyg/GdD3SG2jC0/yxzdIteWGG36XhcxZrVW0zXtuGT0zRhDAjFO91gY4is/W VxdtIiBjDJ+822KeQJQeNa5/krEIC5oY4zhGyQLA7fcyqW9pp5TMQ28P8bd+pIHHSTGV75cqsv28 hCejWNtLDZC0MFHQ8rA+yQo6cJm8X+spTWCfQwM27fx/Slz76dls/PAQxUw2pO00OJdWy4vlaAwD e5ow4ZHGqYne/pUB4c+D/jFPUytx6aVK+rqyMaAmc09PFWOc7NtUYxp/4Gbq0V/PUN93i0Fr/bM5 PtG3LCuMUKl7uT8I91joPFRdVWQsFnnvWnyEQO78zzO/HWBM9GyerGWSjz7fZoDtqSLgmO/lhuDQ V9nwBIuN4VgHrXX1d/Q4zVS66hl8PnQulTxaRkJSU3njSl4eIUwUZPpT7D9exSJ19QFhwpNIj4Rc eioqoNx4BGt/iVYOW8zJvwBn35ppeCsl3XG1YFizECxdNSCefnyg8TM5g8olJlCKmCxoPfF/EsBK VVWSwWqcZhVMu60NEYo3weUsCZ59DRdMJ+aErOf3Otz7fsSdNRif+UZnEtlRFnVi8W3gYuXat435 lMnjwe5AgpfCW4RYmetUBayBlNP0hFTSCEMWKNsw7fplFUFlPY/BghC/IyaGqGwEdy7wM/QYNmNp oYNbsmxr5c0TYu32wZFd3p0Ko82OLwMSYzaYvZUoQR/0QzGAziiJs8h0H3ffMJZybczKqkOHdYuf VUv29urfzSIklHKWFLMYmC5FIE9OEAUEBjRB/ktPgciJ/6/qIsE1nBozDUFMW17Tu26B733cBcGP ZLLhDkdxUYT5+86zohWcCwDaLaj54mObAoYdw3TOgGYd85c3WR7L6dicRLbcBJ/CvoQWqs938XfA HjuzzTG+Lqd8MF2jtdyLrXCwWlYIcio5v7hLIQ/TAYfVl9aHKuKUZcZro9rn8BhBfa+xTzzEk+GG UPqc4e49ALIU2m1/JpA+2X8oL6ZsCyrVJbAbYPBiG5zq6qQ9RTfKPR4oTWSLO5CUfskJ20Ema4rM E8ex7pvqJqt0vvuVoBAKKAJcGErvXaYUS8+a4k9iqqB4l0+IiktvC30uOk2WtEa1XXy71ftr/bMG vHkQ7G2INiMQfr7VZTg/RIUomssMgH9/E+VIXTJA0nLA4jbs88Hd7RjW8a+oEjk3/M2s0GpQx6dD 4e5TEEUmEtoB4RxBAW8PHnsD3qcT5BtqUBrng8pg3CVYdKh9KHATH3ljqIAyUzWMUbkygpqIDMZX WEyOts8ksNjrziDPTiApA7RXP2W5lwACBr/hc2gsC2Ope3FG0LsmOZeWz6fvAKXvI3AUZE3QkBjX ErUoX/fNUOHn38vPU/eO7FpxCIw//ZUeyh8dnE7XCV+j4ul/OdZl35XzsVNRBVI+TSdO9Yt2sMQA 9gk+sesZTi9+zXOig/73oZO4mt5uymlBoOiqdc94LR/sKXXlD7IF0xN4K0OPpQMaJ8AERdAMcTSr 8XiiPwgT2kIwTi7XcmHqbpIP+Q34DrdU1L0k124uJ1/6/rqb15kTnHkuXhJVnDpkCdougw7hOowI O8fHNcvjXYivlxyy0fACBQ25ZWH4/FkGFZ35Sw9hzknYQpTvFFTg8t05rM947vOuoU/OdnWatJjW QCI03GPvCYl/5eJXDU5vcXJKcGCxoLNH4YlgR7lLnTL7Q0sISxGf4Tn2x3qOpbKI4j7W/cuDnURL o4OnwlGI1gQnUWSVoek8gQoQUG4GUDWYEc2/Mmjd3gGKxr7+R2g9atmXCEc793tFIg3gqWjGXFUZ a7uoYs7sh+EQg4tXJ/AyTdv+KE2KRMzPt8aBr9WK92hVq7RR/DY5nro4iiCucRxam+kDF0q2RE7f 57Yf18qnC7AiajbbHS0Guyjl+tUWBM2w1YS5i961OUN5qqlCQxuLCXo5PR0CYpg5jKg807/C9gPr hRBGmfb6261ZBW4I6Rsiiem+U+QnderWSSuNps0PSD9Re5kiZz0RDnqnNxbaFkiTMDKMVxAmjvau 6oKAe+GBaZVQvwC4QFm2o+7Uk+1pRVIhbc7Nhpp/MMTmH7oJH5jwKeoOr3p0H30nmsxMOLZXrcxY KEFKqcmNiTg3V8NsmxOW+cTOLRspTZbP0C4BDbvG/aOIUOA+yRdtNnuI0aRFu/xUeWaOSbs3a1DE tvor8K/jKohYJpyBKdsP1Xucfjdh21xo7PZ8IBa4D9/0bt+xG6rHaALDKnGNA2Ky/myuMpYtjSF5 +pAOkSK9YctKqEZxTkAXWKP7pd5BkqBDTHVU/DdOH3V1/X/HLH8PpyU151YP8wnf6qJPCL4WqgBH hXDaggrb3mZRhI+wtHQ66RYOZhqtywgSeo3eV0HjlQTdW0fCBv7pDLpMDfuFOQoNT7fRuYAsBUOI GL0cZaVVoEhwcI9G6aScxPBPpq4DTYV++/jGGDcczkh/HoJpvZGovXXdjAfkr1IAJMu4/NNo7IWc /PtZnUTAqRRCX8KdPg4RhBm5ezpqhCRijiYNDm4jcpXvjRXO9zo5VDVMQjJ20kDBz1REIsms6X+V GZqBTEZHfkZojNB6AFFMXjoukIcVcpM8SVpE655sIZrdlPMxq1uyzFFnL0Z75jJDkTj48NLgmJKr CzrLSmTc8fWvxUYR/OYZGeFLQSTHcitJPUfDncU9swPMXdD+fe+UD9r9nNjlnY6xDBiHpxA2y4ds E1U8vtaDyg/eVKdpDBU0RTVrIBj7Vwaak9SdO+6R3wG2/B+F5Q6IdE4J6b/bnafJdSrn5xhCwxAt 2NGWnIg55pW6EabrMypHgo/x6Jk3x5YWp5mHZe6utURYHsP4ZMuXgC3m+B7FekwWdB3LrqRO+5bT W0iPStCNQBYPoY/WRQUHiclIqDbKgDYgyLBfK9uMVpgwBtr2U9YtfumweJdeLpEwo6GhC8qYCxlO 8eiC3AZGsVDKhb20XVk8qf0HkduOxhyf8p2UkcTo3ywIM19V4FYe+VJwLOVzWVWEduH/2QUU7qq8 c3MrCitzhTVL6uD8kV3i+vGq13F04Dl/65aRKnVRbvR1+lRAJrxjrj62LA6zvrF/xfRRUDfugWtT I/XfBtWC1I/4QPseBLg2oRPnvR9I8eed+i6dst/piQjm4JsMCHMJIjn3AiGEpzdtsWYcb6dasFhB /ZBnqnwM+PI8hgNXYah6L8iUHpwj2pKYNdcUBEEg3KUs3dp8S9Mwxp/Myi7gaMx++PopuiSQuZix g3JwUzblrmwv5w2BRPSCbHc+iF7vxYL14l1Uq9dR0YU8f2pxgFf/tbdPN10tzWelGZdQHZlMN830 Ezgb6vpkmfoB4JlVwchQDSRZpTmby5/V3BnXjIHp6Kb956OK7BWL60KgHmhkRHPtUpGpIwHadv7a Xa6di4t7KpnL87AzkVQRl5zvy5ExJwUVJ/aw5JxKQvysdccx/1Q5VPvyVLwKJUVBaXyrnwn8pcdg oXHOeUOp0VGh6kpITq0T2P3f3HeJ2B5W6HqWPG+MZeq4NW8zd9/tujipCnJ7FLH0300PP8am2YA4 /55tDFzY1Jq/kyW47MyT4elKnK1B0OxgSkrW4wVFbXxpsIxbgsmA5JrxLnY7l8BNO4yK+y9WfEEK MbAD5x8tC+0UkowdRPqwkYlr+OK11MFPhNj56n3PQhbJr8td8ZVc08bofcZcXte5D60e6qQOmXT9 DlOq1Y4zS3tnhWB0pwUqeoBkKbieLn64h0eO4e6Ct04gFy2Cg9Ql5QyOMTZG3iuQVN1YOcMLiFQS o4qzq+VfpcgvZPlbsVyre+/K4zbtFubNtIexBF1ZC+EdSLanTYjEmwyYWjAnp2FJ5tmbwdBa4HFh ImEZpEs2wjJS9d49PubFhtcF66zxrZoDTv1XmuM+sp1jvBRMGZERnzH5UlzqxlqrIr95sNIJBqX2 Lw7qYUZtQmh8QdXN0Py6Ealt9tjpxxvcsFyRbhUMYAXWPMCmHvv76OVO/+Y5Dfuoxd4lbPnOhnkl DYY/KBHoDJ0HPYcQWDhX+kVMlv9luTh55x/bSCXQmc7ZQ7RKHfTzE2CGv9/jtVd4lUH4d6fFH+L8 I2I/kBjJRo1PESQhtcnEN0SHRP8pYHy+fKeMpusTPIgZpguH3hjDHOzZilvnFD3EVta0f5jGGXgM bbO5Woqz4vnI76HrWRT4m+Qp8u8tbzKFFgFkn7JQIsopAmCd2UQLa6h5p+1RDYNLA06LYvbv5iMe nfPJrR6h9jLZ8U/FcyYsgBrO7oGJBc1/OYtrheWc1Me881dEHQCRcicZRVsXASOom2XJzJnoHYVc TFgDwHOOd02907M6jjjIE3L53kwLW+z0x63zrSdHeF6fNyLiisSU+zqDF7Bq+1gy7XP3N2D/TwjR RaipVUtrzfwKQAzmCP7f3aYn9hEICKYy99TySEQPq7SKQwpT5WUAe3zOd/mPGz28RklDvj6ieb3D vuN9/0GCQ+bbFaAEMsPiLcz/bITbbc+FhVcDOQjxb8vRzigA/dC0rj1nE4qkEAsnsiiWmR261Q9t Diwpn5x2Fn06c95gnRF0uDAvCUJqX8g5fbxY+YHTH3410sL8lLlFVj6N8yD669L/BPSMEaGkS+iL D2cZF14my6zmXxKzqbyJnZLdaMurbro7wmmnhgaxBwgA7Thhydw/bg2tSbrthXt+On7oo2N04xCe CjIdAs3Je3J3xC03HKo/K0KyiR1iNUf3auULgKYjODzde5JiiN19I9gZMEEKU1vzvR/BxS7BoPGU b94c7SZPe/I3MHcaRrB3mP5a0OXl51z3aEb/FZM4ZgISBuTRFlOBJsFaKpZG2umINHhKpWq9teiU gElQLi1OV0dnW7YvO1ztBrEGlTuSipZNXf3MSkOSmMR/XLCMkxAq1+G7PZvn9L5vfIj1ZyY+Xbq1 fo9ADfs8erVe+rPoC4DwOEUgowLTfaAcjLxGafrQnC3UD29IZV0S7iWg68AGrAvWdkosrh0qf4zV Y2Lg9fT0JEP8qHzqIuAckytWTXgyJN6bDDUniDQPkAvJhdNWyAEd9UIuYkLk1vibgBC3HLQjTRgl I+YH9Qc827qnuBf1rZth/i3yKV4jGhI5bJUoMLXV25yOqC0t59jm+rw4aFidiBcR/68/Wqo1D5CH pV8OxEIs0YPNKsJrFV0fLJc4vR3JfCvgE+LfRusiZYoOneFe7qNdYRxuBxzzF4D87Q/a7obY5eG+ jkcPGhHCBYJENcK4k0awGuoOlVo61GxD7zxT1N+rYewCxqHvHgZV3Pok/bCYcV/qmsNqK61aHLYy bZqtSW2PEodjj3jnbw71kARCDnzFuKGfBjtj8j/e7/gqxlveW1E14/7N1Lq1hH6N15+CQ+rdFtui NiePysyraZAwtXtnV5KwQb7mZdy40ofsqDemKz4iYUMCsKA/RiwztUdBtEsPpW1OxiehpK+FEJyp J/T923TCxhRv9z1v51RiL8hvI6X41nhB+FSznI6sxBq1pfe+r8Kg2tSX83llH7T+KcPm+mJl6qw4 U8C6rifzFbEQMUJW1SNfZSn1s7m8KYyf4HCquVOEDaIS/yxuklfAEwgW7Yo1Y9gDnCXseBtzvHhL FmmbutfHMYYYEhG+bzNgXcjgzxNABbszRuS3gf2TuPBq419bvAeV4IupBJ7lj94jWnblNJLvVPm7 Q29x0KYKu/YN2MG0W2BJFRueaCFCKhzhS7XUVVQNfh5U9S5c2ZPXRc0LHBhmqhhOW/bjknFbo6tT KMLMhA3cg0XKVsAAt4LHflFZyccthUFqnfrFgIvoi/s/MjJnS15cJ8Vos7I+Wii/jUvTTaxbtZZy LZeKWI3ZBZCieTIK5NoXbYdra/LBnK0OJNHJx7aR947y6IVQJM9W455+4v8K4gmE1Theze9J8Fa3 zKCW1ciQlYyjctvBOLp+D9t4JF0aRVlLnf4X+RL2fDuhTvuKIpMjN72DcarHQGd66SHY10jUqr0N ypdmXEYId4wxBTucxFLf8Fp6+4fuRbsnVMJBPgumA9bBJOESQPBkZPVQnl/NwVlzS6u59axWUJME SUVxXSl7TxQHYBNohBSBbZZFtJrTm6OIPtvxqF0lZ8bRSMmTi0QnCN8GhGTBFcSxz7eGu8/oCXtE hOCCjRhTkUU+fd77Kc+9QVcilf34JB9Tj5LYLRg6KtSVT71zfHzsozIut46LdkzyIBDsfcxaH3ug 5jpzWIq86WtpvgA/JfOUFosuWwNB1YxfycMTGxkRWRf0uOZO0nlhTtHVNxxfKj5+yLlXsLytj43n TkiVRTkm525ldIMoDW4AwMYViDZ879OM/wDldgpZiECui5cf9ly8VEEGJvlRiPqEZo3dLS5nDA8b T21xixz72yqUpPgASoXYnT95eg8q7N4aZN6g9SqgVBPxqI/RuWlbeWc5olccNyb2ARA/JMMCJzfW hbB167cjK6r10UAgQi8lrpRFHgK3GhORBxNPkc3fDnJG+3TSgCFhD98CS1QxL6dgFCLAlycKK/5E zOrLZo7If+XF1NZq/IApWG8Kwk6DpjznuPEQ3Wiax8aXrEhnr4FgBZqdAIlpGhW3O2jOQRcBiadP tfZaAcfDlNeWgzK0oy3+cDTtfpDZaUOVhgqQAbCUPQQZhWz89DQfo8h+BwS5Ji/6edSarftRPXoG Sin2oB7ORp0/M08mIK2uvOt+rF90t47lHtrswN859Be+slzKID9ye0nVYoljedJ/bOYbBtnVraW3 t9+EHO+t+agGu646ql3QhmzOrGcmrpqFh1viDBRBpxEDJnwjKc34uzFWQ+jqJO7deZnL3Yo1doDC rrLrGa1Rk8/HLYPmAEKW5RKs+2gFnR9L9RygJAV7sS92T8Nt5mrZjHX4qsK0VVtSiiey4cbKjEwR KC9qui+kEqZrMGNj+svA9o0O+CfPUutZvvOdohscmy7xdMMLB+aPAU89NMEdnrov8LLeWiPUiwHD 87DEOcVvOl92kihiQRnTWW2qbVs7ryTbY9/3fcbm92TAfLv82Do2JJawtxDBSWor2AHWxY5Bkxj1 hOeUVLf3xD7glqNQ6KUTMPTe5FsJdEozBtMnQ3u4lbXYQqbLkInZPwB1abj0qJFkyQJ+q+dfxiRM LUuvL7UMSpiIjKQ4HDV+U95dpllR2l+O72fUoDc4wRJTaS6VJj0ArcUXDDYv0IE77ac9Dj7LhpZN 1+ztJhwbOpAt9zPlxb8kl7C5N0mAdkIssz6xDRMbPM5IxO+99DM+nPKjcU2t+5nS26IligWAdLj+ UoKREghQS/uN2Ms0LL5ysY2mzVZndKSQU+FMuYXZ4qlUiAJRTTsAQDajgTTw9emaIQc1yxJJ/iPH /O0YbeUEjqNifkqb9Nb8jRC2lmn5jushrpV9JwYxViBZ5m6THC8bsTxExIAN0QpFCWzUnC5oZozk g1hwbbjgY8GzpqiNgsTEELt/xHCbk/EpK65L5+/LJTH5CZmLw9dPc3ct7ozTaHFd4BRXh6fJ1ud2 DoFy2PVtfxWUs8o7VVsznhLuZjGCNWUdiJrq5eZuttar31os0fqa6cIp1jjJa7aCAb4CvRygVH3Y 3WcY4N3nyMVmfLGVt0KEZaayPEWXTHzZ8dr5edmVbe97I6ToNkZMvjJlbnMWofjBPHoplJfqT5FB 3lKXXJgpLcD3WobCqeR4UsYGGxafGIZ8Eln4n64KkjDwaxeiM3cd3Qjd0js/k8e92gGFx+wBA41x 36GRcB8frcxwnGPEBKBGg2ZSl1PyC27x322zv86ZZGW4ygN2Ywq3lf9vELxuzjyGBAM0bOy9/v0f GTox89Wr/td42lOM3Y+ewueYb2IZQ4AwAb8VOi6nLFZwqPnJMRGrP3hOmf1BeYsl6PjnBoT4uQ8T 8yikcWLAjoetLhFe1thTzH+ZEMDm5X1s/zAJunWQvrLFXA4Ua7Sg0I0SKPf8Y1GiCb8k84ABwI1d PebwumGvb55NZVmiHOTj/uTnBc64bEtYdiGBL/ZDf7tkw9PD/kc5+xHUtfxUckAIRE9YG906xwHI Ub7I6pAzqiwMze48e5yePzlPzwh4qX1RZkG9nIoqlqRFZgXAPfjwGjM1Ag4XkT+QVWfjn1ofVNEf EY5I3hFjaI/VdbM4vwL0Qvd2vcou+Bd0SPTKVii2K5HdtqbF4Yd6QE3LxTJU4QqSArIEEiuEt8ms 29ie6RoCXweqVBNYScEvgHtr7iD7+v+dY6JdZX/y2t4pwstpt4g0RjMTZfjq01jMLA8g7vcDloZi GStj3c11X/JKOOT3dPHvuK/m7mH0imRnPJUjsttXbXkNyqWAN2/7tqqujnj0qbfItLun3IviMb8g GDFIaB4ezR/efonJCVej0tML/ffC+6UfLcB8QoFoACtLh8/xmnE3g7H/3Z7CDF8gScpdCr8hCA9I Wzj47eWgNrFu/43Rk7tssPkMspYjLv7b1PEXeYHFzDwPFLTKtN8LoxGIR+n8P+CyRk9w8V2U1i5k imPr4WbAMvKzJU4AoDPhn1m5CKdhDNMO7ZFw4HE2tBnF27GzdRVsF1wwO46n5zX7gfH/9dWk1dZ2 hQBzg2dxNAwJ3TiFJxRSPp74TooK75mzU0zwT66+rYVcYnU/lBHzLdtPYAPwk4bWf8YsKplEHvvD WwNVRO8d/+d5h90A3+3ItXxSpOgfaE0+i/g1lZTxd56Zw5HGA3YGX4JlG+S+pjmVznr912asqDwZ x7QaJrdsa9Pin0kwupakXQkAEm2OPcP4TKbjWX9rTmvcgNv9RWcX0B4/koLAYyS56oxHo77EDpFc IsrL7FHH1r/i0R+Q6Iwo0TexL4EEMqoMhtWQLSjK9aorSUvf+Xx8eZDu1APOiEg/bedr1eFFluOW RFa+iw8sxPOtl2Ajnc2S3HwhGFrOKiVBAqKn3H3zNfC3UlV0e5SwXTA5RPu9cEu01poJw1WFFCbR +mCKseNr8OyR8LkgbnAVLHXQ087l4ekKF5qL2CEeIh+cN/7BOJknW3DjS2vYUDrMONynmSi4N6d1 6f0dNHdVjTOxbponJFE+YbHkv9Uh8gO7SsfC6ZhUF1tITYmM+kTco+lsXKL5Uv66K9cIrYMg+XKM ZmKWzH5gRsd/zAQzQ1+F89/xrA/BfHHpbkYNsxDRWzc9xzUjJeHkBJZRTCVQAMz+Uz5dOa9xUMpm bzXvYmWOVsdeRPG9tFPZyq6O5amCNw4Rw3aEtbUHBLHRTuYwTyD5GmwYd6WATJQ2vRrk/r0P1zT8 dLu02k/u8ik74Zw1CWIbJ3ux1OHlfbHN4iQ7Gn4TAy5MtszZdHnELVTOF9xaoo2rRCb5KVYoKreV pASsQN1Tfme69loOkx53jEbS/r4Tdah7mw4+jU3E9oLiJ2y9rwZLqbhg/6t9yUN5EYoRlfRkL+5Z NHUmXvFHAEED0HeA7D3sBpO2ikIwZRiI7aEyjrxSPW91j8fhD7Ovd52wOl7+YrWI4gK1UeebEZEp Z+KqV5s2DdJdL9W3lYCIdYWH/LlEHaBPAk46cnA9wtAf6imHzL7PLISWfI9Vatnx3xFfYdnWxbw0 tHtKvWRf8mSlVA4oKCzDd0YDWhft9WXllpb0LV/rQIKHH5ZfViSQ/62fUY0joj2DFtamwRT2PMQz m+0fAr6TowRYWIshXaMnb86uNtOO0LZJBmgSc4vmmHp5ydSl7RHEuar29bijEu3d/BcxReS4MCFB +B7Kb/i0PKv4Pc7CNOLHVErVpxEQtXqsM480LDOdsdpq2l0CU5R5r5n9YxWJU99to77BRMVKwf4T DnP4IFl3Go/Dj8qJ8l/m46D5tMx2NPsuIOFx4fxFtllNgX8+58zGu+glbXEzpcBZm6HYLVh5MX7F 2TXixH2b7BDbBxKaP40XFyLRh1UdgqwRmYpSudeog/nqp8wh+JAF2oZVK2tLv+GQsrBSMKpsH3ts P/2Y1on+zqdb3dk+GiGnJr5vfhsdma7AzOMDxkyQiKeMpEz+S7uKsRA0Yuf2H3lqalDyoJ7lgW7j ssW/4UgIYqgJLv9nVSTrZgabKR6A5jmMtXERr1nQKD3rcHRNOymqfiFHj8dUQFmvVOCgWy2OgNsh g/H/XRp6ChX+m4voWySbJCp8K5uye0MdiXyKk/KVZQohEhtLI4gWTmnSZTUE6zlMyFbJ4V2Loy9u 8/dDenXJi1LVWMnmG0+d1NPEr5pQ8+SMu7sH3zhLWoCXyXpzNCRzQdw+y6pVdKetPIIPyuq+RBsL A8ulOZ6Uz14fJP01iZKg88ZdyJy8Yo/oKQoYG2fbpiyKZBWOAMRK7xDyekpESvlJLBhCxcNu2yLW c/jaoCCHW5JHImZ757zTneRmsMcWxyiD7ejdUzBotguh/KquXBrFJhyXmi70NJefECQ0aXbT0Hia JMc3IBdV+f4B8avHdAiXUpeOLUMe+YGQbsEAScQO/wAxB97W6CJIdFH5+FM9KYqJQJDOV7NnmvDH XZTbQZH155S8ECLVpREwSF3NDnVf6x8/hLYfxugrQ7o7jhl2YK9ONqIldaI/v1fOM9GCBejG5rI7 8SQ4NsRBj/3YRzcy/rIamUXwfSROyY31KYlLNBROJbZnEGqCX2VuFsrOsCXrUaOy4+fNI3W2Cswa 0X8fXK6CgH1PAseyGkqg4v7EYv/X80hhRfFX1qRKC/mHUYBE53B56MUES8xdVsFHg7mFN3dWKudC 1XwEh172L5hBkhXGrGLBuNkpBJMZz3O2JLLZ9q/tLjvrGypE1yaHJL9IlKHnuC2GA+0muDgfLt3U xXHaGZUOcQSGXovltbjWKQDRuAE9LbkX6Rufit0npJSS5Lu1XzZ0m6FweiwKwm/DLPQ5YI2LmjmM PCm2Cm8mb4MGAqdH5kx8DwuP2SZcKX9CeCYQsKjVV3j/a7/6GaOy66ravmU3U9nLkuKLRaT38iPZ PBYTFO6iaaD5QUQYgC3bJBa3EB5GLQY6sk4M2UieEDAQJJbtUw+CPwJTMM6GF7GFxJKNX/B2xKkW rbjqE5j/46ItGzhfOQVyLawoSdiDXcOZEm7A4udgqs4i+pyJPJmKrDiO2r6QpwhvZ2tq3ZNtyZcP pM3ma2Cl97V5lkUQMhVU6aEKGBfqz3sUKokm16YiHVREbDokwVscHH6lnwkR+H4E81ycsAq0NkwM OOcwRJGAniVLG0hiqLeWVaAjzhwz+DhLX4hANcdXduFRwVt5nQuaSRMGplqzb52EW2H3Bm4YWI2C Fn+W1RvUeGDCFOcZLcQaBPnO3pC2mW1Ra7Mo4uQJuA897Bvnl1vR6ROqC3wl3OEKYJyCgJExZ5K+ YTW4M88wskaou6ZK29yQST97Zb4LYqeNtbak47xDl19rxwBHdrDs4R7THEqP8KMpUZE5nJhku7oW dSagJ85TR6bzUH6Wrrrbpt69l1bb6mIymrJnR7Lhgs87CIjm5c9DZGpGN9SDbL/SMyUT+zg9tlBJ CpthVCZs6RtWhDw4Mc0/B/X7NpaRWUtR10PCSryrb514DDh2lsRbntKQ1pLN0TX3DuzKmWzrisqz cghLoon/FOOV043Ns7Y3v3Gz2Wxx8T07A65LYkc0dCf57jlSGj57XztgAzcRygplyC50B4cydWyH M3MzrrXFKfLJC3kt2d5DLbQ56SwFlOw8+FmNNfW8sBMRe5Xin6IbmzD2PyyvCww0/ZEQEiq/G5gm oMUa3mDO2gHYsaInFe6dWcYCM2ahQSJwZWHI9E1WP/db68ZqY1QkHbrGvgzdYF3rBizQ8kKO4g5n 8gLKvtiB0WlDNvEIInNIzLi8zil0uSzTFlxAUWYqr4NtiNyIhdrkJoTH+sDz1mg1LjG2qpPeeTMu r3h3Cp5T30iwLzvkhXBcGQ+CcZyXjiqtYtdTXTPe6WXREK3ZFis7Hwt1+7sRgP/GocFSys6an/FK SxDmlxBJaXSuiM9ZkzdO2JdccISx+4ZqAC31eluStc/EGgIFSPdOEG5RtAgWFQjtgChuV8zDbL6K exYCuCNHCBg1NkokDdg62rmlxTlD4n8o64J3F9Ik4xFxgk5h7JKMh/sICEmDQoeE2YP25Oc2HfRW XvnqPqeAzUpZUSQGlYCc89kPfIX+P7BOuy41j3uPj67F352gkU1nk7Wy97saHlUM46tBgGg59pN+ o4vJzSPfzwzoU7PgUtdxik9T2JRgJy0OgPnkQZNM2sx0jTQkPpzE3rxu1CW3qN7/vxgFIfMApKj6 sxc7ZZmD3OF+FX4Iz3XiFh+X9aOY9muAi6Cg2uX1uFjzgjSgKHu1KJxUdu+5p8iZU02EibqOaWcF IGB7qDU3wIwEBiJasGLD+aA34LEHn/5LbBf9rOIOdG/Bu1HlvGc6SyEs2FVbwsH7oH+4KXbe6PAy A6BVQpqivrb259Mxe/ev3rXlv9CzU/odZBCQar4LTLctwJz9NwxrtoYhugJ8XXS9mnoiAfY38ukH VC6OBYzEzJNHuG024d1V8u5rVUKxkwRTT6qsZXF6VFdmMGRpeeEGlVHyTv86dPaRoku9sPWJj929 ZpPqRAQh+Vms4vVKykbCnWS60RO0PPw6ftZIIqKu21y8oz+CjaevJdTwTYLJNp8bEVt+LOqm2rMa OuuneOFu6wJstLlweSKr9F2fe60QZx5jMowPizH3l4ho8vSgpiRr1fuUdzWNWdOXh++937IOoexG UmalDisfm2/CwKPG2wdRhxp+HotcP7NeT57/pAlT9Zfm3h4OgvC1Dsn5ibb68zfO95qyFk8bum05 zT5p9Gx7DW3u+0UGr65kyNjEQJG9egjGUlu/k9+pwNdtDcpQvUr5R9NOipC1rvShgRIKcGzZQl9I NAhejfTNScQrIMcRjBRedx4dvHTvZFnWeNMd1rRBx3iv5VhtOd8S1PhSNzgeMlUM7I9urdQn6tYE YmFwwdjXEvlkI0s1v+TyiWfOtMLBGdqUSDTVxFgNL0X1nZRYbH+n/Us34axb2VpJrn5IA7iVosIR f20Vy4baItA2DyW/tF6RpK0arMx9YKz1rZa9vnd8iwFATG1OOUKWO0m6kheIflNjqdc/hzsoNxlU ycwjiY7k8c0jB20eI/ekSwN1a94q58s0XzJ9QhK5HkbCOLO94TxDjlN+HGdfVpDlxR1PRzmvW/q3 7yPJbcKR/3z1DnIJcC9KLVL0E2HsN0aZ1sE2o/aOqebU3ydlW32rSiqYNwgt4J4U7T/HfU8XnWUa 99lnsJyoN7JVD1TZkXoauOoEAsRoJUxhimDhmjtw5TdRE59EzkXE+dZ/h72/lj4gQi7SXo7bMgwG XGlV4++vFcYzUvorJfqvK+AimCjo+y+fzny48Ix0WJUpjgIUt7KVAiLZilGksg9aHIKU4k5D4LzC O5JBp1WZ+SneOpPyxS/89PIu8cqLWkUWE1jZdX9c8Vd9pmixE/vBQ3IfKVGKRSbANpk5r26JplA0 otCsEMuVP5paxz5lPFbch01C35M0KO3FZXi7kX0fLlI6D2iDhE6akZkTbNF9sAahsomqdJAI5KZR S7+XIEHWFnhzIs8r1tFglFjAPu924Vv02Nd0mNFfa9McSnO443pumJ4zV+VcKOKF2A54nlp6ZDIw Y8z7ee5tQ4b4l/OotJfbAhhVIEjFX7t/i+CSlR3XTTaAB1BIwq3PMn5e+hdGDTDX7z60/XTtys2r 8ImMVigqbXxs/ZwCmxpseZRYvyD/WdWQFy6XUM3ox1KqS8u1IgOn6fPUMPBlWAGaAF5vmQgdvEMj /rAZ5xEgsKJo/WsFnt68yYf2unzGmVuZ/7JGFhRbJ3ZlhJg49G9Fpo4k9v/vUE2c49MHuuiLanTk yF6WJMsl7rvxWPocFm8c5B5gFiWmjUX7mYf81ohboHlbbFFO/GkpEI1YJoUzQgpQdn1iKGRXtfei oGazO7q6/uCpyqH5C1EaXAXwNyWF1ILT4nLWSDLd+jG5lr1NUzqp67bwdp74Z9nkQJK2qgPKMTTQ HYVKPqRWL0LgthaBYYSzVHecxIQ5VlsKITWEauPnnp8Xu2DAHSIqDEH2Q9cGQNfKnpYL8EsqfInH yCbZJQg5n871dUDBz1ONJqdyEP2WVFDQ8/n3kEnbba++EmROFiIitxksz9ktvv35mcmSPPTNzCHF zHZ/NtjCndB+x740AfZVaK6ukh2UQMvN66Js4Ji5aHL6hpRtZok3jKI5c/++wu4hqHHQE46dZLKl cbk+dVmmBlFbY5O0YpNw3dBcHpn0OtCxlPdyQrfqGXZyzW1iVjHX52RSzBJ815JTK/KCKFD1iilC SDYvfQv3BOkXYg0shGRiouESc0MZ+l+PvSD69QflP6f4wIXhztgomr6ui63eu4nOrTArRK/U23p1 vPBEn0W8aNOS1um8TZmWaLWYLR3B4NwfvCkZtqXPITkMm3PQ8R8oWe/As9IsZtcAlf+VqtluAeXq d8EpQSMcyvoFYNbCRxNbUwngY9FXzYHW/bVbM7OGLF2hygxXcl4IhQgC2s9wFjg+EizuUTSrdbuM vQbkyjSXvU4A7ACBljq4xren3ywmS6c+iH9dSkGh6Bn2iaDsq0TQsDeoTAh47tQqFxLkLDL9Jk94 zlkLwajoGVyNotnSv5uOaUIRt3c4m8j4mMLSTa+axUV2wZB49ZDaatVbX1drQD6mm+TH7lnkY4m5 PwrrvxusBlXc7qs/u0oU4PlTesG2E+4QH6V3tnf3S1A47QyXkMFUK9lr0WuJPBJkJgfQIsv+GUQA WN3aA6Egbhqnb8lti+V1aTUE27jQS8/WoKjPlhIFJ0G6I53YAjRzOi+7D8yll1GauThzpTh0CyKP E6d+vtkP3pyEy7LBJmIh2aSjg3zHcywSTGUxzdHrUiD6V6QOizAmLkdv8tZaGZbAFps127tGJdVX bPuFpMZhujtS4hnIVbQLLjPvn6hqQqMEJU8AHEJ3PuCK9ylQZ6z/YESbzRDIhyAfU9EgMZVLp9QG goJ9zOm5EuRjy+D69CToMMm7xTIdvN0ocLmaFBglb7IPHqJ8x8bhjidighwk6KRU6sK2f4zAtuNa DLfXMW53eE/8W0F1qR2f72pS6Tv86zTQLjn0RT3eKKCXtYzEC4sUKTIHmUzJb5BCt2wT22f7OS1f FNfuPEQSg4AAfrzDRBjDYt6Oi9H3SV2Yx+spFCWGbhehItfWrqYwDC2MqQ2g+yK3UZ1bJLnObQ6o ZetqvgW1xc38F359Ewtruqp0L9WpM4vcDk9KumJ3DYWcqkm5xOoXa+eOSuApFQq4Jx4wYodQOsWQ Mpq0r9i3tgsHZ3uKcG6VZg37rUGAQhNWL7vC7tsKo+cClPPCuvCAzHEgxLzRtr2Og89HAlj1VMxC oJGt2/Xp/HFvYJr2i8DpwOsaOVElnqY5z9yrmfVuvOr/JHArSMYWuVyNlCM+HLy5t8+zd17sIcpz H70LKIp0Q4eKE1Ubc72TDk3+tFCzWfPqctKoDrKXXcMV6eLCMRpSkSMgpVZhyiWMFRw7Wmj/JWGz gPSD9BXuGVoBivaOamYhBwGjzMkKAwohv1buQHIe1ZUwcM8usJ8kdqIIqg8ghxBPYITZVL1PxiPw NTNlLYVoukklfKRcLC0pogHNrIS8TVH7okzt87XflQ548st1oPpG/W5FpxMTOkfofIRUDPGU9c2N ZouAJuxgKTnLEHZ0uU/Ctd3x6+EUZ3jf55w0tjv4Tw2S08fbKvwJ0clMX+XIhV6jjO2LG4tO7Ww1 CguZlgPiVtw9S26k2T5R1Ixxpc9e55jKUVU/0xGkhoqOw5CIgAI2V09f2R7xX7Ceud4bBF60CKi/ rBMbKRBwz786wdnD1iUIPRrOo7UiAhK050azsVmE2l/i6NiWx0s3+S19EUF9S5jCsQFa1Ufiguqj eD+KydRf3nuqX+eqDB1Yzy7/jhFkFgmc/Tpxelf2QzKPtHctJ43KlvnQeTqBkl1UrRSfb0t1Hh7i tWb1ZNSV4GP9PlWycOg/FLcbSYbXQswvwyZhI4800IEzF+q6SEGKr92HGfc35CskJq6C4PljAxxq k7sGk65gSFtL2OLQE8utsH6SHpK4GKg1/8PkttWVlNE4ltB06bzVB5pw3egHtnwjCok2CwOEB9VJ DerGjwsuXxB41/V0+VApdZytVPb6FAiur87ATwg8U/e4hiNuKQjWVkGZ/bV5XoLxX/tjPCiPWxtR 49t2bLrMWVAfEx7roq9+4tUHjTy4lAl4EFRflTY7nhZZzd1ZeF7OHI9j6DgD5RSIKbgm/a0td6VV +jrCb0kAsfIEz+iyqWBtnyQBgsoO5KewUhoVqlNNvghXC+E6x3pfNmfKpSd3PtxAmRNs11SbUhUY iRZVoOnI885j2DrjE0DbQPGOC1RvPGaqh31H0Luu6/eLPOSW7cbSmxuZD9Icb6Lsy42wCFB4CvjN O7xtnY+zeI2SA1bH3S7s8XNDvmI4BsXNB+ybWsaKQgn4dTRvwjY69UAYIJoOrPyvN8oP3Iu1OlNW ecvSCGEp9U5Y1iZM/ktIHuFY1np5EflXqmjXuZeBPDSHWG/RFIcczFfItGlDJNBdNpVcEF4+rY5H 6hNcgvovw6zF8k/L+Ha9++zT41ODJaRCqwTmpYk9eSoh7AGTPj0pbh2wkq8fS+WaJzSda3SclufY mmdMeu62Xb8HUEpBZ/JHPcZo+CJYPXKF8YorB1jDGU33S92GnEb7FDixvWUYY7knMy+Y+KWXZ1xF 36iZVsXhnY4ctgj5ePvu371/zrFtwv2aslbQcBIty6yiqlQAWN63VC1E+Wl06RcluE5yqmA0N15F L376xjDViV+sHinuW1KP0FtnY0DrBbqGcBTMTOIkNhLNeT3UfGWlV+Gem+BN2j4b22FTDGioccuN 3mRQX56oMP0izR9I2GCL98C7WPkOXOvDb2BMb14LxD4u1znvxRFFd1mvadDBWfruHNQN+euq4WFv B+s1Z5i22wrE9QJ2+iqw+yfUwU3D/SJpjW28w1RaIZI78+yPhcZa8St5fAYuby7+xcUnnvLxo2pD ZJe+wceXKUa1bBmA0W0ZtYmxWbZcc//Bo0vWPakcZNI5xCD2GdJjlbp+3aerCsgqI0pA4J0B125i 5CEli5dBY6ZMSyaZf3k0I7gllBQ/6CqXII4BPnJXkNNNMFFmvqoJ1biUSOsHZKC5OMLby4wAwlU6 J5L19NGULPKk6UeNemovD8tZvnX2nbDBUXIh7kiQryolF6E5czYkrA9qhyix/ylKjnvy3ghrnAP1 k74vxDbylHRmnCCZ9hmbKWUNSX5W4cdsvmr4MNJmMKjS870v1Om10Y3YlETK9eD4z+EQMrhTStfp IDtwpuYD62UNLPtuYkjwEMv7FlOweLrbcmHsCjQFYsW538+UTy+fvTwcxZvYvN3m26SKdVgI4XLW y/29NeF2ztu8hkEAnl4VGANWT+41RD/i7azV5MeM9ZgAhKpmInsMWmL/Rb0o0XOjCV3I9tkqQLnk 8dueo4L76TLY3PfOegpltDIR8PxzrqtH1BsVHtOBTf9DjUslroNPzHWLjsl2X/saIEz6lACKCclb VZAi2QqL5Qm7rSxxCUxsH90DPZfTk+XR8iZLaTgfCVt1njz6B9ce0CaZ6zZQIn0qzmco1lxAd9o3 1XzCrx3SQeGLFjcCmQx1sTE5zVJErORjWCM7BMSZJ8pTNQvlqQ01YOQvzFJ4kLgsrr0MT/BbEWbW wLEXtwc/JBP0l6ep9+A2YAzZM98J3WaIAKOVR7qH7qZcKaEk2om8fg53E80XkbpFiRomC+dC7IB/ hF+Z3WTY8Qm1t07e70WAjf5r+x6e+WAomp1H824seeW8UHv3iEbjsj37ogrbqMvDWVnnvOtwlgL3 ONyEKGeE3Z+ELTh5nQVjlEy48eJUOzPNzgs3yqvpxb1RqucteGm8G7Os1o1hHJLnNijgvJJxFT1y 2FKqLT+Lf9uuqqodMalbu2b9OMLh7vQBsbzKY7JHZBX3WI/T2GkCoOpYkFnDrFNRTejeeVal/M6Q OzTioviVXZ3lIxHBactsQOS0mbiAuY9QyLsv3CVDLpGX9PjBmMok0WDn5GHUXMw0U047V+roqYrr YvtIwm6gA/ffEWGdQ3v7qgB7rR0ph8IUBpMCOTrWV8Ljz4f3iIsxpVJDN9kEhZe3M2vm0bapGNfT GARlpjo7szx7x+ZNGZOReSxe4XRVyuv8SAPWGP3/jokRnWAi5zzZt2KyWcgMpIWl9WKSQwXXv9Zy p8nHHwW77sua79gG9EmVZN7aLusmByaQ51hGsaMz4E2g/YP4hIhCLIHbRSOgFRljPv/Vs+cFjm49 o5NId7RyqJgsxL2jI3y+VFLwx2AuQlU91LkV3tR2Xkw732sW02kriIgZ4yYI1BFeqynbcSEjQFZc jF+Y/DmkoEAI0ym19VZ3SW1c+W9ZjqQtDogM9wWczPH1e/t0EZ/LZgFLuB4z4qE+otPBb80OWmRa /AB0pk9zZYc9hHMdRnQvy3BiOMLDFQ1yO+MUZFlwoMJMP/nCQj9gB5/LdCpm2OORXy92fVTrqFO8 IRHSTjgByNYIjbKPRqgaW2o5fz/ycsi0nfMRtyiI5N70Q1+sibD3nTrrpz3SRVpV/wZm8qk+MHfK /rhUYz6spShjIXO1HyUVWoT4wLXckUur+VD9PQrXUUqubEX3iUdMypSJj726Qij87FVHdzxUWfRy JhWHC8PVy4cUjhLOcg/GMi9E32Qirl+50luSRUgdDGXMDvs1OpOrNJt3rEprTvF/DY45MFYvP+xz YJQyCWgLrtsyreCdqMh5C97jixLuK3rhHkgoqMTWVgoF5j7+V36ObAPOdujfhIeSKZeTyGnegRNN zA4V6/5VgJbo6pY/DxP/Iyu5L3EI2Z+RBv8y+/qxMop9dSeYBjkT5ITopCqsa77ujpOd+0Qjimhu g7h/4Yeej5QQwvZ7yTy8phy93380bhiRMq8aLUjoau0Ex0BBIKDZkMVlGjnFQ776JnfMVl5jueUA 90T9N5x0gtI9T53E5YB1NwRN9MdFICKiVraq1rc1j7GhYPny7vYZC6eSZdseJvA4gj3PHPWZ0u2P tq2d30p9csTyzzb5Bjbs0lHkn5/m7rE6jvjeod+yGTZty3VyYAxXMt5NAdL8MlUq3LiPuiuUzjDf o0cIb5JvpYxjYwrA+sdMZUVtFdJr2knZ7cv/CpppybkQsvxfG003dkzuQjM1k/zM9/d3DiVIRfMv +PNXM+tpN8zXzHSoGY3cGfBLmTCV9fuhX9lX30vu021DPDctqTWLD0Incs47DCe1OOX3ksXMSj89 sn9YcZiF4Lgda7JbH1qCeu+QG279vT+TsxyD3S3JlukBP84BFAdGg6IaKuJ4gID5QhuH7GJsU4n5 IPsJCbh4Jgbo6EBU/d8b7i5Gz1S4E8kaqHGLzBwlvghq21BaPwKBH9b3IvJHanFL0MPtxDNGBgIB qIxcHnT6EH6/A9++RGAkC28W86JSXG3Gyero1xSsItL54EdQV8mrd2dYvCKJVfq+VLjBOF+CK0jG Qwty5yNgiJWQPUSHUhL//GwJ4dmTay8Fy8iYyJsm9nKVH3wLSkCIN57nWZ/AgvYccRIntnMHCtSJ ttHwL5IMDg5QtVh6FvGzjyZNBQbWc4RbjLpTYm8tmnFl5o06Q8doV6XoS0u9/beDac8KwcatPkTo rL/bQgrpY/zeObEnf0aLdxNuFsvmOfCKbrBehBO3aHzH8o4lxCLZGBUcFzJ2+LPWbpbQtKb/WkgZ GAh2sOq+jwWKNhz1eCpVIWK2YRX03EcOHRg+EpIueSSCFgtO2cWxDK1ASSY4/WNHMAJ3rg7iMN2X BGzyd9/ZMYPrJvSQAEjzi1iZ+85qqp9zXGJbvU28usqqY6AaN7DJHR4NKx4c+ECUtM4BUAAjq9jp 1IZvi/w5gI5ehET1dxmTweBT//MUJyVnA64BOmzWakcFq6PhP/GzM3mPMPfe0ySIgGNZJDfRdPYo lmdZUKY5sjsNuQlt40P5gIfQ3imgVBZ2XQwnMQyMDs7o84EXvduCnN2QpMBBMRj5kCBf1upmb/t4 pcfdue7gK+Dbh4FmwkfUWOtt9ih3MLltFBYbEVxWohicfEC8Chpv7fmqu/38hVN8e5eheghWZnCl ovsD2oondf2YiyM5wtmQ5AGEBpg06LHgDL3RRRO449dzutFKb9Otd2ky0TGDiSHedM+yuuAyVfPp vYFyWHWqICsXteV3ucBqRHIS1eNovstrZErVA5ydw9k1zdP3QIcvEkzVJLMLO6plCHd9gox0t4sH SgKyVls0A3nLlskS5rHWb64KE/WV2B81w1cm5w+HF2SV3l4ECV9tHALNo0n1zfpQHJk6AMSj0tKS FDC+w3yFQ9tlgbZrZjXDLUw6RK1MR4omeL5f95TQ7WxIOMBKSTqrYiBPkyjEVzfCCoAX58lPM14h WqSXye2lHannS4fzMUz9TS346s+J4Hryg7MG5j+WdS4LEDzOMNz+oeDuQ/ii5DV6HpNaroQpvgsa MNjtvOZgWRDJUnSMIcxt2tIih2M69geXFb+I9hHqq/aOrxEWIDUPt592P4KbpR+RxQaVWmmnlpgs /qbCZQJgSeFmVbajSdFRv6Fuxg9JIFiCG4Md9cKv+Ga3ImsGCOVORUbSb7gZQJ6f1CXYP6jLQ33/ TXTnWscyW2tE1POh7USVoDYU5orerBfuTHyLiYAOR0KUZeByj1BdnTQG9lKT9Rr5teIPvuG2kiFi U6lrXZTCpwSphbjsl/7nzBZ+WGIapbbw+y7Q+EtpGnfY022lHNH/ClIE+PSVVtuIeiwYfE7uNt44 bcLmFbY+MedqzG1NwPyjcYat0AzWq5vtbCT4p7qS29zLtMOBPc05r1E/9DyLfk1F7FKPuksy8JMx 34oC7HtiYyWaiWSdJljUrxZZu8eUtK1ahTWaAOfOZbTFq8vUVwwP3iS++sOkXShT9GLh4Jh2Mnxr ubFwPNSjTw5Z4lVmWJfIxdmYiH0lnBhyGZiHX+Ep3u7JVcHYwBJKRT26AgzzjqoigIpyiZfgHhQx brWA+veb+ubXRwf0rpdlipJy0TFVOSUeu92mBwaw9Ep0E0TO1JxcMs+w4wF2pmr+mEIKhMWZ1Kh4 vAVjM0NyzHvU+I0+L5a07DwdS8fxHmm7OX2PhM2FZfK1fjK6yfDxEmn7R2Rm3eWDIyqpJlBTnaUG tqH1hmB25sjmRy5r+KvDHARv1olA0JudqpRdz1NpKWek1b//2FquhH2rWoU/0T+/o8kyq4/euPZ/ 4vJhHPIAOMdegl7JRAqXyayqDmcejuGiyJS0aPOtsfs6Ia8WQjq8quCz7t18x/2kKCfZghRRakqC 2KPrcQBzQNoQVBCgcFu/1V75+HASF7ZC4B6qnYsjPSIjDMeE+ql17V8fFkPF9rvM0yKiOQiTfrc7 HytTDfor2NrTeocA08XxDkWGxWK3u9Qk3pjlN44a3lBaghhznvMVfcAYrZXIoy7GUPdITdDQKLfb 3uS45Q8b+n4ueQUjMV3yIPhbalUyRuV+sSvi2NzToR7a/QeX0Ix4a3Bh6KJTdvJLGxvHA9zTyO4Y s+Me0NOwSqUM0M/OiVCVLpZv+IpIXE2SiMc7jZ7MePhoiRvGTuzcPE39Zz58beQMENWELezpMwUp jOhqJ+aDAWDWaiyQqiKAHtKKNfNAa0oz39SfPoMK4J6FCDP36TcX5BXsiX7nTHZjmE0FwZ/g9xBY k4MQFD9Ks7SYfEeWP1Z4kyu9FiElLi5kpi5na6iQJwOV2sQg6D9SwRFftsWgtaF0x60slODO/fAn u9qEAlD+TdzEWBoRrTnb7u82eBim/n0bJgTwa+jLBH/xpwS19CHIYWWha/WmRZ2/YdJOO3Im+XqU 5bxrT/AMBiRm9Azt69aDSxIlqaahUmTeWa9KPSJr+0ytWiRTV5rQaek15EJ/MqBY7t6oIZ/0f6fZ fXlghYL0VZ23Q0uPviYSdHHwZa/MoVUVdTh2+I94tkhC7z42c9gv0PGjb6A5W7JEAO9pXqzG5Zh8 NgiPLWJ6Gw14mnQQ2sR8kRYGyFMbqAhVJ+m2MFnkwVWxMlmUl7wBYcyPqEQbRzk6okUJQLgotaR9 6G7AJLf3bMtpCsvonSTMSp/7wITPTD5FTyNKb3bry6J5YRZfLytCb/n0K1+LTq3edRRR0EoIUd9h N3iw6zEVD8F7rkUfXWlUdCSoOg5Q3FKRKI+UT08wqmhXbex2Rd7del28ExRVmDBCwGYPd1ELnWrD Q3iPxN0T2S3l1HBdHOiu/qgXOUjJjPvMpfLbemTDNNZsC6cHS17x8G0kCBu/qS0AsbSbQe/9F1SD iMyTI07cV7wKJ6j+wLwX6h1gqPp0so2ZSANZ15LSZnfrdEl7CcM05NKOK3zFimAT6NjJ/2bff/AU YoyOy3qPZQ+y38MEWx7IVdY3aaKEnAV/FREqaiSUtP/fpvh4uVeZLz/muxuKvx8FWZaJO7hdr2Jg GR4mBiuX3JW/dq7Xewtw+6r/yG1YmK4G17BzaEWSk/AmIcjkNWLtxFfyz+kfW5BzF8/wQMlSskZ8 lcyZosWjy7qhtKo/M1VS2rG0JH2MhF04tRIJ0Wpq5dzJWGa4bAie0PP2YfdIuhmHFKUYoJgbjF9p iBFWk3teLeCoZppYYDuol3A69qPoADESqgVLIKij7+9Z4/9jbjhQ4mcqHkw3KT7eKG99OVxI8HSj jXK4PimH8QOEK0HE0x+kdOECiffb8f7MqUN+WFJgjio1b+f74SO7iIJrrWJJ3iR5q0gRn2wD9wfW 5nNGWpCLe8Qo7q9ulw3rMRiNhic3j9bsBy4cCbHOkPlFg2yOysX7j/HGs+XOI5YKSAZI3dEzZpqL UCsdCJFrR/MiykVJ1gSJVmv/JrLnoHcVHQBllLE3u9AvMcezlXQ6c5k6sYn9YnggH5UX2Op9tnDA 6CtMAypG8hRNycXdkFss9cE9858pd4M/k2zagYHZPbFblmhpJdkZr/bfMg3aQqQ8FG2AhnxOYvEM bLNtAo0TG29P9z1wV7OdHaMxJqyB4BMfQraiZ6hg+Z6jg4CNyp/TMeH7PzbnAG4DrRV4NVANrpjY RjkTm2gy17C4TVh6ABywYBTFZPokzKoud3an6f2yf1+zzr/IBMq5MVQesYCV7Gl73AHPcgp3hnV5 lLwi+g4x6adI9u/h7nBSXWQ+zv3YrfGBBly8RoFwkOB2HqeHmjOuI8x7YKqKiPHMoIMZXNfn/yTK 3EVZFWabu1pXa9tw+SvMtHYLDJxby4U+CLTH3dUYaU4mVK1hPIsKj7s1nWQ2XMj2s231UVw/Qwf1 rpN/lS65FR/mLqAAbRIOvo/jqNWJYb8zMradaHM7FRKFE03XfIt2ycAinrr41wvr5W6JJz69whav +/kRWuXGocnFbEXXrsOXN+WuJUC6i0FQNYmYpGgFtjucZyG1ET4pYI3+eMHUIuQGAv+i7m/FE6zA AzfA+UoPJjAnQEI/Bbxw9jzC9XofTPjMgJ8AgtqDWs+t9PaUMae9MDoww4WqG4Hl9A0Oi7VWfr05 fVvP/sk/J1zK3xCAQXCKdOFTfEade3BkJY2gYsOUE/r+CRuwZX765A6jnAKsOmUiWBpzIYZ++KKt BPRNeeDR400WDwAijigeT3Vqt56N002/K/Xh8PJXPrup/bUFU595HyIzb/APq06ykJYQhf+iJa5D 7Z/r1kxjO5uNrrhrUPegSw0aLQE7aYu1hH6reqjevztA8EtELXlr6lDBGPryHItSvhwnvnWpBe4f /ZpzjoSH9Ls3+zgNIqqV4gaLHBERpPM4H39oRTeYPIDaVT03GgqZgZ5e3HAtidTKj3+m7vghaU3P Gy+WYzxL5wAyp5OGfKUk1TTXgyMN7wnEbSq9doZkygKpFkWz2cAyZcA2kYuswPdrV1jVcqfAosff 25erUDeoXiq0kDmOJ1JjZgTDmRWQxFSRb2M8Enspft+a1eqeqK+Tyzt5NRgaRvGgUf/sDEOY98O/ yHAzmtKLbCZIczfBbsnaxIhtv/bDmXEVcWw5ifv+hj/VFRuch6rPUdWkn+/iXeHOM+k7C+ZWqKzS r11ArWOFmwUfy6i8NsWcDLI8dwbZb3DPDI3iAlVxs7TiVzz3iBULvqPzRZG5JwQJpPefLjcCxhln A7R3CSWn+nMHW4xhA6/Tjfo+E8R4O+sKDy2JbS8/iXZUS2ObU45hZMto7s5G0+Msbz2rvK3k+Hls oUadWU98xo6yWDjiPatHx3np7QX6LKZMU5ztzNNHVsJyQbp7nbIdZTSXSpFPnx4CBYY+pUbkpY7g LU/PNpPHxI3imgDbLit1NBkVY5uRQF8dULvTYT7DbNHdvZZw0Bw5b1CsVllaS9zxOpU550xC18n9 7ib1WYfdiFceZmtDBsKVIqmIlA7840cnaJxRhgw+ypKHSNkfOkoVpU/vqbwcUVddFHVmW0FU3OsU 5Y54Weg+Ysf+J4Fb+uQC3SUrLuogrXCXcantpcICoCZHBTKARBiQKUkv97zHt4qSiXG8Qxs+C6jm tIeIvpzrEHe9pA8KZjuvy8ifDBMyRkSHAHeYOVFZ94LLnQWdQjBLtP1OWJVklvFI78DC1NVaXUzi gjwwcRjElGhHjNAVu8PwQQJGXKI0cb/NeAo3H57jb35kY1rpaDC9k10k3bOrIbbxxB+oXeqxKvd3 7aEgp/Hvv1aAZ7DwXJIiF/uUflJ7alg5OfyiiNooxpw5D1ccBjAUwqvvtDv3zDayriou9IqW4fF/ T32FkkRMrh0Rw0jyDwYH7NLyiR5KwEmwzTY8FuFF/dIp/aWVJ7MQbT/8RpfP2nBIM7PpdRtVFnMq q+pSVPEMeommLfn1TKHsY2YyUctwOWqsyFm3yOKJI0ChJpt4MRjLSPgez07XrxLN1n4XihEfFbUr Rp24L1zHMkCQqisYPntSkMmpFRECIE5uwIyWn6ZrrAvGEXPo9iExy0jBZ9bccyK54lBs9K4NLZ1i WRpDIG00/gt2T91Ji86fbzYuuxxPVywEWDgWJjN91EOswYpUxxw8WvXgDykXbOaQDdIPYBr1VQ0R r4L3C+F4kMEkq90Nstzyp3bUzdNbpysrCYZlRbmuPy8onmI9soYV/3x8uY8JxcuNIRgPNWbxbmOs 6HYhs3e+XhSam25fqH9NoojYw05GuxRdANZzGzaxCjoi1Qf3qJtO8v0l3Ex4MkKu2XlmblDfNDMB p9NEFh+OhAl21PMvTjJCMGaxcmzxhTxBXXsy6lrSQn3v8UJrlFkmYDAay2YQqNa517tyk0kowOeJ m1Ma+3YYMDfmkQhnaQcXsE6gBf/rNvZ5w7HZgJkdl+pOosJBnwgQbJNbp2IFqRaLW6FLd2fWwp3G /DF9AiNfKDYzHWirUwGpx97RkXga6Oe9N6NnhQXKNQA7O0lVbtzgpiDfBrrQum+7lPeqfs68MzmS 2bfdycFcynVHgYEj57dtvCRHHUNZV+t6l8VgQk4Fj47TaAsNa3LBCfj/hAWvzSCzxn71zfP0B9DI 3VwiKD0F9W/4yGyfb7n/5iuKaC2OxvfQI9TGCf+/HdqZ827aRBVXi0Oswppuo5wYY712Oe963JcT z/tSXGO9a0L2XVFepSWVfK+3h8VfOwLf0zer1IC1TRzx43w9A4CIIxtAQPEkyqu47B4rFbJyiyPp SArG4Uf2c2pSEkMTMakM73OozIGJCAmNvpV54wahL8jNlYkc08M0O+mLAQeHs2JgpOovcn2R/ujL TmqKYZz97fSXuEf9P9npeud+MB04gmkRV2JO8sXayOOqaVNJfCy09cQmPOE1PWXi4DnKHzj31RYc 3ghFpoRBHQujePT4NtMGqxznjoKkm/xcMWx/KxeQHugHLbX6aItgH6ctiJfAajkW9hsBbwS558GH uQ2ZjI3Sb8+0E6rVYbD5sQ0gUZtIXObgZSzcq1gYMCO0cg2aWH6kSgC7zDIPBrWS6QNFHcm5kACI 7iuZdVzCE7Ri4zJNdSuoioc/aJjog4deObFNJMshQ47nm4g6ZmRvgby6K9689iJ1SxGXYyiCNDk+ AWQzbWHc5u2EvSuaiq5gc4N03jjPqttUOYNLpfnfL4oZPCCllqn6j003Ofy8uXKpXgeZBP4Kcqzb eUPXzxgYerw/9+2TqSylW0wV39m8TQ//jZ3LoXt13TEPWJr3p+O9tnaxw2AZ3e5PbMzsP5OEfYLm X1TpQ/TtSa08YjZX3FFf4ff/edG20v/TchsDAO+tdGpcizAQk/Q3jo7b15S5wiMpNnv2Zd6RWSj2 2pzfRGXy7ZgAaoS1J66ZofAPihXdMZdihOqDCdhb/ORh2uunVu4Nl+T2S6GBJtiKYnOIib6YUuiL Sx79p7SoW63KbeDLQdxOm7f4n8Z8WTs1t8TfNNVE5TnhTCgQfy/whgto7Z3NwoJMEiGNWbatpaRs /p1yY+t/MaaiRFM+b6k+EipdrCL/4Bzlv8oGrnmfUi6LYcLJYvB5A7pn9fYU/N0PaWOocVe0Ub6/ b/97gqyoll6ZD35ha7riNIdSTlbFfZmviQSft98RsuRWmCp4gqKQrMT3Ie9fhIm2yJFGYBzBOR+j +Ff24arpS7/sPdwV+b5Ioi1Kd2pUShsgDs9mDgunvzAHHSsqw+MTSvNKtTKLVTe5ZEwTl4co8XBZ xFtdgi6YQI6i333rQeYitJ9glHS7Uzayhjmm7pjEXoJ48mudl6vOdv70FVauo7yA9C7VlquOJ9f8 7yGdkU+1JKu0EqtQIj73XGea+vH+79LQi5Wd/fVCpv/bUNDHb/ctKW2Ozjdv7nfDTokdkYd2rBDK u3AYwAHYzHsDgficPQmSsau+3Uce2a84pD52Xk9wHD/kaIbm70mTpIYcrmPJ1WbcljhbPKZl9Vu7 a1///Buc7p6cFEgyLml6EBCjg2F3yni/Mc0E7ryt8v04Wd7oN+YDwBNCRMCgrrTK5DBDDptsbJtX tynNCj443LArEelA0jfK3aMlJvpvjoDmW02QuPmbXHdlDghAJI7VxLFMIhHgSziwk+dYRt91ylHU ITcztwSEcVRGcuLrDBCErVBfTM/f+b4NrRUsCHgzJERnFkF+DF/DoFmHNmINn1h7hMMmO0jvwSU/ Q5v7NPmo06pcV8/W26mDSRsYBpmFG4ybaNRJcoAYi8tZKonCWao6vPP4N4DuEKq0+KO+iD08vYjH Hci02eF/S7cp18WvSYkyVnO9lsiVpiiicpdTdEO+g5efuUOij/421tovE04bBRniIKWjH2jfXlEW PEIy282QOrA2YRrl1RGHh8ysT/QDSujM0D/DfEu+I2J8kEUfLWYSNthhu57VbFy4Zg50b+RT8hpi f7xNbx/+CXaIyCf3DEzTiY0uN7i8aICl7njxCXaX6hKoEl2OxJIX66xf9ZUmWrvQjnb2j2cVwbe5 5xNbWhDL/4OTW+QSKvKS5O92/tNTZXQKGq+siUePq6VwiHiOUyd4h3ZmJD+e5umyGNgFT9Xo5a3H 1ggxRlh7Eaqjol6ux2NFfAWD5Eh3RSNpT5XmbrhPyAWItPhNTlTZGBVrCbxP8oufITqRLaDaUKqn FGNFp/pqaqf2gOVN72KSpaErrusPWQeHC364Ip3mtn/1mexXjmkJFKhpy7YfkRlCl4lRkcMjw9fv DLlSRehKcJ4zLmf2ObeMnZoSxRtUJa+len/SRx8Dj8U4pqKc5p0lyCpDmayr+fNzseBF/tq0FRCo Im3modzJocrepvwwmLNp4rT/BouR17Ipwg3lpsfLDK+WIu50SVPKYS1Dqc5Yq74uCCS+nntYesOj S++SSn/vkaMmWimy06XL4fcM6jG6kFEN9eF4E+zrPBia7INK8Dz1jEhchXANrlcBYz1EUn01+vE2 TYTBaXnWJUCwFD6jIpfA9l5iKs9Kc7TeLKxvnqFZORVlhHwLey1mo+K/ON3Xgz3YwhaLanpZq2f8 vRbcvEzVsNQk0psbst0XiqkdEJIKo7QZK1Ujx40OKsnyxj0H71eWnYMLmW7sjppY7ZYBSowvzr19 /iGs6zXGicpEex/a4wd7ZYyvUg7h1zeqI5GO3zfK++Ktnkm+6yO+xZTYPmqdjZGkw+4WOCQORmHw t91CQYLMTBWlSjuQ22tkb12J+25/yY649E10VlnV1ApFDYdeKwlAkwBJEfgDnn1V9Uh/F2gp1w4Q kQ05WAasaa6Tdq6O1wQcHocJzfDZxK/cp2qkIs5tKouUuoNZxOS71Y/RNTZRiR8Xidv95gkzzRHx BYLN6UoJXavGriHzfA7hLsZZK8Bu12of5fNMHcpAuSj8fTFlWDvIi/Sd5K8lTm9xGfW64O3S1mKR c9pAZCDwWQWzOKr0fYm0DCNbcmuH2ltVib4J/WhA9q/+fwzZLtyJq3GZKJcnnPXypZ411CXpL50r a0jmLZgDmmwhc51aazPaHyt/fKb+c7oZipGIlzsT6RjhVLeG2uZqr4x/QffFdk80IgA5E0K5mu96 dRgAq+goxDfSFIF8qjJ/G/Yt0KXpe6gGUwXwMGpM4RG+eXXQSdcMjs+UyEOPPlmFEitL7/aXd8cr AeqKN3jf9ySYWpqlA0K/7nqJmgigU/3Bj21k1AyYynt8WiAhdpPl6wp8nJguB/tlP4HU7E2mWGhx P1wTwmwkpYgDTFc8ognJmCnw+w1HVOVBQdJJIZhfTbJJJFp+1LVJariCulRGNEmgHPZROxCnME5c BqtTf2vYujbTB/VMnBrl4kE/PWHSlBWij3kPM4BOl50kU1TPMXNsj/Pfpa74QKkP7MenwEH9Xt+w wwaf9PArVD0ixfe2+6h/Qc9/WHhIHlLLEMaiWtyj1uaCP1lRc51kPvGh0ow9WYXFL39mPo9EZU/q 9SZLUJBR2xJQwJ15bti3LU1qSKoDdteB8w2X7D+//6YuKqm+WtQJhhcGzzljI+V4NVpPaIsO9EpS Jfz5Y3W4oY8DJyy0NDZogRKzlncHHWTzVEXleHJ59EG05OhgxTClrJ+MxVUlppmIJooTnvyBRprX cJXEgm+VKnYHxr8qP435kSDu8+J2WPXOhiBLbeaH04+np+9hvorcS9gPAMu2He2Hvd/efC/FAuCF krAgnDMDFIFYbZTrnx4PeFIuMMMVsFE/Jt6umGMABXfxtQvCXvSG5ggp4XSlfYtIHgo6+SFk7JBQ 6eDXLKpciJo3tZ7E10a77q0hNWHCKtV2MaPGhexINvUIZRcEgONFvj7GN0/w51Mn+1nueQKGQPYX tVcnZEL3muxln2qJ5mPqUPJUllyDIZaaJJ4vsG7Zwh/Zo2SeB03gX7GYv+TCSZWm9NGqbduyKNRL iYW3VMEKNiDtyZGlUvGbg3Rp4b2oUYJpjWEeZ2mtaqR5f+w8dX4Sq5aHBQABqOLuGfN/4ec/Y5FZ zXxr5ZubDcJbjBorz8lVKYdI6GeVGdrb1Y0tk7E5oPZa5drZTB64jEY2kQcBuyY0eOk/jdWHaRNh 7W7mQIPa6AvJ/NLvDzs0KBlt47CKzwFo3WA1IOub3Ug4o32DA/Gx++GjTK+mZ10m94E1nIjcfLLg m2n21lBnqLDZVuQ8g3XYqS/st4V+mgG1Jq7fkKjFPjnthmptMBeljbC1UCjlwzC1kxM+unumrC7M DoXdSgct6Way12/7LmRCZFOhJopmpeZ2auvvvmWc8bVZSy+JRCNwdcUzBEg+PMauyJV1XfXgTm3X S6M0KCaeYZnDgiaT0cPwKFH4mQ8r6rbPLj6WTsa0l0QR96HcA83FL49bCAet4Pn3N5KVxywJWoLm noEpMQOToxfwWirtKdjuThOmjuE+ScA0l8iiq89YEiMjo3MYDWmzhDLzngWReMF5wKknThNzplV/ xTO/vBpHK2B2NpT5Rm0fg4x3y3I4b7ak5BeY/X/PawjbCqSM4IzQwnJ0fVn/B7qceakS5DhREwh7 a3/VZz4tVOSJbLVW6xGR2lVUADJDw7NaGIDHXMJHLS3OPNBm4s6KKCDrlzmDPYTpYrEr/V+bOcca R+fjc1OItJUBlm9qGn8C8it2EbSBVuqW+AfLEGnTanU+nR2u7D5MkHZbWC4HNTpjmn4pALpxZxVY NrwxadzCeY8o0orTwIeo/rmZSuIi5z1gQyU8LkNRrFLu54hrH3DUthfuI7nWKTi4BnEhAI0zjFch EW6jWZyimGqw5InHLa9/hqVGAJnvCV96ujGkY8HAjvbCrSRL9RKoeUyXm6vbt5Z3Cb/qO1UjvF39 FeJ3AJeSRlpXhGCLLElZtWyEorXhdQclSzzEOMYU3FbpK3Oxs0MLs8wczDjAwkkD7i5ioPsTO/RW gdmjW2E35UbIR4sw246GmtqW28eTX7nPzDb6LyJp7GOFCjXU8aMZ8r88jYY+1y2xDmzv5+RpcxsS SHFYbE0nkCQVkQpEdaImUlZC/l/FRxPHag5UaD8Yf5ZBbzvAesZAFH7FHHOM/uHddKAdm87GOjYm popiwisNsNGKcaP4PJHs+fchoXFEvNwQL+mZMEERkwQpKFvln1QvEWzuxDOFXAIx9UEEg73GTtRi s4DjYwrW0Cua1oii6NJgn4hx3Mf8BkZlewDK7Oq5Rj/Wrp7LmM3GWMPW8iadRH1JtjSAbhUeZMuW GnaeMfXzlw4ga2tzMO9ZhB51PIY8uQ+ztTnkU8rYHCgEm/zQi4Wv2kEV9laHkGnWkJadZWE6okXO zHNu1Lvf3ndOEBnOz5llQN0WCgynIyhXTIurljRjADHBwn6seCsH0uMUP5fB8rDhwgJhHWd8y3G4 WTIpD/CQpf+KP1NPqNKfpgsOZ91qgrNBHSDZzugyOfMCDtsxtc1+RdR30WMrBDGS6bzj5itEiTM6 oUYvlEheMXmvOxBnWcc+jom5cCiDLTm8kI1e4AE73KNaNtLZqlxOdxTQ6vjWj+Zy4QK/vVAYUCFo tVKsIEeh9sQ5T90e3j3AvE1E/yRTMgrmM0VexDzGWp3D3z2iroghsFbdmo5tWRQwXkrvFaPbrxJA gNguNqu4J6wvywI/PKMa91qnEAMrC0bWSm9nTS7QlYjc/0JcWLLFxfBBqigUD4oh5nASymQiw662 znpd3LtnGFBJTvGdkx1Oz/K8VymlywXBBJBX+w34tzbanx5QI+NRQfby+Z1ygfnu9meSVdhCrOBX m2DCjSK4rSsRMUp16w7MSpyAC0WJw6iRALZw4DKC6MDC4ElFVOCagBmU/pTOM1oLBQxguOuc++GB o/ejLtyEyvqaPSYGc5Gj/yBKU1H1jGr03Ga/3Nwx2dUC5oV1fd6h5udyXfG5d3CIPR/pUO7RBKPh c4XVAGtIDbYrAEM6z0sniEevbrqc9elplrPz/V+vDW30dXqdANJ0z27WzC8yHo5eftAmVSwCVs69 hlcn6GHbWBZSjhHmexjEv9VIhalMUZMV9NAbUFXHoB1Nc5hj8YvryDKGc4KZduQXWdIQsaHlMZ1H xwoDlz5nM4affajnd7/EcTTTvaVxKDTzEPzqIqrPoXeA9ZJWLnzcYEAhu7AeZZk2LjyzVusyWMaU mKQrSru8isPUrOQXjJUHslt7ztoMX0ItFJ8INELsvCWTPCVlNTzPNEua0Jlg8yiaYFq8M7GTOZuI sGUrrdGFyz/277geOUW5pRoeYkOxF/tNF7227bEWUV+Ai5z3DKrYMT3n7jomidC37tpPEpyVukiF JWdlfkv94SuTWiwVwC/VHq/J4aNbwmsr6W9hfECrYOMqf0xz/XmGUzpJx/P1s+tRdCCmmwP0JWMy ypOXSrVSKVcNOysWIH+f88DFaM11azN0jJFgQKabPIumDstUoCcWfjMriK7+gptDj2Fx9hF9ibvj 63EHjCZwaSUs5CtW0LKWFUXxMLLUywufc8LfNdguFY03NdhiM1UiDUtzSl7dCfcOPHwFnjGqUCsH K7Q8Fah4aeKOQbhGADWcsrG8GAi/fcqasNzgxkvz9fWbEy1r74N7d+fLQT6TBcXDnqEpgy+3OyfZ 3eSlIriYsYdooV55/aFLkpzr7A4KiPMUPOdKjhY0BCI0ru42l70od2ErCEiJVZTUErAl6ntDIsRR v1A3+AVo3UKZlAqiNETq66dLARaBWRJ275ArTPRLisRAcAhs8sMXG1CyquhoapV4xv7sBiOXwyYC a6ZLi5jx5g07dZiLsuoa+JZaikvE1X63T3dXby5nKoxIUkDJFX70s5E2PKQBmRIFY4ss/oDf2+ZW zw8On1tPDoceuVgHC6ghQKYaKPrjyt12IvXOiRBOH/a2Dj1Nhkj5UO6O/GrlDnXGUhaQ1Vs58qvI 5NibkrfrUvKipOjUCDSOYiKuYw3hYFqTEgaWGKluQZrEvqQr24YVjX3+/Gx6EvPwZ8C9d8BAh6Gd C2ONnfJDwX/h3gIURw3EqIgKteHjYQz2GQl+HM6XdKHC+1r0tobxvuMCX8B9m4eFp/+d7Ju87pwd h3g5juf0cjhvEI/axt3CX9ylEJkVNCjObdeUT8bEsGkU2ukf93PW/5ILN4Il2ROd+qlD0IJxb1Xa Iywc7TzLtFMq6+odBaaCq8CrLCnmbJZ2YKKovujGvR5LAJCTIAXpgeUezw2E/QReCWzlI/8wk2Qj QPPw83SVv/pB8fugLT/mawjNbYQyVBAm3R06+qPwbwCpfG5klgG6CFj/1xiODo3Ha5cywDRC60NV tZasLKpuvH1l6QnvAh4pMYQqYU/xwlSFsfuPtYhGsfhvN+OnXqPTJ+igaYwn96uGKL9fXX4+KhwL izkGtLUNaT6ejGfB+DJucaMVEhYd21A/WfjI5HpziI75pe4F1fBrltel2ya3mX09D3Y3zVznUf2L 0awiUZGIKkMk6/7e671J0q7n+SAxv9bX6jZbKfKYoYZSVzge6DL7vNKC05QwkZtrtYrv1GQvKJ7B +edX6sFPizuwicRZ3owIaChWUkOyedmQh0GlXjJ9qnTIkLotzU65eqCq12Al5DtMulm/XYZEmmXl 5IJW+5C9EzWME0vP4g95l3C8VLXzsNqfbWlU/IIbLDyg4a4JoS2EQbnNhT6OhgdLwCYcav8M7qCD Jht4iYFtNO1eb4OUkFuLNFgATdufV7VEc/QPo6Zb9NegoTdUDXJTpQr8CR0npsFbzHkPvORYYS7Z 1YjcGrjRW1RdtBUDFaYXz4kPLrbBXUxCtfGrQn1sxra+4a8gKcsiGF8OAL98jVv5tIePfNp8RVf0 WZ8gBDRD9rmwcN9qT0y0M03s/ibhNkyB0hiXswdyFQZU3GVYRimuvjKC+3+h1nxEOdoWmFuf/lgg cSgpSAQVQePXwyij723jS4KF0YpVvo2ZjsCd2hEwWY6XpDtopBt1HTja/QKcC3hRx3YxgSnklNdM ufMSRwvCjUTNpnsYSvbq0feHKTt2KayfivMgbcQWK6ONmpDRUyKsXYSXUqELq2x64pyy+7D1zdLc efAk8uStH6pScVdyxS4irOcl05APk7QEbgDdyPHGsNXVIfQ8i4FYV9PWt6C51sS/E9N0E0sWDwbm lmd0V8wzGUqRyFnDc9kQNPrGl6kzNvnxZtZVJ4gPaSQhfKguC0/S/Tj19xJmsAVNet/ULI+x42Je 1doL/8zYDNaWgXR6/jmMx5enPTUjxeDurd9h9DA6mTFWASSIxcg2GT32cdzTyAI5LZ5gyBNb73re k71yVYWWNsfo/whaIsgDFrtWp7puTgS/+Ln8SWGZvb4KFzlaTFiLObqe1BtU1K1HuysQhm5qB5df 0LYqFCfUXbVJyTh60XDpzzjza9am+/buZ5KpBD9NBnGnj9MsI2a7XM0t6ZtTEMK3dH+e8nha/uoh d8VmMUkk8aShcbRpth7j60fTQtibWlsS/rXL5i6sIYiMfdFjamwEfkCx7GZEZWdMn8a1/N+0PFvu L+AgNGb4pRoGhMdwoUNDYjloSCFzRGL52WVbXv7xkFK/NhCI0lFmYb2/0gphdCz0o3KegZd6CICC 5w7D/EuEaBPp8AAIeVsCahUQlrdvILsiqjTTWG4aSmfRoyY+mxMFqbeZKpeMkuzCXUeSFX5GrTnu t4t4kv7ov/tBVKMspijnOa5QcpJESZXFkdKIKswX/wGoC5PVu9yiOJD2X/ffo9Plf/AKoQ1AvzO/ /tuKY2NjfiZyzg+hbDXiTALHzhoGOzZvc6ltkcJJsdf0/SYYPIus1PrtCqjLT9Pp92H9xuqUyaXU 0AMuN1YlE2eWxwWT6Wo+/RZdmBWGvXEci/+omTOVhZ6CxY6jKf0KK7WWuw2PLNh3qzUCPoP3wsx2 iqUBdRRjuHOZ/SNZzg9+aL/v1LZYQvlndQvXJWVHeYbtKn1g2OFlCoFp65DGrFE5vtK1FHf0UNhf KiAz41cSIzk95S2phaWGUVStX2J9+j+Wl8nP09bKwq6QkM2CXp/JylHe/TgRUOFkIx+2HwxTn/Vy 7mttq4/nL7g9I+tpAnZP6XxlPgPe8/ZaqX5G0mTOwvYRMnT+Kz0OvN70wzmePgNP5xd+TQ6le67A Imr521kLYr9M3q/YhO7ksGzLgTe/SfNaoiGqwPooNiu0XqDRNx+ntc2/XMht1dG/7iFrSMVM8LYv /fSyAdBTQoYVyG2icgZXVEqFB18hkJK/RMfxEbr7XK4EWd711MnFwQp76k9TiSCAcz/1TeVdlOAh dzZpooUBhCk+LXLILkPkZHFt0Kwr0pBSWKv9G+/o8jnMhHSWo/FVGYWRkZuhKVyc8vm2iIQAcx9e +1xKiZWRhTp3aWLksCn1X4EyTnoHMQolHO+0hA/or9/efwjgla5zCidbiHKOKoAJ8m3+KnPYFpKC j776+xXg/zgq0qY7nsIjBLEPxC9r6rcPpybPMM9eZv/enia6Nz/cwif6L5xVBcLPnGBb6Xm4fLIw yIiiFk0Z9DvjUWQjgzILrCAZbwNHJAp2YqFs9gnWn9rFL3PUmKs9zHv4XnKbIGt80GjZ6jtFdkET akdgBg4j+OOdlPiSikxW9C4IPk1B/8RjvwbE2Cg4DYih+8D4Z3OR6hrx7IOauw7SJSkSFdM0d9gH YWd33L4Tdkw705/Xva++Ft9XlJfQCrsGx9QB+cw8zDO+Sg7K+zubIIIl7kny+PQ1LPH5l7Y3Vs2t m5NXnJcKhQd9f43JCthnS68TGJLLCF98lBiBvUTqfkz+zx3G860x7H74QkN/qL2ymKTlBeyhA3ap y3cQ9QVzDn/Ih6jgH96vhn7UVaxhl5ToeVmb9oJkILpVMbb5Dmh20q8nNBiMTHqZZDJFCIJr+UsJ jZRZPYPf5obJTpl01mvtMwrhHInKMKqHeR3wK3BJT4SAJWrLKQvp/gymIrdPkymNc1Ydzj/LeLwK T9EecrY6uxrJCNO4nmOIT3Rt6HZQsjvayEJ2UhVrup3dOSnHnftNQy4kjEvR+yl3HMwtAd/wpN+U 24F5Lw68atIf/6m0Lxzu2I6VEbpX+vsDoXSpqB5Z85yfhfSRG62l9ShGbgOIcLD9CyZEahn/nm4N 4JBtDkuSNcXfx5UnWpFSxcQn3/z8yXz4cLHfQmg678OmoRc6yIGNU+rhpgbzbUOOFelOfScjWcwb 3HxeMCW/xo42ni51qc7SX0ZSZbx1mlIobtZoMsniXanb/EmoXNimgpcHU+XB/B3Q38qkR+7vN20b qU7iu38rnTUaw2thWpvlJyzyY/bFQHY9qGrYHM3zUuyV5MSaT72HOra51u8u60do3XtZQs6f+4yF ccAt6h/EMFv2YRB5uPy1w3BmUD5CPx/PINDL4MbZ1HkcsH1I/D5q054zxnJ8cUqhho0joovuxzLy 70jwtIadLfLuIrx5zzzH15Lr7CpiFiP0apljFJ49D84nQ16SCRVnQqaW+w1MpSNkeW0ngGuY1lui 1RtJvBzzj2h9TBTWOLE/YrAgl0D8WO6w1Gx3K96Z8GdZnOd61xibDRHSCWxumupp7+9JIxlcKAxF +A/3BFl4gOt8S8GzoaifbUk5YUvjIR6hnkIRHruIerI/549GbufkqHRiRtmBOdICY6+uJPqGbhdN o5ZEpp91U+/Q5ueJzzQ3EtHTad3SxQ2BYyxk/0gbKNG260qz2j6aqBS0iCmnGlScc7e4a4Vhq/yu 8e9459MzP9oYptxRd2VuJlW0D21FycS7aexXxo75BM/IV9pwc8gWgvjHqXC46meR/TBVpKLGHjzq crsbEisAlw8ZWUoxK6u8Lx+ObGyrstIsCvmbwFfe2g1EaPJ2pC+2vW+/G9ZFlZOuf2E1sF1nxX1Y KgdyvwkTBIvUnTr3hGbSqeg/Lqp4qgvL+iauy8FCcOH31o92C+nj6ryPv23lfet4negjdHMqdst7 FVMP5vh4+w+CEwC6EGGTzA7EdxBC4MMEWKcUzQgqDG7nJWAcjRDnV/qixS8SGxTsbSzfCv8tv6kr prViiYHAMzoz3n+z1INqXES66J+NnhIYdvuW5DeHoVLBl9tUewEyLNVoRu62MQrFw6EumvBot4xC nP+PuExW9V3NTIZtllhthxl9tNT6o/B4/LliciMSI9KQHvruWb6FmweOfwrpRwJRyql3Wq6aZWQe JgeonSXtJNjLKlG5R0uOyYrjAkgcSpZ1O1tk0MgWpb20LE3VyWIC8VP8nf3FuTr0/iBZrdtg6FGs OCkMhHNGOpTPqbnRLiTMQtwND0pEdcOTs6FCGX7ALYqnCetfIuQnDa45TKFCOd02n9N00XH2EDvp A3xgwQyuWIBcofK1mxKfepHHR/3DsAHOuYJx3Ja7kIfhirPjZ4NJasSWtPS0RPkFXXSiLSGVrFIf HjF/Ej/0Mpf723bV80x7F+xeApRvbhyPjdyYuWtcwaE8Z8pX0MQEQOM3oxwI40FPgrg1duOFVCPu My5gGrxFbPKzt+PldJFleoyyeGY6aJ/C1CBWEtf99lAuuBKKOAXOvQj2di/YWvFPodrjfqiIMPHV 2Zd2KBJjkLGYph6hBwKDXvmfgm44Jynx+KFZTaQFu+Qp/SQ8YbMpUFN/JHcP2RsgPFT9ap+mQyou 4RqMmx5iqdESLIXYO2rqHQM87TiuPy+G1mWR/0cyx5pjKXF7CF6hwYoXH4+vgZAIZEKKyoF8xdt4 ScdOhWDQFGnP90gpIdXfGfgA35yvLFmK2PqsBjZn1Fm/8pWSLtPIAeNhLnuu3A5Z7fzZJTnweGVA /cZgxeys6MgWKUh67UJSHhpzZjWkcM/9i/ct4TlDWi8WrHAnWTo0vBilyl+q6kGk2I481GqSxEM8 xY0vx6EHrNP/yF9XhdDdHI8UO1pNYSwJyy5gA3/CUwW2imz1qnKR4xo+iqnMy6E7Eso8TFydpO7T 53LQYTopED6LjCh5iaJCOvy2kmkXU437vMTvMF2y3ilN1xtZEBLyklK6bwqB1ynp9boFE7Upsn3m /CJ6XRfMFK+zFYzOYTIv5y0JX2H3JUveR74N/sh8qSymxZwJ2Rr+EHJnK2B8DNCuN+f/N+CmoaCj Dgh4WIuhgT4ldrt1zZoqXIxDrK7u8t5m6HpDRFL3QUaDXNuBqFh1MuH3n++sYVLbP5t7RZ5sBBH2 tv5/QM7aATcRkFjVQF67P42I6RTzIa85Rohh7rQw0GKYa7FwOQLf2Ej4osEJGsnoqLTNMmK5Wg4q rAhaw0bTdHTmaX+y8jH3pInVNyqud8IDqPT8fZmiz516MTLB5rx5U6gmoOSEik+zRg9gUHZ7RtaW jA/LWQhniG6VnxoaMfzr/AQSeB1uTBCgwybWlmqlGMkyUsui92SKbbN1kG0dzJDoKeMlXrzpkGy0 hmI397viltALYBlWLmaJLTAP6Rm6LJ6d3ZHJXGvN765vaSFrhuGvd5GbMrJ8aQCSPgr15T2PClah Jwjx/MPJtmEyez1kZgB3bWNSvX9fTGYjiMhmSgqclBgTECfIt+ZyZ038k56ZDSKyTgmeHeESiZOT 4co/hqxuYB/HoXcsl6az3pJAuK/NJVhCwxIM/hpe1guWofHfUWZoBN+hUc1q1podb4mW0Wq0YOiu uvnVzMumblnDxl759mh8T2/gF8VVLz6WPKdDoognXL3f9r1V4efX8rTnlD6HnsTpolncsOV0F4b6 7q4JTyq+jhoiReefF+yknpa/QHRP1qUDBcSWRtCWOoHez9dkDEZyafMWy9ygnSEgMFb3mQtjslir Un0Zaawv8CNGsxwrlnLV1PhmXQ0BezJW4cuWcORlgmfbvGECpRoWgD/OPs3Ep742L7VnRFem3qYX d7ktYED91Zh6hqDRVKEEMU1BtSvBtfvirD/1tLhXooGlGxLahILD2NtGmpClEN8Ud6wJtovLoD86 arcvxRvcQWfSAjylp9DgaJ2/Di4HFCP/XmM/9P5jisilOpeVxNDWawGvLZ/Fxpx3s1s5P9phecp7 Ri/iIkeViJOu08aqZTVPZLJON9fzszU9JeeEJ3AiRISEZxNrr4oYn/bT1IwRKavfz5zxnbEAeE94 6dzIjKL72zhXtGmV7m1Pgn2vk4TyxOHdms8gpB2lznR7w1msSEY1IFJvCZ2sGZofCRv0zli0peVY 9B+HKCeeNY7Bb512o+K7Kr+6ZkYQ8sx5+EoZejfDTHyOdUwhaH00b+S8g+EyQ3iXnG+ScttdJpY4 BNJ/HBirzjMK+p7NlAzGxf9FIl+0E+u4ui62pAqtxwUd8uA8F1Coq79L1Vb1HVMT+UeTq40frVV5 jErMBl3LAzPamlNixJHubeNcRYL3Ek4NdTkzyo46Qv2nCF4erMMEA3cwolktOqmw/+FfUoc11T/T 6LMGUqLvxTfy+r4XsPh6NOZJRaKEuwNIL4wsc+x7/MzAYbT4ifqGuGhqCIZZ5QDkGs2kats1tPyc ayavd8UmWblz4hxzZwackYKYUZIhLGgPY9YQx0hLDulm9AusI0VwkJovTEzvz3ut9rqEvRSfWdFu wuL41hWqghoRIAkp6bdEHBHE6KGr2MfG4mORLDSnBGnJ6KqvQWugD4zP0MxsVbJR2EEwW4RWVn6z R0uRWOlMHRjWSEt7T5zwNRx9L5uQHopScIc3LxWlKYZHf/qe1RFTtd4Te1c81wLgKWExC8TALIW7 OnnYydi2saaiTU3PWnzwtCRBWvhochdcDWSqYCnXJ2tPkzVRasl6l4PUS4JPHlBHUPEiguCkICJz tv4Cye0skEcFQ2O10lVWqRyAWzHwCwgN8eSBtvMtTnR3SSwUbA12hg+TnykfUyUwwGAVi9uMjDlH /25zF8P3RsVRDeRO+6yI3igPHyBL4EtPgOElpsKHXcUB8SdouF/g/SqGt6EpqKOO0AcJuWuW3pPw Y+1IjEbteqN6HHetd+eJ6vT5V7wd4yiLHnwWGKctcblWwjczlLt+1AlgSSqeaa5zCxuDBVeyImHi mSyZJG3iaKWzNaNLdux6HhCw86TKO39lV6ye3A/cgZpcSl+auTnK7pEuUt0GXEtx+S9iSCWyq0d9 hHx7tXEg3kSIpcOXElgox4mCnJHa2X+Azhcivxd9jblFv2nR1CAf/cyg8t3akSTWALDNAZc0PUSK W6SYUa5gIBy2iZ4HRhRjS6b+V2thIg0kBnnzBOeO98Rvd3h5DDVf+6+vVbuUKjnEVOFLCyqn+j3U TWTvJQtE5Rqu2INYC/yWWDAutjL+x8KP9VBxAoW4YvvuAQHYm0G0+HCt4M8LU+fDCu3UOSW6asMk 4hQk8Hh8jnWjauowh9zv5ciH3yOVTql17ggj5yIm2e0sNkqp7io0eK7UNjzOAPFFxL2dOjtz2Fg0 tS68hO4MXgvkPDVyH/hiWguS0pCvstMlNv1ZY+ZvxJR/UMIJKFaVQCRgdTs3M2spA1U7dyd3fE2O mqdiDnUO2zSFJ9SW15EAaeomZ9EeX7uJQtK1L9g59ICLxWxitb3hgzRFK0jI8slxWUVX0Xn0BLAd NqbViGxMkT/SeJ/u+T4EPSQJ2dbXdXZ7a5lFGxQQlV1LTOY4YT6jFY9nX1lO5QwyZU4tC+N2+F1D MQAvZzgWsNex9jKDniSlNbuDh5rPmAfGWXeKJ0BzlxT5szRiG8tYNww7f7RQe0O1H8mIE18cA4py EquTtGF2YXDc2HorDE7MIExaczT6f2g//m66Sznmz4aNHwpD4lpGZDnPIprn/Y1V4Dl5FsBitdKZ /MRz0s+iK6tR27+EPNHKsz2gxQygopH6ivlJjk6zO7P/WDnRwI3oY3RjvslQW3vPiN4MAafrOBe6 dbFzb+QDUQZLMN1iqHcRtD3EUkax0ju0LJFkbDVF9Ya6Evnv5tWBT9tlVcGx+ZhKzUnoU31eWwKq sM5c7pLH+WC2VoUwSQDPAOsQ+lFCyBLGNuHWtT96bYhQLqa5pMh758NAFuPeSG5EmNhqWqK6Hek3 DW85m4PInGdOtLYdmvk9hsmxV1D9DPwvu2bVZKogQqrSzei/nXutJesfGaSQmyoho11cXvA+aJaN L2AdDl5aWfHCFBWxk82X9HZMWmJgjUHewMkgyidKnVEf4WrZQYJfW+OAOkoh/HR8Z3lvi8trVGnD +1kLKihU78BYXNXPG3D4nVgtRg/0ex6nEZnf8e2BIHWdeTi+RGmd0PQvep6zDwlaSQxxgFprwWPc BkP+91S5oE6z7hJIx3aEaQZEWQFuMTMzh+pBTKx12p+CnQETFcnFER1EWl5+7sLEhbmNONHyCCTO hSqFaYHCM3d7UGsCSAZZ79oFpIUs47aH6yF6zsz94W/BYrKksQuCl+yIBtzoh8ZfJzXEszBV6k4w ZdRYyWnX3BGgJH/xc/M33KYSmZqlevmVNEuMDwoiicKcBhwFm2PK1PRtDYAHnqjgJ3zEA0Xs0LlR JDqNVTl+tjJnkBQNPOeSCO151kImPXcjXrdoSySIE61BeYmC/L/44VxhRz7kk2Bs5z5hA39EZQjR Yw/JSZzZE79gJuZT/Hz37vgsnJYetG+rUY2erxv08DPmF9AypxniAFqt0V+tRp1aHjuiZM0weVdu E7rHqvx9msCR7DkR3zu0ac3di55++UNH19h4pu4+QO/LT9Q4EA1vkuVSSMF4i3Uuik/AKohHK5LC EO5xz+Afh9jj9CAaNTjIocyxPQ6beu435ZpSBq7u9VHtpmJDw1Q4ffEXWP/d98zn70lKszn4DYmf QGFV53H+JAt9Gr4SjSHsC2x2fc0gW2KE4qCYYdVPTISDL7idWDNBcbNTIzLK6zFSlKb4MXPqJ4Mn fWV/bnMZMJLIIksn2bpzAsZftNOxtPxF5p0xqyF/v0mYkEkVEz/V2aqf6IevNrLBjIepXmVtRFLA piaTygQ9YrAy+FRoAOLR+IoICnQklBARdEvPJRLP4Umulvadlq3BLnkidthJYgK0U55fNjZmwPiJ cdGV27jRIuWguoBF+FfxxdWzAKsw9TkYlUQM6daVSdPgjf8C3dOAOBPQ4q6eGC3FazW3B1R18Qx2 69iBvT0D73DkJnALfQehUNCpS2UWyQrrDB6DChawSiK989ln1pBopRzK28knbBqUthPqMUEP0wJ3 ehTIHMttiYnTQ95bJoa1Gv9GXHR2NNtcK3AoAsbPTkEGcx3XWAqFutmbOBcCsWl2lUroQjPCmCEF w1E4qMlM6e/P1tb57U9zxPjssNagHL1p2uQEM22JffNqgaHcAxm2VZKkY1E+gLOwIAWq/kVAM+fZ 32/azfebZEyFnnSF9lSnF3IL3+WLjKD3IhgiBFvLNdBcdbb7WJ+Tr4rRBcxcdZ1ft6+d0ivfuYFk P8Bk9uQPr15cjLz4WrqUMoojlt00EouyEDfVepikT4V/SEKkO5u35I+uPhnG6dIQl/YSUnykpMYE VccwAIdT5BBv0fDTFRZpRSapan2hFvItpLpAMir22CfKpgtDk3H9erBvk2gfJZzLJxZ9rmqkq19l EENxD8Sceio9yOqOL+n+dTho7NIIlhGX8tViIzF8RMvQtEQcuU+bvl5O6H134yLTmHqIzeTv8CEr PxwHshScrYKoceet2y5F0yLcqT9chLTrVgcFQfouBzw3KpuRwmSFq/7KFkUz3tOCwH7r0J3oSGZu P6J9RGn+XVjzLthGaG0fvrNcejplkq7WeFPgidsk7yr4cz3+SSJUqM1SROqpSd/8Hnm26uAw7M57 atQD4/pMcPNulN29sVIFQFm257YFqVGkvjSrbGo8OFvpVPhlfO49/zK1WRtS9AUZc6AooOcfVDJC e1bwe+ri3pE7A6K9UklS67kkG95OsQFg+Tqs4Wjtk4jBGzmblEQrB3wEEVQmmdY0h5grB/2MFcp/ WZCRIOpn3ctsYkz/yRC2QlmE86y04moe5bR80jNNARCBUIcji7qPEUVKRNS6d04SUTb3mZYszKU7 +fLOZCGDXG7o7vPqArEN5Tj4Gd4T8/3AbKYUZccG0Q33RAUbwCN2X9GMqLm6WtFiEFwsoswjbS5a 0fVOKIA4IlvsbtLqNBUXzI09UG7UQLZlgGZyG4CE+ZARAnnvQvb1dR1Vl91V6UyfWFHmx4FxIltg JUQALnrxa5P/dYk+hISuUu68uixMpoBHwXekggCnvzaT5Z8CZANwNMP0OOF9/B6nHej+8RS9S5BO xzGj3BtJsHyoyto1OEyNvmIm2g8AHBosqS5eGfhrunlbsyaoR3r2T7JnyaVYKxmoKwIobZhx9U35 WcOOOW5hvSeMb8UOifY0UlmQ6LOHHIc6MqD/DkvdtikxcTLP9lwmi8Bv/Db/cuDhuKa/cJ43QTLw qsn6bdF3yx1Xhrb3VXFqKuT5QqDVHWobK966Js9pIAIR7XX/PbEizavCgdSSe/vOBIQM9j2eNIyQ gXMvET0HD4+ydvmFnXgpFNoJ7dG/tkumeBaWzmK+okYSxUzT153s6eHvStnP0GnrcZu5UOPOphtT iE4FUo8/zWAuo2U1kwXvXZ4cHsJ47Rd2vzXdzFLT+ep0/ZR9oRtDu32F29rkjLHYQd0HkLeeHBFp mp2xdQM2w0qey/ytm0NzM+4N9cnTeSuyjA8XgaBJ4y4fLUqHySR2XM4LaeqcE9UcigHEgopSR9nI wi3WVTjf/mbpbNUz2F/WzbxDIAodPTy2AWT8mOBwwnluH2W7TisWLHMIA+en24nU/nSIYFjFfWhd FGISEPGQFfUpctM9mbaDjd6v51Y6SByhCxuMUKuKkf0TMdH0H+xBCl8RJXLj8hoxf1cOAAg/ikEb YBznT+VrgNNEyElbivJGquHWCSrH4SIB829KdfpITy/yDmABmsLx4dTzFu0h+3INdBM3gY8ZAiSG ukK8ondTWsh+j888Ji8GBpIGM28iDHEjDir1jSswXlZy/72VaDs8P6S3yqnAymhyjtiAk1TT+SpD omSTy6dFpKEFkQo/iBEGP6y5pQIe/BufqqxwKgNHoga69jurtq6Hm9tPH67IqDBC/JylCsdD8ITr UoJzyqfXQN3mtROc0giEHsPctiHvfU6IqD6uql7ZrlHlLsRXSu3lsRe/V5z7adaRDYpEMm2LWx3c 4n75zOlDHHtY5RDHXeVwP7Xe0R4/hCeyD03MviLHyMXOzlaXMRd+SaK33We0E2qotZMHkPC//GHp cCNFa75+5VZO7wgVS/e8/h9WVVhV6ISgE8nxcyJ3IzOYFcqbQVlf+y0b6y/ODQizwpnKV32GffvU KEuGMl8inyhh0Aw1dGcM6+iVLNHGS5E8BDFuOpJ8AEYcVa++OTvabyYSiYdGytpzGrhHO5Ov52zN XKL7mwQYd3Kv3uRxAQCuDo14CTGXdKp0n3ajm5IqqdkJbEFUxZXPTSuRsQvqN1rbMtBEM2uRPjbW r9PVqHNXeOHdUZEwLn2Wj0DhQ7uVMrz0xWkkqg4TAMoWx6oKCMqVN0qyhumjhl0+9scDo5dq/KB9 eNKXcaof6szC11tbe5YW4eYhYwMsf2474We46qzswFJomi1Cw9V6nClkCkX1g7Y89BS00beSBiic SQhxNZHHydMr4qGKY4Qgr89kPHJTh6JOHQOKKYPJFPNQCklrR7uigp1SwxiSUvvKs0PlMw8yzUt8 g6Xx4eBW9nlK3Boi2jfBMuClDamm1n9bols+etYQ9smWmFMF96fk2ePfUS9yVwK71qqXG19gDJt7 S6qaVRTpvGyfwWAgr3j7kVw5Dr6rh/oNPijy32nBJGd3TYs5kN+wVWnLNfMoV3cYmAaiePyVeBUE O0oI8aKEINENFESBRI6v17wh8LznN9Ak4mY3jziCIJdvX1dqnXyCO8av+YgrEeFv2VWXtQXaSKYt GwzdMggc6KlibeVstOijTvlBm7H+y/lSLFEh2Qsx+btlCOw1HapSBn7sBzPqAspWYK+HjTzSaTmS EvoPBqBMXwjP4m2tIreAKTEIVlF8b2DebTsr9WYjhL7pE0TEWmFybOZ6ml2HdgrXs3WSfBqRzfJ+ EwrFfLwqG33Pa/f/ft020uLIAftEk9ksfZ+2+QpzwCDnYRGBXmfPwmji5pESPR2VgVYUots8IVHG 7ox8EFtQR/7ofRNldWmLd62j1eLu5JNXJksf5XERmH8vqUrJFOuS8gY8vRpNbTUZJ4E74CsZtrWI ZGh972CrardGm4RD1OPY6TRoeoyFk5YP9hjDYAWDbTaMUSDeErOlyFsr9vMi7AEwZfMQdEor+Sfu q1bq882lU+IJjnIRnOn2+iDSXQnNPItqIu6kypTOSz1pbNWsgEbWB11fosfz92OXZE67iSEtG7y9 BqLAzZc/x1xwoOir/dWCRiuhfp5g+XfNBJN7rSnXKuVSuDSvPvnyVNS5uK1jVum5/qLtor5/lFoC SSLyZsf8xtEfnSzhlJiMwmHgVFNgzgbzcktGiuF5s0wiA9+EPw+o1Tc3DudflACHE3ZT0gR+ESkN JPezc9gt8/6GAdnu6bX3qH+yDn3c8PfXbuSsQ4QrXfLxxlQf5oWA4Vsoup2hEAhJyglYx4abQQS4 bSzRxleA63rmKVEWNVhrcQq7jvY12CeVSljWdLQ5SuMwHzxQL405A7dwOAuFMDkfV4eV8vDkyeP1 AWNNBfEmOoBBEUUAydAXiWiGSRl/sZVdw4f7uYlnJhjHp5YtSi3jkgSmbcJoDBQTKY8T7Cdpm1dZ WxvHNrg2+7XVUcbx1s4ALOQm/BBD/EJOELaqfZ+OLpl+vOuqrcSt+/rLJgqnrj9z8T2YAiJ8yu7T hMEfzpEm6SqAKEbG4xrQihu0m4nIQi6P0P5A3vQ4PP3A+JJ50tMLRZBH2cdj+IRljAMVBTyb/CAD 8geqiMAiVX6+/YA3peaEp5qTKAgoYAoSZ2krztU6Gx/Ty1iVhedrbefUWL0vdjwEAggYLUT8jq1w tAvzkVNPKNIhyIdUhI4DSFYyWmNJ0QuPEARBiyBfr70B9q/6abDbL4mcDaXo8cRvqy5VEyKyp1NK UaziOp/BSU5maAoLVpp1FZECT1U1iQoQ39b4RFkMoGqEBniGbbfauh1BaqM+Kdl0R+ctDPxCl8sN LvPnWpqu7CnYaDpz/KZVhxRuyLn674nCPzWiZcXeXGyR9lQS7dB/nRBAo8ccgouoO6bYwZPoOvtA siR5S/fGfCkEq9FZBVpNDteg9lJktNaTrKh6Iqc8DIB0OFI8XOtFkJ1sbkGqR9Z6lQqljP+Opfzl /zz7zOQx9wbCGMYefxlBckjQDYptICBitxQObP+TnV2QMKqi+FiUYcKbs8bv2ntAqlsHRHVfkOgP V8UYe1ezAc21rYda0KgWEAQ45iB3kpozL8JmrQHqw0WJ8w5hjgO+ZG/GCKRoMj2P46OOaq+NLcd/ J8xCFjnPWzjfuCyR5bWgvFVaOqqAsuf5/aF+YeOEZo+fNY+MZ7VhQ1MxD58NiMQTOVFU5dat8/89 AgsDVAGUjsiIZaDbWbtM+ouQWLYlniVMhxbNzLAxHoHMIN8qJfg/ORX+xSGqNTGc6YYXR4VWeC7T yib0+yA6Oux904BRmaCTFrqdaI9bLjMsJe1sy6+Z4ZyPsidy0yCdaKvH5i6qn/vWV8yS0Fkd7TKi ztZJiRPvF83C9fRHLTARbRJZUnYGEUc0zeglietFSFMd+EwWJ4Yl6dV01H5mXr9wZkJAsUXuwJOZ IDGEYmNuyo413uLoAWBJfkCSd3G+a9qYHCt3paAcRusLSsT9D5W7HRnjsmLFXCACAh80Ive1xV4P b+I6ICyWC2nixOMduneEM7miT1IXDRZaxaEI+aH+bZks6ad0NOM67oYgt7EydFUo7g5AUGcosFWI eFMpp4qjPlvN+aq9jyPv8cVqMmB6lfHPZtDIhLBdBVn7z2rrOW4ekzaQg805rTm1iv/URUZTs4VC CKzT1nGsOpo2wyBVt1q6RALmrgsXOU1XPmh1F/yzRwYAT3ENUGyfq3VRER09DbCz98jb5CvKmZEv hDv0SzbHBvx/ZGjXftggwvVBWjFdrh/heXIMUf5cdmMvsbtMIEpBB6+GtT06HliAU4pZJlGYvi21 /CBfAxRI3AT2cZOodM3GVln2Je6BLnrPCVMa4xXilC/yV4g6f8kvPCLYpyXcXBFNDXLdLHFnP785 C+ijYiKgueH4z9a/igZy8H/wTKI6RwlN9vGdgvGKCwR6vOxGffOciYrRha/8QOPjiG/CDPpl2F9P ROe1rN7oyGNKczfRHWxp/rRLpKacdciEDhtbaVCTrUcTehZQ/px/tm9DH71kxls5Vue3jzwdG1Vp pwxMH6yJKCRa+SmTKfefkcGWxNy0y3q3f6DmyGhT/gbpYBgMnFnWzUrP/oE4l99ncrPxJ3+VKOYC 0cjSxOa+kYBDfanbd6AZqLYSohXFGrlAErBOb9QoHpb+mcqFLfn43QakQgSZqOCaxj6qHmQFqgyh rOExKMfF/gpo87wC1vULck+x6K4+Fzby4EHmUhwmxyQ7FDtKGr9e2VNPPeulzSaeJ3GnNsqICtIG C2LWGNJwiE70NyYF8idPir5CjJpFQBBZyPruVewtOshBtFvWtpVY6rVe2Ro82fjB1sSLa/WKezo8 QmSCTCHtK544VxvutzVXf0RmJZEk6dLSTQ+RNxCDtsDRovJIf8RfnLI/gkIIsQGgCvi4uVCYYJsn WRjTQ3YOFE5FIh7kFq9FAozRjK6WuTd96gg3FWEvH3EQBhrdz4am0jZNKAoHkKOMGf7N4I6WxuLT jjfALRFZvUycyqY0wpg3qX3EQmLJfZmCJna1n1BwcC2D1NdeC3eI8840eBgcmDepuuOXNpz21jQG 4yiX2Ee9j0YGsYRspjuXWLQDV5s44gQ+nbX3DBxCPLHGT6eQVqriuTFyAOxankSAqhWXROsx4HiV XILYquMvN87SAPkanCErdqCjLweqmow9ykmi11fRpOq6mIidRZsdOqguvec1hlT24D7O+bADBXc0 opE/cJd1WYeTGcsvP0zGqsyPABvCvHEb7S9Y/p7Tp6bZa+TI8GH+q+ykYVrI5vUdKlzXUjOg02Sz xfCCFdU6IAYGEdYek6lCM+i9R+pl4ZyDqoIL0iVvIVosxcPjD3xu9zN1LZKHUdlgIv8Y5nLzwS44 iqav4IaQoSTrHfLiXhlKaSRYut4mgYA9fZjUmnLgaxmjQZl9wCuvk7ay8ThHvsOUMCfzm2uuOGe8 M6402MkxU+OP6d0ctGuNTmCkR8plvy1xey8EV9adQckwX8bjxa9Y9hGB9l2u9fvkzs+bKXB6j1ke E40+A7pLCzu0CeRX4AIDWqpXYpq8CA+RwPjTdk4GRe5bA4VPCdwmxmSk4Gl59EUeFqQerzHFVaci ovxXUuEYiSeyvBjKG1S3irp+Jm/6pPEKDT6dtyJMG48a6G/Hhe5neGYVM6hivWi+uZwlaMHMNFqE /9+/+Go/ds1JOdC/UujknXCnMkax31mXXxIgI+Zije+0JrMdrP7q2fojx+nbGw1Vi8WJiNj6vAYm qLyoj9nm+EkJEOHAns9fEAuADMSb5QmNYqqvPUZc0aaDAw+BrSxFJ7rj2ztZpImcqydWk0KEoycc YH7+YufD09ytGhGNNDR7DLfil07hY6G1YCzmCHCCvKeUmlhFnmpaT+p3pMOqH2aLlvUDgTT+VvYO oFMEPjlTOiDNK0r1OLLlrqx+DWZ9h5SLAPgdUXZhQ5SHAbAQ7v5mJLJddVQ6SIEdJYeg/+N3K03s /mlcRYH6wiI5PIt04bGa47q8VCWY0xOXUhjFvfr6dRgpSebYZWudwTmR6swy1q+XM4rNg0XE9JAF iZ1i2TJc3pTR504KvATbXG1YbhgABJf4CNzO6iN8mMSZJi0sM0BDMU8MpB4a61uVBu7hixxYRg2b A7EcYujKiaBya+d9RZCOJqAa/J+LLsZHC9ZvXap2ZLS5HQK83H2BBDpySdxNAUoyKiyE4ru8uS82 mljzRF3sHH0uIY40sRIIm5EBD5dvXckurxodPvmCP4wth7Bjc9pLHez2hy5htNbMIKiZ514QTXit N17HkzrsUxMZS4YE8EsL0w5Apd3rvVaiT2+LEkdh394hkcNQPEKS7blN/DLAalMMsrc/ZkJErTW6 JbKlCHi0fRXnl+102aWc/T1gOVvsrbbqYowxwImAJaZN2XMIWdj22/3IiJ7OR864IyxZVBqzrawa UneEOBB7jtcQuht5xNOugk2R+ddRI+910LFa6lt7pazotsh2mC647KCOKxVUXJpMRvq5hHS5S19r ghKtr0VIRJHUzZJyYN0CQ6eOKLj9T5wCW4slG82hIdTsZ5Nf6X57MrJSaJof `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/direct_path_cntr.vhd
15
12275
--ENTITY_TAG ------------------------------------------------------------------------------- -- $Id: direct_path_cntr.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- direct_path_cntr.vhd - entity/arch ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: direct_path_cntr.vhd -- -- Description: This is an up counter with a combinatorial direct pass- -- through mode. The passed-through value also serves as -- the initial "loaded" value when the counter switches to -- count mode. In pass-though mode, Dout <= Din. -- -- The mode is controlled by two signals, Load_n and Cnt_en. -- The counter is in direct pass-through mode any time Load_n -- is true (low) and up to the first cycle where Cnt_en is -- true after Load_n goes false. When Load_n is false, -- Dout increments by one each time Cnt_en is true at -- the positive edge of Clk. -- -- The implementation has a one-LUT delay (via the XORCY) -- in direct pass-through mode and the same delay plus -- carry-chain propogation in count mode. ------------------------------------------------------------------------------- -- Structure: direct_path_cntr.vhd ------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 05/30/2003 -- First version -- -- <initials> <date> -- ^^^^^^ -- Description of changes. If multiple lines are needed to fully describe -- the changes made to the design, these lines should align with each other. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity direct_path_cntr is generic ( C_WIDTH : natural := 8 ); port ( Clk : in std_logic; Din : in std_logic_vector(0 to C_WIDTH-1); Dout : out std_logic_vector(0 to C_WIDTH-1); Load_n : in std_logic; Cnt_en : in std_logic ); end direct_path_cntr; library unisim; use unisim.all; architecture imp of direct_path_cntr is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; component FDE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic ); end component FDE; signal q_i, lut_out, q_i_ns : std_logic_vector(0 to C_WIDTH-1); signal cry : std_logic_vector(0 to C_WIDTH); signal sel_cntr : std_logic; begin ---------------------------------------------------------------------------- -- Load_n takes effect combinatorially, causing Dout to be directly driven -- from Din when Load_n is asserted. When Load_n is not asserted, then the -- first clocking of asserted Cnt_en switches modes so that Dout is driven -- by the register value plus one. The value of Dout is clocked into the -- register with each Cnt_en, thus realizing the counting behavior. -- The combinatorial override of Load_n takes place in the LUT and covers -- the cycle that it takes for the mode to recover (since the mode FF has a -- synchronous reset). Use of an asynchronous reset is rejected as an -- option to avoid the requirement that Load_n be generated glitch free. ---------------------------------------------------------------------------- I_MODE_SELECTION : process(Clk) begin if Clk'event and Clk='1' then if Load_n = '0' then sel_cntr <= '0'; elsif Cnt_en = '1' then sel_cntr <= '1'; end if; end if; end process; Dout <= q_i_ns; cry(C_WIDTH) <= '0'; PERBIT_GEN: for j in C_WIDTH-1 downto 0 generate signal gen_cry: std_logic; begin gen_cry <= '1' when j = C_WIDTH-1 else '0'; -- cntrl increments -- at LSB ------------------------------------------------------------------------ -- LUT output generation. ------------------------------------------------------------------------ LSB_LUT_GEN: if j = C_WIDTH-1 generate begin lut_out(j) <= not q_i(j) when (sel_cntr and Load_n)='1' else Din(j); end generate; -- NON_LSB_LUT_GEN: if j /= C_WIDTH-1 generate begin lut_out(j) <= q_i(j) when (sel_cntr and Load_n)='1' else Din(j); end generate; ------------------------------------------------------------------------ -- Propagate the carry out. ------------------------------------------------------------------------ LSB_MUXCY_GEN: if j = C_WIDTH-1 generate signal sel_cntr_and_Load_n : std_logic; begin I_MULT_AND : MULT_AND port map ( LO => sel_cntr_and_Load_n, I1 => sel_cntr, I0 => Load_n ); MUXCY_i1: MUXCY port map ( DI => sel_cntr_and_Load_n, CI => cry(j+1), S => lut_out(j), O => cry(j) ); end generate; -- NON_LSB_MUXCY_GEN: if j /= C_WIDTH-1 generate begin MUXCY_i1: MUXCY port map ( DI => '0', CI => cry(j+1), S => lut_out(j), O => cry(j) ); end generate; ------------------------------------------------------------------------ -- Apply the effect of carry in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => lut_out(j), CI => cry(j+1), O => q_i_ns(j) ); FDRE_i1: FDE port map ( Q => q_i(j), C => Clk, CE => Cnt_en, D => q_i_ns(j) ); end generate; end imp;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/common/wr_pf_ss.vhd
19
30385
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VQBfeXA4hP5orKlsy+AFFAe2QBxKheQVMjP9iwMw/NM3O4tSdVMF5nSpUCi2zqd6Xl/0+S5YrDyH MbW21sN7bw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NYnVtYYKs1fo/NxKyeagmW8datCnZRNIFQJ52Ut8vKAvoM6z9G59Louyi6BpOXJlK7hkOA0EyUcq xnrhn5QTbG+/jjVXTRQq5boOLx13BVtwMvklEuJLJaUCJSI1mkPVMU1Tw6P0C7fzMTIVY1MXBSgF huHBAAQ6j+Ca7SHEJMc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UdRiCUwOSibQJYHOoWlsqKR136XIPiU7//1vC9LO+s6bwL8gocVodj06NRrITDP0xKYK2ZTek7T4 6OlwV+xWr4k2Xf/sx0trTcVrHoE3bps3QkJHk441qMX8BKjF5fCXU+yOMX1xkQlvuWSD8+NvN82l uzCDbBA0KjOv/IsJg1WHwqG44dahfC4qa2RHQtygQ4MsVR/PxcN8lnUdpguLi+YyGmh9q+fLgQBq cNHly9YC9ZC1urY1hg8yqWcJm8AuonE47dIMtl55BTxzCygZ9uoRy68FfVsLU7NHg3O2kl94A2uq uulT+/Y74MIANEyVFkVes/FR1hhgCPd7uNhwkQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tQM9oFLCOLGigsR+dGte9FyrpKbOg0a2HEe24uc9a4zzPMiWT4Zq+VUMyysv3hVDjsM6Rhdx2y1P MMtJydYUSv3+V7JQyYwaG874Tc20f583mvfsydp9rtOQQwZoTUUdaw84/pibQ9geh55pxtJYjyzk ltK5Hf2dDqQ0W2qoU2o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D9jeI9qTFJwFpVSxwOhVsb671/UONJ+BqwlU4oe+K/dJiOTSOoWnMaaYQ9Sgy96AbPfvmkY1YYgF jNHbjBYJx/eNgXJH2lhqUlU4xX7po7K9tZYQraj2oMsohZUwz/eLwj91c7VL5ZRmCXaHh3hDU0yM tta+u+KG7UfDjSpBDQDdNd7gt/bWHfns3Zj0BeTNOQ2o2kTzIQxImWuXKku154pI5L0sF72lK31n Ls7v+PzriYFrSA6JTTtqAnDF5uCY0O6Lpa8FB2AoeQSutIiakkT+T39fToTawon3SeQIsthaDWDT WAem4lxQFA8q64KvDBTwguerI8Z6/8BM0gLy/A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20752) `protect data_block ESefWP1Hhey6UD0rsl57HsiaGjCGeGxJPKYJz+Jos3hfn5lsiRbEugIzDK2A2QJ/yntEV6EkXVNp JAoFbykmZXS5iOLDCV9WuoxsE3kJBbcIzJIKR3+9YjZExVvDc4I2l/9KUrVHrvO5BotiKVPCnPHV vFCFXIUar4snRkGGMQBikSC6EOqbMA4qWcuxfxAsjehCoA55Rvd0k/IpVa/5u+S1l6QRhNq+39jp 70BAcpJVfmKOFvj52zaKe1xkmayZTBpPBwaud9D6LTsoWGX5yQzS6cBM8dDhNqhBGtNn8bdt/tQM 25UvfDZr4PC6ygGmiewtFEHHZQ7Sk4pKDByYy2jMgNsbQybw0U6xu3/d7dIz4rcPulPPf+7vNGfz GYM90T3b6viJMveTyQgEi7QpCmHpukiCgadlFPR2Car6j2cmQK/8Ybs/Bd61qEMKuIrQOcHiixKx TMZHWKAyLcGcUGZMadqbSVt+f4D6m2oEil08JuHIjdUz/hawnztILxKEf0OD3qz19fpU5HoKSboC UH4yKmGfpV1wIX4sRIALIZkRXE6UAYcSrxTXeDT4fUfSI11TJ3Mu/k/8N0rCDf7mHbf/MFMMXfYo k0GNg5vehQqehs49TstE9H8KbS+xHk7VcrYis7VeWdHBEsQ8h8c02PDZkG/As0OIQ6eMnokzJ01w 4o7/7kydsrUiTNna/yfrzg+lUZoZBFql4Jlik2fmrlpSnKwzAUV+D9KgkYpgvN3kD1SD0qZVwzzo v1JvW/qu7Y3Du6ZOaf+0qgx4NnbWfz8gXhZulGLYpZWuYbwXl6xRudRtBY371JXomY+cxLRD1xpM EaN1W3VIkSye0xVzX8WaZkZdxB1RooiDBhqmDWNqZgTS3y70RjC0iyrRu/GnC8LHMrVGsrGsCaMh W25ONrbEjlWroi2jnxyQ1b9OzXIcBbyC4GBB8S9mMtq88GneftKCKsaMIGU7bOUisBWQCF1ZrJRJ u7d4yIalD6gmPuk7ZTj2mEtWqXTuGXF7URwjbA84Z2VjEJUZhvgZWQn+2Cdm8Pmz8TCE1Lx4y7YT NQtYkzn6x11IJIhjnFK0vKQMHU4wHf1lXIgcnvCscYfMg0AB+iFx5weE6BV5qqWLLB2gdcrc0IHK 1VT2JGVeEseBjTK5uhj7VsskrJxaYyi1TjZ3OCTj/0xPRG5H6ARbv7yjRqzFDAMaNdUFW/G7IowY sk5gJ8BCBrbm0Ryp30lb8JKxzJjLB9w7OydOZHyJPIb/a39DAPpePqlYnofglqyATEgU/Eai9nEJ yZVJ8f5Wb1t/ziNjhhxn2zLkvDsEBl0fKVrd4j7zD+CNC6zSkt4Db9QbgSij+IkW6EJU7tpIU/OQ fLfv7gF42ZjH/piXMeCAex64KtKWdKDAusHN+bdu3auYO9XrUO6UxZ4r7Spi9gAMnRJ9ZPdSu5ag h70yDnU490Ctty0xx+QI0D91CBYRj4dgfSicsJ/yk2nr13rMrBxnTeAxayF5Uyoyv5IxZPL9e5IX akWuoziREaCrNPWx2QAVMhBHFjH533UIA2LbWsnB2zn3lMMNbRHi9BlEaeFbXSZ/6GgfGXMvv80y C80bUiYvXO5d/Xay9M2LmN/afLpxUoZawRe6SpOsVdcU/Xd6NjuJ+1cA9Hvv1jl1//s4U3jl1WoV GU2hr/Uz77N5ATkpl7cFGdppXh/lEI4GpWUd6RBCpLc3Sg7952csve2h/7gLdqyqpZzcKlELQo3q OtbzvSaZPSTjqiK6c09F0yWvd39MeXsVINtPjdueBgqJ6xxS9lC8A67MdNTC3PW9Rwicp9672g3r Ru5HM1TlUShSMyWy1FcdmdzsTQh2yPEo+4ZBsSnJu3IPP0rVHgjx7gOkkllav4qZOU2Z5v4dhty6 1/STCHDTpeA+N4dMRd+0WXz3O3xwOWwFEb4e13OWd6vBro1IpY/lZFYFREHq34wAFloQ1ArzjTX5 FWUrZ/d/aQtGXkc/9pZRGo82AlOMSn8KT+VVXUkAeIW7cb7pSp36TMVtQW/WhyaoSP2/VflvHlOH bJUHNm/B0k7oiPoZb8Df18bru5Dk6QQmuqMOqHXV7t3pbpE/MI4TCeHK9xhFa4XX3a7vzbWaz5Ep DKYuS84euvYSfr+R4dou1O6XOK9VOvkTIETuMlWd5fzP3yGuSn4DOuhGJx3I3+scLBw/2JaVhehR UzKv1oAX5+G3Rw1TgkLBrPeMI69m2CV1yqpscyuetX8e2iE4Q8SZMDHOfIjaRCb7PMvKPlpyR2Qw /o+nw8BqYRBzEb7Ndu0t7AXMSnP8ELz+JDkBMwu33dacdm84RndWO9KZ8ltTsV5hXHvZrmOhPBGO 304/G+2Cm/8ujJXA3kXgyC7z43ZyZ6noB2asWEDtTUB7XAgSAK3PyGhRxfm1LsmjyQoJk8J8Z0X6 8hRmwyQeRRCxAiyVzQ7yyFArzupj8XAcLuDacec6XcmjwKDsX6GbQGK6XkRHmcD5QyYW1ICDhD35 VvBdxcsmzSHV5J78SC724NYF/KET5E1MfZQ6nIdLozylG6mYD3jHR9fRcqkSfXWGFpi8oBAvt+tv 1GJfQZkvhczrVmfDPEUzAuxh28k8rq+m/FLNwQfTTrD0lndQv1RtVG6FTMGTBIKCbRwjo3VDsthK sFsgOGduqWmJ/mPgichlF62Zr85XOa5Hps45bkVXi88OZIjSwIwpTNLfDQeIF5DNCVGfBumoviDE pG5wniCcQYQoYbfcw/wceejE7gVp1PnGR7Whdp1+e9euQ45E2w+E1kcK32h3f0FOt1FQE58Xb01M AsSe0rHv7RumTnJiaYvABM5oPI/jPykYRUPtKLaRiX5W23xIcC3b8JciervR0x2hb0V7n6XTRuaw lvLvquBMUnfeHGbENUWweERZ4N/QMqtPr8GwRPMcBZPeQMF3ZQ5RshCMsX2jE6YPenEnme7XmCoh s6pXCiRmtZWlxVNqSx3tFMKg5JTP+Cg+rYbwqKIzvchZqRduwgIZk+JvDqjPiU71RS8nhHKA0mzG YZghDZqV+fixkhazUmpUFmUMP5ND1pNPgrJcaOAQl69oXjdC1HhEkpS5oZcwD9pdb2Soop4oMCm4 9T0Px2CZUzD/LTm7DKsBbsDcpLha7xKYjOCoh7WZecW/bZPBL70/mxwP+vke8VatdfIQmXWVVIHV vGJNKgswdsvEkvd9tWPURN6/XxTjAxxHd0fK4hYpSEd52Nya0+XaYsmkV7TGr49JDPo1vLL4Y3fH J0yWWm2Z/OmCYmjNFaPTfyXa1ABzHtFEGnsGXhgFw7zOhH9NtHn4tnIzqtnWhuzLUUHYuMw788Y3 EONwoJewC2tzQ0MuK3ejmctRkdHGsC7zJeRgGRP9m53gxP7EEAnkIwVry93xXhAXOQJVCw0seypf l2J3Z+wTFPUb8pWZ4EGBe08/THphJL5sWgDR9diGF3XJHD2NsipH74LaGfZnjn5yZWw8IHgwQQuu RRFMRFj0U6OKv0chBXMTHwv/etavVmWeSxSbX8zOjrs/2c2Ur1a0utS+hJye1jfRI2G1gYE/XxmG trmTGYokNN/2kqrtEcpHAvjSwTMYM36VuNQ8gSJ/SY04aNN8W7J5UlzcavjGk8pC99eOWZKc0p0Y dhccGUlj0ViMJRJ9MwWIOEzLWKzEDARR3fbz/2S9OW87gjjgYXbediE172xL9TaboX5sc/OPU3tY FiXkdbl0ycKzZ+Wgh5RGAWirIgMwSjeBn1X6FbA3YqU1/JyINOuwzA+RQPcB2lZP5mbwDSv1BNdq 1W43STEdLqPbs5McV/ECAgSsz3Dd0RHLUXpGFadTM8YMSF7vYByqlwNyczfBJRHfIuEz2Hub09Et +hqkPIL3PJaSazNTQUEr2VfuAqss+HskQxSLTHz+E/ol3iqie8R4a1KhAUAg+a8GXPl0NbnXX/U1 sExA+ml2X0hkjWpYztiVNsRlsTAfw+HHYs5f2C49pTbn+Bdy/girrD8KFsUCFGiTxHh3mHVKHO8Y eKkMtvZzrUR8cbbl42ejDzdLNddbDB1DvHMsAr8Awf7c75WhhsHepjYVG++ANFbJ/i+ZoyH9w++7 4utHSMFZYz56Db4jVOswCf5OesUb+qlg2vOPm9kr/QS0NHXxXsxo/XIvksQZtIiLZV7Or5KWHGxW Q+NwIA+CM515/RzwNGjvhNbv+p7S+48x45YQk4mKadDiiT4pQ/2A8gXiRs3anJcAiAZ7IdptaXtt lGLeMyzSiB+RNwLoe9EHkTvDihLjLTBpcdFvXbdm4Jo8gkUBPKWexuij2zA8xj9N3Nkdg7tSanXJ tyFw1DqRldImPqlVVp27PaFeXOEu4wpsc5ZhmG7/rfUUq9zI+cTChL1WevH+qqr+moUPiGRmd5rY xu8nIxuLypBdX+udRVhk95fa+8djmJteGes17BcMQUULxpSsi+AkuqCzZ5TlJSwgWHws0D4bZu5G IYyB6QZ7XXevkrza6CxRuKfrOL0B9IJyz/O56j5gt+x1+lssYs56JXEUb8f8le4mXctFSFUGOCzi t/IkOs+NBnVBAFVJR+nDzHo8iiJJOEoTjbv4T7g0qvhwbWahrrZYofRC7lw1UXLkdWgt5/3bgveu 9jLH1qeUii8doJY14xD0Tib+7Cr9/RJ8u8GaOSuiwa008Dz2gQy15ABx65GW672cGCA/1+ucOQny MgLT80rH0DbkSxHKO2LB+fnmeCLmtNOHdb3wILxpTuaif+8CQimX4lq5uIymup5GjNCtVN3+EhGU KL+BgponGfGIAweHHoyDGllZnqY3W7VuOtrXFEPD6jfM5CLzRbec12cofzJuS71C9DRFrr4VNxyr YBkCgHGtpOW+V6wzJcxZ5TadFflUlTRyPjJWsL/nFRKiOAeZyU4dWFm2fwDq2uC46aZsUkv/+Ckl MPg/mjkQxztAO7N+tsxgUZxsxscr7dK0Gm5s5GJdvk2AzMRlIL0aGo3Il7CTK/nAKtkRNMLuJwJZ wmiKOKcmsNhnLwfROcF/c7MQ3GvIIMynoL0mzV+kUuIMq2VzaFbyB+ldy0K5DGqx9+pRMFHC55XW A3rMox+RtHZqxgFwVMl+Rnq24HO1SrAPMjbFXUrk9ZUJFXVqszsXSqDjnC3ot/HUOkvSSMH2JcvT ZX4+M573ykf/e0mG5vGyEqEAAg4ji4IBp1NaA3mwlX6hZO6X/k4F7oQGVAHeL/8NJbVczbjzUav9 u5BlwtGvwvYHIlN2SnTaUUJnddtN9yXAXdZbES0T/4cT1/x7r530luQSI7squkp6yvp5ryTnMXdj Mc+WuWp3em2HLUOx4NTE5DaMqV0sDLC5LvhIFJuJUv6TMPvYeQAo/b+7z5pk4jwU+Y4dj7yRmW/T FrMiRGSYc+Veff4NMgaF7Ncbz6hDD3WUOhkjBrAhYAp2ZPkDIRS9egVNX6tAtCNOpY6HA57hB13L 9TcnXDAWWC10gIa/JqFDt6AfNn257bS2+SFdomdWl+SS0VSWdWAW3ak+JojNK11kKGN3+jlS9AfI IyY6z7awvvgYm61dnMbXSOuKWxQfzhbjxpNOAFk6DeCZ8EfzHZLcxsph5zVmChGE97jkew996/GX ykdFp4RV+vtQofI1bnD6vdHgazZ6Zr7t7oPUCvyiIL/K5sUwLMxtxLgFPLqt9u3ExvmJqHCYqacW 6sLv/jVbzO0b1xQtXvLm+mC4DnIi7hQ8cZnrbX4y9FCHucnKunjgflUKWeUSSedT+M8VLXobBXcF 5K/d2bcpLzbBtiyn+qscmDm79hh1GQuewcVzEoclpwhMec1KNrxOvqeYfDInpLC9QXw9xm1R+crL PB5xBk/w6RhcnyOzohJyFc+vPicKEKAj5HuHzFJVSaw5024St/3lcnJJ8Z+gi3mbR8MwCRmrwN0b gGZQ3q3nL3Wu5mb7bHZy8faXw21S35r8nRduqxM2VWOaR5/IefozSvQh2rFYpVfb74dy8jsz1Fs/ SA7c06Uyef93ZNEKxTDdzZm0/vqO/s9784V6duLSAzeswdgUW/T7xgVT1dUeBgnQ7qmK2z6ErZ5H 2ru8M45XvVlkfKZvwXgb5Vzw00yDcaKKy6nr3vM37mvx334y1bXgYhLBKVF4CoaMpjboUxUrt+4+ eTqg0kDdRFvmp8kl8R4isz2MSC/038OoLg2rV5x8536BjEoxfXmtqjjlFT7Kf/Wk3bf40MXthisu cpVaGds8al2xSuOhp4qXRw34KGVEHfZYoUOLW1OzEOmt7tojVKx7nRc9qbUcz7H32ixRqAlCBh7z kNKJbn0RAjTNPgtNquQGA7zOlAtt0/Ig76ZYLue2QesP7j+J9ukKmIFtHpKHMxay9yhSp6uz3Yks Lp1axg/pbI/OAvEQav3RtMfHut/feLvgOZG5krmFN13Laip97kDfUShZQj9o3tenmFle8FLQxJxO xkXtF/Z9pDvamRIUHBsaYx4OuiKbsnA1/Tg3WU8Z9NZTKC/4tujvtoKHOyP3XY49Apj14qtTPpxR Fjjn8jPbnMFVZwr1tHvM5Q56tGSt3xhfqgBQCm+miKejNzhIwVnnopY5I2/Fp7kC9YxOAfsVo2+C vIxj3f9YqinZH4iVh5kksWLC8OgU+YpoOZJvc6unYSRDMrt2sm6mEzmTHAPPBMp5JD8xkb+QEqmC zgVdlnZYHD1hfktPhlrEyx/NnJlDEhj+9z++e/UbSqw5HOLA5JPm5UnP/qIxxNNnxDVjBB3jI3/J fAfsMMUGy4mG8JvFvTbeAdMMDedrFhiOBbyoN3qiFePJ390ByAT4ZVCpVh2MnqY4T8aQ4UM7NH1e UscOWzH3u4Ky7uNtwVVGhD8UgfPSj2xux5B1DdT2I+skAU8+fdaF5A4yPpeP4dbdEZ6fXCuuPv4N POOLTO2bJozWADMzMDhZoEYliC1hnZ0S7YtXscy0N05HD2yfg3XJf/mYvE4HK/UraowDNYxchK/S S+5PhrdLprtGH5FPjShnd9pgvvmiFoav0/lpYz7BMpT4FyGqNWYJul8LoWaL3cvh/NCm9X26liao EWuM1Qd6IiKLuRSBBtCji/H/VagIbUK3Yw2ZgyGi7XGc7nwo54qWbfUvg059YCap7Q6qvhOqMpi3 vDwNEgagcOIzGc4PjhZtMkfRt4QRCRdkmJZ3JF/CPbVNUuS0wJdjqPtN8Ik8C3+D9g4HnRRE6gMJ SWOdLlZGwVEEpJrBhL/GhG1kqTFTJOGB339S9nSKbd1+J7baCywbHfypEqgS20LC/oWDUjv2pmvN CfitDknUt3Rv4tpAJP3WJbP6l0iPxRyqS/tVkVS4Y0izR/oNCRq/k541kUmSe+nXDyjTyq6BJvSW /Um9mL2SGCQFLW0KMVJ6tolhcewMPL4tWCohPxmYaFPfyfHQIYekzbCXtZEDODTe7+vnMn3nXtJG ampqc11s38penFXgQp7Rn7UOdNOV0Tvf363DtV+zQEKiIMj4yXxCSQYdIWtGVRT6764ZKtwiUhg8 6Ca3yaSCLiNZhSgQepOCT0rrPUGZNvz1ipFSQJR7+LRAlA19JB4+f2n8OGu/RX+ISblsjpNcJwmp geeKoZQtcHhBLuu30x1dYn9KV7dlYx9ndroeCIhpIaRKfGDTMi08A9TS6WKt83hwXlowPckpKAoV gn6Ipx8gArhlAl7XdTDfbsqjGN2hBMtDHx5hye7t4IPzi7jGB2IXqL+6vcwm8retr9Jib/cJLPfO VBrSBP/b6mRRt7000duYj5YOEJMy36n+m7Vt8G9Kmk3TdAmILvx+k8O8BOW5otZdGLcLK1uFny+D ULp7p2DcE3bRtmLkSqVwErOLvr6cHzD6X8bw6EpfrnLUxk9QdRe/6YRBVX/4dXCPw0RIJa4hgpK5 Zy2UVhCRIZb2gHhLBZhfGtsIkNW1cELItU4pp2jePvn3/aZgQihRKgMxDqGVOZzp0Icj6qBra9Ud 7/GJv7kBZDg6c+d2oBU0XX51ar1DIZw1beZyu+t/qSMLI+lJf3l4rgB8RLR2R041VwgetHy/njun tQv5MpSvUL5EUaKCL3/Da6bG0LVqDcjLZ1HQwqm8JvZqkTZtcaH7Iy0HY5MSxOst192vhYyglnZR d4h0LFzNIpJ25qVONATcCYWE9XXI+yo5A9bCjs6BfbvxiOwYg8N+DNl7KOKvYxJZmJjeCDhYBVIa /wAF7KSCJJs+BMKWpxnaFwO/Duf7E+EwxhDFyoTSUvdV1ik/XjZSgtteK55dBe5YbK0Qx1YBYwDZ WBFmaGhGHbZv0jdgj8Bpdfh9b1YX48aDph05AR2+bC4Qqn5z2shPotnXXIU7koJN1HgcUarADyD8 HQxPP/42ggId/PbPrNYs5/zq9eoxExAJYIhhcjSLMl8jIlaPFlp3wFEPMdVNLUYP7gNj4Pn+Hur6 fT4qDm5wWjEGUFrOVHINfkFqdysjMioqNVwvgJ6S3kNp/kXt4eOzLpdcgRm7vhX1OlOypmZvtnJX YquiEBiZhsQ2T5f1A3QL5t2dwath9DCZEApptq+KRxwh2uqEM81R/tvzy5BCHNajM8ywy9pJOl1d WgsiI+axjbKBELsAxkXwpHrAoR//Tygj+cfB2eD5EcDi6aguriiJx4zBj8SsXxAvwN1gQE3AMRAV EJeWG6RW/kTdFi7KuXMTRjohRS/snQkatAbcK+NFfeNUuWBWYKjA/Wz8aZ/UfyTvhCCgYEzhxaDP r3zXFoVcSWydM3YmTGq8GDi6nVNKbngVbqm6BE5BgAbr298QjlupPUO5gDd0FY1VauDa8p07brVI hxNgJ+WqoXTq5oBx2UhF0kvo0cJY/NKLs1zMaRmgcE9fGjbicFt+ElPwLeHgyDHbCHxL2Fo5IxYQ T+Qe+1BTnBKoAs9WREHntIssaL/wXCbglLkIsfrlZ+mQbz0pyd7Xe5gyAERfvq6K2VsXHixJ9qNj D/BbN40BYM6m3VPez67+76XriPJCL0tulU/2nsnHY5e0F4BMiU7cI73szmKv4dzUCiBpFzulNHA/ RFtNoTDhzQBdJDCSnKwAoCRmevzcOPHc8d0U/SEplwMEI19A89Xfr+9VhkEUlRV1YZ+kd0Z/VFFn i2FeaGt4BRlvDrz7dxdVWwwXyeVse27vI+zzA9cBGgjm7uOn2lv7NTCBDyX2ui9wC8KUbDUYL2mn ZkDzwOnjDLolFdp6g77VYbotSrNHfvtGlvdUFD4gPPmroNkcVOfxc1TKNUmUFm0lkA/5UjZkMAXp C91zBBUemqqrf0Uuw1Yj2USv9nU9bJyW9fh9p7a1UP/VngySgX1tGvL3yLeLaJP06m5cnCds53P/ zeqszfs2dhJyZ+XBxZGFsM3kPyV7+5H8UoxD9GTgxleQG+VHPNKgv/VKHhPplAJjb3CpVznX3Y/T zxN+zVUZpSRJ1Pw/ciPxf+1lIMZ9fremrLgz/a8o3K12l0Vslz5Nd603N6LvYQPGGBdboOahYpD0 Xmrf6GzP4zbYbS/Gy8KuUP2mWF7PTR3F2M9+DAtdpYHGxk1VaZAaqdXTXhUwnqAAEQuVHMQ+42Db D4+w8KNyK4iRSbiZIDRA/UCGcVKoQIjMc9eU5GKr7E08rwgB1iJYYOLOa5xbXPLmYqJVXvVwk2Ra RVIk5RIU9Dtoj1tQ1i9w0vD1BDop0h+G9ruZskjOwRlndfJ54yJugSTl6kZYGKfOP+Zk0biCKAJ7 AR9PTqQczWjBI1rC4hbCuZbsctzWpfYRMQVqmexxOl6WF+8+7P8yJlV6YQWfXbiAo2F5jJs0F6W+ NVoUS+Ow4cHopJzG8Qux7b3hyZ2972/sQ6lSzOJ7VFpAEr5t9ZVZkt7IwMIta03/nkIVoUBxsQKC rDyIiSGNOzatLiULke1aB+gy4xXEZdvM77dWu8B+RRMZWOUcBDjy9Q2Gns4L7RDYf1cDWwBOQMkj Y+xjldXaDyVym9nCFe/ATQnT9dYaZONnfy4E+6xdVuVR4Y4ILRqMfqsQDyhM7KE84CQYlt2HbO77 gh/WDy/1f9M9dw51x0IdG89vcq5DyHp3UAe3QuO7OH2+0/qQAshSK569wmjVwwQTCbwmEjgZ4zSC yPLnCLxKM7u5u5DkpjQGUYHjSg+UJfcu3EFdKDce+iEnTbbJxHMvCv0ykwHCAntFj72RAovt15d8 0WhGX5MKB8Y8bqFoNWIOV/ZLC3/crhSDfaslUHzrF6vAm0IbCuohQ6xfINt+Q5HWnzOpeeobEpZJ nSGqwYO9Jg1G+iHZUmV0o1PwMdHL9k2DKd6uE2fXRkBPRymHEbCE7E34e7+BjC1sFK/RONvaK9lf WnxyyGwkN4UXvGRvJOWYFXtQfD5tLXtxXEzEFhQK02fni3/9vfMhg/u+G80H2aRE5P5/DKs6e3Eh 72kOr9ZRnvOv6u1n4OVHNxd9OXhBECVLNeiCbH7YDEDeDcmAAK8DX5KLlWPxs53/Fx7WIicY/a5C 41jCQE9S/p7V9Yu2ju2K0HfE94X057x7FolwDnu42J00Suj/VxLpmlJFtywDTLAg6N48KAbigENn pJ6z3vdEkXdQm4VxUVyfkSQJxdyh+RtVAo4ykFHGN5Sk/qVcH5EtzFJwSJxdT9TJAUnY8SKkZrRU 2wYgyFnUHtwUzGCW8eyCg8x2B604u5l3x1e8usviAaSkDNNC8Xizkk48gzuChTEdY7MVsF4hMUOj QtbvRerBY3T8hp/2YcgpnRbPTgrCng3OfvnvbHRUBP5cxlnb9B7j0kXVJUiBMPa+XudaV7+krsY/ s7FIFNZKSpphSmFg2/YYn6DDgJYktphzboB2p/DiKcWwqEr+xbXb3syfWtrBvSZfWUkfJJwkMr0Q wi4AYajuEE8kGjOp7etf/aE+shVBgjq1yIBHxPIOgMFNDFBCEJJCaGxku3IAExHRJS8qcWDWZhGo e2rqrvkicI4jFLJyTg/nBFKnqLnhpTJ/IiEIJaOwMTUGDgRbD/q2BU2L547wZNddLgAHAuothwsE bF9yLkZAOv4tU3vNeWxuFREjZ7R6islwQNIk8cXfZHUgVhIV05MyFmkD3xd7JXYvGjLAuBDcNJcf 0xjWnlDnJ0m+yKsyeNLHS2aHZhRguBcGc96yKyg88UORsyZmMIPDuX681ZY/lFTyzYrdhWEkfhSU kzS71MILNrGn4z/ku/f6IGbrmNJZD+fnRP44Nij3jnJp1TcrSDvpdiJvJMAZq0bN2xD8KjHDFHsI x0tTDE4PNhC5x5+Ie9G7EwB92PV8XK3PWf6DrIAs/+c1sJW5JaBjiGPD5LS5CkrDFY2aH2NaANQj K7mfgLOkMciUZrOddejfIj2dEaRFZAhcoRXZb2Aho569uNstSRfflUEOIJ4aYmH8cwh0+CFQKRw/ D6w8ypj5OYPKIXDbJLADiNGMJiKau2Lrn5RtX9kGgC6+Izq/SPF5YCHD7+zlEUctD6B8r74yYMA7 Q7qaNUMfxAssHehS9bs+axa/gAqGt7ID6w7gCFmxkpzAdLUrXCK02+eFjqXoi81oW9t0mAzKZoUW v5qvoddRLQTMSW8wcCJO0hPCxwkL4d5zokMJArJ1kud3L+qcFJz1EteAnOSV/WHVsHJ7NBhe9pN0 7yRt3hIjrgWLMEAzXxJUBCFymdfwlCx4gcyzwvhLK6J8h3R/QrJ2Fvw/3XvEzWvJrcfPheFfzEGY Buef5qIwqbTR6FNIrBiCFpr+dntdQArbvAj7xg7No20hzK/dyoScCoqCOJHHriZMercTxCDHLsl7 mkYd+V8R3HA86KF/7kHxtV6MYb520mIMFRKqTJA0t6lCgYqYqruIx7rxcNFSq6GmHR4P7wUu19B4 LAZvZHWuYsjT3QHLRGrg/cjqKNhBs/ha/VgJoXjAYPJd3eX0dMZng5PdVrcJcKWzUkeD3N+36bpU ojVHM7C/CEom/qwAQAqzIecJIlWUjuIQebngVudNsxA7ENXvjKTNlpvCEkgx5HWvkdvmwDQNI16t 1UgZfb7OsU8qfAMMRj/kXM0QPUKA2q06p73UVQBt75DK2OEYVljzHP7CP+p7Iz/jlrFVJc8g8Nc9 b8SAxMkBePLE1ObbDoVvsDEBI/Jni4TO/NLTtiJeKtV5l5PWOcbFn6JFrfEFrrhPGEG+CWQmHFbI dHucq3BiqSPRI9qo7H9ypN8/SY5PLMphMoEYhikXOrBs6yJ/w6Rc3TabqNeaatfHddXbGsw4HxEd P92uGE7WNl5MSl7EwDtpdan4JwUoWiAFVqtJa6FU+mRc2qLCg6ovqfJv0Fbh8vzR9Dx6NflsphCG toQzensWORpO7ZBOl5EqMILu1ENPgQgFuBerYxxX0JGMLyqnb/WMueXc9+qQQv7sKNCAd9pjjvbi 7m84End5Y6f3PmFAL8KOk+rdMZgjm8excom5fx97WcEz14qPzQ8eDYTcvaTj2wQ/TLgCeBej8/N4 lyR4/vseQ+pBnB8//SWlKjC+RDmSOGpjAMCM5l96u+lKulNSiNeNPnkGdw6k9djk2OvtmIGuwhkj 6+V1IZCf63TVKeFS4uLmE78J1S8asbrg4k2GV5vswYFzwUrBa6p8CYffMAuliKBlB2J4+4rYOk3o YEeZxM+1dwCeoZZMtfg9vmfNppghZErQY5minlLxStpOCQcVvSCN3nOHU9c+l4B/WMpzWl9Hdr96 +vXMTQyaz+b9eObndb4vrjU39tuahmz+7XWF0sSObkXsfSC0eyufPtF+smgRXNhYmlSPaYWni11S 84yE11EDnXkeTjz9b94VMXUse0fmRB2Gjeog4o5iGFw6Z/bazchXNzfz9+gf8w0E2F2o67S7y6Kq fOTFuc6Qm2Mj0Hw4KHgEtw1y9Lc4Uuel6RFsorzxopMvRJfmHom9jYM/FXb6FxKrYWzqNu3hNUbK E1jp0AYrbRJPJwCMs72gobm4GqdTjFDAjJYBu9tOrzUjEk2EI1PkPiPTWEvkGnqqr4ItxP+RMpsR i20eKoruW9SM4mnxxzmru7nU5FOYA6OZpW4va1I3tUzsiO/jksNAZLB+8ApNQpPRYCybcv2sEEVz +e47GIqiJFSrAXNbmJEJdfoZnGRMZEMVI/V0iJA5GJ/2K4KcmwLI+BhbW2EwR+6BNqG9TPu3UBW/ BwsXo243+T07ietktid6FGXVjNsOHwD09EoYACowkyqZzSe9UamXaLUw4wiQVNTvDLTclw+IIUEI +eoL+jvvvmzLOdHZGrdmCesWItXtnH3b3Doj/KPOWiy9by49ky0QcHdnuP0v1oZh7W9AvX5fwHHx zITCs838wabyII8NZYYUTRy+UfRc5ujVc0CKTdw7Ld+bYT43e4t8YOmEFrtxSgOplfFQIYCUiDBE R5u7Hv5rNmGQh2DrUxToy2oKN5GtFRVfP5cPTrobFvUes22QR11xmOqcem3xKZYCxnwKJpAoQeRu rNAPWuXwf+iAUPTnm5r6i9GNRjAusr0tBhmMUM2dUi74A1uS7EY9LyIIvRZQV71l/N4rmXzIRbFX v5TugstRqyk1gtdnSyRd+P6o+DMaN0mfNeVgFdzYDZYczbdLa8L50wrLiNWgX06R8AIWMs5ksJRo YG6aJ2N7CXN/jhSv6AeMXzVEcy11SDmMZqSVHkzqkEX2QgcAOj1uCe3J3VdEIv46P8VTB9ShtAN3 ElZjuOu93JOiwgtbGP8XYzXbDPESqCKG6+0ZHVeOk4MvMZbsP4NtoIDJaXlq6wDMun1LA8EoCIup 0zuA9Eh9Drn1cLDdJD4x/oF4g0vJfUZgiEiAZ3rCWuMW7uObKk1T78ZrmpczdaQ8n8PGnxFMCRms F2RcXwfejyTU8O+nFnn2Jgg8CuwMj51TNBbGbsY//zVvLGcG/MfsFvUJJbU/Zr+ZUusYvVo2diRe ozHaABttwxSceXveB2wKHZuuZ39d3+Pp9yEQT7KOGOzm1f3cgRKC87iAsgmdmk4HmqroZg1fPgEt U4edusQD5bLmKbtmWgADEvY9xdgQo0vXz00bRJIwUCYtE3NoiS+vvYw4ePLDT/i2RXlyLWR3GxcJ 3TqHxwwJ34CJY8OsR81MD72TT0lGRzUWJaz8cwW9qPDtj/jbKwWy09W7sd847odEKGLBmD4mHOAB yQrfe9zC9K05NzojRR4zKlPbrfNu5B/aU/5NTh1tk47dHJBSAhzhk8j3e57vW2YRc0PHZCPfA2sS t52bxEukKdPzEQhQVOs2ejI1YLoz28WN/9T0+p0V4H6oxjxAGYu5UgxGivewaJ3SiviREhoTfEo1 oZLHg4ySb2i15GIufCZ9Rd5Z5iLYLpvafz+NAfLltYNJmhb/zIQ5tna/2QYBUclP8ABbmlhtZR0U YXWOV0ubKdi6EPJ8/29WSiis4ioxg0p5rSUSf4SCvHxpnXy5r9+DfK5ujwsHprOQWYZyNhKAtP3l HWetoL1LL76KMXp6U6wC7GRbqMeXc71cA8JydvDe5KVC2N2f+wnodgEnQGa0+bTt8U1g7BenHCRQ bXsVfxh0YmZj13tVwXTwJj5samscyg1KGYHscNUlHSHkYdGYdMmi5Eox/KuaqyEWQOx36DoizBs7 NBFpjm3aKgZSLd7KyX5+IngVbLrsKU+2pSTsGXaUVjTptdIPKc1V7JjHKt9OIqrmKeRse/4gR8zV dEzlSDFM7D3oNeMvO8OIaW9DcoZ0All1bh/KebncRTRIpj37egp/I7yh6MClV4P8EYbPlexuJii7 uaFTZLa/Ol2qmqbmmoDXk3DwixkmZ/OaG2Baz5Cx4wluP7DQ9pn3qSYomcKx8XjM9aBcU/RL9EyY x1xiytguzPO0QBPekhUrTF5qZcLS/W+UPV0NNp63IZddjFY/zpS95hv2V0dmi0Nvcxlj7HW/qEkP 32acET/r8bTNVEqe+/cyxkkBd+CDAHcbz/jVhRi53SklrTw4xgCf+eJRMspMIZx72j2Q+O8HzgM3 RCeWO5B68DOz/gS6h6Hb9A4cqxWzgIfYQftsOIT4t0lVQ+vtRQPy5pmQqmAL/LWIQorAbnHgxqdH FCP8MVtT1howZYgfO8Qr9hOCkCdfNXLovIgvZDjPRsvzuKdPLH/zYjfD2bz5bhk7ntnpVZuQTnSu CC3SABWxjy1QWQJh/7T45/19ulegwvDm8vbhlf3TBdU+gdgJCRpDZ7BaSWjV9ScuHUntZ5BUVjmA sl8YpXpbPvtHvLkh1jXtxexTZ1MlLuuRe/biKiMDrmV+PrbIu/0U1iat3kD2eLQvkIJPl5IUPpBk rV2C6c9Q4v9xr73QRqLnaPHx+UviZfz41bBzPdzrVGwum5O6TANLH1k+QhHj8ThHXCTqnmHPMUB/ vV3avQGhjFZx53soYGOZpQGYS2ALOfWQ5NgJqrlj4ciuDIHaG0c3vyU0Yoq+MHdCb5W//R+FRe7W BByPVx8vVld/JLCFpJUsSlldK1IeN5d+HTxCM/91aEibL+a0+H1vj65rY+RoD4IGZj1KK58jgbEt KtgnJq/Rj8inxo/uv/3SLp7GK2R1twwPhW/Z4nKGIBmrPYhwjVB1TlL84AtcuOItRfHIxeJ7g0Rq Tjg2OdiKFLtsSHvJkL8cH2R3bJJvoG7ZHSgTARFsBFYOu+5QqagEJxSrLNf4IvIC8hRY6alOLKNs FUFW9udDAi6d09XaLebVwoZeylFey0T/PKk7/5Xa73FAKUwyBt5hgiPhDOHxC4GCSDkFETm/4ege jks1xps5JOgan/RjyC8aqXRVMPJmAF8Q2bzOoJS5AdQs+5z1+0aQuwZAbQW9BqQqM8jaAVixqav0 KFBH3xyJ+wY1KfNenhxqAfKB4PNz31sWnyrMhc8K/5QJ1DQP6CejhF6vBNYSdGl+C5g7coVb0saF 3mTvQCvUHeQe6MHykSulzn7bk2YKKdkRxC2nM4dMXaEGxBuJhsreIo+U+g28/9/0PfY8VP16mj9m TB+74PiK8UeT5XMBn06VChGsQ9FyTck/rF7N8XpH40L3n21/p9j5HCSwA9hXcZiJO+zB5J2yzuMW cuuTtRlDLVVpaJMc3jguMFFWcNp9b5MVK7LTb24PMFzVdmDIPcpaf9wdCZ4cF76C7Y11kdsJ2ACt 60AkS1J2QWo3ILTkMMbVQPGVwvCsWSdTNi30e6FIfxqeAWuJK2U6x2yDKpeCVTIXFFZlf/ucO/1g 7cJbzA7j4+qmNs7wNPkbkXRCY/8UdtKXa+XExiOxeJM9caAuiubvyzx3o28EVE7U79FwUTlng3vL 3VWcE0QfSsGkejC+pzN9xv8RegxS8p297ndC6nDN2nWV7YCgRJngkfGMpCBblaA0LjukMwsqxPg0 e3u1aJXhLaJs21UrVvNyhZA34ZgulzGc7FXK/W8RH2VkHtH+AbYKUtsPtdVIxcGjRWMao9vvW7n0 Jdi8XLK3MCp6+VMi8CbnKqhfCRDbzaDFSNMZoAEtDppYKH1DFqMQABDBH7Mp8qmTlK/V8/y07mzD 8mqU79lJe2Tq8S5UkCMUjPsECXcujArKZrI15wIrKeNmJGl96/ewHyR1YeAxeIKNL0c4P7nqJApv 8NJ8LEQ/wbSU3W7G8UovXVuQrxvt2oG6aEoLig13R2W7Z/hscw+C09i9DiptLINcAR+SF2D71rYY sSfcfO0geqPcSTp28M7A0jofilUNNZw+Mvg97Nj//YtZ/s4tmtW7HF6XZvwq7o1xH5GtUAbTI3r6 njCz+hhKeedhnq9UssWuwd9zhhuq03K3t7/oAc5dMFspgFlzKhrCKUjIjsiJrnnbkEwjHQzYjlZu VFWOPLu9jiTwMSbtvnuRxQK1JbcRD9z5wR8Wg36+T9S0tEDFy6qecqQ3nHOCIJaVDksNTduniw1X P/sY/U7ZxAeCweAlBmX5GSdueK3dFNOnsmTUHtZ0Ngx2xXorRBkqNfzHJNcd+mifI0V2KsvK6qEG zCRldi1Lgz0Am6oVaufgIiCMQ5OvQcfZ5njIDqFIqEDN75AJsYQE+Ach9cKTz8ePagT+g3e0Bfgf 68wQwL1TJhlblFxwcmCxrRGXT4MBcktuRs4n6U5+fD5G3tlLftnW9U8Wzx75gvjxK0QFS8Rt99U7 6Lbzh6Mv4eK8dTxPR8NrmNfpmWsjVOczktle3BzRCpESfYYudwP+lwZMhw3bhw0qyf9+se1Bmz/F J8pbAHRRPIeU+MpHb2DhBDBfhZ8MIIt+vbxTcQMNwVeDLLGhiacNbUFprXZrpw7599JDUiulljA7 kD1tIFXqhZCCKN5JDUeua21M2n1xZMRJe686cmW1DQmnuS4rYVmjG1T7UKwyuFeLO2jEUpTedeHQ o1GMb6HLMwCA6eC94h5aykE1+nRanP4bXss5/x7z8c6MPi0YfHVW3Jj13TZOh+PeLPiRHx22q3qY AWb7guHPSlAkaOjmWhdRo5TNnoqhelyx6SeJgP132tj8dGeBIsu3m7Wca8scigffgjdsrjfXWRxp 75iXA5HSrABR4vSo4Pt4skwUy9XdcVz5kPsNX4UIjbGr/IPBmWIfUiw2WKKX421NPfsY5YeHBvJK /De0fsL4QwDoETRYGT72UsZUgKvxv24tepQwcvx4QXDDN3RE3hZvl6Sgb59spWFZIwNm3algAEwr dOE/JsbheCbVft4GM0D1vmdhQ+NVDCnWd5ivHvfBtwxDU1Ev6V5YhpmFgEm16FLn7bVuvwGUaudY eYBs67nxSH61qlcA9Ehl8CK0ruNeq63VES6LnkF2+fLV4iiFn4JXpoDK1rqRUUDtyM4vHMCWn2u8 doPqtWBZOkCwI0dBARR6vMjPb29Iz8/Dbjkq6Xq7rZ9IBTgdo7EaTbj2BlgKFF5tZRERC4wRtAyz frHlQBvQkLWe4/p+YeyNO8qw9QCKhu3CQqYIxm/Ygk7YgSYjDTZK/3bbAUCt5cK2nrn9TZBjagCP lKbXVkSlZpR32DAN/QOZ9dM5d9nCR9EUWLBQq55LeJNZuuPuPjbmZjYoT/dPWqZv1KgkajFkQd+t SBz7UVsEaHAXD56XzFdOx276/ZRDqIgPLZb7ZWTDIcIgW4KVqAzrAj1adksymUeh4SfbNb+4c7ad AwNcsxhRB/PHMSXYDjpp0N/Eqp8KV45OCATYL3OQoa8WQZpOg6OKXVKjb+dhBccxbxzejHazqIvZ 3EQBecSKcSxyVk0DuzV8/5udsv4z8I0WAScXVj2+trf0KP6lfclx6ioIKO3xN8raeQhW8c4Kh693 kEUFSRnhHuGbodsyu2CHkaeAsdN/ZdjxEqtaQDUVcznfSHWsvVV14HJsd/fuRLr9koYC0UtnHBwr s4r8GUMIrvlwmNy/MacLfhw49eqe+NxlEqTsRVLT2cuQTuslQo6gEddbNJiUPj3vhVf+95qon9VC vuiIvgyK0XXKGYKlvNbB39qkTZRNtyQadzsuI9Qjo54jGoKxmd5AXdaRMd3GGXwAjHsFHa5M2hdO V//zj9V56Ur6VK06RRdyqtoOhua+3FJsYa/W/QrDJo7KwhckdGffhVTjj3ubHLo3N+VZjT0HWmqo jap1TscJF0MInuKBcvedO/ayPeg2mLiP6Ol6EuQfzkOkNVdV6B2TS7Fm9gMnDBL33Fr6OeMFccUO 2/sqMcCrHznQOh4TsCLGeTsTM4jO5PxgItvNRLTDryATLy8ApJI71PkB/GcmR9kjI7vggAB89Jvk EAmWVTdd2TIYd0i+k/FBsTEkLDydx2d4C7xBOk6e78YNJ/6d4MG/6UHpFqKo+/Sidixoyu8ilAvj Nv4825P1Ok2wyc3Uo0up2d0VPvm4KYmjwuTg9RfmLPbb98hOTwm46qbTSBwaWPNxtXYk7xYMyGXU NsqTPIFL3cMLbesKAHKd/qexHNNovcvibIRIg7nrX1isHOJTKw30Jq2P6dVjJFyuDzq2gbmT7FVK +4GLH6XQB7K4lza9cbUNHBOaMInNgQF2q5UnOAH6Qp3TM1sOZCpuLfV4bF8PYDgzBDIAexkpFESl sByZIwwvDw1HYb0tRibmy0qjKls0tEUPIp1Ea+0g77fSOqEZE3UGhNHvMdBn7DCBJYHAkRmnZ9F9 fvxVOWnrv3XanpUF1OKtud+3SDkXH1H/cl8CLZNiFq1Q73zghufzl1CResm9qvfGzhm1tLafIuZl x1/NXp3HcF1UlavggIdEYwk5YOfubN1coaJxQV7VdK3VklFZ/MwoasKQR1r0UbROeV05vjDNMkLO 5h+na1JquWEvhaoDzFECEg7OZBX63WD9o8zlo8F2J4xLD+Qu4vsYoPuvjvXFrd39bTZ3r7UmZRv+ uf10skdoHWHq4w2uLZnfJsnw11prySdHt8f6fJMDFTWKKZJOEKtr2rtDYf8oxM6SToWE4sVb/9Se j5f60vifYTEgAox6bhOGeOFaHzf6gluWOpFAjWF8/au5v0vZgah9SKI2AzB7PZczG6R6ZsNgV3Rk 7uay1CnbxYK2XA6VobMDWp3MZf7TrCuG4DaQnguyEDElCNWX8Utw5Ngg7sJ7NYziyNymjyZS5Q9y V5DZHamd5qOu85amPbOEnS1boICIOyIJ7R2YuaeTkO163Zqlp1mmvuSrkJvI6R48IU+QpBmbltYY KFOS3CmL+8wBAB6qy7qAppmIUBm1gjmnZ/CfcpeB7SNeiPrqbPX25RC57FKqBD1UF/+M+T2WqZ2Z r390FM4lMM5WRjrc0K5Toay/DkwBMeL3amMqcw8G5YcbyCjmkasrseT10NGnDdzCwCRzSC36dHs4 R6cE8v88umV4woPJD3LZs2i9BlbvXWKemAM2WRKTImOAuNqGnAYE0dk0TXAXrwTT9+pqYr46EUNe DLILHmeQiFWbxixHTKStEsunrOByflSOtPzFNY2Ugk6Lu8QgeUJCeB5LTgq0av2uP/27htXHlJCX WoLUrcMvXK1Avz6i+HRzrR6RaChaHqLBWirp/jpDU9h5QihsM+k/KQwHX53/7Z2P7BsmeYTs7udI DiQCQ+9HxaKWK86y5lOimuTsL1EDXSGrRNcK46LroEk+vY0wVcfYVaeT2QWAAd1pFqsL50+nKAvd oHJScIayJXVJSDiISDfPHlJdEM4SxWzYwum37KyVp09rEcq2AcXUF2xhwM7tOYJZPWOuTujKS3Kp WDNo6YWGSdvTENg26q1Dtunr/YT7ABoKenqsmKNQlEVdo0ELS/V8CLvMJRcXX67f6M23qTx9PYlH 1yecT2XIx9FtP7MYlz0dJWLTwt6uxDA+DMXR9LfMbO1zKmf6v235QKINBOCTVLhN3w46Lpr6IhA0 GCY3CH6nNJloZP7mabVGD2UFxVmmr6BYV55d9LvzkXjWmy3utmYO/dIauckV8IyPa3g7EBAJ1eLL ZWuRCjUCVb9BMUbpb8N/T+PSdTvtv0ajXV8va5GsTsRPtCXRkqKx+c8H5I6bOXE5abHtTtYEo/pB 6BU0ngn1oWj/Bu4NC+0LkfMNLLqlU/do/2vXHifhrXH0fHhwibI2+wliFUJKVViHT7HecmeJCPwB YUYN9p5ad4X9xdqAA4JLSa0ZzovR9SxcvHQtbx9kFuaTayUnfdMzrX8KjBJroTgUye6u44QyE7th tfAsoMIutyK6zCEL+iylgb+Zpr0kYT8ER6JPeWb+CZy891Uz5GQi5ZDBgvTQ6bWlfirVthq2AplV 7ASglhUz5bgzDkrWWKYcxZCYv7Gq3Ft0JHNIlUcc7I8eadAa3SiAVsUkDem7VBVMula+ywockqrQ ivaaUp7UoYoMJ0foVWweDXmF+ajzIm921k2dnNGCEXxXLqWdNYc3GKcaot/c8TPwDULDaiC1Vnsf 0i59YTUhsD+lRUhA1Ix/L+0+Jx7LAPcecHDCm5tTXDD7he9pWwMCm3DV1ST2FWHRBdyw1alZZr+s e3YZVkMfQcQNE+WKuehj52aswfPQucrO3pTpvlWEp6kUI4qQdnQpGYIO5TxplvdLk3cQ2lf/LOIz j8UVl7OKSOxWZj5sohWnVRIZHrgYQrRYU09LWZiCamnDuB8V3WwU8L0Oj4InF//6O1tBgjoOcXkN xAHrRU2hc4pPsHAFExiKQLxNwsn6ogl08VWCPC/aB99/G9bJT9PkdPnk14AQNiy2UqvVpK7KP23k y99kOU8LomnRF7TWEK+vuzx/kRNsrdLL8M3Bh5Qt8gfJR0CEno+x385zqbwLuALHbyLPPZlCST+U vsiyJM/MDJ2ss04hMV+W3W2DvnlZTXO51p/0lEIylUsSZVduDEDdVn2UmN8aOVFJs28lQiKRMS+C GYsfGV6SetE+p3qbExlOHsbwva6wTibOVO9wGpVY2PoIx8a8nu46j2ySnoY5k9nbE0kFLBYQAE2L H0KP4s0cOy7ibhemElnNXVQIBYmIgT2/PA9W7WO+IgYJ1pEGCcQMQP54g8C2UajpzGSSUo3GCLGi f7nX6PML7GUVOqlMDN9ZoYjVhIBBxsLtn43qAerpdIRY56f3w2wdiIVkAI+4IjXm2N87wWOHZksk 3bmoakfeGaaGDckV8T6WWaw4GOal5i5PUFnQO+2kwDlV3sVjg0Sz6lB6OZyUim5+eLoU638XPxrW MLnRyWI0iG8++/x6o4zRLJR+d07/7E/VEz1zZONPAsFuqY5pRAhLhUfSLPHRS9LpIbtN1XuYFkWe PYP+8gz7AdH/iZpv/n+YKsOejWKARoeBI6zKg/xLXF5ZVpOgBJqtlxCnS20Yhm1Yb11C16XmU2uf CAxpmAPis17efhUhjRBREhWBvSshZREaWktNxn7FDR7MWmzdDhZ4HHLdXzxpFYCvFuQj4bhXvoZi JVq8fovV3GjzCJlai52eoUMjGubsr0JqNHymNZ0d1trnHKKigVIhjuISIe9w4bh1n8Pt2krHy24q p5C6a0/bVtXX8h2jEdajNlsIb2moyHnzX7rJwgTbDwxmGPeSTQsUpAbpDoWXzPmRCbw0S8+ln8a5 GO5cakcAVqVmBsZuQDHajG+Err+YaYo5CtTrf5GlZewYngNQBj3BLn0Gk/F5cmDcDaKDX0Sllx0B RVZamJC2WIXQA+Ft4TWpbSQbtWFbm6CKP33UCmMYKuQbzEwaIj2r2GMuGK1ZoRuVSg0OLaBDnDO0 6++MpkjZVxk7HEkmt/iGsn8s8pzPpSqu+tS754BoHIPkaVyo4ROEyaK2pQuc/lwg7q0rXu0Hw5JK 5mq2Sg5c9tT7Y4ibLmFjDiFqN48GxXCawr/RRfwCw/uWxHggbjmCO3WA1fGve6Q3Fxc8nKLrTSld O+UZZa3o1qgSWAb+Yr4RBsHC4qn/EHD+aKbfpUIvdC9bb3z8PnXFjynVL4qjXmwS40OkeEjl1T7b W5vPqU7E7PLDJGZ+oZWr84fY3DVvcV7UF3Zok6r2j7aboQVIGfP6kvB9CbqmRJz1pBeRZBcoAEPK XZcp9sHtvVOyam/SwjOlJcVZv6O132DHXRvlP/UUDleUveiSH6dnuJcZGw0SNXLhMwrzhqI2ln8u cXks9KOL68VNUEn1e6bcrFx6mNjENWbRDkllbzQ0oDtZPw2CMg+Vu/Zc0COMlmork7NP3XjvB8O9 hIFiziaN0mgiQuJckQNVhxOeJc4wA+OyZqnI+dOl7HOoiNhhZmFboLLqRO+TVbimcOQIzWMhdDFR zo/KL4E2i4R2limoeCmhiUCIgZeAgy97Hlfg45yzFcyP/f6R7HkN9VE1NYYYfJSn0B2WK+bLsHAB mpDihI2BgKV7hzZZeGErCAGRk1HeUbisEMmlr5OagdJsRUNUaq+OdTHY1Rjcusl6zVT1sifOn5AD 7dtTcO53Ns3GiqKKKmAt4XZTIJ2qiMyxnf92UQ+qMzh7SRt2x3YtEmx15xvZo/+vfWUHAW/lJyoe 59eTeG2VhU7kEVQiWP0qPsb9MVgxTFrcfOpyA3vMfySg8iduO98EvH6WtmJ336Gw7QBPLAIGOrlA FjvF8RTNRQx1HDuluzVa78Ju8wDA/ArOS0ihtfKTJbVwKvRMhI7PoYD9eM4CSDL1TvdlrY/ksYDR U7ylNbrz9vm/RKnnTDPJEj+AYD1PtfMYjbK7+4xwUXnMAclWFjccvF0CEUXuTCRtXRsbIpWL0+a2 YkDEIF6G71PpwkFrN05PQqn1qf4uplqytEbcZ/P4QAWAneIjkpef7f5wjMKBR3DJ0ntYgtWuXh34 ASyglqvW/reHcztqKkUddqg0WHkHt0sjC1F8slQTXBLia6nL1B+984G+ZLK99z2vGMOyJFDamVb1 1YGbM+DxCTArEHrn8pAOoVd3ffrbvJh84NxIi+vnwBtbDitVpkUyZ9fbQkbglPVVFG8FJceOLaao ys8RZhVfvfrEPV6IDpQTkXZbxeqdea+5egerNwkNv5kBI1OTpi3x+kuHioTUol6BD4ELDbWMZ9ji IRtc+tjy70bvlNqpvRpM429KEzHHf6+uL/u0YNm5PumONPeKmeLPRzT1sB8Rlfsx312L+s1JcJQJ 1qEFJvJg0vRy8veKoqGsISgQYKc+iSVhj1Z097utxb8zAltX7+YM6e3arvSAAYYlPdQAcRhRTvSq ntuApNa1T4QQogNLE3N7XoFK8rp9IW+bCm0rLCx7dutV33il7UFGyOrAbxYTr9kBUplp15MInWUr Km5yhDvvurivkbZEF2WdwoIVOu7I34T6UlLR8g1/tdqayvAUjVDe3SJuE79uPUoS+PSyloqqp3dx 5S0kLIarcLPsSdlhYf7z14rvg/rJ8W9kHO0TsB/RcIAulj+E7BNQqun+EYGJEwSO6IcQJp7cj0AV 2mPoAglAgFwDRb291Om0lCSD8Si/80gDNl5sUbocROntmUjI9Nv1IbCfCoFq1/ORY8hJ61tXYSJM y5X4jKszmqnoJI4yTtQMEST+qMME1SKo+2F1kFTGaZO9VmNUx3W6Xop7+350sJ+dyF42OTjlrkNI XIAYgaseOfV6N6XqqYSvnc5iNlIB03a9p03BYVuBOo6YxcWOhn7CB5B89ZdSLYFFnV+0KXrsI0Js bslDMGB0ukiXjDtaVosDak6c7B+I7gh7YRk2FgowKIVj2yR9F0ef7rESy3zVq0tXbsCqXfPAyOSx NjweEFR/22irjzX9u1coSuCUTDaVRZ/kCl9E6ghsecvRTspDJP6pCOe8GjffmhyQk1GdxnWRsALJ jXeNaPVymy8UaK9ixYiazGRheaoqpKDx8qMiMvVrDqc+5pwIakjU2yPyJNp+JKi+PJ+8Ut/jtamo al7saCZRbtHYjzea7thCotcB7cHjX7QhEK23RzASSU1FgffY1If/n0YI9CZlhVv2oyHzmyiS2UDZ 3D+rl60YhObVueIAH+zP4IIyC2kixWLl6XcW6OSILsE5YzJl9u+tgwBu7uy5yIadL7a3jZDgpLRf A1gerFP8unETRzLBS5071CNGIsicloFLKQ+/7O97o+9LGXS36fJXMuZpYsuZ/1f3+GYB11jQHpYg bzcmSbvbem/U0PI6M+PysUNr3GbON/DzRTD7nT3ZPj/hiMiE7adzeMUpFtsRr2NuKcbGVgdy65rq sYx1PNiJjpc+Ac65TOvqq6KGA2NgWmtJt9xuJbaqHb1P/mlIT8F3/x+LBjbzFpWJONRs+rL6bNVX LIxmP2N7WhtRGoz3EaDC099CZ9QnQ0hxCxKgl5aSJOVf0TmOpzHWM/gU34D9FKTxTOTyATUuh/nn QHxeWOUf45EO9+pAlsNJFTjH+RsfREri2vRRcMuwhXzZuGpH2sWtqxlF3m8MWlv8lOCRY5ln4Vgg J7oED0478b147S3tLCmmigj5EnPr0CHp5RuUWmMSpa+hut6evixKQsMlnHyYJqr5oUqYbdbazYE8 I2lBJM410UpHIv3BtmRa74ocO5zbmzv3+YpT6qkG/Q8mJYqTulN6tpLX/94WnEHWFetgGUKvag22 nIl/DvNhnIP3TyqDdSNKIIgxGpAGtaV8Ii7SJYaLrebnLrPhuuGhuJIdYQv0lmoJoqqOq9Qe+4mj s+loahm/2blb//Oa1NL1u7Zm4j+CkyrBy6AOwxzJ7ljaUjZGDE7kmvMOVm/bAcb4CLW4sXYoU4hm 4/DZXopaVE1VzHAF3ZeU3qxjEdT8o518DPjarv0f7GJRUhPoVY+v8EAkxrarYOH2/lI8j/scNtop vPQ6KPyAQeaPMngEaa8C/5KS/Q2C6/b3CiAVPMu2tMmT6TwbbgsqzRpNX2DcWdBwKZscUiewN6Kc 8qwB/fAfpNsW2PsyfTt4GSVpdcbvwgi8oysTbQjGFcgf9kXvdoJ/ZtN4dv5q5XS/tCs3Nf8eBmTe nJDa8Ozd6zxkNjA+vviPLs+yFKsgm/jsaF0CYefETbqOQ0VEkQDtsYu5o903v/lDmGmyFMh6jk5B SJZ0RCMQnsH8AOdaiTqtGn2udF1QMmJbB9I9GhUhnbVrgg5apc8E7Kzl4l6qJfQfzMgHenVNQ8Jo eiffQJLeLXSXYkrNy3dsl24m+uU8CszgqJbTo5STrx+TQVA7p2XvSxVIo+y8CAnCrYiumlpI1RX6 aPkOx5aXWVLwwfiK5AXfCsH7nDU7BBNhtHnWkXDSnO0rlQMteYMxOZd06rk01u0vRBB6AqA/x1eb D53iTLP4fDqf/DPikEumh3dxdmdljqg6rZEH2rgcR7/zpMWuMXZZFivHuCfRfl7pD8oMo78/0aTF J1iaXK74gswe+njGQvt3c6lmeN/39CmRVmzKWK6QbiNKt73n/NKOO11gd/opbKJIk2M1HcGnXhfP E6LcAyFZNH18IIPtOJQVBGcGNpsO6nwYP30RTjMDz/BPk8CToh89O379Kzm7Ej6nZJtIxYvszD4Q 5JR5/zMB6iWbA5JegzKFoBfVrLKWAKrPwofZNtpBPPGdpUInc4QbGfM86z8z2MGdMfSjmOvXhS/Y 5WbjnKTd00y7N2vo8sheYMXuHdygSWP+8niQvH7jOL2/TrPg395cz6wwQbUJpAZneKYe06j/i+Fa 0lEzfkW9MXQY3AnacOL2yklyD/2X8Pum/bkatDkMSxwdCDcHb6aje/oShegRulFRWhELJb9dys24 OI/HuaEjwFqDqKyOm1AL0+xWT+vKA5sc5Jm4gAvT0S37YmBSs+ycOYaSxAKiv19cnvwKM+fg9Y+u X2ZuBTmSBi57u+shG8RQ4ktbTYpTy7atoGZFriZ19vAp5gAO4PSBS7ByFH49jBMBzy2O6Pgqayh9 5+ygSc5w4IWhsiC/rVUhfhwzIKWVrKSBK/5PzvpPj6yl5jGLFfAMOaLUhCHpgaYuM90SFFwulOIO mZQ35I49RqO+M/K8QRbJK1QitniWQKMSCjFIn6BOk6RrHzkiSntRByCsPYEQlXdzISU/k+CFFySQ Ca+SsxRIsagHRa8CKmu/hCHP9z060b4eFnCXk1lq9W0gJUrCsBcAysW1lqqr2HSrWDjW7Jec43aj cWCi6Lmh3yxzqxmGjHz1dq17jmywovajHIGoQ04kyg6i5qwWVYHoH8bElunlkfOg7SoMiXHo2qBt YQKeyGdtHzioJeqm4ixsLM1XmB9ha0uoE5uulE/yrYVEK249gazsMdSb3UlhNNvDQOJcCAwUwCI/ ypVBqbO6k8P3cFhbfSw3VSFl2/ynA57dObgSN7ZUbXipg6P+h2KiO/eAgIA3LP2ZIV6QfEM3Y+np kdSffcGNa28yWtI3CXXMUk6UAsJhtvY3y0XCc//8MOQF+So+nuOjpi0e45JaOnYdHtaR0X+JQ+X/ MtXBkvz9FsuH5o3JAAOO36sl6deS2/NpvkthKbzk/eayMapqHEYr8d6zr1XK+zLU2e2zs4eVQ+y8 FU1s2eRR/YM/BREK5J+pg73v5/EOdaylkvOudyJ0wIMOUbaJdHk+VCagSUqDqs8H8bYwoksqOm0W ZnuiEtj+qyrcxSnEB3MthIB3Kkut/L4lvkIZs8rRTwNWG1IiDJutSkBR8yWpVS5iFpnRQrMcvK2j 0gVy7YJpURDz+nBtSJKwUvCNoxHrIjM9/unPYdDXutTCIUwsZU+zjdi2X4ghaN2N/qV22yNM2Z8l 5h8mErQZNLTTpw06q9k2RTCPvrCxqORhZDctAVlntcwbAstSIGZkE0gBu7pSYVW3/hNB7YnMmGcS RkTSfP2uM3xTGd2U0VwDC+FPiaAUJRn85c/eKEYyqL/S8nrzleD4/c6+O74kT6g+KuNk3wwRdF2e Vb+hoXK742mTi0Wn6u/ufG29W7tHd6g2Bk9QLwPWvD/tslKB74gx8eiTTYR+XknpFYiWe751/Fl+ hTqH0d/CMVgIg5f3p1hWotHP4gXXKWw1j8x+raJ1anEg9E0+euHrZKbajazEGImbQZDmEpbVhoUY 4mEslIKep6ov/v037qbJzaveMzfVxLgH9zcNDz0COzx1K0ME5eEu7t9T9/rEcmpmVdcmNgzzitbO Ii2bMD2C8zRMRTjpOSoo4pwjlvVWOZePbHf1oICafs5TpdKNCtx+SUDnp3CYLN93qeMvQgkNuvcl o06tAQ/qhhCVxV7XhfGKSIycW03LHCulCS8xltJ1eadt5VBeBZOgSokFi6IKb8Utmn98Nkzhycvz YfhHQiMzRghUgwePVIVcr+D57e/hruM9JcmKDM2efx85n88vBFHCmSCscAuv2LDUQDNdmv52ThTZ q1PX6Q== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/rd_bin_cntr.vhd
19
13156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG ZkaGINks7Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz 87nOO0u5LoaEOeyC6ao= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9 bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3 ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m h1UvByaO98o6pNd+n1w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq 02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000) `protect data_block Wy8avf52SKtFRWpdKbuuoKz/QISNFt5qyx1njgupdsY1yGpbX3zodNM63ljgbYDMC3fZW2KyZA85 bRsP6RIcYZOndRqOE7OfH1ur2jFen4OVLRXLO+1hOqazA6KQe2iZsxqSqjfPf2LX+tWfJO/eUBuu NN/DDOvWclIkj5bWWjAOAtaj2ThrtTqPf/Wgm1e+aN1qhMvvZguqwpBvrrLcckW0KeLgbIZPyh1G 5qPHxWqKah52yTq7Ywnyt1sm4WIZ9hJU5H4a26cTUQzChHK2Zka2hkSrxwwmTmZ7I0ooQWEEHQG8 g6UQzKhhk9CGAy+kKdPs2/vSoI9RkxrUY4HloVEOl3p1A3FQMEsUHDbAMiQGAznYA7a6JSZCkgp4 lKQsS5bZQZ29rLBvJAu3DbPvK+fyUaOYB0iucF7/zIPubiPgf0+xTr7LiF8k6nTnh2QhOaw3gm8u VqPnG/linjOiqwPlWr4/HVhCukQMM/yk+tHvBsdClSkzmOVr739g8WAxqh8oUvQuXWLmvcZ10PR4 4274FDLD2E7mjEq2oLGSjrS0MmLK1S++yWo7WqUMLrBMdBcef4aE5ZT9vp1/VmxOLlvne58oqvhh /1744ufYxPMpdJvpMCyUynJm/v1Lyt9NI/TbG+74DQNz49lJCJK78lZcME8EM7UHuc6B+ubWNVA1 7PZPdrKbMLAwoI6eYJ9w96HfaZ2dMZBhZwM8mbQ7FThGdy1U9ECwxeQW0rxzTnlyRWnKlHdgBa79 D6e9hlTgXS1JoJwleRFdZccR/gtMATd5yiSpTsbf9d1cyUv2eewvsgxWaI8I+HPhOfd/uyzlZjbO zDHdS7aILW0PSSw4O4LCbM7zQM3i/ui1KnxwXK9Nuw4tTnreS2LmjvDzcTrpkGGEI+JnAFIGll8+ mxMVRO4QndXwiNfHrJHat7vAfZ/XDXuUTrRPRc643RUhz86JZKC1aOYdP3Iiy28FkRoBkn87bkr6 tVdgCkjh7utXVwv5qlhc+nWnos9u8PggfRXAaZSi7Ajw8jX6s6FLAYXVl92IYdDyYJBZT7I6pUOi 4ql2ucfkF9ql5+8RiWm1SeDPDajH7w/ZNsbt7Sypir3H3M3KM+1q/ZxdZUyhBS2WZyw3ow0goIgL 9hEtchmsW1n+OtYDg5bISQvtjPouiXGoWqdyLc/fEVsBOeuFUe9DSYn0jF6zHSSSLLS+DH+N5s1y MVcavlbR7r5mtqnhnbxsdICqX8CuCAh5hUleVbwlF2YcEVXXeCEPJtT5vsdDQXpoUXvWHjCFHMtn Z80WkY0Qfz1Cs2o6IIrrUwdjKHMsXi5x4QdBFOQXy6sFXPWu14LqzGxfiVUW/bbl8v/VzpBslvpq AvcVSGbnhuqqzgOkoqE12mfG89X8GRBWTIs4jn2TMpzVCOKEd76p0Gf8hwAA2t5xHVJELHM/CCNZ 25V1MVpsscSpUoY1AR6NgAoRP4DvAqJsN6C7UwzNHR/hNuMed5pS/kzhB+xMZ9Tj3J74nFKRn7Q3 JM5W7mHmdy9n0rkJUR6jgJRl4rU6SCkCOGDRnZW4D7LF8j6axIQYateOcd2mSJWUFht/xL0ZuZoW 4kOKSFiGNzhFNBqIAzRwhhe0eNSuFiixdsaVPHuPMAzBGiKUv/zkEjjSuIhev0pB/t8MmWdPmRzI AlNbN6aVA32Bod7Rs6FSX8sdBNn+WmosAMd/mPmGM95pO/NNz7GvF/f4uShaRx6W66UwDkWcbhxX 7PGg6Q56g8ahaetujwOvhZQQdhIUgqD5hBzpSeCVG2tKWzKrSb843P57jICJRb0F6fsDuy5eNuhu b4QtiRYcuog7Yh/puCz+rIfthFGg+7Ki+4UD7v3yO6SIbJkuwwx9YQ0oHHyir8MpSB+KJg9wQWsS /MFNTlx6V+j2NfzBjyDRhLomU/xDnppWkQy6Z7mPf1LWILgouCIR3htQ0Bd6g/OqVJQGRmdePgok 3pSaO7rKefY6QmcdsYHr+9lsVGSIc6HZZafJcmGJvD1tDFl/nd8m7Pq37Gh9sn2AdppYDl7Y50Mc 1yG9De/Yg/kpBGCbCTQJ/X41+nTtCJZV77L/LDxZvMUfHqrdsb/sqjpHaF3AID2Z9EyG5kjFzvdk P9MhlgUrdWNeI173BW/tx5ehaY1CmscCFrOFhSJmstGt44fmo4tH526BKNSs4FUK+ddSAXuZtEEo qXoQOzRmAxsBpWeXRlxhwANqvwmFSVKB5lDW8EwM+6smFOkAlxKec8YFMwk7nqDBad413F2OdQe9 xHgl7d7GrdH4EgGSxRYfOwyBub5qDKRlQG2qdR+7RYx05hi27pL+R7yu2bZGcFDVlBFSPHt+oSWc ryXBF2Ctyi1YdBYCnwXeI68GfdlVaDZXIvrokV5ytKkxicZv/+pPBeSucbqZuvMwsoxdzTEYXl7H L1PcpVDt+KCV1q4Wb//2OnUatdXlCHzJ0b/Pv8XSY9RJdjTqKeNnTq7Y0O3Xwz/Ra8oVoTuNrmlg SSq2RqxEBaBaQuMuxw7ryydzDtqKo3roXTYslewGVJ0nj3PB1WNEWMrA3x7DXm9xPcF5cuDNXeGQ IN7Dgnui1QCenbnrc+vYKFeTg0AdNg77HJtYSGbdPl7ADpZpIG7ctckmTUrsm0sxK1kC/qewHJOb uFG2ik5gET8Q9StUfddEMqNUuookgsb8IgD8uyb3duhstmtmx38BtaQbqJp/vqv1c4k2hg/s6G9v zTDVfmPG7Mi+e0kWFymeDeTcPjYaLty49QShB+W63UzG0E5c33t1WHxeb/y6MW3TE1kN+59grgH+ C1EnM1q/SV3l9UKUeledCorm3ndJGEqaNIdnKXcrM4d/uuACPOl8hzo52dPYt3JEE/3MuP63PFCK PBgzqhgsfStKaSrrAR8D5mTuO464ga+2d0ka1Q+u9e1uNs4uFUsRpqZkImpkFf35blE1txie39F/ DebDjawhICUb/F6iCA1BEMGt/ymt3kaxWoXmrcsNLfaKQptZhr4sq5TJ16GPdsr32IIuY5qatDeT 9F//kq1VRtuqkWaWYoWuSHCI02uOFFXaRZpppvkswsANVSfcB2+IatSk7GQb+dXWTDtqniI1t0NQ InEU/RtjqtS/9x6LRHIo2e3gb0J6kyVTjfMoDXJv0EiOMizi7mn1c+kusLTDctMMeW7QZnvmZ68+ R4wRxxHusnPwHDstaClH2ZwISIE6OkH7MmXgxqG/wn7WN4qWAVI00ZLVAP2nUo2Rxbjpz4NIldZX RUQG4HLiE3ifaZU1CsVfuRKvAn5RufBRJJsWWSs+R1batomtbpytu+1glZDv2qQaQiAtrAbM2eot pVrEJXoeGJ9kyGDJgfbciIjhHwH+yVX5M9xu102ci6uOqGSFGn97ILP1QlP5E6fRe26LiF4jD6hP DQa2uavFGESi7KblVupdYchk+cVeoVNIoexVvFgAWe5KXYpmXTQge+NAaVrGwqWrI5qLv3BOSVd6 u13CQjG1e+gJm0CHKsc44wiiGWTeuixnaLKWGoF0o/PQdfb6qwgOAWqkr7/RwjKmDsCVJBQJGs1a AnRzOgoBUl9zg6awHDqX6uCyynFrywGj9ZCde9UqrYPy9w9oxqjHH9oFYfg+yWxxNzN9a0rt9Qy5 E9yEron2LBl0johs62Lbaemx23DrAOnBR0bmmNzwkB5//37/mNGTfbCTYCp9AhbfNair6LcHbtv7 1u4+QoDzdPz/uGQ+VDD+wVNkYS4It+dE3tdI+d5kGoLOU01y5Q229fmPQI8Qx/gvTjVDaIm4PvTD hSQFsrHEe2Lbrl1HJzM9dHCBUfgca9lSsUt21PmojBDbkY5Vqf2uC4kU3oXcpsan4O6M3AMBQALL p/H6CiUp8UbKFLC8tPQWls1Cz5zWFeu3H9zs5b4NbFj03IdGuuLzNYRQqT9Xgb9VIcpFsS7p8RDD 4WEVgqDaUHAujKK4vL3Rulh9dLEA99wkimdlcZLNo/WaM5QPhNiAfqVC0/1LZpKvAqoSL16GzWuT B2ohp92tT7e3/l1E/pvu4zZuI4XezQoMzDgFUW3AoQxg2J43mAtbvufCl9u4NgkoMpsnDBdjxc66 VF6Ff7YADCb3iVgQqTh0Y3iA4O+nymCVfxHn4qoIa9sSnXGgpaavd4JgoXX+qVtu73OaCPzKu+Nm wXq7JWHUgrUONz/I5yS+/BhuwMiG0dSydSeQ8JMLH24W1pOJXsoZoJZjtPpvV1JNlUQ6vKh4sj1p VYcT4eLxYJINamRVEPox9iMFfxyvytbpaQ0ALxV1DEDg0u2SAFDpOGPsDvpLvJwEVdZMnB1X9TCH ypAIbXEbTTBLSnI+KfMvaO574MHBHQGgQyVs0G/k+ytC/Hcjqa7MbT89IfwUP3Pcp1mHJNNbdWlF i3LGgHNOnkqEhXnHynlE0B7vmkxxgpAGa4PyYkccrPI0Hw7CXcAIzfyc+necZADAPmlPSXds3NMq Qgx2p4m0kPkNTeDGlbXAjhuRz3UOEVo19ojtfshlAPTgNDL4UJoq+jQS4Ro/VQeq9UU6ZST1Yu5L nVQTN0pYifK+O/FRJhItgMBFbQBeD0i5RAV6OZOMC+j1ap459ror/H36czaHEDgp/LRlTzOA3XEJ 9DCodS874t+9SEi8sLFgToKyizki0HsM0d+1jMKrnIjuas3D2GcnRWZBhy5YB9Xvd8lPME9/F75u ux5+GONncO2Swk9hhxX5SR+e+eJxgJvRGbXNROOQLqm+klu7rs8nG6a34b9jF+8XT8McnH5nixeR a4LyDX48lh4iZ1YAEtdtPZ5T+yqjQ3OR+7Hon+xgw96hXdyCr8NiKPF5BRQtCJ3ZWe0U/g/aynwa I1BvvzpKt/1G0zdDGDhBWMMGd4t0n1jMPWdEBZYX5WJ9mGRLQaK/YIzCSB32tsKCiiiU6AEKNkoB 1mPuqe5sYJCBhGOrHvlVewKUFZINfBkfFsd8ovWXVWdLuwOLKyJnLtt2uRQC+cswAd3xFtkGADgJ NWh4+ZS/w4xgSzLbUFnTHtq596pZF5AHvK1UVdD9y+b3kob4Mfjay8E0UzHLMCs5jzkDNhifek5o y8q8S/oSwdvv8UbdOv2M8OftwNgker8a2AUvfgFTRVdoQpGrSTvbIN3kXFNSRj7t263N8AGlJIvW iYtqCI+ZudZMePrcfsxkuk7Bgd1hRFpntV6I7iX2SQIh8LdgojuPH7G4WnNutcE7Ah/WPwSDCuG1 mSKCVi5b7F/XQJg6Nxcbo1sIz/+AcV+VxDmf932S6amkNBWTeB8GX8NUS2BaEhUoHgQz34yzP41s 0SLtkfkFsTseKzk3ULdLZ+wuwFPRDYrnYoLnQTK/VLynB7JOifadeS7w/46RU6Zp3FbmEw1W1Vml Ud9SypP0x0XGurN+Ak7k0wVq03D0kqXe3Ys5c7JYdxiQOHifKGvwCXOW+VxO2FAPRY4NRDbsLL55 mOi0yXjYRPRiqjx3GrsiiFxWGPq4lMhb8h57VGz1e4ExjcU1lT26LZVP4RiP5392DpL5+P5wjF/g G/8sWdphmruQ7uKG7Qm5QirKr3tE4VBvrFpSqJOFSvML4/W21UpOf8RZA+xgScmauH2mRWF9fUXO xr6lKJq+Wl66lAe+RXGA1ji9obfhAxerDaHH9NhCj6oBcTf+DzDvC8AAJKc33YXFX4eLwb4WgOVy BKlsyCICd/6+4HwWqbgsc3G967uj7njxd5uWsHnl1hOjIj+B7zByVAb0DPQnu/0kyYM/mLKYkavn 4QI+0zYq/xENovVx42TUE9HWwXgk393/m2hCuFwAXWvM1uWztXP2OZcANEQfOLt/ZmPEmkKbwcTB EkaUi/ME2SOUuw8ZbGLi1oH1qAk+kf1V2P0Ab3HrC8HyguzftxdFA0qcMMqHDcLeljHItlTzk59F Chklymd+wFc7yk6unM6xC8q4hzF+0QrAIT+0s/G7rihsH9UuOy3Zp7js1PQUYXU9G5+RmAoiyX3f N2pQ2nsP4VOKMLQlIjkgRhe/Io01lup4pqA0VWtYzuqdzi9oB8fEorZ5vUPzcl4j5k0ZIlxwsPtn s0FWoGie4OC5i9J5job6Tb4sh9cAUQjhSmzr6Yt+dNJJ/F+4RWDfe8MdQUDLmy2qSvbHKJSla+0y n/A/+9dANtZ0CmEipO1f5aqG2cMd7nEqzJh89vIOIlT/qQ+hZ+4vyhjud3ndpPTQEzbl4fthA7XY eCBohxqj2lIMDKpkYRJGLN0L5JKH5ThTU5Vipct1I0YAFGn0CmMz+5yuLPtwLpQvWaxN7aX5A1WI VLV7XVYuNcZpCLXcc2MRxFhjuHEXVXCru+fNPSA/J5hzhlo69cmR+9eYNpKA+2KJPh7/FEC6RO8i AiLCriezmda155lyb5P/DWqRCNfoYAbWWV+DoVKeFvMc1OAN6KjyNHVPXCj98InKBRbZ24knjS+C +M/EInkQI6czonIzW8l0RwXxG4FhUML7Ck0rXe+b1ELBhE5FnCT+T6r9F7muh3D83Jh0gAQuQokQ Q97rLAmh96cjplgtfRXzmGvRYpe/gyDV6BFQo6PAb3mqI9644OiqWwVjtceZYkkZfs+zZFKKurCH Qb6cpuy3+lB5JCk9NTP4IyuaN4bLgG2VT0y897yWX3caTh8IKUNM/f2ekcIGqP7nkE832yxpcgeY UxpKV/hAMca8HLNw4zaasJwOrlraA6aIp8yUUX4WQjZdNfWfFYyCxQfylhsze6W7ZjqgtPCw5EMz zD24m5wPoRRTc1YLTejkEPbdBwEUl9L3QbxOUZP38PG5u0t9JzyTm3tu+9/CRVn/3ftoHb/lN0aj +ksTZtmYqIf5VauLa0zePBfgvXQ/P6/kduGDK6/wZ/Kcpk3uu5OBoc7C/bfA/QwJERmqeIyp7BLH b5K3jh3qnztSFHUVsonmSoyOC3vZMcQmeOlS7D1Izubd4n20QCRW2TrdC9YZmT3k2PNPsYuZgM1+ r4JLBbcDtw4OsYm2qUokL9nhri5vRrlrp9p0pPiGg3LalBlvJrqA3O1PUj3MRcCObWAloKo931lE 6PrrrwyQAx7vlgrWiukxTjUk056MZvXRR8oCqbICtoxt+bK+Jy4Hl8k9KJLxKqLiH+XmQ2LcverF QZ+3xxzJdnpVR8737Vd3qMBk3YkaOcK5TGYXqxAEiO/Nwqrm594E3wkOcygCUc+84o4kWRTiEUKQ SH/qYUyW3IGTl+SfQGQWrsWj4dwpTc0jAtl8qyoOX51dSeKV70QLoi/SD8cLMK6CzevccMHUCDRr 7rqpSakvCAMkhYUyyp6taGB+kleFplZ2irPusvvuwwrHEguzDA24a/p8IM8YZ5kxBJkFW9HNX25O p5gMYX9qFxqjsa0qalBuhJnwng5C0/VNNcN/xr8B71u8OPBpxDGwX8tkfDUWUVodFh4TgpQ/GiES UWPvMRg33Qr4r5cLq8CpZEah5QxGtz7jDX8BuIhUI3cl6n0X9LUT3B7lfm+hgyT+DDzonl0KlpPq en0wJ97YiOENJfOmWkVv57O7WCcXUwGqE+mLgVTHlS5dykoZRDyFV0CImmu7P7iuOjMpD7qTRgXN i6Xnfn+N1lRA16ujst7QFSopVhhI/lKTk/6XZaZkGvXyIGzncyHiDZzZqGTdtPavJ1X9KS/tX4Mo jDCv/qkBpaNex88hLq/88SuirtHuUsPIBIsYAC0lV08wASR2ULV1CG7zWPxpQy6sdFjbLBlHu1yX GQW76lZLKfcy2WT6tEYeb4NCctVdsw5udA/G/ly5OKO0ZUpzhTpNF/6i1EL4BGAbySxTasxcXsMc Tb8FQIPva8+Nl+JthCk7qsf8TXSxOddXtBYYWbNVKgc5S1yngoew+65sG1reGYTFYh4PlhUSxMIM LbPiX/fEEiLSXySx26il7H8XnZN6zIDcP5WVeVLemtqXjXRvlRmibXCwFGYJgv2OBwtee27FId29 zdtuk16FJ7JWQIn0Xr2QRyw/8EMJf1J7NItHb0cdvZOTzfJlfq+OAD1aDvhdvIpclkq9bhb/do0X FGHVGqSHDW+WG5YP41JiNHV6fSp2XskJYaorOuTm8GvPjRtT2l1m7lI2FXTzJPFRZ7IqCYbRY1iA 6WI9b5JjRa2hfEuakX+vv66nueoCN5bfo+2kgaAHYxvbz/CnfD+ez/vg76tpSpmlbE6gPro1YPFN jpzCzZY/8j2aLf5KLWgdzd8fyf2KXmUdqAA/q8QXeDqtHKq99j2AFtue2yVHcoTGNIl6EIE2mkeP kMecfC4fJ/kWeBUt5mk1Pe+2WFnUHY1XhRa2U+LI7NA4RjNMk3rBomWJPwqaIhnhrd746pRYzRx/ SW3l0nEV11PX5Tfo7EVdkgN/sZj36WD2ByPsfCR9ILHmIQaXSfenuIeqiwdlSLF4R/y37LESSs/0 rdFriXT+jdRUzpFwAoF5Imh4ASI/3lfWKest90Kmci+f4u5zrMqH6s7Cz+OrSvY4t3iWK/hNavTW N9ARzm01uuMhTve45InkbyvySl1+PHvAie2jSob86hD9RF5Ka+fi1i66XoGFrB0sP6vdC3RS8Ubu JpaXWv9GjEp7qR8b/GMFjoWtohqeQ56+pMLIGCI3g4uQr61211YN1w5hpMz4VsO9/0DoOcaZm/To 6HqRe0q7L7ur/aCkIt4Y33HrdMY7uu2Jh/5/qoneg+2trQCGBJ3KrujDStJR/cUuDeystob1ZYOb eVR7kQZCSjldBJQo7Sjb7V3/t9bz6VpDB7P9lusb5ORI8iso/ci9il0TkVv7CUtkTs5OZ/yNH0wT g82s3KivJJaiY2fZtVKwX6jeMF1GyubkFVeu9fpDDhb3sZptlQTpmFt8aPzf3n3xzCQhhOa32bja +nKV/tAxcdUVKRP6JEWKscGRObH5HBxjSQvMQUTjXISoNwKyhZiuW8lgC5pJgUb89pqd+tGzwwxE 3Q2UQv1vYpqwDLwWPHnVY6mlVAMsp258/cPR22xYVuMy2usiw8DcqAkceLzuWKvw0udsumBF0bQH +6Pm6xzFPw3Wj+OkmvVX1eqplimNf8zCyecJO+yslyR0pWln7NJRQua1LE8csRNO7dN0DyOfVo/W +e7nIT6YI9pwRkF/hSkQgW3Aj2Ynq/KdtOn7hEUr16OPnV2HbdEXxngrbS3/1ImVWn10sPBT91sF aocEu4uCMlpVpqZYIw0ItV2BQXXEdUwUUpjX24H6eLvc43D6S4Iohet9AexoI9oZyM0lYHMuz5vr RIJtUnwzBu6xwSmRfQAZdZJRQz6WksA2/DGtFBaGyTVrS6e/t6c2Hdjj239GQslN8JfmqpoyhsTK awkteIknfE+3DCQAMuWApiWehvLyKyFsGMqDpDiy6zNL9Pgrvm0dn/uSJ0tyST9t8TdHIFeNNwuc Wm0uxQ4Wd8D3XB3riuwZPBJHp02rHbeSlWGswmVw0WXRjLbS3BGZzwuZhMmCGq/I60eeqEV9LU2k rLsbFB5o5ywPB++lWMs+ulXMLmuJq1kBSbk0hJXIsGU0icC9T+7m8HsFKr2Sh+wUq17jB2xLrSeG apPXFqnWZXS8HqHSEQ9Jzixtesv6QPfrpx3VR0hjNwapkEozhmwtmfMzcRB6QN65Sjl0g5g+PiMU MboAXgTM81BFR+44m7caW5DDEc5YyGhz2nHpMgG2CN7/n0ey8JQpKrbggYvM0lTVOrJ+QPozL0Na N/WWjS3B/MSQuBJHRNk7FLULgi4OV4oUYd+hKeXe/OnPnNXwHdP1rI7LDwYXCtl5TTmqE6opdQmg ZW9n4xA0nVTxOXjehNc4AZFtNNN8dzzz+V3VBDpB1dZKpd8w/4He3R7+5VrUfTnhFR/FeJBrTEXk eFGxrsfmowM0L3w+VY3G+wHCkCD2OQHxAjDq7naKHsQMENQWn+5ZAnRwIhRGCJHAAJjByYoBlFSD xBaYfQ9+hOjAs5TA0yx14jslVZ9y9JrS4G33H9xFBNtHFqhdEOOaTB3oJQ7fUxLUqbONqb6nyV2r 4SdCX5i/LHZsrfxuaQAIJjRokscGk7MtiGomP7aHbnSv85xG9IRrtj2yNyVw51OoCbIjYcqCLsBo YgJMxKcva3hWTMVRZv4Sibr2LUt21wdYbPIR647lyyv8aOzptM27empRWYaMwzkPXM6mOpXMo8LI hWpfYxojbCtrxLmKvdLWxKcwDqdu6b1UoKJhnicWHG6qZyw/ao/JsCnPdmb8yBSf5CzUvMld48j/ EpTRYjsSX4O6Cw50i4hnqR1ADkWDnz9yluKXd1LJQSkKANLZm0AQ0sHbM9vKQABSYO+dEJ254Qmg Yh1vYP8Nok5Mqu70XNOYqtmf3uSRx07El2OfZpEDy7QXomRmerZGcYYWRbkIbanmjxB32O634/QK Tz+lW3CAhXv2W3OBPP+mwMFvyjK17xnw8OdfjQd6VXE0m5bqRMjaMBrNuSHSVDSn/W2wAcDo9HTP LmkP8JWU+RTpPJvrWBu27folYM3OIthIpOkqgqnisxXfcbxJocR2dI7sx4D88onefwASCcibcdVb EsbuI4vP8z3p4t0/zx5C6yzJpglCjU0laVdmR5mZkFA7iZqNzzFOVp0vMaH5dXKND6XkJ/OYoP2V APdV9HICzjHWii2moaCn+hJw6OY= `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/ramfifo/rd_bin_cntr.vhd
19
13156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG ZkaGINks7Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz 87nOO0u5LoaEOeyC6ao= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9 bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3 ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m h1UvByaO98o6pNd+n1w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq 02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000) `protect data_block Wy8avf52SKtFRWpdKbuuoKz/QISNFt5qyx1njgupdsY1yGpbX3zodNM63ljgbYDMC3fZW2KyZA85 bRsP6RIcYZOndRqOE7OfH1ur2jFen4OVLRXLO+1hOqazA6KQe2iZsxqSqjfPf2LX+tWfJO/eUBuu NN/DDOvWclIkj5bWWjAOAtaj2ThrtTqPf/Wgm1e+aN1qhMvvZguqwpBvrrLcckW0KeLgbIZPyh1G 5qPHxWqKah52yTq7Ywnyt1sm4WIZ9hJU5H4a26cTUQzChHK2Zka2hkSrxwwmTmZ7I0ooQWEEHQG8 g6UQzKhhk9CGAy+kKdPs2/vSoI9RkxrUY4HloVEOl3p1A3FQMEsUHDbAMiQGAznYA7a6JSZCkgp4 lKQsS5bZQZ29rLBvJAu3DbPvK+fyUaOYB0iucF7/zIPubiPgf0+xTr7LiF8k6nTnh2QhOaw3gm8u VqPnG/linjOiqwPlWr4/HVhCukQMM/yk+tHvBsdClSkzmOVr739g8WAxqh8oUvQuXWLmvcZ10PR4 4274FDLD2E7mjEq2oLGSjrS0MmLK1S++yWo7WqUMLrBMdBcef4aE5ZT9vp1/VmxOLlvne58oqvhh /1744ufYxPMpdJvpMCyUynJm/v1Lyt9NI/TbG+74DQNz49lJCJK78lZcME8EM7UHuc6B+ubWNVA1 7PZPdrKbMLAwoI6eYJ9w96HfaZ2dMZBhZwM8mbQ7FThGdy1U9ECwxeQW0rxzTnlyRWnKlHdgBa79 D6e9hlTgXS1JoJwleRFdZccR/gtMATd5yiSpTsbf9d1cyUv2eewvsgxWaI8I+HPhOfd/uyzlZjbO zDHdS7aILW0PSSw4O4LCbM7zQM3i/ui1KnxwXK9Nuw4tTnreS2LmjvDzcTrpkGGEI+JnAFIGll8+ mxMVRO4QndXwiNfHrJHat7vAfZ/XDXuUTrRPRc643RUhz86JZKC1aOYdP3Iiy28FkRoBkn87bkr6 tVdgCkjh7utXVwv5qlhc+nWnos9u8PggfRXAaZSi7Ajw8jX6s6FLAYXVl92IYdDyYJBZT7I6pUOi 4ql2ucfkF9ql5+8RiWm1SeDPDajH7w/ZNsbt7Sypir3H3M3KM+1q/ZxdZUyhBS2WZyw3ow0goIgL 9hEtchmsW1n+OtYDg5bISQvtjPouiXGoWqdyLc/fEVsBOeuFUe9DSYn0jF6zHSSSLLS+DH+N5s1y MVcavlbR7r5mtqnhnbxsdICqX8CuCAh5hUleVbwlF2YcEVXXeCEPJtT5vsdDQXpoUXvWHjCFHMtn Z80WkY0Qfz1Cs2o6IIrrUwdjKHMsXi5x4QdBFOQXy6sFXPWu14LqzGxfiVUW/bbl8v/VzpBslvpq AvcVSGbnhuqqzgOkoqE12mfG89X8GRBWTIs4jn2TMpzVCOKEd76p0Gf8hwAA2t5xHVJELHM/CCNZ 25V1MVpsscSpUoY1AR6NgAoRP4DvAqJsN6C7UwzNHR/hNuMed5pS/kzhB+xMZ9Tj3J74nFKRn7Q3 JM5W7mHmdy9n0rkJUR6jgJRl4rU6SCkCOGDRnZW4D7LF8j6axIQYateOcd2mSJWUFht/xL0ZuZoW 4kOKSFiGNzhFNBqIAzRwhhe0eNSuFiixdsaVPHuPMAzBGiKUv/zkEjjSuIhev0pB/t8MmWdPmRzI AlNbN6aVA32Bod7Rs6FSX8sdBNn+WmosAMd/mPmGM95pO/NNz7GvF/f4uShaRx6W66UwDkWcbhxX 7PGg6Q56g8ahaetujwOvhZQQdhIUgqD5hBzpSeCVG2tKWzKrSb843P57jICJRb0F6fsDuy5eNuhu b4QtiRYcuog7Yh/puCz+rIfthFGg+7Ki+4UD7v3yO6SIbJkuwwx9YQ0oHHyir8MpSB+KJg9wQWsS /MFNTlx6V+j2NfzBjyDRhLomU/xDnppWkQy6Z7mPf1LWILgouCIR3htQ0Bd6g/OqVJQGRmdePgok 3pSaO7rKefY6QmcdsYHr+9lsVGSIc6HZZafJcmGJvD1tDFl/nd8m7Pq37Gh9sn2AdppYDl7Y50Mc 1yG9De/Yg/kpBGCbCTQJ/X41+nTtCJZV77L/LDxZvMUfHqrdsb/sqjpHaF3AID2Z9EyG5kjFzvdk P9MhlgUrdWNeI173BW/tx5ehaY1CmscCFrOFhSJmstGt44fmo4tH526BKNSs4FUK+ddSAXuZtEEo qXoQOzRmAxsBpWeXRlxhwANqvwmFSVKB5lDW8EwM+6smFOkAlxKec8YFMwk7nqDBad413F2OdQe9 xHgl7d7GrdH4EgGSxRYfOwyBub5qDKRlQG2qdR+7RYx05hi27pL+R7yu2bZGcFDVlBFSPHt+oSWc ryXBF2Ctyi1YdBYCnwXeI68GfdlVaDZXIvrokV5ytKkxicZv/+pPBeSucbqZuvMwsoxdzTEYXl7H L1PcpVDt+KCV1q4Wb//2OnUatdXlCHzJ0b/Pv8XSY9RJdjTqKeNnTq7Y0O3Xwz/Ra8oVoTuNrmlg SSq2RqxEBaBaQuMuxw7ryydzDtqKo3roXTYslewGVJ0nj3PB1WNEWMrA3x7DXm9xPcF5cuDNXeGQ IN7Dgnui1QCenbnrc+vYKFeTg0AdNg77HJtYSGbdPl7ADpZpIG7ctckmTUrsm0sxK1kC/qewHJOb uFG2ik5gET8Q9StUfddEMqNUuookgsb8IgD8uyb3duhstmtmx38BtaQbqJp/vqv1c4k2hg/s6G9v zTDVfmPG7Mi+e0kWFymeDeTcPjYaLty49QShB+W63UzG0E5c33t1WHxeb/y6MW3TE1kN+59grgH+ C1EnM1q/SV3l9UKUeledCorm3ndJGEqaNIdnKXcrM4d/uuACPOl8hzo52dPYt3JEE/3MuP63PFCK PBgzqhgsfStKaSrrAR8D5mTuO464ga+2d0ka1Q+u9e1uNs4uFUsRpqZkImpkFf35blE1txie39F/ DebDjawhICUb/F6iCA1BEMGt/ymt3kaxWoXmrcsNLfaKQptZhr4sq5TJ16GPdsr32IIuY5qatDeT 9F//kq1VRtuqkWaWYoWuSHCI02uOFFXaRZpppvkswsANVSfcB2+IatSk7GQb+dXWTDtqniI1t0NQ InEU/RtjqtS/9x6LRHIo2e3gb0J6kyVTjfMoDXJv0EiOMizi7mn1c+kusLTDctMMeW7QZnvmZ68+ R4wRxxHusnPwHDstaClH2ZwISIE6OkH7MmXgxqG/wn7WN4qWAVI00ZLVAP2nUo2Rxbjpz4NIldZX RUQG4HLiE3ifaZU1CsVfuRKvAn5RufBRJJsWWSs+R1batomtbpytu+1glZDv2qQaQiAtrAbM2eot pVrEJXoeGJ9kyGDJgfbciIjhHwH+yVX5M9xu102ci6uOqGSFGn97ILP1QlP5E6fRe26LiF4jD6hP DQa2uavFGESi7KblVupdYchk+cVeoVNIoexVvFgAWe5KXYpmXTQge+NAaVrGwqWrI5qLv3BOSVd6 u13CQjG1e+gJm0CHKsc44wiiGWTeuixnaLKWGoF0o/PQdfb6qwgOAWqkr7/RwjKmDsCVJBQJGs1a AnRzOgoBUl9zg6awHDqX6uCyynFrywGj9ZCde9UqrYPy9w9oxqjHH9oFYfg+yWxxNzN9a0rt9Qy5 E9yEron2LBl0johs62Lbaemx23DrAOnBR0bmmNzwkB5//37/mNGTfbCTYCp9AhbfNair6LcHbtv7 1u4+QoDzdPz/uGQ+VDD+wVNkYS4It+dE3tdI+d5kGoLOU01y5Q229fmPQI8Qx/gvTjVDaIm4PvTD hSQFsrHEe2Lbrl1HJzM9dHCBUfgca9lSsUt21PmojBDbkY5Vqf2uC4kU3oXcpsan4O6M3AMBQALL p/H6CiUp8UbKFLC8tPQWls1Cz5zWFeu3H9zs5b4NbFj03IdGuuLzNYRQqT9Xgb9VIcpFsS7p8RDD 4WEVgqDaUHAujKK4vL3Rulh9dLEA99wkimdlcZLNo/WaM5QPhNiAfqVC0/1LZpKvAqoSL16GzWuT B2ohp92tT7e3/l1E/pvu4zZuI4XezQoMzDgFUW3AoQxg2J43mAtbvufCl9u4NgkoMpsnDBdjxc66 VF6Ff7YADCb3iVgQqTh0Y3iA4O+nymCVfxHn4qoIa9sSnXGgpaavd4JgoXX+qVtu73OaCPzKu+Nm wXq7JWHUgrUONz/I5yS+/BhuwMiG0dSydSeQ8JMLH24W1pOJXsoZoJZjtPpvV1JNlUQ6vKh4sj1p VYcT4eLxYJINamRVEPox9iMFfxyvytbpaQ0ALxV1DEDg0u2SAFDpOGPsDvpLvJwEVdZMnB1X9TCH ypAIbXEbTTBLSnI+KfMvaO574MHBHQGgQyVs0G/k+ytC/Hcjqa7MbT89IfwUP3Pcp1mHJNNbdWlF i3LGgHNOnkqEhXnHynlE0B7vmkxxgpAGa4PyYkccrPI0Hw7CXcAIzfyc+necZADAPmlPSXds3NMq Qgx2p4m0kPkNTeDGlbXAjhuRz3UOEVo19ojtfshlAPTgNDL4UJoq+jQS4Ro/VQeq9UU6ZST1Yu5L nVQTN0pYifK+O/FRJhItgMBFbQBeD0i5RAV6OZOMC+j1ap459ror/H36czaHEDgp/LRlTzOA3XEJ 9DCodS874t+9SEi8sLFgToKyizki0HsM0d+1jMKrnIjuas3D2GcnRWZBhy5YB9Xvd8lPME9/F75u ux5+GONncO2Swk9hhxX5SR+e+eJxgJvRGbXNROOQLqm+klu7rs8nG6a34b9jF+8XT8McnH5nixeR a4LyDX48lh4iZ1YAEtdtPZ5T+yqjQ3OR+7Hon+xgw96hXdyCr8NiKPF5BRQtCJ3ZWe0U/g/aynwa I1BvvzpKt/1G0zdDGDhBWMMGd4t0n1jMPWdEBZYX5WJ9mGRLQaK/YIzCSB32tsKCiiiU6AEKNkoB 1mPuqe5sYJCBhGOrHvlVewKUFZINfBkfFsd8ovWXVWdLuwOLKyJnLtt2uRQC+cswAd3xFtkGADgJ NWh4+ZS/w4xgSzLbUFnTHtq596pZF5AHvK1UVdD9y+b3kob4Mfjay8E0UzHLMCs5jzkDNhifek5o y8q8S/oSwdvv8UbdOv2M8OftwNgker8a2AUvfgFTRVdoQpGrSTvbIN3kXFNSRj7t263N8AGlJIvW iYtqCI+ZudZMePrcfsxkuk7Bgd1hRFpntV6I7iX2SQIh8LdgojuPH7G4WnNutcE7Ah/WPwSDCuG1 mSKCVi5b7F/XQJg6Nxcbo1sIz/+AcV+VxDmf932S6amkNBWTeB8GX8NUS2BaEhUoHgQz34yzP41s 0SLtkfkFsTseKzk3ULdLZ+wuwFPRDYrnYoLnQTK/VLynB7JOifadeS7w/46RU6Zp3FbmEw1W1Vml Ud9SypP0x0XGurN+Ak7k0wVq03D0kqXe3Ys5c7JYdxiQOHifKGvwCXOW+VxO2FAPRY4NRDbsLL55 mOi0yXjYRPRiqjx3GrsiiFxWGPq4lMhb8h57VGz1e4ExjcU1lT26LZVP4RiP5392DpL5+P5wjF/g G/8sWdphmruQ7uKG7Qm5QirKr3tE4VBvrFpSqJOFSvML4/W21UpOf8RZA+xgScmauH2mRWF9fUXO xr6lKJq+Wl66lAe+RXGA1ji9obfhAxerDaHH9NhCj6oBcTf+DzDvC8AAJKc33YXFX4eLwb4WgOVy BKlsyCICd/6+4HwWqbgsc3G967uj7njxd5uWsHnl1hOjIj+B7zByVAb0DPQnu/0kyYM/mLKYkavn 4QI+0zYq/xENovVx42TUE9HWwXgk393/m2hCuFwAXWvM1uWztXP2OZcANEQfOLt/ZmPEmkKbwcTB EkaUi/ME2SOUuw8ZbGLi1oH1qAk+kf1V2P0Ab3HrC8HyguzftxdFA0qcMMqHDcLeljHItlTzk59F Chklymd+wFc7yk6unM6xC8q4hzF+0QrAIT+0s/G7rihsH9UuOy3Zp7js1PQUYXU9G5+RmAoiyX3f N2pQ2nsP4VOKMLQlIjkgRhe/Io01lup4pqA0VWtYzuqdzi9oB8fEorZ5vUPzcl4j5k0ZIlxwsPtn s0FWoGie4OC5i9J5job6Tb4sh9cAUQjhSmzr6Yt+dNJJ/F+4RWDfe8MdQUDLmy2qSvbHKJSla+0y n/A/+9dANtZ0CmEipO1f5aqG2cMd7nEqzJh89vIOIlT/qQ+hZ+4vyhjud3ndpPTQEzbl4fthA7XY eCBohxqj2lIMDKpkYRJGLN0L5JKH5ThTU5Vipct1I0YAFGn0CmMz+5yuLPtwLpQvWaxN7aX5A1WI VLV7XVYuNcZpCLXcc2MRxFhjuHEXVXCru+fNPSA/J5hzhlo69cmR+9eYNpKA+2KJPh7/FEC6RO8i AiLCriezmda155lyb5P/DWqRCNfoYAbWWV+DoVKeFvMc1OAN6KjyNHVPXCj98InKBRbZ24knjS+C +M/EInkQI6czonIzW8l0RwXxG4FhUML7Ck0rXe+b1ELBhE5FnCT+T6r9F7muh3D83Jh0gAQuQokQ Q97rLAmh96cjplgtfRXzmGvRYpe/gyDV6BFQo6PAb3mqI9644OiqWwVjtceZYkkZfs+zZFKKurCH Qb6cpuy3+lB5JCk9NTP4IyuaN4bLgG2VT0y897yWX3caTh8IKUNM/f2ekcIGqP7nkE832yxpcgeY UxpKV/hAMca8HLNw4zaasJwOrlraA6aIp8yUUX4WQjZdNfWfFYyCxQfylhsze6W7ZjqgtPCw5EMz zD24m5wPoRRTc1YLTejkEPbdBwEUl9L3QbxOUZP38PG5u0t9JzyTm3tu+9/CRVn/3ftoHb/lN0aj +ksTZtmYqIf5VauLa0zePBfgvXQ/P6/kduGDK6/wZ/Kcpk3uu5OBoc7C/bfA/QwJERmqeIyp7BLH b5K3jh3qnztSFHUVsonmSoyOC3vZMcQmeOlS7D1Izubd4n20QCRW2TrdC9YZmT3k2PNPsYuZgM1+ r4JLBbcDtw4OsYm2qUokL9nhri5vRrlrp9p0pPiGg3LalBlvJrqA3O1PUj3MRcCObWAloKo931lE 6PrrrwyQAx7vlgrWiukxTjUk056MZvXRR8oCqbICtoxt+bK+Jy4Hl8k9KJLxKqLiH+XmQ2LcverF QZ+3xxzJdnpVR8737Vd3qMBk3YkaOcK5TGYXqxAEiO/Nwqrm594E3wkOcygCUc+84o4kWRTiEUKQ SH/qYUyW3IGTl+SfQGQWrsWj4dwpTc0jAtl8qyoOX51dSeKV70QLoi/SD8cLMK6CzevccMHUCDRr 7rqpSakvCAMkhYUyyp6taGB+kleFplZ2irPusvvuwwrHEguzDA24a/p8IM8YZ5kxBJkFW9HNX25O p5gMYX9qFxqjsa0qalBuhJnwng5C0/VNNcN/xr8B71u8OPBpxDGwX8tkfDUWUVodFh4TgpQ/GiES UWPvMRg33Qr4r5cLq8CpZEah5QxGtz7jDX8BuIhUI3cl6n0X9LUT3B7lfm+hgyT+DDzonl0KlpPq en0wJ97YiOENJfOmWkVv57O7WCcXUwGqE+mLgVTHlS5dykoZRDyFV0CImmu7P7iuOjMpD7qTRgXN i6Xnfn+N1lRA16ujst7QFSopVhhI/lKTk/6XZaZkGvXyIGzncyHiDZzZqGTdtPavJ1X9KS/tX4Mo jDCv/qkBpaNex88hLq/88SuirtHuUsPIBIsYAC0lV08wASR2ULV1CG7zWPxpQy6sdFjbLBlHu1yX GQW76lZLKfcy2WT6tEYeb4NCctVdsw5udA/G/ly5OKO0ZUpzhTpNF/6i1EL4BGAbySxTasxcXsMc Tb8FQIPva8+Nl+JthCk7qsf8TXSxOddXtBYYWbNVKgc5S1yngoew+65sG1reGYTFYh4PlhUSxMIM LbPiX/fEEiLSXySx26il7H8XnZN6zIDcP5WVeVLemtqXjXRvlRmibXCwFGYJgv2OBwtee27FId29 zdtuk16FJ7JWQIn0Xr2QRyw/8EMJf1J7NItHb0cdvZOTzfJlfq+OAD1aDvhdvIpclkq9bhb/do0X FGHVGqSHDW+WG5YP41JiNHV6fSp2XskJYaorOuTm8GvPjRtT2l1m7lI2FXTzJPFRZ7IqCYbRY1iA 6WI9b5JjRa2hfEuakX+vv66nueoCN5bfo+2kgaAHYxvbz/CnfD+ez/vg76tpSpmlbE6gPro1YPFN jpzCzZY/8j2aLf5KLWgdzd8fyf2KXmUdqAA/q8QXeDqtHKq99j2AFtue2yVHcoTGNIl6EIE2mkeP kMecfC4fJ/kWeBUt5mk1Pe+2WFnUHY1XhRa2U+LI7NA4RjNMk3rBomWJPwqaIhnhrd746pRYzRx/ SW3l0nEV11PX5Tfo7EVdkgN/sZj36WD2ByPsfCR9ILHmIQaXSfenuIeqiwdlSLF4R/y37LESSs/0 rdFriXT+jdRUzpFwAoF5Imh4ASI/3lfWKest90Kmci+f4u5zrMqH6s7Cz+OrSvY4t3iWK/hNavTW N9ARzm01uuMhTve45InkbyvySl1+PHvAie2jSob86hD9RF5Ka+fi1i66XoGFrB0sP6vdC3RS8Ubu JpaXWv9GjEp7qR8b/GMFjoWtohqeQ56+pMLIGCI3g4uQr61211YN1w5hpMz4VsO9/0DoOcaZm/To 6HqRe0q7L7ur/aCkIt4Y33HrdMY7uu2Jh/5/qoneg+2trQCGBJ3KrujDStJR/cUuDeystob1ZYOb eVR7kQZCSjldBJQo7Sjb7V3/t9bz6VpDB7P9lusb5ORI8iso/ci9il0TkVv7CUtkTs5OZ/yNH0wT g82s3KivJJaiY2fZtVKwX6jeMF1GyubkFVeu9fpDDhb3sZptlQTpmFt8aPzf3n3xzCQhhOa32bja +nKV/tAxcdUVKRP6JEWKscGRObH5HBxjSQvMQUTjXISoNwKyhZiuW8lgC5pJgUb89pqd+tGzwwxE 3Q2UQv1vYpqwDLwWPHnVY6mlVAMsp258/cPR22xYVuMy2usiw8DcqAkceLzuWKvw0udsumBF0bQH +6Pm6xzFPw3Wj+OkmvVX1eqplimNf8zCyecJO+yslyR0pWln7NJRQua1LE8csRNO7dN0DyOfVo/W +e7nIT6YI9pwRkF/hSkQgW3Aj2Ynq/KdtOn7hEUr16OPnV2HbdEXxngrbS3/1ImVWn10sPBT91sF aocEu4uCMlpVpqZYIw0ItV2BQXXEdUwUUpjX24H6eLvc43D6S4Iohet9AexoI9oZyM0lYHMuz5vr RIJtUnwzBu6xwSmRfQAZdZJRQz6WksA2/DGtFBaGyTVrS6e/t6c2Hdjj239GQslN8JfmqpoyhsTK awkteIknfE+3DCQAMuWApiWehvLyKyFsGMqDpDiy6zNL9Pgrvm0dn/uSJ0tyST9t8TdHIFeNNwuc Wm0uxQ4Wd8D3XB3riuwZPBJHp02rHbeSlWGswmVw0WXRjLbS3BGZzwuZhMmCGq/I60eeqEV9LU2k rLsbFB5o5ywPB++lWMs+ulXMLmuJq1kBSbk0hJXIsGU0icC9T+7m8HsFKr2Sh+wUq17jB2xLrSeG apPXFqnWZXS8HqHSEQ9Jzixtesv6QPfrpx3VR0hjNwapkEozhmwtmfMzcRB6QN65Sjl0g5g+PiMU MboAXgTM81BFR+44m7caW5DDEc5YyGhz2nHpMgG2CN7/n0ey8JQpKrbggYvM0lTVOrJ+QPozL0Na N/WWjS3B/MSQuBJHRNk7FLULgi4OV4oUYd+hKeXe/OnPnNXwHdP1rI7LDwYXCtl5TTmqE6opdQmg ZW9n4xA0nVTxOXjehNc4AZFtNNN8dzzz+V3VBDpB1dZKpd8w/4He3R7+5VrUfTnhFR/FeJBrTEXk eFGxrsfmowM0L3w+VY3G+wHCkCD2OQHxAjDq7naKHsQMENQWn+5ZAnRwIhRGCJHAAJjByYoBlFSD xBaYfQ9+hOjAs5TA0yx14jslVZ9y9JrS4G33H9xFBNtHFqhdEOOaTB3oJQ7fUxLUqbONqb6nyV2r 4SdCX5i/LHZsrfxuaQAIJjRokscGk7MtiGomP7aHbnSv85xG9IRrtj2yNyVw51OoCbIjYcqCLsBo YgJMxKcva3hWTMVRZv4Sibr2LUt21wdYbPIR647lyyv8aOzptM27empRWYaMwzkPXM6mOpXMo8LI hWpfYxojbCtrxLmKvdLWxKcwDqdu6b1UoKJhnicWHG6qZyw/ao/JsCnPdmb8yBSf5CzUvMld48j/ EpTRYjsSX4O6Cw50i4hnqR1ADkWDnz9yluKXd1LJQSkKANLZm0AQ0sHbM9vKQABSYO+dEJ254Qmg Yh1vYP8Nok5Mqu70XNOYqtmf3uSRx07El2OfZpEDy7QXomRmerZGcYYWRbkIbanmjxB32O634/QK Tz+lW3CAhXv2W3OBPP+mwMFvyjK17xnw8OdfjQd6VXE0m5bqRMjaMBrNuSHSVDSn/W2wAcDo9HTP LmkP8JWU+RTpPJvrWBu27folYM3OIthIpOkqgqnisxXfcbxJocR2dI7sx4D88onefwASCcibcdVb EsbuI4vP8z3p4t0/zx5C6yzJpglCjU0laVdmR5mZkFA7iZqNzzFOVp0vMaH5dXKND6XkJ/OYoP2V APdV9HICzjHWii2moaCn+hJw6OY= `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/builtin/builtin_extdepth_low_latency.vhd
19
43742
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L9EbKuxxzV/09pnAb0OGW9DxPQ+o+m/MvX4x5f3JCiR63+KWt2eYB17k+9mGgVY+K1VLxoYz0z6V YvlDefublw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gJD53XIM6IXGcoGao7b+pChhlJwhGxOuVwSTI1iU+aaEVIG37JelabzUSiGlwgboK2Zv8N9/EzBK Y9pDSGcMvhlTABOa75VEGmta9QvVzRVMjXtd0b/jrdUkZar600zvkPbB8+QESNshxT7B96klkdIo XvMdlDR/SEQxmh4Mkpk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block uMh613zg14bfl9MaiMXKdALr5q+gvlBiCCfJpnudkmj/VEzNaqE3gABSgWbIJEk6l3XEblsHwoSZ 2eueijgOoGBjZq9eDXqLeir52M0Z4RoybrJFqX7YgYE+2quggoW8XJjUPK7bExWH1Wd6un6XRwZo +XQ53VUhkTgctFKNHRr7bEqxJa0qk8dm+fTRKVmCc1Tr5X6rd28yRrr4koH3+liBwEPKquwcMKJL zK5B0g+bSiHJvGXlQQpKzQNF3+4MebcveUUQPOYG2FAjfRJs1t60dgE73q6y3I1DMI/3MguCuvoX 78TA3nOFRYGLkISVFXDX28xYA0EnciH3BlzGiA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2ADp5V47yVkwRII2+UsRY3zvclviExupZdil2h787eVOjYg5odQlZCOMnldkarIbxDBoj52vjMGc rG04pAKa/Z3oDUnDkDe8ZMmBI29kynugqgc8aGxYPVKp3KD8EvhnicB6/4Tt66g9A8WsjHtxXLuC 0ImlGHU3T8u48JygeUs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block s5k0DDcwk1Yhkk6mc4rW2ITc+jBCojX0QPFrzARjmvIjcmc9EJT8pAYSdJK1ykoSIGmT8u4U6vaF 5pchZ1NWV4+0T78Lu7ir0M6lHPYDFRgXZTR6CNdPGqAe+Si56W7NnXEM0Yylf/w4tAQ0u+05yvCg wK+mPCq/91Em5ZiPcvKOHOdJBSTTkSYC7/n0QNniR1mBmd7+dgsFr5yshClYY/q8HngDDE/aNYfx P9AT4ECjL+OzARXCnbTA6RjbHEjVx1ewIc83WIXkwbZjUYAzp9rYNjFdx68zjq8U1XW92RXAEXCc AYKv676uVGq/WAryucxGApaihL/izu2+HGUsYA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30640) `protect data_block TGoYSUtq9QXorwtXYAiku5PRRrofa1QO0tKP2kJaj3QuzD8XFFlvgmBxexyLYDE6gDfD3y1MimNL y+GFR4k8uGqsTU4X/c+yG7qWrgec1Z/r4nV+jBcL0IflUtTCMpq+DQitly34B3lyEm+/ewhdWbag sQU92u1xDPqyW4Fay+CZNGjpJEW6bQ6IDAJc7vACSdWHqRQ6Wrr7OvEkDQEjVx6I5FstZJSKRDyM kkrVQ7wugbCgqedvuZCna1k8+ZH7dyRcyOIbqwtOUx3+ole5A/bpTq1405Z8HHOPnnLBzuvKN/i2 h3Ik0stJQYc41NspCzWtUqxX9Bi28wMdEtl98p6niJJJspPsNRs/+hdLPK8mB93Edi4KWJ/uhRqS yxZFf7LkvVi+N1jLa10d3keQqXfEUiWSaCDXPOWY79DklbnODKMFO7yWaBJejaztQDzeKsm65LPQ Y91glKNdw1T9F6Q0eGTfDji1Oh6STnGcLF7Q4+ft6I3sAcFoa+GYAMcQrxcbYV6gPRP/sHvDS/U3 x/6yx4C33M5MP2lMb77YET1MfVMdENnCd+z/ngchRAtQtgE9fg5naOmX5BLqRMHmsj5DVEciFiRM 9Q2PPHT1Qjue1AjcxPnr77595F06MYAipT3yiyNQUYDWEWMMvCiA0DFt+QLyVZD3/1MNiyEQf3FH Zr907KKaoTMl1xF4Yv18UQDSznABhvA96BcMNpT1h5esJcK7ItvTbfgEWeyOA5V5Yz8+tR8sci7C pNVbCNrqiJGQqZeZ1EH/K24sMAXcLfjDa3GM1U2aNCNu22TYqw/XKixHntS2NQpSiwdvOmenWtbw GivCdLZtIXa4ljb4VUy91tWUSdz8zAt2PbUiCBtSRd039hzHSdmTaFIewFsALp6CMFqAmkz50reA XmQf5KrBDyqp5TYB41NCgltZKr2YxvAdTkIRfsZROAbF0gSraAulVoNdx4iHJRjXJtp4jDe5/P4A ImbYhhVcSMmqZW5yilWcRLwAH7RsCrGoQt7Rnf5PK4FCKZ/HB91QUxs5jYlwy2C0brNaw3D6r0u/ aPjaIjX5UYhLBF+qrrxRiXnv/OR5LZGSUkwXKvfrj6kAae1s/up3gtdS0L7SZDcBWmvCps+zxhie URaAurKOkd5D9miHbmFaJwnF8D28dFPSHcUH6O99UiuNgDbNHJ3QAZanYKOWRTa4sILwaHcGaAhO 4J1kYV58zGQJvk0EtxO7wqeP0ldw2qJIfrLOyT57CVvHU1yZeVx5YGakuNvFZSJ+JRtLWT/IuzK5 /k9qNfBfWVu8IoE9VS8TS5kH+s8Hjg5+Qyvvdj56/ZqN5C9R2BdDFONDEhVNPzv9OYQ9bZjPcLGm 7X+s7R/QMCX7MAgFk/KGsYmuNUrNdkfoZojYQa6jX503LHuaAzL5h4qUGHnbMKfqZN6QQNlwlpoa NKz1kMyED3ybDh4pLlVxvj+WUM03tEEpjZA8UInhTtsQW2AO6/cAXDmA+05f/P8BZ/v2zdyJySVF lqWiFxf6z/onF0Syr7rENw2MqlwysBHeRhhmtblDQqlzmdAQIiCmVVF90KuXeJcwx01ae7RwPOsi 6DTfuUDOYh2EakpUfAUqKekEUX4SrhSHXGEiUy198AvUQyTz0eOsf3WHYKH/yjasn/4n93pQ8xAz Vl6qLyqCl1MGp4seT8wPilsm2U4MFNIPX4NWd84AYv2QtqLwfpRPK7UVStfosKqBNDfk0lOJ5OB4 FgfH5tIlwSnqlJUri1nCu428r2/9+Z4Wa3VttRm0szGwD7FSTcR2UIpJ8XxNz+SErXlg19nXWK4A 4P/Ga83z3IcITvwrGdoipK6FnLwOr4YJqyVFMYdzeTBbgnkIOcGDbhH4P+myKi9UM+e1tLA3Evxh KAcFsV2qWFHtrwySV6c4Gf66w3IGbGXe4kiahq3XXSrBzR4MnYzEvCcPWuczjwbB013/QiXFxy5w cTa2TWgJdieJaP1pHEqGF0Mf6npoWD00lz31JWqDpIJk8yEPB0wfkerXQmbSOVsgjbAJOqGqfbUP hxBu8VBTRZ1T5QzCTgSJhSqhopqqowHl3IkRiZ7F2svu8sFjMbHaQvs4VNofyULsCe8G8i8Rze1R YDtncIsDgERqpZKI/feDLTI2rp/Jo6JQ5bviikRxE2nWKFevLgQSwDD0wvB9eTBhsFe9dHP0lyjE 4ixjvHSZc9EygzYAFrZdkR6AgUF183PVKe2SHNbz1VdJ9HGcux04kk0j+Exib6rj00guXOB3bA8q ZLg8Rh1aX0K96GB+F/jWPWP6n1vCrcD8PI1GwFXOixPr30aP8LQMWE9Ugyfz+Wvenr3bjF0lUlGQ JMjzf3OotTF2mxJoTnOSEf/iL53/gD97k4HKqRniMrqcqmJ6KVmttoetm3VopYOaT1TNbpn3Plpl vaViAQI6dNtQkJO27IF3bi/zJamZ7Y70OeP6VE6+mar3UiiU0kdO2sHDMiK9awHiZnq2PeaEtBiN 55KnxvUnN+p8SyagTY1YeImKTk2Tg5DXDGrpBshLvT9rBFNWwVE5UGLBfV0s2FbgxSZbdqJEyXr3 jWmYBeOD1s+inLRYM2wm1WmGsuqeOZqve1le/8Gzr5fqRhHkVFLqELxEnfnvdGKLP+wle1KSyslW mVylpNhvgzE0utV6ceJk/yYDtcJXn9YBAfWhnQInYbAORTgcEDkWBC8jr8NSM5+3jpANsWDBZ/yR gT78qJCAuvNvbLDCEbsmQGFg1518J+2glNpcE8RklqB6svX24Cz2uclo3n/bXyDFASgfSLEkzJvB 9oY5rca8NlYzoAYy9DdlrQ6Vu3GWRAmhf+QsP2HHp4QVL4KBH16YEeycvdYVpy8+AWKZUEOCQDRc ge0knitBMwnOTbAqwuyEY+gfmaGQ+sRWeW5mm4M6br5HIfz/PHjS9IOnywHOkLHkPnH/jKVnv4dm sA1HodHPqK3Aj3jl+SPoyGLK7jpwlbKrUvIylrctEx/Fh1idtsjX/OH0jyztyzNW26O46MqwABzY SYsPvVkeLWEMgGlmyOztG+zxCwuQ1yBL+gzn5qqH4Umai0MooYuwfbgWaekV+zngNUSxfjnjdALl M871nLkSg1IeDMJ7+oOpWofT3zh5kE2avJInti9kCY0Qy977RfsqUliyAmMy334n2R/ApIVS991w HuAR1BHV70/d4BJuQi/Le6jbC53YfIa7smiPYqmh5L4l3eVcsuhTUlrXu/FCM+tddtGtqAgjTbDH 95+ajvLbGshRUxAqnfLyd5t6EplRzI9eScZ5Qf37tmPWl+RhKhz/TduXvOGYdZlP3dI6jZ3LrQ5y yOaAMB1tATpHTrROY8UR+Fd154NjDfwjLNpnuSfMc/zk/1WLVjIDgMY6OAY3TCD0xi0auWNBoeD7 h5tdUf7Xa4cuIGwgwMtKh9T0s0Jy9WBtRTcOMfq0nbJ+9tgd+zPUp469pmYqFeyJIIgFr4k53E5T CPAeWCu5dBGcXFJesqqidpxzdyQlpm8dD7T8gs1+mrEAm/xcemVe1ATdTFwNxKh+6O5yso2dTFOR fKPaUdN5LdKJZbiXLnvJ0/lHkkDsxNIj9F2uHuKUfbX+Pe7GdPJtI9mkc/Mb0VLogkoXvUfs5T41 yi7yRnXyAtT6HA1X+8sTCmRxuUUPIg+sNXqET8hWH9NQfVEXbNpSbCtqJghJsQoErl2UeDmT8buo mSZHpwlbp3CSZmq8f8mfEramnP/Y2NxlpPKz75gqcnqx55eDzA5IblKd2UAw8J+wW5/lNi/X+pm+ RjrK2oE66sU2MlF/oZfWVImW/BFWOj7BAz3cE1yXawUzh48T2zbcRbZAoe3T8teaBIh5HlEEWIZr aK92PgDTI0Gso4a9JxEUGwiIaRKgyjgV4L4saDQpoeczI0n9kpXlSOpaiekyTSeevk+Ac05wxyb2 oM39FNwn8WmOnpjAS4J8SIUm87TOotKSH6gCy+ohBeavPcxsQxIH7j/9nAyxzCQlGxYtF7Q4xeri KHCfRs3ryIkGnTmg1saA2HurhpCvHCUJshFbFHlET6mGs4OqBu2fnvYvrF9kAf9c4fPzd6ZiThZw 8Y4wqAtEvQNNcHVn0FNZ6Eb+EFrQRHMVHOv3LonoGbog9D5J8KGXPJZ+Md3hyrCCCZ1Git4sXxii L/N2NTr256VJFqjqj3VrrewhH9vZR9UAgmjoKp9Jz+NliHpAvNenmkgQkrlY4nEMwv5B1kx/wtVY yqJ/o7lFG+F4BxBlDnFkqAHeAV0GA7vYqaK2Uv4Te8nbe5p/Foehu/Klp9rVo9+sszy3ru3w90nw kxKeUY9jp9OknHi8i60fnRsn9IWNn3j9F/MTinR5cNgQjwugr0XUv6Ec3viOS0mn7VW8qb8aCSjN lQGpux65BrnQ6lYVVR5/p5B7WsEcjkcwwZkHmDgS8Im+AGsjCm5JcFNJBbJBiVfBZ2LnwdCI8G7m vNXdMfqK+6/dpVATWgCaEZZj2pOQaUAlhDUKJOM2SjnGL1sgTwZ9BzYDlvT8k4rQKkQPN/igN0oU 71JUm2SG5IIHfd7/LK0po9zS+Nr6uw1Jt0GZJSn3+8v4mlPjytuyd43MkgOj3tcsGJR/k5SMqLUp tNJ5Nf+Y1QJDonBnL+YWKnUJkqb/rUq3kv8CZce6KN/Ci9qYfcxlich557zc4O98XiBPOcNdOzGh JoUKhV2rT05NfrJRGt/pNibySgGKkYSZBDMva5eTI3L6DUhhXtg7FZh8Vp14KsCe+IC5iuvmcvTD 6c+IdCQfAMiPidWrErtl90RX81o5W+zqXBdfmzLpWi/aVF5gHUF0mlGdUKvjjagQaFcsaPmAyEbt lJHrKShj05cr3YYjCll+0m3Vj+jbwWdEUuplOb20HYExLwJJo4QwEP2omT+wu0rd4/7yHOjKVF34 WtBc3K4ahYh6dayIcJwic6aBgjFWbFYzaIwQUq/jiX+DF7AZHlMVatOiKDJ6pMhsEMwR9vCUdVSv 6y3o8DC1PthJm7io+7bJD+H7ubiHyLs+3+fyX2Rl3GkLtjVYBw+opCs+BjBWJxxormB4i3KatRHK dXurWsq7g4ixaHZe1fEuWDKQEAy6A1qNFIHO4MN28ZltrhzAdZ2FFWU8KxQJgSdFPsC375yz70iW FR87V8uAWnXkeqolZ+4lb9E4mBihCm1Eypqdq4pUK9E0HMvqbf/+kCZNgkq27NEx75aR4ISwB1iR yXX3Puyu2y0w/PzeY70DQgF7mBLMxsHAI8I/3eCor8GEBVvGL+qe057ZeT4+bfG5138ImAbgel+g q83E4TMUtNmVQbtrATA0TDmKCi5pkhfqvsOlnNVzCBlOfC9Xj2JVRyyR/hSMKtQonEXOEmHRpGRB w7KNStcdz6wdDh+8xITFUssnAeqnkAheJxAMLlJuqQCTTlyG49WhjXjBhRopPSrKRz6067ky5ZBH AGajA6YTodjNNrB5ucOp4jtpzMSm7LvL0IeUeZnHnbIKNO2XjU0gsGPV8mghzjQZTsZ1HsN3L5uj y29CxsiRb/pmmnUA+RX51e9uiItoTngPJSn5cMqvkYOCWUC729xmUqB5D4ssHZXCQhUVkkVxRvTv ivbXATJpUmfYoAubq+HwKx2F9O21Ky2kmt4nOD/8EApeVTkEgchE/p1YLT/T38NlDSsEzaKYQeCW iUL0zSFgLseNbQBUwJE/B8sH9LAEC1pO3Xa3psCF5oROyIWrffkJcE+G0iAhMJnzFhxQPdKE35T1 HTPIDoO/Kiz/qKIlLWLFccGieF10JudKFl1/aFGrPGy//4h4SR4KUt1nWFy1lkVLB5mIUtVtHdne ki+gE3/kgRz86YqfptvlLLvOeXs18aQB6w0TZYarvYuphCUcRBlVVZXHUQiCuGPhvmXDF552gpRs nFs26+qNYYsK5vPYTOXC32bOpf2ZFGserZtB5EdzMhKJUXSFwll/RiApitHu60VUlAm7PofH5HW9 QxHS02wKVU0AbJ1hvQVPpaTlF++DgP7vFGNzb9cWjOBStSpxCWcRE3AHCiAcn+9yKR5tdDapYss5 sIuCBbrI4LkdJzgJeLb5FcCl31jbXfRJfLK/w6i/kunqsBvz5iZMB1O2RIlzhcn+ch80aazjR3wb COEpkhUiAe79zTb74s/NB2oO1JMnduKe4FUa+UHRI2yKqHRZE3odWWxc19axUVi9lkwK+JGb8YfG sYvM72lP4wKdMpGLkcQ5ViIW2a2TVbxWYd/CUKLDcV7g23+I1sP9G+AkwpbjZfSKUrlXryJ0ddLB hjGo556eBsFegvE7k9Mbz7rAahlkYVHWQYbdUXaonO50ExdPsQAGFWiP9Odnsso0Vh7RNffmj6Hj paXR+XuBM8fPNv9BjbIk4ZERi/ZQALCP1TWmet0v7Wp+Gbze8ZAbByfCSenbSsq4L3tl4Fkuewvd hUrXrO+9n4kGNcwcAvJEZ63oEMI/7h7ZiZ0b+PO7UoUIqEGuPlT6/5ojvqBqvhqZZ9n3dr/+XlMH UIy8N0tp0K2WHTo9QwOLQxZaJReaRov6ElmjTP+19vKtL+fQYUbf7x04j24/iz0E3Bh63Jz80oMw 7O/YhnzfM337k8bfzNwWcSFYpQmYm6xn1JIFCihbpCSWFQNDHxF6bNPx79HxhP6i9OXq2bvJn/im CA2GUXnLWb2WVMMUH2msUAm9EvbrDkxgR4Wy65ivIj3hpC4EdiRZywKyDi4z43xiO2+YxSZsRvo4 M0nj5huxPJVYI5yu94LGNs5xiNB7LyT5VI5m+mazs702nmBJg6Zs0wIB4Zh7z+etFd5P+P1405cV N98TBmYpyz0+E8BkzT4j0AqqJmi7v6yqL2VosECHytAmsGtXYps4xH/y0LvSDApXc3X1J2RhAcHy ynkVfHBV2d2wn0l9ky2JwboSOZghQd4peAUUwsoK+54WI5qrCU8oYUmjNAIszJlPVtrzunTodBTr Dmw8xG/BP/evShahEtryAKqs9FN42drmAT32gnY1y4i4RxVveazgELV++vSQqdqXJ7UXRKq49pqw U0T0fgnsy2FPv+/acDaLHLQ0YU0LMmprZhxmjcuwkbDhMg/r6zVv5i2VWxvTpJpFnmUytm6cCLJV ZVaBRLsIL7JhWMunQwjRm4hX0PZ6yotYm1OYCFnDWLUurL289yFYQ8J4xa/UKsTJSl6ljRxIFivb 1yBYsLzfwO6eVyyYIBWRqNRcMjPtMeRHlBVRQo8443UNdQc1Ybql3QjPEP1/9rt5PnFaEktZwOCS pMQCHKGxQj+RlzOndc4l4KB6Q8bazUZcNGWkrLf9aMpIUqJuLWGrgoILroiMowoi4NmFAqal2JIr T+GYRKn27xcF6pEAz2bNuB7itWcae8eGEtNFOAVs9Yg+bqVLDXt/0Gn/H7Hl2CRJZbtbvhF7QK7d AcK7fHAnWZDPFUMjUYcTJTKmT7Bxg9DQB3gmdDrm6EvJ7ERI8xamYq7uZzi2xe6zXNtaZe0gRwsk kDGPxOel/8qh/59FPOn6i/a/Q2KdMI40pCg6Ms/xoL1XkYjo6b/6ADyiAmuaLC/8qvNg7lyZRCLo lOxw8Yh3DErNmWop0jmoSHgz7GrozlmiDpHxHtdYK1xLhGMQc52A7CLyy+cZGFneBTj/fO13doZ8 mfu7D+KQqxWLuKVCNRppCAAqL3zziPujlLijRE6X3QyA0wX907m9/HUhNJVg5TIv5njZGAueHxFq 8dad1eK171B8Jj88+ROAme0jWs9jlSgplbU4AEU/dfylM1xQrlWyfNOtzrgFeeOyJ2oOmMFgFl1L 5lLnZfB7ww9KbXh0+g/eojwEuhcem8J8AMDl5151xwNJJXOYhPmrUbJGD/tkN8dcsY/5CnQJmBPt Z+IS/Z0O2OXovOnAgxTpeOHI43l3l/Lb71Y5Jni5E3egmkW+Ja6ZuqyRMx0R0DZ92EjyPPyVMyHR NYWkB+lDHt+6mkUidgYxxt9RHAf0uwhH2zNT3A8JGJQI8CZM76c2cBFkLgBsiIfKdh9djuF72GMc rxmJFspzTlT/Cb4fKUW5HxGqYUWK6sCnI1hQNBDxtQNG9CLzeXaclw7+EZffOAxofg1+uJrhpfRD wMSfZJkCV8xh2rG7CCRzEjPgwV6wK88qlCm8Sdt+/ZPnsvmfA9w8e4JEB6pl5wyOcZFiiBKWr3eu jkGFrhOnwZHxs6k50xAl7dGp0gYRcgV8uP0qHQbm22bOHnu1ohbvMHWbjFg9+yIzYoygQlEvL5n/ Ouojl00kgURmTqKgxQLduDaYHsgDu/jj/RZ+EtwWauZoBxeRrcueOISw6XQPBzrVl0xqEK36Og4q gg4LbG4UMs2kgseKE9tVorAg/eCO/LsU/CXZcgoEFQRrAxA3G4NpacywrkWdzp4r6FZ3kZQxN266 NXzDh1JkVhIp9HW3b8wwG89x4FmOOVkZXxUeANzyw4pPlQMuBjHYPb+Sg7hAJdA/MJpb9koOlg+5 nAB5YELjVC8HEZuCKI9k5xhLAFqxhnndL59xifMkEWc9pwAMuz21KQA4Dt79DvedYRY4xV5sM9Bl pe9iI1Vfrt4/yMkvLoCpIARN8MpEGf2OZQlsieGy/h05kmRF/18+Ozxll9sN1fTX5FDKd/HvExTi 4ed3QLkIyEBiXCvq3rlFdR1lsU1/u8z9PhZWHgrnPlOcavoDLTSpI7wEKIitRVTMYT4kW1I1M22Y XKWMciYGomrKsS9z8Vcwe6jOE82ho5sdlDjElJLfhKc/QF3bCBOXgOFl4nUBb7zxbYZ9M5tzZPaT rHVKJYGt3GxEHSyN0jcTWzeP/q5M2KgdUXcCAp122YZEMDx/DtKMw4ehNJSPeOnvQFRe+97JRcCS jnn6DWL8wYKJsg8LfhlzOTSFEUUI1ysT3wJdFa73E0vFbIZrIj9juKKttE1ldywfqJU5+yiGT2nF y5N6iIRw9vYJIoPte6mHvWlu7Sf84gtco3s2IZdE8YxUHAhfA6kMZh7sEgtxhFiWgdb4zPU43rFj NAuw67zVorjuL4Z2h0lOXyHKgWokn8ZYpHB9kCUhST9sm9kQiJffrWEFcLnjBGU8aZoivQxWiuwc tkHfLUFQoXSdc7yBB9us+EDxCtgmyEPy0AU0r337rTQwCXN0n0N1fpAbA2fYnIe5fmQmE2OSXQ8k QJrxJ3ju0TI3UEEY7RobS25NMAT8ts25YiaozvA8GOh4CStLwnJdAuAfEpAcppv9LoyTon231VGU H3mmldGPcN0iHzitwcmBYeADiBksPN1YD4vItVG/rZGdf9J0aaY7PykOZ7IrjelWfm+r2GKuJ8yW pIn/QrvyBeX5A34FBr/DfyxhzQfG8yHKu0mR2XmTJSLqeMIpEcwBayiMsbg3ndfRaaO3MCq20RY4 NVKAgRnV1O+OtUGJJYhUB7BBm3b7SNXjFAaUzb9KDOwVV5B4NDNLEimPxWMTadBnT2VxPrSUhOY7 ezjSELppxST1Okxzh1rDnWslq2J2UziZT24brOqnsKUDNTHIH4W3wRBa2pcGd95R+hz/Ev1Ig+Fx Vi7nNCJlgGSUCpVrwXAQUzdFEk9YqM+5/kgp55D01+3kRj0sPzv3b+MgPG4Jo4bzJJW9vFXi4Ww8 r8sIayL840HWII1kuN6p5p5MPzZ6TaeZP9Gv0LxSD3lUUutJiJbbUa9aSk5HRb75dTPE8n3gkuxf ilXqzkLngGDlB4zuOZXylr+VYLB0rh+8i2S30RgMHyd0VGTWbOj5eptQI7gcyI5oY79km2lp0vAJ u39R2kYooC1gnR26OWa7IR13vVnYt/CHh1B0sjLvmOEbrrCzSr8UQSMoBW5JeeyCifs54vyBfa08 LCiEnU5+5h4S0ljethY8Dl/Ri6vb8oSyMSYCZjnZCYaTekqzldN6lY9/3//9Yr0PAhY8xXnGSqx+ 1RfUiHjYVQXuQou1+HC09cykPSkQy+QKAkTaEhBnpZhpAgoGc8SqhreANoWJ2mb8cSe7yo7jS5wf 4ZbqNgS6fCp0qNNi4lf9PIO3QqNHgnZqvKRd1uPErCsBPNdxHhb1Dlg8EvwVq0Nn5xEqIQ/ut1/D 4SpjYdHBmDW24JLtD98uo08D5Et6eyuloy4INoP3t4IgTbZcCO6iqqCiQ3g9cjvt2KYdCg0xX859 ZZa1dXTool2QrYYujfnUMHsnPsioTQLh9dQySy0xpj2fqKpGWl1Zzj/InZbuBVsHsRGHQqAufQuL Mp3K4JsArcoqZ6i8P81eNW9Yqsu9wRYGQ0VwkwaMqP+fdTFYGxoemmu55WleUA3kwKmv34Svqu1r AB36TYk312qJL+1U5P6IUyVh/65AFAjMJSSJKrF+cLNtYhU7ohjjn7E/Yo5ae8UvWwbneC4LaJ9t eNOPOjKIeIrHPVUCJP54LMkDDrPI8xEyqSCDKM4jtHUn4XzjT7HLYfUj6gMtkrcCknnn7XPiEbbr XtC3Q/jeTz0teyw0vXsDPYyVUPpt8KujbQ5qHCcyFt0xN22OEs0b0w7trMs7Zo/doEc8w5JWXjig QDCwI4zN9juOmnNopDvpoXlcFcEdJpiuzo4gE17QpcYrmeGvRx/SZPqJ1nsEl9wTQZKARML2w9pu uPnjd5II+uCx1SVb9II4q4PhlDV6LZ281x4w8iz+tPr8YfSubg2KprUU06SL91kNa/vOyPN7p4SJ e+ScRT3e5FCfHlspx5WqGt5r6ucybMetQTksX4lpYkJhDA726KfPqH2QEjpZo75L7DZcf53HJXQq ahg++BnFxEp+MOMDeTiM3H/AnC/gojFYf+NRiz8pZl/6e8IONF67hZjSGHXYM9XLP40BOMn7Rv7L jXMZgDpv6smKVKlsjZTXvpCEaREWl3uhYN3/+NjBCtmAfFbuQuVsUW+lwROm3GBoAwEX8DZ4HPBm iZRA42zUG0KsaDA1U1Gf7+JrkBflXiCcZWrlK9cid20cUKb79uZ+NPzjQOlUnRivOUlZGOum69mw o9bVM/17o87hkNd+5D6o36gC30lIXDdt1uwCjGlw6agsdtPHCTIBG4SfqIl+M8hzKY/2JKIjeNoN xBsbHpsVXBlV6ZijBEuDIhWpgYFiBCtVtXxNRMtOfuAxA5u6ia0wF/uGAndAsLVovEYrrQKNWSkH V8lbtB/JzLrOGM/Vc1v5KZUzjoVsS8qTLymVGrZyytAH3y+O6YuGqj4l21EQpZnn8cDPlNgukmki f98x62qzg4qPatKEnBU3OpY7vNS5HzXgYDqtJKem5lwQ1jHlyypq1q7oy/mwrbIE7yLpxI5qbb+l KWOB+gKrPpL8HXBKQpMavvby+136p04XrjGGVSsiIh/VSi60L45bhLy6pijXXGyefhZR2+sT/J6K 2rbb4I3iqLATPm97J9+TdinJSaLmJEMyFjdD2dOPqxeFdz9m3UFzYyfstjBa8mDdxGENP0YI0V8m xzEaXmgJQr0YPgUqssMYRlkEyJm4U61oEXuNyro/J3G3BC0PuyQv5fwlC5v3zuFMyLrJJi1B8fXs /2++SD958Sk0xGLC/G+I/PrYspRT7wqdTNfj8U6C2ondc4hRvJnAnqIiW5bMnE5k39T8ibObDbxv k/8oPvAQtAyuewFciqi0NAtEVgPaRdZ+ejZ91z1D1N70t4C1n7TtuMQiuBqwoQc3/xZur19LuFUG uXeYDohsKjS0Oawr5mIABa8OamOrJjnvCn0p9clnnon15s16HKG67V7rYYvnRbmQLVY9yWMAzwKj FOTdfQi0Vbkkapb4dHXYdt/H/UtJGj4EQtRfKAzl+wxbWAOhV0+8r3xWwZjPmR5OzGUQRPX7roJs Q2owRlXJt01eZh8dbEteaWpA5TnBKQdT+7nJrPUgAYcEQAxM2h2prfbpwv0VIHNa1UMqFfG/P6vW OJmL6eUKwDw5DAUlFWFTfJpJ6zckzPi1cONRWkMKr9YcH1lcA4OHQZ7MB4e9V8qVlhWwexOTj2co ZJimIBQMkHzORpDxRBhN4IaxVmcGfDZMB0haB8rblnxIKtGFAlxOk269nI4slWvhyR1R8WFWVTUP GVRfak2z5/8mX6nd9c8gp19duYndNobRCPcIR//NT85mvfYYD8HljwzmPXsnNIFHOzQ+a1fg62lT vaRcO6OwWnSw+zK9EBijvJsUaSvFv2/oaI1nYJE9eNVBhy3u3Bor7lvzeupqd/nBVhx65ueILiEZ kf2DE5qX7zIxx4N1xnAJyndThHAGHQKq3uKNEA9ao11fxjJse4sJN29e4rpe60WQv4wmIZcDkv9R 2yzgQDIhZWYE/3GWDpeUUZNVO45LHrqnip/wuGWZuXntFnfZE3UwRJoSQxLyYQ6IiAokH62cFtrL cOL3exG7yC3xMbAt3C+IyOSHrkajhC6xIumC1y/RYgB3ydhy83Zs3pn4YVruhwvxsOtX6NL5Hg0/ LVXnOeuLxlO0CK1X8iTQaF9E48zrUPuCWUuuNg/aPnPLTPC9eZ7xKcvp4kFG4YmYNevPTjcL80Ve Gzox0jXIXx6YhiELET3hG7EmxJ3Q9UBpqDpwF0BXexmRjt5IWchDImS/c0cmOr2nfAwUvbOygUfn Jq9jDhpeC+r/Wi1W3adCvAKhFeIa8ZrIpfJ/SLSnmhWrr6BnNmOuqtQIZcUM1OXb7sbOIuRjgs0l lV4p4HHklWug74CWRUmgrEJBlLm3FswkqpjyIWbGhQlq3fWIAWvhcEWo7y1CPZxhqV4TEYBYVrSN UApeqNnAW6aJvlgAIbQZjvOC6cU49vGdlooE1ujBphGQtZDcSEa7X/ysKa71PAktVxBrObI7uqD1 +g2NuL6pxbuuNmVaIwy9Gmswdp7Gc20EdLvZd+agwDoLcG1ASC6A/7+3QpnonfnNHy1oQTca3qA3 rEyq+4mepyZjJXFNVhi7/p6yxY8T1Rh7nTz+5T++NF8zJ420Jgk3R40I6A6072fG7aPZZAQpvwWp PrKv2F32zx9KLKd/+a043rUVCmPIeVZgLj6bMiX/FPOGQ7TNFfrNv/iMviEuvZNQ3qlIiOj9JR/F WvA9MywpBKq8J1evhzjm1hBNEZIGgyfMxbO9tuEg03nt6gw7aU0Svd2WvQ3nybAtueUTUPvoJ4cX 2j4SaiWtcuKo/9zNr7eTndT/1r+j8f6xDJMU9XvYDgY0a4YL2xnsky8SZbrsilbvU1fruKroDpKu k4wJE0oY74OIjfIgHcHJphJPZvhnI+4NS5uKo6yaMjG3TI2cjngS0ePLsZMI+OPDcgwYHHYzs1Vj pXgLKVZTuVRIpQlrK/a/tMJkHOvfKpXDBMuhuxfG9Fvai9+mxMjjMyC3A6vyk2B6zRSswRsAGV4+ olznfpclD/lbOv2HSLBrjePUegwabQh1PrZMc6KYWYfnUVarLBulT58wmFUEo3NqrB/zygGaKY2O F47hFdfurcjy6XgL8RYus+ZNW704cKwhQCw3D1wDLHCbALNgVMg+C+bR15oAdOrlZwzRMRZv9Jg3 YuzsvwAP0Rrq4X/XWKq6qTWzcUxH59+zsizBMnItQt/9tXPYO6iw/umiB/xdrXkRbawFI2XczDAv bdfzn/mhTzM6dr7J8+lD8PBoL1D2TRrUVQTpQ7sY11nHgL97DnEZFZdWqpG68d3XKpobACZP2Mte jppDE3T9OOD+jpaq2R8ba7C3uwTCiK9Kh4uX+uRjVnb7Zw6hhi9yo/Nhj9z82tKm8t84Mu7ByRXn 8qa70XHeqx3J/OgvxBR3fi3/KAMp+aXca2USfwBFcWzHsC3SdAIG7Lw3QV4jAbVpmBOK0SVqWE3Y 9UBKNhwHsSLy/nA32jsDjQUYUQDBE5e35hfgdxfl4r5FqzOVAMN27eOuX0E7mh0pl5JgLWAyvvwL uVjdan2aRpQf+4NqRPj50x807hoBCTJYziEy6sZbLMqGgKgVkULOUCz433f1qyuSB64Smf6/Z/pb 2E/NQnHc0OlwA8/fiTpmO1rJhXQur5aOhHF6/JrBMVDjDM+vd/B/GxGr02vWGo4S2vNBkhh1qBLR YZZMwV0cGYYB7RtvYXOQxuvYLrxURwxI7FHkAeZnMB7wGSBHqryJ4b9BB1dUzPHYUzosk9CYvUWg 2Nx4A+JEm/i3107abP6ONhfMMPBlnvcQfGL/TFa4RcIN4Zk8XsNe0FtP+DW/cArZ8N8wXC4QnttF fiXKyY0gKb0R8iVk3pXm7KHBhWUTVmwxscRwHnJG3W7H3MesL7HmS091FxxE2jHIjdzJ5eHOscT2 kEEGfQ3D2eeL0gS3OQzKddiN8N5iQUg4D95Vx+AG5FHzOi1KhobIO07cT11cCo28HtR6pvGqJdwj fjnb9zdBSdtZyeoUzTKVcsoEokaAYdgNpuC5Oah7v1aO3ic9tm6aIX8PzTaaxAQZPclLMnQp5+Ja rbnISugkCcAwvUqiNATgAKDfqlzgGMcWtM6eOA1hU0gECfVNc92UkssxgRQFCd81j5j3GoZJ0po2 ov44k08SRTnRsmWb98lym8793srV5xl3pS+s9FIV5oC5U4m2AlyW4r5S/aPwRfiEcjoAm9BK0Dan fm9KMwqNLSAUYUychFPThu9rMEDXTkDqeVEZDmc2i5wDTtrtIdDAyLrf3f850So67uoON/egsm0D EmIjJzetZoq789xUMfw7utsx3HBKKxLEYWkA/D01Z01umEWYdnvnTKSbFAWXAm9IRkXItGyHLyYe iZv7n5IEAWmFhtmrz0DM+eP4C7uEfcAofjh/kVEkaEBfPAeKgfMr6W9jMlZ2mmvAQFmc9uw1x3E3 E7tTmUhKurYqSzmBUHfP34UlR0wn324orTozeuiaS0fVMiohnz2PscCYZNhfBJuDveYt5vzImjXO pn7nk+pcg9vnu0ilC1rHTFz/BIe1cLqj0yUjY6/V88ZAvS2MNA9jU2RiVO+D2pUAfgxe/7BXlCVr pEslLJmNFncJiFAO+Gz6gWaqU0zcDJNELMwBF3lv7Kp7xfQgMwvEf5p+8KIUKl6awYWciMHiEFcJ Ubd6qQUX7950Dw+9WcYsiSx2UgDttFo9PAJpY9ZXpAIroChhElKgCT0OodieThT5cw1v3GUQHOFQ kcSUoyGTomggZ7nAusxev5mx1cAIsl0SSzxIm/oz8Vgestx2sMs5D1mNZUZ0XzrKkeczRUcHW/Yz yQszcgqNPTSWNV1VaqwGpTTJPQpEHJK72LRK08rQH8dOw48NNEwU7eqGwZuFOqc9g8iMOlqAdTjJ TQA/NGCQiTKh2VU8O++4dKFwL21/C542yUNGVZiPoQU/kKMO74vfW3Hg9krWLP3skH9syKL0GY8h RSgXP7DDN+mugCeoiNJg81n6GlvQOkz4nCR6HEQcvzCfXlmEfkMaZzcVJt9LxzFe9+iGRb3ydtYZ SMblEc6buNH6Q8zSgERsAE0ZjvWybmDheqRz+BBPqZw/164rA/Jdfngr2av8HmXqqn/zPwxu1lMW FOqRBTksxN+VASCQDRAhcTUqDYlzUejXF1FrV82bs4Z5huYXYdltvfGciqXyMEPuRmRo4z39Ct2I Yek40cyu/5lVFeGy2m3d9ZkHcpxVBW05cI4iYtOUUhZgq9rzL+PCChZ8J7xfC2Kl6vAqKEM0VnIL Arq3KCmlj96/c9bSNhIIl0PamWixKOcx/1RI5IPz615X+N771Kg5+RE/MjXwXVVkTBRPdiz/er7K 87NXNAKh0w7otpcLeUJBe/1/ScWfJZBBkJP9hIFzIAYtGQYtxR8ctIOvO05uxvb7ua+xG0gU+Ted ymjmJRnIfJ5oi185GeyP8PNcC9Ldl/CEL/tft5N4UZzCYBnvnGbVqyUO2g3s7u3HFCFDQIVHr7rs 8r12W3kNZzHFuZj1+OxpLuQ7GdkdAH7tbLQusFf3eBn4Ld9vpgQLayxrU1P7jKAAuzOddJa8eyNs MU3dxifBjugXA/jV7/tdpEPZ4aQTpMksklPJ21GWgLQ5qcV3X5dj+ylscUzzUGzKWFznAzariR+5 1TEGF0WEs+dwNPBJ/F4iJwLuBTUhFuZhqxJHtZjZyW5mmBU/Jw5kKtCSFpYw6Olzg0EZJCRhjs8K O7QY/dv1CSiOjTVmx+Z6paFZUrPwN5HbUeRWV+CNdaNwrSoRRsXZq5zycZrH4172k+GyC/XBt8ow WAcjpKdfqHcgMvHbi7DDlKVHL5st8Agbx/szXlIJfu6MmsJwzNxxuzvg19ZryUXDUkFoa1Q17Iqc KCs4G7GlfYUEhNlqgzwENNaGiYu53plO66DT5NYOA6Cv9miGS1XKBI+wxXWqtC3bSvJ3/Qn/GRjV uZQdwSRA5rmSLWCtTGX07+a+us1y9/oe52BOOufrs4vb9XIcrDTeP4cPm4DUItOFuOoYooC3dQXO fTwA977v0rLE/MNwiaKdJkhmmpbTJm9jsBGDH+ULmxlepKglH5bI0lGMuH8GUJV2AvFtaA3cgIyc axP+CUJdQBexfkffZsf+DNFmFG7sSyuNvjojK9ipkpOl0b0UfUrHnPJVV3RoZxN58r+dho9BNBhV ynxYDldRGDEAsZcHuRPYDfUxlFCaXMN6/4jD/49TtFA7VXJ7troq87YbBwVVUuM0ajX3rlfIbNgn C/4eVQ5hdlmyVvJeMRrDoCIu5Oo7je2VQ9eHDZezbLOKn5OFuQQcWz94C+6JMemE1oT8WMuOKsd5 EDRFC645Ub+8ifYBsMsugzV8xOorlr22coU15rkPLxsihW6rcl5wLtklu5/bdYEsXxPrAg1H8Tqk 8Ms8ugyhSb71k885eFgI68Se1ciU7sGCFtmofgomvPWDoeBXFmkzcWKPuUwjRyU9eekpbj3R+uMf M7j2bYJZUOAN04nrAEKvdkNumxrNgRjMIk2vW6KvXO7Q4UX6346i9/KRk+YHrtWHCW9zeQ52LKSt uzr8i5lQBGBei+Lql9Ca/WDt/ZGS+poUcOv0WyqnARiK/Vq0ZK2lrvvdalXgHcZVp/qWWBdhlCBL VZMJV47gGz1Ol2gu8piZPEscWy35YB6vr9wQGSPzLYicHdDYk/SLsRSJm8Ut418ny+CkPn3Kg59A I/9hWmem6Ji08RTAOP78dTFPHClHB7ARzCweN7Hq60xXmxJYV0Hs+/8UwzzS6/bzgUVJdvIXsiFJ 0lifsU0v5Qub5adsUd/8F62QwcnTmrB2KU7H+9L8SfGaiKRZWbkZ0Lmc4N0XXb4FqkmItjEGFdmN vTGHEVDjFzS6x78BouPNKwVv11RVBlHTnqpvIJv4B99CD217NltZ4KIpTHqEr4hI+o1NLusEud3q 09SYoR31HJTouuvPXsJCcYkJlMsC0hEGWFc5e4+w8hLY3A3aB6ASlUFjrBGBB2UXR9mB9ikDlTyI ccctIaDW+KFbMELR+LqSVJyrD8PGnp3oEKAwIr/dPaRpIMfe9xC0tOZNS/AKBfkmzSRPHJH7QXaA DUusnh1biVxabnWrMEtvdFWfJv5Ftk53CU1TRM+zdiYuPCgK84pBMYXsnkF8qyMLLNLsxFsEj/S3 Y6IdAdLfyFMeHgNOXpl/9ln5nEozxROUp47RyVBdhyk6uDZTIVoCq4O3HnmraKpkMxyseIgi1yG+ YQ0L7EfFCwZD9MIETGTuIc/FrryIrOvis0teaMul4DAcIJqMTlzFovQRqh1iDOf1mzTcT3wDf9Ie qZ8zdFSsFmC71tyygBsij1NvYtGaqGdy3QiZdVHCI/TVCHgUJjmwngsuoIgRCBLIMHgevP59UY3l +l0drfy/R8sQ7NhxqY9Gm46K8PL07LJm4kUPQ/97PDAYwGt0xzqE00q+2NfLO762VEiPGtY6c/OA g9WsXCEHTvWm3N6BHiLlNZLRRc0UYIfX8XGHxcjeWHWYHPSDx0EQfqV5yWg9zZrFOds+NfxGy06C tB4+Zmvbzyed/x7RwjYzVAmOKALafH87fd13luC454u92aZ7QQ6kUUttPpYaHn4yXrFEr5C+LRIc XScPXP5XQ+6uhrLNMJttnyI4uG4/rZMknLAf2w/xF0cysxVcfoOnhQzW8UwtGYJdNK6UnxmGXZw4 ViHBkFwSnOG20QUet7N6Hjk/A4AO7p0hCjWdmGK6/X83wnjFRs01PuOr4hAyuELxL+kXtKRn1tGk prW9Ex9aLlv7IgABcJxtugFYQUnWrQBTV+KKJhy7VDAuIg7XLAOSeYkLOsrXrbIwnTxQeQnqleuB vPXnP1fdnznFMmD8s46UWcrXShnOmLZAzKv2CMsfdDfK7SdQIif2ct0AtSZ4m+NU2yLKOk7BeSLy izMEFGhduj/zN/FFPPGQAgD7POsazwRvim9M6vtMqXPr5qLHvwFlLtdQOyK9nC2ah4MPeS4lbk3O IPzGxnvwtqFhfwVnqoE1IZqHOTrOJeNdWYbWd+bdCV7c8hL9m2Nq9K8igq2QMDFXMfwPTmkput6l ojn7D5VFfSxIZymx+fFXzyoqCgtsJLgJ7VfP8e0A5CaRPhNwnCs1hpS5Ivt717cu6I/9ga9XQalu ACsf7A14OZ8P8hF4iAhccw/f+LL5uvAzSvpQiZDwAQgACwxoOyZBelt+aMNylTdqoQLY+O5FGk9/ IrgkW52gElixWGWLiiQqH+fsdcMkV8sacdX+K60BDhYCYFXcsuy78f6sdknSt1ku+czmn2v6wQuQ FmufSYFBhRUaz+/8MxUXXHiXWfnGyVp6/thTg56821RKSlysHyt7vunC3VlR1TZUOa/GT2k7fKm6 k9Iyz/nHm4CKCcjVJhoW9aNPuD1j2bWHX2TS9N3XJrV80m+A5CoJQ6h3y1t82oIYezx634JPGe3t XqDeF3trcrcqIlBBuAyf5H/1WI9vfQxw0UXStHlP2zMcWdQKvT2JdaSAC9RchjJMvmE8clhpsuUT atReYo7DM8m0/E226eWXr25orDXHtonYw+eN9AzVUQMqE1P+LYhvJY4k/3jxN9qC2uRmFMbNey1+ 1Niv/xrUadmNrp3vZMKep5RYPys4nTtUaeDaptSCvTcsTFfKNP53CFJTWLi9WA3f++8UvabjFuvb G0pwidFFuV1hXcienQFnwjfhSkZ4veovTEwdih3XPoLAlQ00JT13/Q/Ez7APiUL7jmjZNHZK1Io5 UNSQBORWobuPVf4L3mZTL6w8vhgF5kdhSxoG+TfDYbaXv8aseVn/zzSN6LuQJFHR1UksUHCHu5Hz Zi7tPLHPLt4ZFUNhMyeYOXrzGqqNKkFmsc/2D3ujGelCAHeOj3m+Ecprvvy9vMitTWvlX0H3Kuh8 hX6f4lCdgymD8mN7MBbe8cTVlmPld17CVCVxGFGS5Wr2lxC5WxR7L+o3VBtP21KZ6wGRnaiYzDJc LHIo04MoGj2I+bhCG0lS0qgsyWHo9XWd0HcQo2ck0Vc2TmGAZX66qUmshVpGe7iXLJi2mTbPMiSs rBLa8M4dMFayiqbkI7Qc5LsKdljUfUR61RDeQ5zQq62LS6m7p+v2cAZ6Q6Q9/FsWHxGzgGeVxX4x uSdWczGrtY7OfZq7EVxHQ3rs33C4HVOdRJ3IK9vrakHafeqcEfbArc07Y8Xf8CBKvbZ0/mHrajFA bLh7tCSOgOTZT1sfYLorGr90J1PrtV/3GWtwZ0MLOHMwzG5uKSW/g5uYMhjhWya6j1jVqC7b07+9 ORFPGYL15ovE01ejzcwzNqQo13J9yNj1SS7skB0AKRElrp2EhzQuneLM/72ayLnHblmCOcdp7FQ+ Mgbh2iVGL9LkKBVwZ9mK2MkV0/j8gyAcYMVNboJlbk+10sCjp9w54s/oHh6pMRq51v62jBIIiZyh 4xJAYY1xjAoJZeUz19HWG52dekGx2NR8ox8NVo6ZULH0J+e04Mfn+IFQImZOdldOMsEFrGuUR9Gk PwraHqk1/HrZ1Sc2I6InmDfTiD4VmeLlwUQ/1TKIXPejuQd9a6E/eJ8YtcltjG8pmYDa6NjxZF+M 8/9k3Wn1l8F/5l292/9z6e3a28dB6cjCE0+bRnGYvxdqc254sJhuD5aAtJlZW+4RAJEnipWkhwl5 keyQzvWUHXgY042U0Hl6rGbvYeFED6ENcXiRT3EInmJ8dhZmWilSuXJFk6PtSry3uuUktonZwmV3 fykTLQXRBYKJtj39gumV3vALvRC0QfnjDA8IWCr0hcYIUJlq8zPo+wW5WMwoxW5G+LVbckaDQwea N2qOSIIHG0pN0M6iwk2YRjqMn1xIYMPpe9Z1hK5AGR9o9BMVkSczoUFP6M4lJjUsLGT4g/SH0HDn 0kFOhJVSbg+IifsDWVHkKzte2UFvvD8kXgcjTwNn4+uvQHJm7hUkG8siuRxCNrQNHY2F9lWaJuoV OOyRXjv60+ahYs4FnKRmT4c8+6D8rNMbjv1W6V6GYKXs/9ENWvc7V2e1bGMmXdUH/aDizgT/2QDe bOnsqwRc0YKG235fw97g+7BSpExQKrUxJHD89nA+kptuBeB8XOBbGfGBEGDjuBz67OylChs0VrUX NteXi1IUX9yXlaABoK2Gb7CMe2RlGPsWhwN55aTBgXpC8kieeMs+KGxpbVH5MYy3xQ0ICC+OA/so cV/hxtxc7pKlj1SbJlvviHuMyr7Mr+zxb94qRagHqvGjp87YNX8VafqHB/Ka4xJmM0ktskRG5Ph+ XciUdFj2U/hk0PWXYEWeI7LcRHd2u/+lbR7GiFVTzhBAZ+jzJVIiP7lTrBkxBHVUQ8TkgCKAM5YP dLULS/FE3c1pGxO4TguMioSXF/QJjOpPbA5q5WBH1gNACjp7Ond9ISI9iap6SFBL0zxy2XSaGG+z eanLvGadvjNlhxjzdPjLhiUsJh076gPE/h93UxNXq53h0THbD1BYncSD8xoPQ8y62pFmm2+wh54V rXEJgkKTgGeW9dIeBXW4xF/aUsw3puXBHOKcbXtBk9En62xM9PKKxR8FTnfid4MOQuVTMCfemoHu w2wT1p1K0Vn7OAsHfHqDqefR4JouEyvjd1u8uXKiwIKJ+sK02GWX0BgBfYdv0PN7syzwn1Ttt3AJ Rtb6btRpb22eDN7ZNxlutbQUZP8IZ0U1ZG2bnfbYrXCykPf1SBg4401ZV+FMNXQeE9tBTU3G9vLY iA5y9uKlwd90BcqWB5CpA4+Mw4Mz6ZAtIOqWz7vTWpgncQJT9uwVUbVHCQyfkieA5Mgxb6L0Y8xR Y0RG7VQIxhX15fp28GCxVBEteL92h3Lgnt5Rhq6bVuYrNPfJAezSs9npw0EGFFgM3ujWeDJmj169 HFaGpQeQM/QlPRkVYSbGaseaeXsSuSYgTPGMQLE5jtX4jGGk3OqfFHbSyyhE04v26s1b+hqWiQzv GPUlotujSvJwTHTPstUG9d8jGkNYnWOxRFr5lvdSPrAMq3mVMTFgTTUpE06Z3GN005WcQpfSFgYf 98Ink3APLg2vlm4jez+pQ/2wF/mCFxNdlMfypPy0TqYztkD4zdS5UeK58BSGbDVIP0oCUetLovAh w/cLKy9EFHu6bCOhyjkXl0jCJ57TaDPs2bL3C7pQUbGM/FJhKp/J8Qsb9eIJmHmjCfE1WjaGgLq2 k3HtvW6HfG0KeYRpLLvUXKSPn0PjCSi2wRM3rZRrAwZXAP4sdzWyFH6h2ZDtjreKE++t4HJDdl2j t4y+vV1274AGzOY+IUAmV6wLMlTd8k0SpUa/5+lfYMs+0aTUjbH/DbNxtRoNm2eHz0o9x9eykfiF fImmxMNdU9SKcEEvkDrcyy96Ngkwv6s27EXO76BfcB2TyLQMv5BqmnFBw9ToUUqlBiCJrr5uQfo7 MW9fcRJ4N+OP6OQH3Qc+PWyhyFLY6dBnE6ehyMa2ZBS4eeZxGeEhErbHyOjzwA3GCuFzB96t+QVm szK5EDEblC376eS0WGm2uBXwssZI1UaU66DCB1xlGtxmh1QGf28E3jaiECwrgUT0UvR0wDnNty6e kmTZddFBrQdGNkBnDlZ4tS0WipY6tknpXugLqW+teHUkOlwS0rWLFN0ireqahiO743KfV9dzIkL0 y/fQ43bFjMgPmZt3d4oYZv84DxIG665/x8BMpuLyF5OJsAaGyvQnsOXutg8ggXm998Q18Z1SvGu7 zkYbD53IxqjqpW3fyaI2702gB/SYWkQjU+F6UIyam5emmlcgkhChHasbJcGPY+/6tt1hVCP4Blco arn9ty+xjeZooAtoa4D9veu7mBVBjCB3Uc3WMn5eFfex/V4JX6d/P725pNhej4RIs0JmS0H0E/cf niXmZKaLhPJutqcz6sfKSKucVoSpE2/5BllRMJ4d2gmZwPePDAAtBwvi+j2O0zUWq6mG0Bd0BpXV eL/q6RiuBdVo9rLhSSX1jvqmPCUqvrWs/I6DZw1dNYmmtr4hFe1H6alN24S/uEUx2eaptx/w7Y9T C4qg4KgmHbZ2hHQCxQEvQrxd628I8zBnmiuEW5PnRMQOl+FyttqrABQhQmVj760mIHdFETGF4yHE MPhrbuDLOSLQR9eJgcGE5Ym2UfQiFsZWOhR5JbZp/2NcOT6287SiO9ilo2lUlxgNExxqggdy8vOc xMtHl16B1GQpxuu7NGrgJ7pulcNMLayBUNHPttnpKuCziY9nmeEKuiV7x607GGzH9kTanFuUzhO7 n5LPZaB1fb568BCh1MF45M3JzmM/kzxn+ERby3vpf5WSqNfjFpqUsypINWxJRfjJc11z0soKuQI+ 08Jec4L8tY93qD547RthvNEuvI9y8o6x35sPdAazIwfGz8k88H4oD7yxC6On2oJyuuLarW7yFRNH r/ufDS87IWYiLirJynSLopx1kpOC0skF9cfBv6uK9q//XQC+mUuCEjrcF6WpvR3LG/unDu6rupLW qkAHNyRKl2LQJuvPtFFKr9bkRkwRWEUP+U0OItqKZBp+AsdVJkMioDpZMR/IXxwQXi0uomAW6fok 8ktBzXvodPU3roAJo8F8MSE4I72Ucj43Eq6Z+Xv82Dm/LyafMJFUOT9zL4KENZ1DglL9Sz3AJCag f0RLyq7ZKC3QNWTMEfY9btqmaE9/40l6Par4vRzGoJjdVXAPRMTVIDD4yeoAgRFxTkwnceKO2kqX +V1O6/vXhfIP8rtNTZADzBDj5DTi0BJtar+374eMGckRNKl7PrdsaUZ/H9DvV0Jaq26nDHd639Xo /rREDszFzSj08iS49o+CRUOK0KSeZUlfzfxPyyQ5Q4u9EjEPSmbdLochUUz+OFSPoA3esFmM5Pfk 6fp17oQWoQQTNCh+apx/d3lvUBL3BZJXnuqWSmy5KgevcjCoZwVlk6FH7ARKNsOlAvwIahZNTu+m AhDuo/LqYVxhg7eNCwk4DZlA/opw1xm40i26B+Qvr6t+etojmT7Tnr5DtKCRFeGBfsBKlh25qXKJ eYbNr/yj0YxBn9cO4Z8jhcBXoD7rZhHtP5T3eHMT0Hz4rdP0jni6iq0FVg8WQ+dt+D/nTniclBKM CJHy9SzfeDEwY5NgWRTmadp+nUELxhXvy7Kk+VgZxpW7JzS2eTLgxDa4o7CGnFGA8sa9jU8RpyFz 0YTcjmkvgbwxa23L/KK3IQX5antoBVpcc+d4g/0XdYdlM2rZq8GRo/pZ7NtSjjSzGYtmzVzx9WaS t6nOERQyT25Cdykjm+5CJSlUpMYT8db4Qk1u8MLcTuOlGvqN4P+O+RVS4BLt8guqZyRwKCWTWc4p P12+7U7wCxNkJ41IZlc5YISK7E1oKZBjCi31GOdx2PazIayrVzQFhSdhS59Y2kTYpz+yZefk4wqD vxO62W5BaDzDy4REJEjY/uNYPnAimlePZSfm3r80npC77AnuxOLLEcoWArtx27Rnqty0yFf4/XVf KfjPslGypVDas8FlNk7l2Jp3X0U2jKGb7ey2w2JLErj5OY+wR9BAc+xiYbJSSE2iR4/d9iZgK9XZ O03+aRlUwzgDiVbQBjrq/WIHMBmN7P5aO4+bkOjukjmsC1fVd7W9dNR0SrF2xBX/hoTVY/ZXskRK jYWs9dXXz+UrRSXcVoSxF+fAGBo++x38RhjA2qe4z8MMWE6ppg3D8QYGgw4XRQQ2Is+NdmhtgnWz npdOJ2/e7NYpEV7TaVE/UDQmcnaeyBYvukx/tJlIlfq+Cg3Q68rjLkpIp83kHvO2YkDt31jmEs5m eWAQSymqMKnPmx46H3bOT3jikzoeRzb/hKSPxZ8cv3OnLjoj8aXfbUP3voPrXIQwBCmKVOcBDIEs Nb/i6ps6ZyZ8+NESrTYnd6059aNLjopVxHK5zR6lka/xF1x6Kq5DtBWz5k2z88EaPKA7Qfb5LVOW 5NOs+DB1tlF4u90xP8xqM5DXnxExpn/SDCL0l3XT4jfhI9Qa3BHKauCByOXqnLgVwHyQOqQh1Tvu lHVTiE2ZWgA4GHR3ZkZ602JtchLpAgDBR0EIspScjNIKMqDqKtKdCHUR40XfqO5D0/UWhCjnR8qx h+2ebVvW1uXOkZDZuCtolMbrSw9hPKD72t6+f7WyyTmdAax7CXU+lzzaytJdGATjyOA+f18dUXg4 BxBkh6Il8rsix9FVEP8v5lToWeXC2hu0BWbjTek6FXKqC41MMKPGvO3ypUQ4sBbeKghAk+qiHnfK U+7F5y36FYh8yLpqZ3h/uoR61pdAjJZmXDvHYxp2BIOYTHvK/4MAKttthHnEO6zDYyAOQ9qp9vEK NW4MyMy142ar7y/xaO1s1l5bHsUCniz5G5pzu6DE0Wm4DCoKjUKkzVqTUsPq8ven+MrSLOM5NptI wI18rmBGadNdTGBzM4cpUcmYyqy2h2F+SrzRqyFmCDB58MLbCm2ASPluQnPeiszVn+iHOeuCZRi1 onglYrvUpgPUp/Wsm2Be8y3owl06YJhgD2BYGDZHYQL6kfs6qTc8lontRjRALnk4+7qXQu8txsb0 1u5s+shITLgfUsGccoI8DLn1Nc07ziIDc6GblSP711WGcNb1M7Bp8MzWDfJGRAfmEy+dQVuQ4Z+o BfmP+cze6teVmAoCik2unjhIF/PRTlExV0yu4Imk5aaEbpceVMpDeHqs3w9mDc+EWU6ZlAq0mrF4 DV8Gpd15Y2V2L3LHaXWO9BZ8li+cFajE/qT4dTVIC1cvCsaJK8+ktj7qvAZaD5ACatqveCeIVoS0 0gHu4xX94V/HOT3aVqHyAjZC0Pyvwgnxl2L01qzxPKgZRGbXMekafiFzhXfwxPDmmsMgQACQP10U CtPA6HwqeCPn9LE6qk5fAFJ7B+LCrXPx0g4f35n+CLeOf7JafZC2jSW5SJKFnZJUj/wmKP3XoyP1 Ocro4fgs/tlqrKHm/V5Zdn1s1tdfu99JXTstLhC7KgRhC9tbyLG381UUdVLsul2C0W7z4lXI1iCV 9GlCy0UCG/jGH/3XOu5uDu1MMoFOn+kJNC8GTvFTcQEJ3jWoeANoAeAKZQVl2nFS37VMHN8K/L4V GVhtNGkSsAI/XChJJPS4BXPC4zFn9bw88PIglxCCu8R4LcYxVZcC4CvOlGeVJeyf2cCjFD/eBMol c7Pp2zucJZShw+k16XAaLhmnOwYh8yzEuvhm+DZWP//ja+JYuzbIxgT2SB+3q0cvrz9wXEfhiPJx 7Q6bfns7BSlGtD70FL+VdafgJvz5p5lo0joAb04FG5owtvEYGGA0p7QpPa1ORN7zFC7YRpwCgRkE vobqyoExAO4XcjJ3xUb2ddtVtL9So46tkN4yuwvneC9lIy7TLijVEOuYrJ0A19AFoI9I7HlWQI4e prNB7RLpfYv0GYcXo75dORHIxA+rNoFAwu52mJFUCmKaGczg6E+DBdcUM6ulChSWzUsgfsWS3YHt wm785BbfYbl+mhVHEdDGQrFddv1xBLgnAq/UdF0WIOB6XL3cTJbYMWHuj0xCWWBMZcUE15zEqjj6 HmesxfF0WqIgTkt0hFqQq9L3aHfVM3CYwcFU36SSE4SYlX8OiOSRCl5JqoriH7z3sbYyKLKz+w4T gi+Px9sULx36VvhgeK+Xk59XZge8YlxDKow5zALfvacrR2wudv8ic36yS5NL3UEjMjWreSJIU8eW qDubFL32s1iVbyI8hT3EwQeKnTEJnz0g9D962/BMydH6KubgijHomwjf0MyECDeKEGUgAydIzcLV N4EeQBIOw9nbz7IqLdwKrQsy9MaxLLpSVdI5ZDRpOHbnJd11eSBDL3cDkyZmWPsWBIbad/lsFggA GPb5QPA0YUpk+DGi5lK1WmtG7a1AwmrAk70q1jCgUCbUE74f6hEgRk/UytBJEGKMTlqWm+ykqEJn 85XX/bXVsk+RAuF21aFUoUcWSqi3ZTXOjjbbCJHbvECXmVSifPocf9ObV5YZKNeEKzntartBm8fP qe8U0Ll3X2QiGx+ufdli8BOeHaf9dlw70vlfrVLrYZqztqKa1M7z9ZnxtXFiNaSegj/5FaXzVcfz yBqWwEVGyNPWnYM/uDJOdlwUPssANnDq+L6lgH7rMxRadTLEYra0+Sgj0Ijbzzqg7VV4O2oonmj1 gbN/QTjfmSekAUjCbIf7SykNI5CURt+R1oheMGWuxhXYiJ2TRLkaub24sH1ZVCNU8i/JBYbjsQij +sCsv4GeBumqCencsFUuWY2lx3E13AhAMFZYQIFcRenrNfJpkA+qV2lC5IuOZnjWhhRQg9WBnhBj u9pipZ5EPr1gu+HoeNuSkRyygOpdT8ln/kkF8U5pLZAo0p0GD/SEsJLqXSfyDQGw0gMYxePjAzFd EYp4zB1xqXzbumtpbiywh0i+feqI/gXwmJzoVjkDWDvXJCSM2uhxW0MuWNLzhJ4XtGbYGruNQBZU PvcaevJrRylHDSGVuQyz1CyjaW6M8ccGzudYK7mk7zTeItTv7YLXNqJyHxxQYBIzWy7cLFTQR9Qr lKp6IyshUpTVwxt6JTCwBgk1tFr7OS0TqNwjgJzy5XWmiq+MgUthVoSfJEQLCoA4skdduiXm3Fa7 SIqhAXf+QNSoQB5HrJbxUPHfGnNmzXP+VS9xOjXd+tmBWzYw1uL/KuWBWmIuZG4dn2upjVBgy5n6 +6JCvjNl5rGIdFMgCLCLwruS67aIrutPmGdPNfxLN9hU/7tYbvmyPQpIpSljuRWArIOBZpn1vcVu jHh/7KSzvees5EiVplR7T1asqgDclK/TvtNaVuy2R3q7kZHFvPyp2KNjV2jJFF0B+z9fJRAGjylL +I2lqDYhHk6sLl+m4SV1qiBp+Skh5T8g9Y8eUrtF6tfbHM/mEVeVn4464q4ca9VS0vbjLij6cYdw VuYdEvmBunnPXPiHd3EVMKnpU4HwvUWlr1+UErzEnMnQa4VBl/CYBLY7FYyPF1Fnm0UQ7RI26VP3 jmSqD5t2wZvMVct7edDUyXhbeC+V7UdAcMALP7x/v/8TPWiDhrFz8B/T6fx7DNx9gydbW5owXtpW JE1cIwIwAKf1T17MBbBy8SNRsOcGUw316RM7mFcqHi92L3LwGrdfvCHMAHsijRTO1P8870RpDBJD m6SLLXzSBdDJp/oi4ryTQKM/2ZMFzFw1LULe5XAHASQmsvotwbIGdVln7IhkgH4lEgNC2BITyOOU TxSFbWOROavC0NuV5X0UokCnzvERs4LrgRH2qak5OkpPKIarj+R7WvpL6Nok5uMS/eqdOWS0qEPg ldSgZIoNMOKZ+DK8CzEEBLbL+J/Buk9u3hqhaUlPHAgabjCjnABW2aC+K58hdwyi9yuD/7z3swEq JoBoYwt6hEw3De7GsxXj0r1O4y3ydH5Jkcct8cZTuZPcRaB1HcZsqO69JzWWIuWSC1XEcFYqvBn/ ilXtUuslgUhFpJHLhAUsetHmEkO556Ph60pXqQGhIFgPoQvByO5jyQNchCgKjjitcWeWw3O70nN5 5ImLRSmMee+vCnOdH5IbZnEnb8eu8mtv4Vttwoe0pe5PX4tOCOznzbvgB/ylOohgnYm4FOQfpvtP 4dI9z0aDBmz3GrmlmsH237gzscM1piIkpIFLxWA05HXySp6mGehem8yIEE6Z72RwXtOZQtEE1fRr +hu3OTQkOzhS5O+tHwnmWVFmWG4vVSqbPGaZGsNopxiG/gPXDsHR9d4PmgkMb/m2QDf9WTJi2YSZ QwZJtzpnYtuiy7PhQ2QBH4817QrFn0aC68LMnSYNK+Rz4VB2M/iqIVBt/5OOh+ECjaq0UsEio3v1 YLpxAUTc4Lv2eaK0viDPiPrqu3FJfnRcAq6wi9EsvCwiQWSplN7Cnq0drhm+ZM4RrShYaMMCz+3z heaRK+4F5GsEYTDHReW7cIpCShGHESriKvW0QqdDsfmUrG+xYo0eBNBv3ypoAFCo64Jm4YnhsLA+ Ytcoajf9zVvKBxHEwPyumtrvVxODXT20U1nzQQ9fiL/Hi/mBKHyjmrU7qBSJXjZyo57GSPifRZmR OxZHT5W5nvA0+2+HX0y5fgZxlhaX1fDhbOUS4/g8K5cjs+9x5T7S2MsS6F5ws89ZY+InbHKyo78d DkAYcgMT6XgW9ui59dL0QabK5MJD2ZOAsSOch4ZmjRIf5cTU5ZNmdEDAR0w+NX62kVCP5aJWDixQ 8jQC+j7sj1ODD+iraPcmv6+UULeAOlRYgqGa9T6/cjvmy+SnycWWuD6W5oBq5pjBE3N6Qq/nZqwF 48u1O/6OwKIq4r3FZ8OpwV0ELrpcTYm1AM8r79OALDZp5U1mlZLDCzBlrlfQryWPtEmHpEdB/hhZ uoVS9PAbZ5VaknR6mjkTp+DEmEfCGczlRFP3T4LGulCxYxVOsEBl3rbevOkuUvXfHbjFi38Jc/oP mxdIy46COgpCWBmDsEpm719PWZly/GA2FCTDK8ZzyFP/NKFPOTkfjaeIoa+vKJ7k8zbxcO5LVKxR 6/K9rPsx1Qec4xCTwVnfrgPl/Qbuvwdj0gUAJMtZY7wjerGkrkthnLlUG71ka1FP19AiJfvIdG4h xBBHeIzlRL7+o2uleI6G7tvJq+9v6C/ZSl2AVTB2vjDMpVGZYbdkVyDDzV5XNZSzulR35hbdSKjS zEBeJLt9tqHwABFuxS5EKqNU6fku0Tig4ggeaAzTA3NE/99v5NcS5UFz/stsZTqQc85kYzKzQ4pH 7y2jSaMD0vU/MvfGNMJZt41nxF/yl32iNXM6lGeG5GQzQmNueOeh1CYD7SH08hVbp2c/LgmisllH se7P1TW627pev31H8MIJklJf/OEjr+KpTC4Ey6dmslWLV5IDRlgTjPRGiERl0Cbe8yZuSUt59lzx wl5ggzjuheLikJS7zYn9Ezit1hffQmsP4ShDJvhfKNPb8AuV/mlYUC0rF9iDYJv9GAOhC076M0a9 yK7sRExvyiqwxMw84OVj9dWIN5pwxilB8K8+xlOmbQf+1CPOV9W47amHBFK69PFJB1UjjOPZfu/u bm4UeC4VRUaLmBVm4G3XVNti0Ul5MumDmbUWd74TLFNUFG2XfTPBBOG0Z/gji7/9mvDlcjnNQLPx Kiz64RV/5kLs/GQw1YU010i5JCibWiFJ11t6wfFjN0QZlqaJ9YU0SDeFFAmA72dX0nOQJBwDTWqC QtdQ/ZBHtPQtO1OfoyDMTMe7DDgBSzue6mZeJOYyY9kDUrpL8l9eYXQY7IJaXffC2tek5amAuZVL lyNyxgHsutR7PL+npb1HJqSJqs208S6N+QgW22Uccw0AWfzTjV52uc/Xn8EdN5Cn3p2AsugLLVJK hFTlJI35jc7r39LiBCiZk9n8quvHPRVqi19wTcD24m17diVWcVnQHCFyDFZUQ1cTcd+7AlEEjARn znmr72t4aUVtN149Li/HPQYD/8hIL1QgtPO4G6ModqeZanxfu6xGF1WMJ72kkuX9e9BfEIEl4lSV xypFIAzmbMqE05/aNWFmVEAWB6kbjsVrPnPPWJsgTovBS+K30jiAZ8h7Wu0D9za/zl2B1vetgoaY mkfyrLhfLBOY8P/GJ/YG7G1M4a6yJ0bCXkDDBpLJwzBLH6QCk4z5V8e7VPUJTyFTks7VsiDPzLJ/ U0qeJOit4DG7TFP1544AeQT0Bf2K8E+OVfXo60dDCsuazkaI17OEP2Cz+AUbwjRfA4CjCy3R1KpK BeIu0rwUcgpQhKhGdobXkiL37YtIZiyMmIOxyeIHz3F8U0cecwsY2KAM6iUDuf+0OvRjYmtlKezK SF2trMWwT3Tw9e7a2e6mieE3suEmhguJ0o+bCzdXDwgFxD4uf2m72X2Lc8m6hOdpYYPRU4QfbCV5 Xwsou7CXWdxmXTnfQ3l7+RTRe/Vt97h6li9C91LT0NvswYp6UfjhLUweVRnJuFt2tdmI2bbT610k IEcK6AZWFqL4TrlTbB7rFe/MjqStOQFLQQy2FNNVcIWkdcbUlVt1vwwydKROPV7SPTFdRsn8jKS0 ST0eE79qmwrFHHpL9vl4SZ9rhdYPuZGkv5blSf2cMTuq87H+5CFG7OlyrUSCPzk3wQsny0/9z997 1tLgYljjHnw5nCf1vm0wEWRgitbpqSz4m6smUoJOEEtOpG6Wi+IzdLvbhnD6Kd3yjH6jdNzrwkb7 Wwv09H+nnVrl3rw3rha4KgUQDVyW2zIyeEEI1mjMeLahesNctfdoAPw55p0lSEFqIDzIL4YS7w4B 6wH3E/7Z1sI+LVLTfySYShyQ9oXJukj86dnsatcfqD5L3f8QXvjCpEKLAKlxHYHscBT2Yw/9uvBM KHu2Pkk/wTNavDu7Kv+lpunIWrlBFQxjz7+io6axqMxXu4JjHnppCTuBpvBHmnRMspKij7t6cpOW Lhdwye3nS7LNGZe6v/TawxXDRGuPK0P4gf5lQsff2CBljPNGXM2fDWaD7ga6bm40SsboYd7wEN+z MdUnEXJgM6LgjMlpgHyLbwf9coLW1hPHEvE6Vs4ndlikMR1ZtsaOR/Ph2jOlBM8tI5KbUoPobqN2 mBsgKrTRd9J2OFnM7LEVkkKoWaPZ/mmwTxcNuqc7/gGxpXCVhm4xlWFZNRFPU9M1S4VftY3vfoLo w1pV1imvFvlOX0wzFw2gJ78V3EHh0QBbcGerEhphEA3eH98RqHIu4vlYMpV84y3j3DRx6e4Lkg3q uBO9ANRKTJ1iUQWsyXSHK2UGRJ92LtdpRi1MmfDnytZHGwgweObaquUBEpYhrWbxXhOr/Mk8rRuB aYiggw1y33YvAK4G8+k/LEoKUbd838ZvYtt06LkDaIQN3cPYm18AiPhuGItbagn+L15vYhWoZPkl KPufvoEtNwhvMJMLr544DNnUrnU+3Igb8B90puftRpT7mV6a2FWs5gO1wonCNHjxYhbZtPsalY6/ tQfP7Gn6JtuTkhErulUlQvpmpFcvzHBuZxlYCXSR0OxE2OlyldouYClcxgaA807L5ex0xUlgcO90 HAr2U5w2dLU3LWkKSHhCeMESC5G+LWIwDy/jGfMhMuVKMe63GZQKWdnMCkiguTrVCBX7q9c5yIlw 890EpM5oPP83Qb15dcnBeQW/w5uYF3NLZCtBO+cAPjtjAPJYZXkA+gMVa3QCzNnvPu+UhQVZZWen S2YRJhzt4LL5CIAnm62k2I+FsXULNaCn8BNQOKOSXSrT4zsVmKvaBk07nefS9iWBbeyy72t1m/4m szQyH0lJQLSWkTwKzpKI1U9tDxR79saYqj+WL82JtyYY5NEiaZj2i8a2IOABF/yV3iL5JErfGgYk Hq0Rcwgw0Eck7IyhLUcxomyVrMMPYWsWKMURv88hOYYCW/37ZPoVTumBdrf1lrpSybFSUasn3TOv mTGrCB0b9bEd9wBoqkGB22CN361paAEGsIR+7i6QeEY0PFjMhyAkWeFQEaxXCWlifB7ILn/ZHHL7 91KpHWmgSj5SfdfDO/FG6cHJyXUa0NEpcrhhZgSBBESKIeJUiQiOafvoulrqsSOY0LKFfSJECTSs I81A+sybB1IAuwKwvPc8po4g9V9S11q3/vSfDHKXTc2Lks+yHr8Mz8YvvXGfj4IbaZVihZtuctxp Gbn8chOMiJFtGhDVNhUQiMhlonHs3J73MuN2gBna3mpJ8S4BIU7J2qNP5ftQ2yghuVkHXp7OQyTC uuy93GqiT+0smnbxGdumKCPbG2JqGuw7YUHLKX6/nuuvHY1ElRin95uYimf5VoQHImSc//zyFcVU gd+VeFBt/KSCC4Vw0ZzvwchZu9gF/2Xh3JCnNmvinvL9xpZhWrFyQsbjdJgpVWU+xRDlcXn+CeLD ZTBltdomr7Llep8O4b0K4Xy40Mc5YDZuyhmHYtSuVNMx0G4fxd5kQpYJzLQEFvQ7i6H5xYmgTf28 xbu0PvI5GfkGpM4HpgLLSjLatjBW1Km7o+pgHyOEyHVSapcAx+ktLAuM3gvcWMSoZRthhLBig5h+ Lhp5aocrZpISOGRLYegxKvMQKvXfed5zH5lACvN6u5p9RuJQUBbHD7u+I4rejz0WzeYVDO6s9NJS RG9O+UJRfu8qZgs8taFj0lB+RPcBmQHjrfMZc1xh5AgG8EWvNYInk1z4TdAL9qn9fZiGhfPCNdHV hYIDEqFVOb70kBhHuLYrLzSUJ8e9F7rroseafAzT77czcEwNRUFddRJ9o83O8ifxLj0pEDpjNDP8 nhTN2zwlJ33OAWCGW6hStFLbdJOScEaibNgc5N+BYaFStLTSe7D5+SLfvjULLekQiUjv2nyjOuyZ FyPEj+PxgRSvilx9xZYNyTw3oLBVoiEhTbwVemtflSqB7n7NlgrWHHPmEB4jt0Z26SUDjLOkgGXb c1Cobwgc24AetGmRA28irlpJe4UrE1HSmLXIqdUpuF5gM7STOAeEb1Taw1R4b+NI6ddQ5r3jYsb2 7BK7UFLG6Ulq3Xy47CbyhU0yYvgkGKZJvXpdRWj0UcGJtx0xbKZ67hDCltM9Qq5u6B084++dc0Tb S04b6fT8XKR1WIZl7h8NVm68Gf0yThh3QEH9kt9xYctJdUPunzgzU8wUh+ZUgwQk2wOiedeYJ8vv PsjRgvSY5vZbNg70ejnOwav4ozKDaGQXZToa7of2JzvIdgINA9YAKuO4mtLotcX0zZb2xkFxrPV8 G7+Wuo2pODfs56rBa0KiYFjTKryLUroMEDzfBP7R+vmjCbQ0Rn5T4NVbv/gxoQOKtZH58TpfPDfD AjqgNbRUbRQ+uQtUDRgcq6hNCEJtj7SFXTzPbKiKwQ/5d/y4c+eLjOwHrEeqP9fBkh9QICcF2XLZ qszUDRAELNI6hakLyn7h9IRzTr2iWEzER/SlgvU9QIcHY/JYDV4JRL0SQjInEjwx1/V5yE3q50D/ de2e55xejXVxV37B+5DANUrKWtGo4drxzIWnl/nXsYZQ2ARzEYWGDaurv6DkFydV77YEC3De9Tg2 QsuV3T/3AUte3vbJ+WYdkYautI92fzmQf649ClAkCzM22008zdwHJUTLt/TJHZ+/85v26uAMMb4N 1RLWBrXEiNH2wyC5/5KOhzdkNTtEOFcsuAuFbSzItjNHJS8U+wd2zoJVJciyPSdH0TaX0VCAUF2n 7SnhoYsvVdmbW8Dl8C+FQ4XmpgGmW81ZOyvSJwfBLDPfZQLL9zLCHK8sfyFOeOPP+8/oo8FTwQUq M7nzEs0LcMD7gVraEc/ZdzWBTb7B/XrPLDRhWJu5bQY9+9OaTGRn+o+sPQhMFlhBmky9qcEC1LjC Lft6qGkc3WePcpmDbB3t42eLgZ8RNmpqd3A+ryDRv2396Gi5iXs/r/ZlvVjNN+LV8lkUuQIFhoOY JSC/H/PNCfpP0/R4Eqh+eARm1iyUty0HNmwkdJfADhRXVGUKThw/PIFNhbF8+FQEO/V+6CelDd8T 6M8FcSaExXVduu30ZtM+7eHp0oqQJlmaXWRyiQLIAkZrD76BpB9e5bhMCgDpHo4qbch6NTXrWVY+ eh4v3xMEBofVCTkxwOrkU4JP2NoOvBJAHoEBOoHToro/bwII3UjQX2FM9nL/apD7ae86EcCN9iid yDGKK5GKkmTlQtP3mgaQ9JCGNh+rYfRNX6Nm9xufoSXIOXW6y7OoQ937uqjpdQ6UqVudbFKE4IxC sbc+YPD16q8nrAwezaoy5xYBFWBUd3HUtKiTEGslHmnIbdYgTz9wLxCcBNjS3VXQxAs81sdusw9H IjpP71dWZyTSjtzbdvZ8LK+h0+9OlvlM2EZ7lWXHd7KMr2aShzbJK2wNbtcXo0GVUbPX0T10zGgb mfxL4LgMlQJVjm7GgsyZAPiTHlsNwSCvCva/9ZCuHMMDlppFMU+qo50dxyDD0AXPB9zw+ZSBQeJ8 3d7T0IY2YUEoxnNd8G+kq0Xt6rqv0WOGYhaH9VDK4vvjaP0hcq/m83eEBmnf72hauQ5YuP1nW12Y B+iBgahzGY9u2601MfPHqgcFfa1A3KS073BeRrpl0sgEq3YCWBMwG09YUwIjDTgxwA6Bi5vdLqGB LOgAFf8FrgSwjtqd1PSwrFUbjnik3p6/DkuqKev4AiV0Sjm51gdpa6/OcnoOOCqHvBh8SAakAAXt kdUbDF6IT4wuaJ07TXVo6DTtSkIUVx+a8mMHIhOVl5n8eNDY8KL7+vviu7avkCYh/+emRhis7o9G 9v0MpHZIehcqNQTs7+9d2bPbmZqDs0HWWv6k6wpP5vs6l49vwAM8MgzBlZNqf5vURHVn/ABpFki2 hT08R3XNlZ+bQPtMcn1Bea5pCyW/KDrNGqh8Qi5r7DwZ80+a6eNw8Cfex+YwYJTth+C4oilEhzql hVsMLFf8BuxxW8mD3PZ9FtIO+5nZzGB7NBnkU14rTIt1rXsyXAplapDpmikxZpa3o1gbLYymzrPu tavHXd5JVTYRqSNw/KWJiMsN0FLGAYHqd2eyLHqj0jNSN28b57AcuJ405GVRQEFP9hGeSCeKuVX8 m4sEKU9xkkQJCjnH//7ef/vGxN1jDJcagS69wPPkmh8phCpnOw6n3V0IVEfZWG0/3mFR+lEzrvSl +HXTb/5m1Bg8YywHXjwCKyEgIx9jx6QWWMYVI2jTBiYScStOvEXHAhsZAwxr/5pXvAPmQZuqVH3t vKzbf3/t4iQrUwJbB4WHRDLx+O4wbKno+g23V3oU5CfSRaYtqAIcAaMEHzwveigwHQr4solMW/ct IIUv8pFqJ/J/bSKGhv/M4HUbP6nOYPo0E1c2PUAF9XL5gPhVGyclva3FZWjLTQyfAwx6rIVYo8fX IqmHmIwqyco6GNJjV0WKN+B7jTuZgpRQE4NKl8sF0eyf/7B/O3lnoW/+nPhzqnKx2MMZWHK6Ms1J pXS1ZrDJ8tNiWV0aExi/NOTtPXevLPAQXSUVtwpP9iE83L8mr1E526rgSvhqBNEYfaBROCY7LCmG qZ+hhL/HyDQP4JD1D3Lg4688gSpbIu91pO0sSNShsG9ODVbczbWh3zRPLUVTTFrl2bWjWz11j26J 5sYpV0LTasjjTu3Jwt51wbSJLSre1011WFSnnnCrQbUsIqOBfVgJtp7R968axgbZdvYC5Rt/Xq9O 4YDaV9WVdYbYToKEjjbjTKNoIdkQswqTcKwkxYb8qJ+909jBs9g79AnrhgmdhpAoAr8daF05Rgb8 7xPHKOgmLgLSMTNhDEFK/8jndmH+JXu1nvriC2x7yMEpkce1VAkLekAfKrKwmGnhjKHn5pUKYguB vwubOdYSi5YlILYrvQH33RMKeBen/LT33kendoKeuNMg97sBMQ0CRRS4hXdg3QfRcH9Wyy+161t2 mepa49zyxF1FEFa4EGTYhv3WIw3sN7sjXESNjglhJT9U37Qa2YIJQxyYZezgkhl4+FeZTLwlOsJM 7o+uc8hKkj9Yxr8F1eigVA+9iCnXdCEn1KYFZ75RnDrrtzdqxpLhlz4Pw+wCCZQ0j+5O3Ly700yj RmEo/LWprH4J0DV77+izDsjLXschVNcxqCxJ6AHLUamJ2kws8pNfmAi7KAuEAyEQ0qRN7GvUl8hR 3vd1v2lEJjamt7iuya2WPT4O16H7rdGMKTu1rfufcoPZNId8SC+L5U9E/Sn7EgWXR6eN4lks5bhe RFYRNtrClQG+/fz0a4jFJ8M1BIwvbizo7bQ6AgTbMFxCu7SWgR1PURpsvhtAkhRLGBxHeU6Em1q6 NeIuVAxUOang20rtBeTXBBzTwWmAeOnbH0sEzV9d8Zg/U8G3ZO4oSHXhCv0TFPVtfe6aXaE/MRv8 DafwbGuio2pnSbWnRtjYf+0d6U3/cqzQxfYnvrP/C4VkgYPGAK0+b2NSo+oUCa+pc9fWr4H85pD6 Y9PD0mD+UjmSajjDky7oUsLjm0lydyPb4pRcJaaiVeDq1EU/JbiDn7+Sl+PYHsVoXtW5xj1LY0iR 4A5UltHxo8CtGX+FcKLQYMOkpG5jtACekWrOCQFEIU+dN0gdkr39Nqq+BfQH4v13pEOxBbS4J/61 9W7IdY/FqgAJrE9R+yqbcZ52e9wKrMJf5jt7SOWtsAYYUPXWxTOjzI1vUdPtCoWmkXIUfAFSG/qV x10+NQAVTVhHw6ZOT0PV7UYgPCkmK4qnNHsONfDaOic0UZ46vOx3o3FBg6SjaWYIvCnl5280ginr hidV80vVObuCpdZPiky5//rYUSzic0cb1LZzoIREyehnrnOdoCNHjSIcGpmoPrvStUXkQZcU7hvf yA827Rfc6LkTxQRXVTc2uFAe60OubmFKX3+sr/Lu4XvuOyNR6exC0+cErX7qsCDiYBXghrfwQUDt 5pP4aKSUlWQhNEAuDKrSkZSTZAFalQPJy7dvfHvlmLlQC2N+RGfpD/nXNTRBz6qtalIfKGWInCKD WTDyWjgbEHk0ET1oI6G0oVYYDRAovJlCplEiZu0028IlS9vu+SQuvYc5lTlSckCHi7rwtQ8vgElq iBKPh8xHzRZJI1665KJfjAr+lSY6oDACEU0T4XgBTczAxrzq35Ta7G9tLso0bYlz8sFcl4sAhMwv HkTGs28SB5+pxF+mE61FAjUdJbW3Ug2HZfGalGUnBSBJ+Fb6Ten00wE79eRC5BfoRBTR26xPmkMq S7bTYcb0ZZWQxvg2oTdjqDQ9MY/Rhs1fd8JMPV/2CloaGn7Hg2KKSXKi87LAmzeiiuDHsTqZv81F PrHT+CNXwUZZOYXS1I10jSktxylQI2G90v7rl6CfYpWJDyZPEfLtW6AUVK08u9BR7u6EhoJTvfOO nODboVLDomxmo+MgqxvVmWgxRLE6cC83k5vpP51uMtc0X0XofnCnNH7W4OUeEdupk/Ps/5WtzTAg pzqt0J37l2pGJ55CslGsglqqofyZ7AfeLC8YDP9p9erfH7bSN7JmPAsIb2FqfJU/Kc2csEhC7dKs 7Oim+9I4tCUTX4TLyqu9//XulL5mHhDt9mfXneoGojQNN64MGntoiK7FMHVBnlVmR0XGXkHRnlGk aMTgwdl5eU+dZkKzLrql5ba7gl8+gmMxukJX3611Ka1uAvRuIeOfx0zYlXPWUKTA+U+skTAGvwjj /6Tc5mmwt+IftZbNpdicIcjB4TywLDemeVj56ItLUG8kpHd6O8ZiwBcSDWUT2im6X/f4ORva7yo6 gXP9qiJgMwNA/B788b9R/x3LLVTuDQtsWtrGYFLH1aNJBFsk9Vyoqq/t/qiozeXn0h4yarLw15vO q6jeQrMti65ROYtvnsnMKrZ0XT3sE0BjQxM7KvV4hoTPPTNmCu7yjxROYFnyavYZ19/K30UuRc1U 1UUPWo4K49MxrwHR7JH0GTb6juEAFsKUAqhHDEbSpdZFRll0UpnybsBrqdZBcT77iskviokyiOmR 1LQgyvRvbEgF8k2cRAxLeWf1VRmypc74ZK78btoPS9zINiS9/qMnGnxBhJySr7V3qF1o6RvyjFG7 1YxjHGNJw3ybvDqdI1xgRCzfkPDuoGpgqSTGpoed+XR88H4nY7sr3YVxCH4ieDGk+6hKa4+1scSS wa15J/b6OZ3p9tyYs0SwNzj83f/sVWCfxpesy9vNRhssctlky4Huwcp0/f3drZxBbaQftC1ZMbhW /aHwOE8dgQF/avK9dsPhwaP2k7jnstKj/KME+eENB4vQuBDB/0D5FESkAz98UBwYbQ41RLQXSA2+ LpmSAKG7+oLYb0GlANI1ZsYewyVBHOSHnwsMQEFf8isuaok8Zfvionfv8dtA9AR2mQHY2IE+ucPl hzk7Ho1K5jIVLHwq4YYh708YezPy/DamfovQBhW+1Jho1lxVWE4zN8LT3iZggJdr+vQPUdbxT9BD yvBF3UngFa3fNJkvUBqsfb27XS5BfoYxT4BhFklsdQ7zwWg8+IuUcbWlGTRBwm8z6xlvy2X4pdoX tLbZ5kXGJUYArZwKOiwOXg/HHi6bz5+9ezmK/RdnvhDzhwz5gEoso/emr+UoH9jzeUndLk8Cg/qL 6+gems6rOTy0PckBQ2Zy1/w5ec+rXr5uSlCypXaBMdhhxZ0lDmpWHi6YIGP9cHzvuZLNqOtxiSFD AxLWTNr54L/mbaFw7IGLR4odX1WTrs86TyC/40TNoXThDeRsYGDMjhjb8ij+pA2RlNUjP0L11jfn E9kCBN2/coeMmWHUC+v2CSJ0Ig0UiEghGjvGQvjZB+GfPAQrfDZwzYrIXzuBpVuQVlG31oWqoG8S MTqwy+/FaZcgT8ZRdr0bmrHOSRSMdeMvsVQneJXnBcDNTievGYewgyfzJDW+7q5JoJphKiB6PeWF jRW9XvWGsyuHelHAMy8LQxN9IoLo3z07qRUPsHXaBSNcnSpHsDOozivCF3JmdpzwfjzQGx9r34Ie KNzwZqIQKik6gDOX2z3EI8/dJuM1uCvimPN+Wm6ArYx1z/qCwsGxjPSVXvgBkh86Ch2Ty1cN8whK 4Tb/JNrfX4du3nXwfrl1l89/9Ss4pKtV8BdDd0EdZMOS/w1CNRdZb3Pjb1NTAaFAkIZzuyXq/HMT p02DY2vFuNnceRVsepbx0t2RGY76miwGFTtC8qNpqMdpGjuDmOUn68vkuYi3ma7xSq+7FA2aEfWL D7RlDagO2U52ALPIdrbY3grIiTTWj3XZyDOdNxmpysb1pmWdTvple8Q0nYTtn22rvmJAbDPjAvkD cuth1nmX2+GuQz/ed7YQuluDHazs8I2Rdy5fOsGzcwjDO+UCNCuBXOe5sGJA3/Kc1A+0I0b/te0l eVWPF3VhpIr+ZTL7CEIFSBRgIJ4OVKCamwNV9ydlByPe6QiVRZ2n55+I7B0/i3AljbzbvccnGSNa pQUGFvecyRakcpu3rDhyE9KWALsHFNoDdUWvzBZTJqJeUmSSW8gnP2Jb+LE30FgM16XLgOOdUIma irg7KHJhNVcsts5MRjQBKeuttGv0F1tc5wkwOthKguDJAuY5MSUL6gsAq5gA4nTt4oE6uIoSeRrr 4eC7EoIbJHnHLqTDcEHH6pmk1BH86pf5W2ghmMHBO/AJt8sdmSHuD7xyltRjuAmFQtnKLZ3/66PR MnajYs6Dq1kBAeFvQm99NC+/s8GOiaEL3toNi2hZHXSe5+j9cEI+Qp3ROFosvkyV3gUU42Ha0hJ0 Kz+47zvxYwED5ES1+S+RwOl8LgoQaTcoID80fsK69hIgsyXRio376j/0pBPujGDZEx2b7ztyGgkE lb0n8CKYYc2VHCJfpbK3DXzoHmHuH/AJXod7qh5haIO4o2hqToH+aj2a4eeECjpQnwjhE55QMg+a u5VCUHb1JbUMi6gSfwKYaZR7Jx20z3LNAXpZ3LPW7HSjxa767OFx8JLHvgQwp3G8Rjdyrq5LdnnO ZRo/5xQC67IoWIYZP092zNtLwo1hkGmFuiaQR7yIofPWrwTdXKkZQFjehMwco6Qgv+67ehOenfiU uIgHrclA2U6mwqasjek/KmryjgMg89H2BtJfaRznIBl2LwKBfTD2AoQJ/zhopvJHABbGA2RXFpMu 8g4TwCuWUAAqLFLdfdr+FhQWl89mYozDJcH3S9PBfMmMVVa5Ggz8lURVjKcg3yY2+WuTnV5ZEPRx qiAWz57P59V/ATUsXfScRJHvvOFf8tC/gpLBKe3CbMx0HfUh9vqexyKahjnOUlNseCxATV6cDbf1 N/hdbaERAz+xgz6Dh5LENEwgUjNIn4Dt/uSsfXbNnliL6hdE0VaGkL76HDfjrdPm1w/Uol1CyFvE 0zjDNrUjrptFGzi7GHSsJZ32+sy2JF7b2w7Po98Q08MQIRsuvSaRx6vYjg+UpKZvj5IAbDL6lieY FdU94SqqWa6XBYHCDFhn6VLeX8tM9yB/pNCUGgo753py0FrkNiaWTwuttgN0v4x8kSXLIAlxe36+ YN5ohHQBmLr8IBDvOuhL33n4OexPOE3DVlshLZC+Ec2g072gUjhhMhVS5uOLU3DzYST8sSxqFxn8 KyZuK4ZzM5bfSfGlRQXRbV69AyNMAlkJsFj/7l+Q9TTVO3WwEaldE7rTA5oTj0j7TUqtZk0ohjvU pXF1jx10/a7EoJXC7NMaonRnaYrhoeiu/26jrH94xXKqHWh2pFJtz6GOehqTbhy+S0NiVmI9U/HR 3/QcHFv68FeJie1zutQPA+BQcYr5u3/i6Rd7Pjd9NcMOUEMUwtYBgsOwMLVvD31IG8Q00X/zaPYK y27CRGvB5MeXKNNWgeEDoPWEa+gVI6uKKMM110VIuPgM/Jj2U8Tbi1I3VraIASJ00IyR414Zrw/B BMi1MNquwOU0tpxorrhFGP+Sh8Bym/X9DtcHR4Svp7TjvXiABM87OFMs12iI9HFLxXh+7NH63bYP VJ4PoEYraNc4671+i+Ny+NPoHbLhr5yi1tiW4YV7YhaXdmMKQOcJArkNnEJdKSpQ9gHag97UOv45 DlzBfCYHVpqqaLdhkpP5sQYsflbHiRdSBjkFt9s1Pg== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/mux_onehot.vhd
15
14596
------------------------------------------------------------------------------- -- $Id: mux_onehot.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- mux_onehot - arch and entity ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: mux_onehot.vhd -- -- Description: Parameterizable multiplexer with one hot select lines -- -- ------------------------------------------------------------------------------- -- Structure: -- Multi- use module -------------------------------------------------------------------------------- -- Author: BLT -- History: -- BLT 2/22/01 -- First version -- -- ALS 3/30/01 -- ^^^^^^ -- Added process to replicate select bus for each of the data buses -- ~~~~~~ -- -- ALS 4/19/01 -- ^^^^^^ -- Modified assignments of DI and CI to use signals one and zero. VHDL87 -- doesn't support direct assignment of these signals to '0' and '1'. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- --------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- Generic definitions: -- -- C_DW: Data width of buses entering the mux. Valid range is 1 to 256. -- C_NB: Number of data buses entering the mux. Valid range is 1 to 64. -- -- The input data is represented by a one-dimensional bus that is made up -- of all of the data buses concatenated together. For example, a 4 to 1 -- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by: -- -- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1, -- Bus3Data0, Bus3Data1) -- -- There is a separate select line for EACH data bit, leaving it to the -- user to set fanout on the select lines before using this mux. The select -- bus into the mux is created by concatenating the one-hot select bus for -- a single output bit as many times as needed for the data width. Continuing -- the 4 to 1, 2 bit example from above: -- -- S = (Sel0Data0,Sel1Data0,Sel2Data0,Sel3Data0, -- Sel0Data1,Sel1Data1,Sel2Data1,Sel3Data1) -- -- 4/3/01 ALS - modified the code slightly to have the select bus generated -- from within this code - input select bus is simply one bit per bus --------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- UNISIM library is required when Xilinx primitives are instantiated. library unisim; use unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_DW: Data width of buses entering the mux. Valid range is 1 to 256. -- C_NB: Number of data buses entering the mux. Valid range is 1 to 64. -- -- The input data is represented by a one-dimensional bus that is made up -- of all of the data buses concatenated together. For example, a 4 to 1 -- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by: -- -- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1, -- Bus3Data0, Bus3Data1) -- -- There is a separate select line for EACH data bit, leaving it to the -- user to set fanout on the select lines before using this mux. The select -- bus into the mux is created by concatenating the one-hot select bus for -- a single output bit as many times as needed for the data width. Continuing -- the 4 to 1, 2 bit example from above: -- -- S = (Sel0Data0,Sel1Data0,Sel2Data0,Sel3Data0, -- Sel0Data1,Sel1Data1,Sel2Data1,Sel3Data1) -- -- 4/3/01 ALS - modified the code slightly to have the select bus generated -- from within this code - input select bus is simply one bit per bus -- -- Definition of Ports: -- input D -- input data bus -- input S -- input select bus -- -- output Y -- output bus ------------------------------------------------------------------------------- entity mux_onehot is generic( C_DW: integer := 32; C_NB: integer := 5 ); port( D: in std_logic_vector(0 to C_DW*C_NB-1); S: in std_logic_vector(0 to C_NB-1); Y: out std_logic_vector(0 to C_DW-1)); end mux_onehot; architecture imp of mux_onehot is ------------------------------------------------------------------------------- -- Signal and Type Declarations ------------------------------------------------------------------------------- signal Dreord: std_logic_vector(0 to C_DW*((C_NB+1)/2)*2-1); signal sel: std_logic_vector(0 to C_DW*((C_NB+1)/2)*2-1); signal lutout: std_logic_vector(0 to (C_DW*(C_NB+1)/2)-1); signal cyout: std_logic_vector(0 to (C_DW*(C_NB+1)/2)-1); signal one: std_logic := '1'; signal zero: std_logic := '0'; ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- MUXCY used to multiplex busses component MUXCY port( O : out STD_LOGIC; DI : in STD_LOGIC; CI : in STD_LOGIC; S : in STD_LOGIC); end component; begin -- Reorder data buses REORD: process( D ) variable m,n: integer; begin for m in 0 to C_DW-1 loop for n in 0 to C_NB-1 loop Dreord( m*C_NB+n) <= D( n*C_DW+m ); end loop; end loop; end process REORD; ------------------------------------------------------------------------------- -- REPSELS_PROCESS ------------------------------------------------------------------------------- -- The one-hot select bus contains 1-bit for each bus. To more easily -- parameterize the carry chains and reduce loading on the select bus, these -- signals are replicated into a bus that replicates the select bits for the -- data width of the busses ------------------------------------------------------------------------------- REPSELS_PROCESS : process ( S ) variable i, j : integer; begin -- loop through all data bits and busses for i in 0 to C_DW-1 loop for j in 0 to C_NB-1 loop sel(i*C_NB+j) <= S(j); end loop; end loop; end process REPSELS_PROCESS; -- Handle case for even number of buses EVEN_GEN: if C_NB rem 2 = 0 and C_NB /= 2 generate DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate lutout(i*(C_NB+1)/2) <= not((Dreord(i*C_NB) and sel(i*C_NB)) or (Dreord(i*C_NB+1) and sel(i*C_NB+1))); CYMUX_FIRST: MUXCY port map (CI=> zero, DI=> one, S=>lutout(i*(C_NB+1)/2), O=>cyout(i*(C_NB+1)/2)); NUM_BUSES_GEN: for j in 1 to (C_NB+1)/2-1 generate lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2)) or (Dreord(i*C_NB+j*2+1) and sel(i*C_NB+j*2+1))); CARRY_MUX: MUXCY port map (CI=>cyout(i*(C_NB+1)/2+j-1), DI=> one, S=>lutout(i*(C_NB+1)/2+j), O=>cyout(i*(C_NB+1)/2+j)); end generate; Y(i) <= cyout(i*(C_NB+1)/2+(C_NB+1)/2-1); end generate; end generate; -- Handle case for odd number of buses ODD_GEN: if C_NB rem 2 /= 0 and C_NB /= 1 generate DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate lutout(i*(C_NB+1)/2) <= not((Dreord(i*C_NB) and sel(i*C_NB)) or (Dreord(i*C_NB+1) and sel(i*C_NB+1))); CYMUX_FIRST: MUXCY port map (CI=> zero, DI=> one, S=>lutout(i*(C_NB+1)/2), O=>cyout(i*(C_NB+1)/2)); NUM_BUSES_GEN: for j in 1 to (C_NB+1)/2-2 generate lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2)) or (Dreord(i*C_NB+j*2+1) and sel(i*C_NB+j*2+1))); CARRY_MUX: MUXCY port map (CI=>cyout(i*(C_NB+1)/2+j-1), DI=> one, S=>lutout(i*(C_NB+1)/2+j), O=>cyout(i*(C_NB+1)/2+j)); end generate; ODD_BUS_GEN: for j in (C_NB+1)/2-1 to (C_NB+1)/2-1 generate lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2))); CARRY_MUX: MUXCY port map (CI=>cyout(i*(C_NB+1)/2+j-1), DI=> one, S=>lutout(i*(C_NB+1)/2+j), O=>cyout(i*(C_NB+1)/2+j)); end generate; Y(i) <= cyout(i*(C_NB+1)/2+(C_NB+1)/2-1); end generate; end generate; ONE_GEN: if C_NB = 1 generate Y <= D; end generate; TWO_GEN: if C_NB = 2 generate DATA_WIDTH_GEN2: for i in 0 to C_DW-1 generate lutout(i*(C_NB+1)/2) <= ((Dreord(i*C_NB) and sel(i*C_NB)) or (Dreord(i*C_NB+1) and sel(i*C_NB+1))); Y(i) <= lutout(i*(C_NB+1)/2); end generate; end generate; end imp;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/fifo_generator_v11_0_defaults.vhd
19
30145
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lHZAv/MVAAt3F19GG6CyO2D9ozHTHXUyHUqVqPhHJ9Up8V3v4BMtL2rZCdPHvvrLl9m3lxdPLeMd yZjuwpNKug== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V11pDh2GdTX922gInHRdE4PGQC5LocLJP7s9hbeXjPbTiX/dPLHGusbEN2B0toY0K8U4vuWNSniM 1aH2SNR2JV5BnhJYTc5D8l2e07TnA0V6ktY1z+NOBfbsIHPai5FO4rlYQdX0gfNxjRiE4WpTGufJ +8B9yaPmasK5qJ0hmyc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0akrUk3wQb4EqzKkib7F59nSOOeoy+q3qc0fQDYykXO49Ll/FgY0ewL69TWySlFx1Cac/+BCy6vf iumuPLpTjOS55mFm1JTMxYzM9NsagXEQHLi1lEkcr65/dw7cjFH/RPICXrv18S5beJM408VyZvsr NCAeZ9gbVAaeGzkHq6VNPIh/P5GGGWEK3241GOn4p1v1t2GkteaDbOSjGK7wX7a4kTfRzrAH+xYH 86BcPdOp3oyEseFdQgL0BZboHxt4zJr0bXL7Ln+oOm7kGCKk4PXPdudDDSsXKQUPtDHqr2MHJwZk LDVjKe6pX7e2DnCF/lojAxyhWqtc4aJmRRvYWw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P2FMFi4MUNbCcmQEmOw8kkGKpCf5liEfyrVflbrNDPfCQyQhrfO1z3elwJF/eYuRk4Q8ng49IhJM QbJUTOajY+rTGsCSJpmNj13e1oNpCtCwEA2TBzHdzEyAxDwQ0hUh3ZqnFSNQ0MMnavo9wEIKRylK MAHL5TjDsmLJG1Zi4ZQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GFuz3jjDcNus13vZfabnTsKTQz9Q9tOYpUUTv0v99miJHiWg9X4Bm37tDSsBPgge2ZWYV/fIZNhM o9RFowO2ZPIK8CdMOp5y1r9QlxbgxiEVYj1tH56LRgvbv2A1ghGFDDY3Qvyz5G2dmEuSZ/58uAtK A8Mm1zy2Ln16qChURWHrjkDuCcIOuGQ1GysEn2sqg3E/XWxojTbAmy+LaQrAOqIwoDTGFZ/Ek5fe 49U6fyDbugt8sjMOq32EEkOAQwWmc5uVOZWv3KIDCD6tRxPMIg8J9cwcCTEoanlasaaRs9KqN5go 7g24OWiCSjQz8Pf4KXR9USnCWt9Xh2mPsrZAPg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576) `protect data_block Im59AZ0tyI9QVvGpilKg9HEOiAHuKQ2NKYRjb1pd0X7WwD7SaI6W4ecFLl1slrZiOg38vNT2jeLC sUifOcYtYKBpwUhczYuM0NU8ofXJE+tVEcgK0WeUxBa3Nm3JxIY8ceE0NiVlhzqucj6Zs6YSrTVR IzoeekVAa08tJhIKjoAlFSa9wyTBR9RhdCoCDrL9mX0yChKzY08VowGrwE1Vx+je849cntpZtWLj UyErf3AoiR5zlyY6+F9+ZJu0iKZ5kSqPVAsIbJdASVNhiUP9Gb2tkTyXlEqtQ2Q7TdzbUXD1P+e4 RIW0EDv1PJV3dgWa35jqC7S/Abo4GdaYudtrFmvXPh15P2UAEcIkAL2sXmw9Cq9e2/B3fe2h2WHZ R6HRrugJiKb9Kz4zHzk0tE46PnEhGKJ3uPPTdumTTx0Jd9X3oCMvA/aBXYLuhkOXpwlU00ghdBZU Hn9nPe9Zr3JJ+jddZRs7qhIGWWbrBF0wXoikisln23kFC+AL+KHs1AxGfnJCltH9K2SkN1vgrg3Y E4eW7JQuIrHSKptWbTNSDTwyCpiUpFlOBRxz3JQqZkoSi7Q63rmxJmjre1xnMQDi+2F4PTZxCebB vT/Clet4j9ITo998fieOV305e2SMayJ8sOY9kIOju5cxqL1xjxVk0J6nQvYkGMgwWd84+nqKMDQz 1bx3tnOa79cF8e1MXUAtikBEn3lqLZMHaI5Bwbx7Etf4/S+QyIwrcAhl4FHKc5RYRPchLN54KOKX euUf+yQHDpRXmnhW3cI/7lZuUNLiHs33rZnSYUfp1Xr6zR/sZgQfFx+wWEZ+OCTLEyXq6qCxehKK duezfDNrScrAS0i2JoIAe4i9ZKUBysFPkAD7HB1sircS37ZiKPgpcpdJiQzQKdB8A/eUfSV+wUcB beubHqWWnfiLG42+Pm/ch9mX/4JcB763jWCEv4/J25vEG7R2xrWoZwfUKZv2M9PZKDY1ilna/ukW N5Ok3Z9MPcXgnkQe28lofLwhehMtMAzVga4/e0ohQx9YzZHPN1hzfyW8A/k9HZiWY5v0tB7AGxkn EruAVlGypO+UuTmayWJK2c9voLBVqfi8G+7+F+7PKZuyjIE62Vb3l8CFanvDr6S62IShU0gQ/xEc C3at4n1VQvcTLLqAAgj2ev3R+JRK7KuksWvR5bb0OxzCat7j50CsKkecb/LfHXlEXBymQFbRzQyz 4d2qN/xHwTrpuixP25+4xuVBDCStx+os8A6IZ5qMe+kXMzjPqP+oJdJ4q20qsddfvb2nvZ4gHoLQ NubwrmPtY356WeGGMnw9VHFc8OSs+MIEWQckOCjD5xhY7/LqUeui8Z1tIXvf0xS1RgLdzTRLWdRi q4WOPSla7ee7S7dVZYAS3ki4sfgP6PVIhLjVexJxiMg/QtebgO6+HhKTeCMVoSJA8oSTTczyaSCw SJqsZQpEKkN1Dm3F8IXAw+1yXZ6ROly7Z5BKBySqGuuexMEDibabYf9+FuElZll2TIK+s7UCTGFn nu56mztixpSo2nxIjDJO1WS63u8a29uMYCXqGn7Nz9BBUrdbNQX6EhWhxZlbFrecwYYwYQoDANvq lE6NhMmmqnIe3+SANBuo2572QPOzGOSVg5T+RGCfklwmzIn1WV9xHbI/yPJwr18TEt+PpGVq69Uc GzFNUYEG8KNUB6muas3VcKvNxEphXlR41nRbzZTcaqwKKTLfyuzKG1uII9ThR1Yzvz6kgulpA1EQ haJ5FWO6GJV7rXhSeBhKyG5EAUMRfcGkkuK5lsI1XYJZO5fJlKrkvMhrrDz+hMBjKnYWNoVNNIO0 uHjMWiUmuhXUif1KsOSbtWRGwdVsJigADeSYSgva8y2e4fVqkk5L2MAdj6BKueGLcxwboaodgZ+D 2QkfleRhz3zg8sy+CbLzaEFt1Fdo0HE9TPufWW6Mlw0RXqFxhqacm8lH1YF6IVXMwmLb89qp/LPc lEOJ977Ns11Ibpv0bBtmceaPPhPNcQw9d+1bNalzHZaYnJELuMM8vkq3K0jWaJq8uG8XUKdDsXya dh5HDBbZNOyD1MHPf74HB96huuOE/RRRA2OnlOx2RHx+oN9wFgA7/eHGylLsGs5xEZobwPAA/1xx hKkLtdnHto/XQ+hrMDGm1GmvQ17RriZ7o/qhfjaCm/R4qW9Tij4zUEmhA1486zZPGIbW7Y3V0SpB 97ll26rJpijNMTUcu7DysTPAkbWvP9R08XcDn0k4wP4GdtPh6lP2fwPUKvBuO298ExnPt+G/7pHB tKyIoB3T2/vLGoaW2/9EKFvs2JZZawize7NukAUFf24F6fp+QsXGVsRDh5ZhBBrD2wt/hvwi3hoj RoTuwtQuRagCJzB5ZD84Aqc6UV0R/PrNMXXm1VXot3HROrD0qTkEo+1BmwX1puEBpd7cs7VpIHTw hlw9PVHHQRgREJU0azV5978j2yK7wmdJ6Km9lbuGL1FUm7NewZjQP2bYCEM+l7Oft2STO7ndmFV8 9RelzQqOddxtZEDpCYZf2HrdDru6P+ohxrrR0SAcbThmi3neXkATR3D4r5BSAGT6s33hbjXtegHM NhDCfnU5xC9i77597wARVfxpethuKJ0euNZNhUtv+XFVWj8VlzL6GCyzqRZryB7MkTnfiWVTFeLi wwu9UVK9mcYLFi4Hlag/ssgdudHLnoqAys/MHb69RtYHQyn24Ex6bCST7gGoxRZGL+Mi3hTgP3aS uPI9zc2DzmBp4DC/Usb7Soo4lDgcI7ZVIH+IV8rSi8zP3bRQ1XWXUCcDKlRGboJIo+vuV4D5jZ6/ ZZ2QHvGGABNyrpOR7Gw+fiZsWWluXSrBQqvIef/xuOMNg0zIXpfHznRoJg5tzs8JL3B/CfepfFyx f2f5JepxChNszbF/R3/zbIGKQP1TyjSG6jIuwueid02fNy2ehbB55HmV/lGLa+/GJLZHVVIhbr3h oIRfWia2Bu+BaMRbL/asbTxUBW1Vvtj8uYUdbqDwMxXrGzWk/YHX3s77lfpNtDBGbqzz/v1bWYy0 ORCHZ+75I9jjqBFjpZryruTahI+MP6maNSapiCs/28mBwWDHZg9TFIb5DJSC72NoLzFm7yrBT0GL GBWFFv8C4lrAVZ5D2+r0Y4mySL0ZRUmDGJz5fI3wKm04eC/lDlS709Cm7xAfhFW0Tt8ql6lCZPry VjKzFVZjw5rv4G2uJ/pDjIIbDeB/fLy6HuOX6xqB24OdTnnpra3tRB2dXPD28sEFRGfpYFjk3ljs 22TipwAUaDVcOmm2vX90cT8fRLqGWaR3citIai/n67i9xU7aPLAquAC+U+X4yW415/IMKYJA6k4f PcoUxVFKrOEyzQuNWD7Kx5LY8Y6ey35mcQ253K7sYyUvCOi04NN0yNW3aXSfrOeam/QFmJo5FSAt zNkeSjI/5pFnqorgrDZdV2WHXsWZTjrEg3QjkWXazJQOVU+x1oJOQBlCDNmPm6haqNhtJ04K0+Ox YG5ewcaDne0fmK2RwjXTg4NxN6aNhwV1UHKHeksAKDSJbQd1Iim4VtZ7bhyOhYAAlO+7TOEHQqDP earFCGMB5PEfEDr2++UO7aDZQKSCMVtTekEojwpIojl5BeTUQslWSl33RjieWIJfrg/rr4EJ8vvL ov0TxeHW2fCCXEdzpUDGTcMSNbVg08dLabhZFPnYTsROCT1wJUVtYPf++PHW6YmKRYwBaYtjOUyZ JYr8UEb2dOQoFPB6bmPMZMoLTYnYZpsVkZAvBvf7NPnoI2bdRKxGRdTctgLC5JsUQ/JP9eLcnApx gLbVfI/O91X+DZJk5JDWmxpRw3n4gYz6iuFwPc4ZoMKxX5BpUVhgR9K2yfEWiIN6ukTZJF115k3R ipqV/yntBarqL56QDHKEeJyCCF/zMUefVjknln4DRHGqnkb0ulM+MVD5tzUKFymTfKrNd8DTu2Wz pxJXkm83EuisQ2IhuRrc3OQm4lWKDKDForm4hsWZWB0lK+piYakQDP1vKxeQOkklVqkmdbe6MffZ 3UX+1sN1OmJ8UKFaBeaEy3I/rJMqFBdJbFFLsTSjXJ9T5Jkl68DuQdfNbobPIHf3prEKWe5vdHZ4 P8TULZgji6zWcY0xo3VOsN6Gsh0E6nnnvDNBaoOlkX5qhjPe1Qh5wgFnn4pYDjgz4zYrhdCf5hxp EWMx/caiUeu2wAZpyMj//wRTbP/stCohT37t6skQnj7YlX+RUd/IDlHlvdJaBaq08+D/eU0hVFkT KmxKx2LooygZVZdONEdaBGfyq+15pnkYKBFB+mf2xVcrgGQUpUL0n+oAk60U0L8lxtO3H9nCF/ae XjTV7LEANAVByqSJUOnl1t2Q30zWE9bVi3NyMsCH+WnnRe5fnKmr72Les+4keDnQjubGxENAnJYq NnOS5YlfP33TzYF5QGgjtDwzJf9JFRBtAxEtU+kDZe53oCsJ77xZnhvpsQZduo3daAu3HAbKI8ZU pFUvjXgsiFtbqpVCdLy+kd6+voPN3GcqB7f/i0qVGN580FgyZNf2QvqULLMB9nOv06mGb4LFoPGr Lh806W+gQTM0P3smgwJede6pEIPsUpJpvVh8c3zCbfS473HwpBAY9m7m5OZ38UI0WVxHZ4oBeSKk rQDAyYRvWyG9dH9hXRXO+VCIVQJgKwWelfGAq7yPUeGyww5vmDaOo/3IGionUM3aLtGXNhb4jV1E QhosSqUH/X+IFYi1BCD6z/f5P7AdGEYwynl3ST54WrQFXhq/5IU2cRe7i1ySv3+Tr4hqnAv4XxYm ohawa15c7ppzhUU+i0W3iozD6QcOI2XHA6Tmp27BKHf7yC5Uze8d9gF1CLmJvCYJV3MiwsdiuPPK gWetq57i1quSzAKB1xFgPgzJhv162e23IOSsbDqTLbddcG8a+z8ooI3/rV2zekIIu3TN3x/Lg0Us s73lHmRzLqeSVuQaJw5ENLE+Pvf3oUVY30Uic4zRYd6ao8sNhHJByi7k/Co6kxVDp0+juqdlgocg Un/oSYtN1OXqnQJIoaVpgYRIXsSgkVyFBF4tI9zrDgWn1ERyELvHObAPeGzTjF7K/sabM/NA8dc8 UHv0BLoLsw5LEnSPFvcZuRydPWQ2SVROViOtwJV91U4JdV32PYbaBE9Rt4Wa9Bb6nvG/Y8kzIwfy JuKZ4e8TuPzC8LH4rP7T5/0MQX4N3z47Q4O0X9eqVq7RaGc3pO9rjnGKbqWgSuso8IVURzZilIbw ABkVOfyQWxYA8VWDkd6g2h6NQAruiGxjvciuZ3u3BPkbz0LSYHOlamv4DF3apsTCx8VXr5diqV9p RhLKEwa9t4YrqcxuVKp4mUz4CMmjDW6p54F9t3ZjMxhLlGCzCJbgtsrlcSLQ2mLJGeYFN6ywQf4b X0s1Q1hCD4mjaTiMmS7uKff+H9/ts+f/GGf+Qhb+Y6hqTHSyI596u9BFMRr+9iejaCSgQNFJ3wze jKcmbqMxcxQqUxlL8xR9sxyRdEv1rNUzvV+o9b/iKRkkHndp5hjsjnlIAKCnSbD5aMC8MX3a/vVV nkIvw9skzxgYH/4z6ptNy27lfj84sWhejbgPljRw/JXpkah23C8E/iH9Y2XUnNuYQX1cqp3AuOos YEiA5yH44bKDEJ9Qpd65vbKVsoyEziPc72k95m7/P+y4Ghs+eUY8V1CKGsQNRGqpTe1bseATH2+v 1KZK5FfNt0pG1Pv1HzxE4FZVOzJSZrTNb5IXJye3bf8DIgcwBGFU+YUSIEqQFyptzZfjT3aZ7b59 RWlbFcFltT/BtNBqQOgaVcf3nDszO4I+RbCzBj5DK46CtNM1DqPaHOJ7OVAdy9bt7uZTIQy3SPXH NZLWYvaj2KTh7JIQccblICUuYOIvi6PH4UI+SB36kGagi0+QqaAMLo7OodmGfBGTWTd06acBdSXl 3QomHfjlgZCBRS26xtjQy0w5g1ow+vaEVt+U2EcMjPLKK+4QWB/3tMqpd8kNm94rwDrfO/FqYaSu dTF3+kCzqYO/iVXlc5NFxyzG9ZTx1ZqIo/E+J1kXEwhUWd9tBRewtjtF+OoLR3pklXGBHDZ5LxWQ truHBLWK2/MaOeHhrgBtDKj/1mRrUEhcUWAVimy8XSb6hGkmjBDUeaP8U9OiS+IEVFCqgrPlOFae UiVN3tgFfJRTDzvcRJ93eOnBfg/mt1xSrEbOToivfCpyFF17B/UkpJhcQXNVtJuSXbHn3y3In8qV eZ0EEsl2Z0lijlNZ4LWzHLDU4tFUM6CgINaMCgtyB7AcN9FBeORT2Tnj2Ed57UEjdHZ4acnVR6ya DghHwyJBf6KPoeZhiNaZqZUXHDwI/W0TxdXgjRiOpjk5lIOOLu+zNwN4e3WvtsMK/qaCF5jKA19e iAosC3IOqIsKZCmv9x69+UamxkKsi4cUW6jhEqafWAu+jCkatqHke8yqI+n37qkr3c6yqDjMmuO7 QM09IhpXM1+cr+OItVAAratOT3oV2uEto6sMoIdYee3XPJKj5YgsH9OQbuXI1/vA1BhqzEwABmI2 mWMrvk0WJCrb3dvtfb6DwP8dFq387dNLU0plopitOJy11n0xEo0F/vhRAO5ZgfnajoIk2K1hlh7h 3Qx8TAex6XTwz6VUeJ2rFEgy+w72AQgXONpCANpMOHte39RkqaW1VQzjp9YpM7wOnKcWoA+PwSJw Bn4pVigTKAP1okAsjg+e/7DaLGndG2LwzvgsQMSsz/5J1EoTvpEdXSU6bQLP+B3I7S4R5F821tNE tswEdgC8lFGcU5p1ztM+vmEZYqgjtcXEiTdbe4gC1U1D5IBSjblhMdN5XMUnrTQaGBpZ4+J/AYWg RIIfDT92d1XUB772kp9MfyN8IRG32mZwcin+N0f9+J1DHRS0T4piw0dTMovpUvMm8Yb672sqB0mk OOBXlI8V5i023Hfiw0YKPJJY1kmn28bZ2RHlMxDriXgxJgD1cnSyxn6leV0YpAz7joSTF2zyq4Y6 +c+F+FPkzMpBH8BE1a81XRdPiIQGecMdWSAp+9iKIKaSJdXlQbMlS4b+lh/S4AyPu5f8BxCKJino AkEug7HSnNYlM/ckc/EttcvKqsIQthpSRP/IHmXkKiixjwW13hDqzY05YyyHKQ+r0qo2KFx3GvIY LfyGkSBv9SCdMNQmqgPqdCUM7WJlyh4cqQKppVXSBvFbOvPR82nBY2hXXKrIUJYTpfnyAqarELM9 8dSHgihE0xF1URQmCPil+9InXfZOVj4YFRW/EGgIUSQcPOZqVqnX0C/8fB2z2g/CHWJHkFJp+V1D 11ImYhq3cYHDs/wWzIHTpQ8mQCZXSH7+QVn8YXPKEs1Mqeb2kWL3HjgVMbuwvOc+wRaRgYoZfL4y /AMCyMLwr4QowVvCIghJzh0zq+bUry00yEVNjUA7McuhRVBr745tobGdlLw27cN6Yy2qWK+vZtQt tkcd1tcJGVYh691yaMiW/sAGEfzC1yzHil2xPKTfodTpj371gz3N12xdo6wXTQwUohFmtxgu+7ki dPJTdJQ6uMtIbfCRWc+ZEMozHI2VWsbob8uI2hKK/Du+pgcni4Geg5QsV5KnYgbNFen9/bBw8EJu o37XMnTrFTiHYwnV8Y3DJ92H4jZIucVXKoUPaUoIVblPuEoPlZfQnm5yllNjU4UF/CZXWhQt8Wv9 woCFRQHdFMcO/khUxVl9nR8IxrvNaH9wYHep2nCPjuKt22V3VDHbQDsiV3P1s6qlM5WBuSKv3lMu LpukIpvGS3BhjPE8l1C12fLr7uTbxvIyeuFDRAuvTYAaBOrO1Io3AYNydWc7SAGq5nzfJzn2djNL 7QEgfaYfhVU552yduppXrH8BS1tYlLZBv1ovf5aesK30UD+WMPAFCjdXsOX/QWbvW03He2/0GQPF PNzX9jW9TPkXnR3dHnt+CXbJmXuTocE7rJky39xZXNjbtBWuDoFOkxuSiAZW5OLzOjPZLrkdue6D K90oEKnCQP6AAVYVqAFsEX6/h1tsXeSyX8Ya90YAvCJWdqqXiL/MNiW10aC71n08kESACtGUMjBP 2LRdvdX8M14vaJDPR8+3gRcMla9M1c/sfFHt1o+RDV2z81KwH558U4c3JGz3qQCqLVAXcTPoTRUr Efair8zbcRanRrry+fUbxpRSGjS5pqmZd4F5OIrSpiRdX5akzmdmgSK20Yzi2vtNHNHDOqQy/1wm gLnhXjsIwlRch5wNwAxHXzqdACKk/Ec0AWpuParr2t7za2w7sYUqttSx/SxbnYHIWHjzGEVHGW2J nLwDf2yfqmqeIeGCb25Spm9XuLkmlilshTyene1/GDMe3BRHV2RGPCINxJs4SUUkBkOwDTypB29D ixUS9kmUysptJPyqU9TTIIPhhz2d7091m+9Mk0iIrOIPIc4WPIc89CH3VQtSzgi75SdRARzxGPl/ hoKI20LS/gc+MWQHOqGeI4cF8oMQUbFWb3Ra04kG4hp6Ammh7Y0yeJ8WqY4ha13piMbrHmYvWVhJ z1DZZhoLjK+FRWQ5I3L2DH4iVi6qSfwfRzf6lY/ln1QhtzXFy+7Qs+ieLf3SZybm37F1uKW/8tmd Vu8VEZh6qwYBJ8l3AkYTngWXZRz9uhBxNBoqI4J4QZjpaAIeeJ5WbR18purBCipKUHCEFAeRXyHN /paYV7PJ119MLSMjNp1NEoZXb6EfBQ54nS6OfXrjslefqUDTiybRui5EooLCumFd3K4Qk1pe5Vxd NiXTknEujxfTMGME5ZfPDddQYXgvSOxc9yLsuio2/m73UWAOHoYGGnAPxdqcdUcoUoW9yf9Ws3Uy 0HZJ/Ql49rfDImH1Amt0V9w7DtQF9jYfyMZn0cOybORgqwpBtVj0hTazVZp2oLXaToD7J+oGG9K7 nT053C8FkZB0BS4OJcT6aVkYeypQKA+mrc1kbuz96r/pG/LFIHsSYL2pCLGTr0ItzFTxifSJo48r V+BxXZRPSXMM+hEZbxbcMZxnwsTPAvnZc7hbmsrpLzjPDlAvc+dHroGPn5ntX2TqZln5UYmO3VAi 8+8GFJtzqYwctRSJb5u5QZZBRA0cpq+tNswnzW6PQ/g9t2GyIlYmZdVNrp8mXtXkZBvvi77Hf0Kw +hWG4cwEWkMfcJ9Wt6QgWPAmO3RckY8H00JABijAGWt4+B40FjpUgVtPCe2VX9/IV+SW7qegs3m4 U6w6z56moJNB68lV0+CHS+XQZZPi4UmFD5mO1MH2GVs1M7L9jqEkddBkRyG5Rdi3MTk+/+FIFDpK 1yIGe2g/baH5PvdPb8pPnWxHXpOKCEZzZ2oObOuMDfE8IKv56xX37W9DviW79sMFMzCd8EYtSfxr omRggwEF+xoKmdkThOF+TfzpYGM6xUjzH/DcdL2mJIJEdtWdEgXV245t/dkqZCDSjAFBQ2aNxNCe kv7e/cq+AxyfKmv/wjSyPkjZq4oNH98oek1QXN1ux862XOjpbpr7i3P7Ypd3UXxcpP0d4b7c3dsX Rt2guOXG2UZGcokOpmR8fzwGdUiL4OGAVMx7n0BMkapPSZsjALxEEUMYt4fqt/xYA8/kx9WcUNfi xnyzfePGBBhhZ0cOo8BcIgY6MGWN0ZkZtGljEfaz+Zj7/hQqaUKdec+db9v3/+119/ik0NHRhioT Z1xWwIDkiPpqrVASRzi57GbUKZnuzevdDMyLBMgR4PualoszGX70F+tc7GWM0ymg5NG1fG5C2/SI 4P15LwKGMftfzjwBVfobYIAxAZvO5UokYFz5ZRkswVlwAVnktsgWKMpPnkJEg8XioGHNBL9r1wya mR1SQRQlR2FOzdHoaipDAZmazcMmDKC0kjnb9uYBCtU9d0bC+RhW0XSNXBbFdYLPNfBpcDNqr0ED C2MTpQDBi82FGPinsNFP3G7CAQ2Uz/kDDlsmP1apXq3DOJf5HFmchowHlNojWDhlgfXsboZbhwJW WUlSgkxlvYtaLYIQV3SvhlDQxindQtTz2ZZIG8jUmLUw2Yh75cwoo47VI+lLB6UriWSCXKuxo6PL 0LI9zWhkXx/jEgI+rZ5FpGxMB8XJpkvU/3VIlcBQPDvtDuyPgy+HhXFYh6TORAtwGzi5niGB5z0l vDXOV9oXyiyNUUNqYzko+7g2bl+4niTIW3Pc8ebFjKCw8OLciY/C6O1HcZs4pambsLlkFeAzn6YS i35GyxOSs6mSvN5zHCAD4VKyhC83EhoDFMcsIdLL/X+WDSlAOhQdWpMc5TNCpJ3DjwEQ4g1++3h6 wboWx1E7Yt6Pss1YkStpl+AJnxZsgI2gkw9I34OZugm6ci8DvkBcktZ38ewKxET59SfpMek0UKI/ V0rHjATYuGVVvosRO2Oscwi8DC6FxPVYkWrHkweafn1iOKPPTrdr1mGJasqwj77fFeYbYukmRDng Is7zDu6TUL7q3YX/mDQXrCw9jzNjwWOi9zZgFGlST/lpytl+s+k9knfzIWzATEIaIcIO1XKsQPTc fkI1z0Ou2g7iAp0ZpARrtSH1FXl4eMYNy+ZpEaEy7RuMIa6ro9qwpSBUxQbytxVXQdXNsWOHtSxi rWl+28pQId3BSrEwdudLWb8CCA4TOTLhUzewAYehtCi8OCx9lLCtIfuKYsJTDXfm7ih1flwZUilU 2QUzmDPF8VXzux/Mpd5TIv5lz9IU162e5/G/KH5xLieY+Q1wQW3YXIT95URzJxlHA5Jl42K740yw OYC7dlYqYkV9+5WKGrXmNSmJZlBthwr8Yszk6Xr0G8rodUlOT8JFAD9Y/En03KkYBwIGo/Xc/XVK OuulCFCS9BQVte1IhktNlsmTgth1GsVptbWr225b4RNYa6z6oRA4xiV7rUD0MmwsmHYgoRKM6c69 4OMuMP7rGlZKC8VaRs1Af+NKtOYy6nWVc0Y++CEu3azaSJm1z1lVJQq0yiAvr0LCu+6uXB0s1rAF qFLjLrOe9mazxxzvu6pVHuzV0r/L9uqt5l4YZelCzPVZVAM+9qPuUCFeO1TSReAxxJMpPMxmmrDk A5QufIydz+NfNJqPLgWKagXrLYR0AJo4A8Yt09ki5fW0KOZ4HcRk2/pxHMp0Cgt5ZzjaB0kouHdH iPNct92Y+MMiArMq7kIwh7PUtfB8Hees0CQSnEB3qWZbmJlX5zDt7Jo/tySrzllhcQjqxO0qgVSp Tq82BYAlctDA0rgODAwHeYW+OWP7nbIr/jZIkJSZrMT1AuT/7R14XcYvoMB521Fekje7h5NbPAMq lCLaPSBCWPgmeY4Hll6nPMud8ZyPYgQp4C2nAVdtJkAX6XePdMNPKltdJ/HFJRj1ykjMZ+V+Gf1J 4asFcYCziozFK4CV4k5eMJaarJgNcV4u0oCPzIT5Bciv6fwXigVCEqAZmGpmxJ4WsKlTZEm373Au ZjHQh7T+m6IGxsjNmPLaKK7MBCR/S2F1IL5A9pwaBtP2wlmD5fpQ+s8lwoNRUD91SV+uzt/XlYbw XM3uAHGxXYP2JqSPmOCfxAMxpiDfomgsqNOvMUH5u/CB8yq3l5N9g2fI1wPYPHJcmzDtTXcq8Z+a 1QgkIDDseCwbEU2LbRswo+X+WNbFNKf/XVx2eSuG1QNFDbBboct0e5HtqU8s9YSlez3SQnXPpO72 0mwr7j5tNelmwlYZsN1PXRpnPRbNUVTRXVGpEem05kYQLGApcT2w6Py+XPYlo0P4ukBZg5vbJOXw nE3ZdSGXfuw0AOfZKDn/jj5E2pxav5VaKb/NRgHl3lNY27QsLogyNciaqyfV3Hbyn5xzEBK9IM2A Q6OM9JyDafbpgpEEG49MnbWQfvbco9D0pEqEIQoKgiDWYrHr7hfjpqGEGecqqMT4NvSFbM5+fp61 5Y+9HHYJMc2zG5s4nEiR+utfB4+U2sDey3v5Z6vKAWAI0VRtCZWqW2OVwYJ2V7QEttS4OkUwXV+G AWb2R8vZXNRjWsl6Huq6vjsCsON1ZullTIz9xbU/8DcY10+jRReysZP9IM7QDRl0r+Gh/xTpWuNE TR9o/l0ark7t1y+Cy3csehjEA+7K+9EdReZOtDnONapH6VNB+A3l8MWeU3u+wziMrRKbBGuls+pE jULfqjC9tO1DtNejrBxbpR7gDDXc/n7ImfhlYCULhGmZyAhkq0IGYJr9WMIZf/4l0BwZCGYrjnet CZqM3Ys2Q+grN+bN4JLWXrmTtMI7UhlrLm8SaPO8KrUW4LqBREP3mCdRvMbhmGn+SmxC8xTZma6F rs04g8Z5/ywdODtwqql0OBml9JzVs5vwVAT9Mmdre4R7zE5zK9cTiVsBFFk0szQumyfAEwyNfyUq FynMp3Wq5dA/GMMwjh9ifcWvteuz1/4Z3LVgsWQa08aYG1kYJNSq8/AG7ti8QyzYSKvRKbXW6xij yZVSqvpqy941AtVkh5MOnrSmhwAgeZ22RZGLL3snX3+vk+FZJiiV04mrHyvVKw8jtm40Sb4Ibsig qNh0h1kpCMGkIip5il3rNn8R5CVEuxXbH6+tIYdxTA3ndaFK1Ye4QFfROQgeUIq0wffSLkhznNKg A+v8k2jT6HUxJ6r++Dqi/B6cdbNiXrDuosEB/+GDMSMg/QZ0owa4iVwSHfVvF7L8fLQFN9yvfhjE rPu16aO3BKEdkaavQ5CohOjmuiCi/Db/qVGA9shif9Idejk3tVMqCM1j3IoPHhQjGanpMCq1Iw33 Lp1BU0y6hvHBlnpHF7I8S0RsvmVO6jNWMyjunfCpK2wcQbw6Hs71Tc0ErLOBXR1OWZob9JvSllFh mqdqkCUffvQFrFo7S4KAvG4d+SSjfSiBqu7uIKvl41fLz7Ujx+DkJP8iv4EYBfe/2/Ivjb+TV2xy bnqUj9+ZFLO/mofq9uCtTv/y80dMVQeZyToz7Bi/sR1ge9EGq3to2Fkl8tvoMEJ0InlOUg15GvfE cHpjGcoKjSWXTvOu6sKaRGu26QpEDXQ6bKZOSQAnwDpdHqfWCeUVmOF0Ppo2LBCVuqqxHJRkj2Fn +TL257dMfeDoJjJwrcOUZACO101upByptDHy/naVaAoiY3p02L++Tt67SfiW8+jUaSgL2FKogXrl z51BWdYRXB2Qk+6Q22eISFtVciZgEoYTSLUHsMFVRkL3CFkdSM/Siq5cUzSBQ4JbfyGVKz6JFf/e KwwYxjBXHxBue/K5SPIGjr0F1Jv+asNPLYAVPOUDVKzgOTC5SUFvY2PfYugWx9s6cmkMWu6AaHyl NVM08c9ILPB4rWQP7vrYFqjL8hXmmpAngD8F9Qlf7rAKKdiRoZJZjEsvFMOzVYuZPSmbY070u42d tcpZcPp+ctGgIxYJkFLOyl78Ui1A+a3Fj+bXkh9J3tZZzLnHTD7ysQidEX0LVAFvIsjezngfkLr9 tPBiDYFNbtl3p4dfDO74/xUt0gtZpYWbHDPnBtPyq/CHbZQ2+Nm1RBAWuogr+90nEcAwuWL9AJmr MaIVd8kmAl5J4Paaj25p2dBhFN5sIIHvXuKsMFBKlpJbnya2wic+JypKcq/om5hi9TpHUOMpH0mM +sPXA1q1QlkFPbRuoelbDUkVihm7iUu+tpyWI/h8tCanksZVcZZAw9Jyb1R6vO/wdIwE3cXs1t9L QbOeSv3O597bO1EovS+FwGL6aaFfXF8NeCURiEqbWRsCz1LIiOLD42Nglu6i4DQoHeo8GJux0OAq q9g3g4hdWfRmCvAiAK0WK0rGmPrwOrxKfEoWU2uj0t8Cw7zOkbQdoJ5F1G2gKXvpWcntYRmD5KO4 FSGOvkCncIpFDmsesy9kDuHMHKwzziiAjFMSMGUTOd9hiJuuI2xd4xsU96PBkVNSS0DHU1HxP1iP S2PXz+KHOaHLmngD+IgFNR7n81yZGd/PwFis+xJmx/Ya26YGOKxJcBkYOhUePbO+tyGdIXi7i7oB 5pFH/FF4e81ILV3JpKpROat21M24AVaZGY1LHw3XXTPkhOb+f8lM8DRoef7Y3+PofQrogtz7mfqE FDuBa73apnYDJP1uD34og4lmK1oXUXiC2PrXmq53bWroyVWhpJ3iswS8GGUxkn8+25kx3gHPpXdt +f2vwAnDtmiC0Fx4hMeWqR0uEnzUS17/yRl/z0+S49HHgPZBMI3mppNufRGN7D9IgO7vQgk3ASgi LTSPvy1nZsOVFdxN+HWVsTN1ieJExRgBGKkZn3s6WvocLVlNZP3/5rzwCLvgB/DBgHuR2eQPCszr JLQHD0rywOByuRef7cYhLmDybpNJD0wZGIYvAvE7DccvoBCRJPnv6M9uJW0lzuSXmQbpeKJS/qlq aCusUDID3X8TKagE6wZiknXz7G5VH8WbxNcRNDxJ+zz0aBCNUgVeLdUcL+pSh1rDJGDySYA4Y4fS ly1VKBIVvsGhTVnG5Dcl3VM5rrHALNrlxYWIoSx1ZQ5O8405eTW3PN08hBDcuHynMG0XJjnXuaAJ UJItiNvL+SLw3zfrTwcwC2iZXjFDlNviUw5/fKwbjXqeGlpjKqKviOAWGimQkVByU+RaoA4YlLP+ 1IVZIO+sV8yljVRWhJk80h5Af7hR1CcmB11mZYKFUxYyPkfRHxvnv9sErdEmIMn5rysYLzDWKYLC QDnN2jUWb/WwnT9uudxpSolC8x7xJQ4KpBQY7+8h4JbMoQKDE/HJIWfEKgyXWF47QofU2VHiF+Za 166QnVxvumefTppYFq1meHip8vVBCR1Zaq0kgIpsTTawL3Yii/183J/2NzBPX1XrETwFiFllQdQ9 vusJGNZbjZTbCLogv+jPVskPktokW33rKLinEKOKFdWqiE3Y4wxCBMj6Da4bnzdNHPh+hV4UJjor w1hKxLXe50Gt4wc3j4CBGoTnttI5ocfkB5lqGrOBU7xLQQCQ6bTbwMtK4rj+btPdLGDCGVNlONFQ yUfGO/3/SWiTBCvZ/xRgUy02H1C/5Gl6WaA9opNUKMH6tLgSjDbNPy+rY5KZoZR8pyHCQ/Vxk8I2 pguYB82mFYgmsLpblu9Njf++YcT44wHwuPi/ZmexpLXGiqxEDueA3l0mzaODLnY0T6wf94u3rHYA x2SKcJl+/Kl03Xzms0BxTJvydVqSP0w8JS7us0Giyhc5MIRWhEq5E93GqkUTR+BrVSe+1WxCDZFW TRNSAdqKtBjQ6+Os62lrxp8qj6WmSfaHjNe3xrYEMtsYYZdUHxHbISQXs9+bCrRSUjxMCxkduBlr 2KJX6SVJmUjQK9Hv9BfhRlrziz+aUlYDHSNB8WaSt/oqR80+DyJ247lWEz/PlCVVjw5KpGhLRdk3 +PLpyIGDxcX1KxZSkhRXwSDlEceGBEHCbv3+8SGhJyCPrRlWW871GwFok1laFxwJJ+4WCb78x2Fw 6T14G2RUh0BS8e/CoXTU5JdUjehtzMkQP7UPF7bw+cteWdpDKyVJlgQ/9ZMBGTGXUHt9mYJpyWZ7 HB+kNE4g1Fgzdiv55Np+VmlriPbbVcx/ePwOpprMsHj0bZxPf/moqQsq5AjoFsMiKe+Nw2dV6cNg OgHJ5gqt3bkiXaAa/XBwDt6sNiSvbm7UEHuID7S6D1NtVen29KVa9V97d1aL1Six+5SohKasQv4Q UHjtrGzmujCl0845Y/JU4mkzwoHqYFg/mKjUSsZqKZxad8NBzrA9G+dvJSOdKqpy4fQu396th/+Z A5i6IoX5V8S4ZZpPFuix3AWoldpae9pdW9PIU5MWki1zgjvnmP2DxSSIGd2BiMMqR1b4lECKVcWp 0ZjTf5L99QkqXRmXRQneHKtagNtWAu4YXpiVlHp2WdElQF8z7rxpLuBKm2QWVpfsK4i6S2gADcxM +2QjjwbDwCznlQ2nizRtyuW/xQfbqW8S/ptUiE/Vx3M91rpuSq48fiiDHhKNLPma8cdge/XgqSMI yJ8p2kXu0iU5xhp8lyZa8SoeIpXBfkFrE1JU1ZkYSO9eUbMCqVCJZCCZ+3whro1pB9j1tgbYX814 yfO7db5xR7cfknlrlIlsET0oZxKLO3MAwqHAznadDXOuwVxomlPZewVrX2JclK/ww5sC7/lULXIA qk7vmKaSNvpBMR9ayx2yp75hQuum6kLAx5OH+ITBOZrXeIINPnm6/Y9ZuVIc9e0qgsL4Kdre8B1y eti4avsI0WBdyRILXNJDDRxcj+PfmBWvxN3+SY1c+er4eIMADK+s4ePqr6hyB/j515xgMYbAr1Ez xsQ6sMWQQx8Z4rh79iq9oY5OF3eeQJnjLYH/Hz6M4Bga4HgKHsfvmLcfmPKOndDNYfktXr6yMp3t cInYQKoiBO1MmjkAqU48nH8Vdzi3bKt6cx6j0716xYXzpiiAzEDbi3JbinFzZcYneXaKECj+caX+ Gm953EDrNrx/f4wHHfjYE3YEZl6bbPpYqN7MyAPCWu6NJB6isqiYX0IZxW4L3B+N+46kSoSQapil 7TjPp10rwVbQDhFJGe1Ok0egGVSeZzr+yWec5kOHqOxny2aTi/nkkLQBiearmg6LQYDC1IJDfZ9Q P7vgpEbj8I8dACVFLYpqI7LmZeqYEq4NWYxqkJy/XYbwiivEDXxS3xWg4Hccafcv/vZsO54a5t4S B0M5THouzVb5B3CyrkLUPD4aPJ/xUIsITX4dUV+/+ZrToyv/jApJH3YcKmxYcATwPSovpxKuYdCf 6mU9UweyOVDU9qm7MRFpSeMLnrwAwS/elW/S47BH6LLKvrs8GjyGj5t/Z8HpBTAwa4qAkFwjwfpp 1dW//ABy9M61Egv/WHqlnHMCb6R0utypbFEKGL9vu9qgrQz7mSDJ1phBDMGldVMn2DyK3Rd6O2K0 Mk+GMOkqSiujP6wUhFQMhsyyUNag0oYIGMOeEVAl3fVyqtFcJWPXSpHH88P+anziphfh3iFt4g3W NZZDZhUV8nBSKttksHDLvS9ovb7m8iLynIV5EqhNQJz6Evbnwv0bZY+XjVpyiP5wVSZtAtVwDtt7 IwlY389jSn4Q/hXZx+onid7BJ/1M6NZboop2U2T5t/5E19TqSU/v+IvIwatfTnCc1TXc9p6rJi9P CiYYSnobnGo0CGTBYsa3kyobJN6hYcFehr3DH0hMsfrTBsTpdee0M+P3DJO+Lhp3fJZFzfhWv6GJ cZbAfAfRIBeXDx691/MdTX5tnyNr1sCOI9pSk4RG1y/EIFvM3fNhxJsb93vX6ce7PAcMPYWbWriI KnCa9DTy0U9zvwImuVO8XBQdDgR4rExFL2/AzCB/W4lZXnrMfCoPkvyfdovKdH5prRMHi387PaH/ FXaU40yXieclB6YHIuCDvdazxMOjGibaJkaHUEN5W1zMeDuyuX0caDwhqsExTNOqaViTkoeGO80j WnKW7tmPdDf01zy0oJgsemc6riuK50hwqVnORhXg3RWUlYc+17F8Jigam+E0k5gB4xTJjtD5L5VD OnwEFVKo+SjcUcIXI++KI7QGFS0pnUOqMJL9mqzDVuf5O4zJRCKyR3tMeveYrkGglzlZlnC1eCbU gbYI20RRtdil0EOd+7lSphQLf8f4vb/lyVsHYkzkjSNRT6dCpmMfAG4RPd2o21Uf43ocBOBXMfMG UCdZMKzPDdqyrGTT++3VzdwWIxJ4ycprv7wyVHMVZjQ1MlLF0AHXIe4SCtQxiwmOKa61KaInhcmA H+THjwfPdsl96xEohUMGzhbAIBBF4iBFbrRuO/opajtssfVyaUAA3331f+2DVAjwRGFGIJ6hgy9X P8XXWIYTuUwWsxicKNXJ34JJ+qrZNQD6m4mx+FuN7rqmDB6qyOW08SZDvMqnnjPhCH0K59UIhnqA p+dfb0CjhK9fDimm+rgi8E9R2w0g7vu+7kdC5JMv0484Yq+vxmgu0doXHMxIIOhQmskJi1d7goGb GxeHiPSHixglhIRjWwWaRRHkl+xm8C8tYGbGPYrBxsN4I8ZospM/2i2X26nk0cq3nxALotq2xRpB pI8cNDHlOYKI9tSSIv9XSBmrS814NgEAz4X+R23omekqB86DvaeIb5h7veFgga//q+ASDBUw7klZ JhnumvUcXueshH1X8vuTKCKYYHogx9kXIGd2BA2lXO/yps4E6AYAbFoaD/XPoxhegfrA7KUM4+l1 hwyoSN1NjwbOI5lmflAjM4t4lFj2GsFp1SfmU9NYLKRTwPR2MSkv5cEmcjI+Xo50dghKlcqGuM1s BUkbZAoldDIpUasuDTj7T0L8+0nETxQXUuFcc4z9ki89rmyh/b15JJRbnNTtU/9xtNlBzAzCAUnc QWnuuFDliou+1BN5tQO3hqb0NZySHmgVy5B1N5yMUL5MyPzEqMrTXojs8+kaqCJrXOR2ZageEnnF fRH0vynog5pyEwOuAbcVarEJr5ApDJeXKRkmPd0UWpJ0qoS8/rAyr+FEEnZeVnBlMvEJhLXzYkt5 pxqIzRB491UFZQktNuzbOyBMAeqhUl1P7Hn5SIA6zgAO0gkBxqJ8D7iB0cZa2Fhbp0t6qSxKX1d9 U6yGqUK8f+98pFMnx8scrQimTjQXAq7rmGKTThFrnB6fR89tyL3v8bi/aC+1M1KNVouNSvJUJDYL moePHRAr4XtxjC3NZV4nxOydDawYwzJLKa9exYdb5qu/8DInntylxHnJCkkr0WR6xHlnRZf9NVM1 834yY3Mg274P4gNDytvSfXckdtkQS1LbzALfUuI34xYYzhhivVfYpx4TSDg9RxVc2LXVm6U1hkUP 5KrnP3kEMQlRLeBXXKe8RkJem9HTL6XAV66Z9ZeiYHoSZ8US1RBpnPkqAY5KR2BzZlHewDjZ+pBk AZUymjF3POZ+a9DoUiPqycFKXJWqRE9kbjJd8oP32z+w2cu7hDRRgE5yenEwJCNVieSbTeBdckz1 r5aDRugKl91Kv0ijwsUMI5NB0fDw+So1tqNu6gBG4WEQr+VwxQjet8OL38NR4p3ma1PDC7iL1Xav cPEouxc3RrFqMBP9EFLacoPIJCZf22zDti0w2ipEzv1Bve02aQbmjPoUUNQabYVIhvuypOtxY9zE lJj/4F2dYM4Rgo+EBQxPYtYWLqcQUVbpIpYG6Wm1hrGWGnbzGce0C/anfOBOUBlqfAKHk2MhC+Np DUtMMmR5QI5mRhRmux+CuhHNYAutNa+5XvRS8gI5En7Ff/NWCMf9tbFZhMQUciHcNzFjopbe7UJj 2mZrWvhQqQyYkRAVyV0Mp7CHLHZFbjmlWnNSnEGPPvMJQz8cLtTQmtHcZdArW64QnziEXwKvK4UE +S+SrYHDGugeiE+puf3/22oHv/ngPln1B/+jQSwjwmxe75HVijTzrrs0MUWXDx6jAt+L+2DkplLD xZmhAEjZ4/fuh2JPVhMQUktjcrBXHJHrH8qgypaihv7BDOin48/fYI495hQ5mL/22q0gT01uQq6T Z09oZar/MPK7EPvZuFbidq0EwvNSS7KyhGqo8buOj30gFdd/aAGoaRt/1a7v+O3LCvOhx5FLuXLU W4d1/ljnQ3DCE8ato0IrZ6MFYRs6v1dr8eojIzkHuv2VsqSFQtqpWwJI1qm/bYPAn4LmI57sTXvx hV1BXZJsAYeUK+BVwMNjU9wH2rtyLNkaPie14j+ZjwvLJA/t2puaEPoyKoKscppkZw/v9yOdgvos r4Jho1sulKsNAPXeysMVpoUYso531x8SI5+uqOsQvTZGXPljkFyK8FhaBxI5AlHUsFDgHiBdCA7k 2Z7gC5QIuRFa4z9/EnUHe2GVaQGSocEtBu16RlCtkttXOl7xKbnONWJiw6OLpL2H0cHDEPWlw3k9 C8EtKtQ1v+go6V/HClGTzWrVxgeXN+53zVrWV+1bYw3QKLkwj/LB86RCK+/NaSJb3SnqADRMbLMg 6JSsDt2Jk4c+IMcbLbvaoQZgeuoulHCTNZ/pdB/j9GI4ClbIkBe1eupKXYt3PWBE3r0HFzWRlNIO o0YDvmv/HsxkZZeGNa52OE+beMtirGQmDqaOgh/kQuAL/J5vq1k6lxSouEFoX1qq5cHw9vi5eVae 0Me9X8MOu/Reyo04idXM+uVoztxk5ZEVSBV0nrij0mCmd5apFTYAUoIBMKr8a+j+10i1JRc2/ypA CuO8h46+s8pT955GhwDlnUIBBM5DOVDEuDuzjmdWrEGwiHJbJAzHfp3r+ANPnkPPxQdkFfY6Hhxu a4LhzyAJCeaYbU3NuRi7X/x43NJwgbSJsPgI/aC7xSPAvL3wIAY7fOF5267tTWwBViRwAs4t8gko UPA+cWiXvTH4dANA+7ZUi9VCJ3m8vK5UCyyyZHlq83FwxbOOdlxjx6wh/xWjIBzWjWLc91FWCvfU bwhS0Rkvp4lLife+Vsj9e/0O+oB+NMNSX8zDgkPjdqxEN4T8GRSgCa+hi/66iO+qf4UVavyylZQL vCfTrF0z5u5GntjpNWs8LWCK3EHj+o7+BrjlgDVd0X/uREUsj7fxpuDsGipRqi+J7u54P/c8CLpz FRrjFGwkvW9dCfIrs6to83AnV1HGp/7UhpDl2Y/UnNqCNR3B8KA41dYDqPmGv/j+8Eq9JE+LzCWh qQwNYgCxM4DZ0FBLd7+qEPPaqqsBgbICa+3IpOqhWWHOz0924FyMNJ6ylMwOfD4pmuwHQDotGjRX Fe0WcvPAMFrFZ7dzPqkyGQQeu+xemyrM4wmGv4kzWZ8HumxJgQF2rgqOAnuj/RvgbMyjpsZgLN5H XyE+bR91qY4m2BfHiB2HyJJjb8ro1U3XTlo5/yawz2R118JGBA3EMVvToZ0N0g7DngMpYhA64bD4 I0Kvje0R6rl9pPtIatFkFJ6W2VEwgbZudErGDUizZETXIa+25wFjAAfTH4FsTD0AE27hPOV6AbOE 9DEXWmkYILOyDoLdJSdLBBSBRmI+KTzdhWuaDb4yl8f6+Qv27kfXxbx+aRTRCKinvEG2zBmPouJE aoPSxH8sVTyTmCAuBvJ7+0jQTTmdouMgFnszYZtPvbBdnDgMlFOttRyWYptNRm3B1MBE4tqIL/PT uM5aPYvNsN1xsReN9keY5Mlfo31e8fC6MjN7to/3hAFy2ad+0DyODbXGuLXfKIQaKUX3PD86SkWa rwCsjTqFVrh+CRYQnY2A2w8ucVgJxLSDienRplhpyoxO685wOfeuPd8VkUQgm+ajbNOKZOuHSSKp Iasp/wxDnKa/NQ67U5fmzeTtbcXijzD21lNcn6iXOOnZP0rtdTn+SLMaEG8kRHMdJGiGFcLRO6KE XTJetOLnIN2xGCs1rN3yI5Q1sdFj8HwmJfprCrr9pLaL64iM7EQAkgt/rPl0sfEYEnT6+AJoc0Ep +Bxv0Z2WndbSNmUVP33cTi5N5mE0jZbqicKljJh1rxqSBzTzMO46sMaMjnzwN3b4UqG6lBe2jLEn f52w7xRiUuFZ9TFucMmNrAvjiogTnrc/nor7J4jEf6Gg6UJnsdgg4I+zlIB1B39Tgc67c3notWs8 81Z6ZemkJaisTPB0vO6YYQ+MwCYf/JM2CHv40M1sT3xT4BhQT9zt7TA+VkBCRL+UbIJqPo2NQ0zC EeUI9WWoAsRY4G9lIs3JrvUNdAHhQu9Byf2ZbyEtAPZk3Ynn3GX5CgJMVcBZcU1gDNEZ/+NMAOnt ggV0XiIzCxE8/tJ2ROFIonsvJ5DYJ91XH6bNJSL5cMHR0bgieLkzwd2njOoCByzwShbyHjMbbi/x cYqPpFP+60gFJMeGyfI8JMSKxNKfEFg/2od5PZjetE5V5Cw1oWrvoNnJdWTSN0+V4d5bNZVlAPPP kiUq9KJiIhFx17bP7fWFYDJf4pP1fEjll/drjdGgUg3r58AgtNY8o4ZiRwuWgtGReIq9xpjUn5lQ VMtzK8sgAJb0gpAHrzSDop5datztxVNkyPnmC16NjCWhrn2VwfUCxBwTn+ZfucWQqZ6+whvhcmG3 cYIKgtgwIkRwAhEPnb19EaJh/i7q/fHKthbgaRUp72jRm93+Dsk35s6CbCoEVEM4j7MrWg3eF119 iC/jkNLO5aHm1qCU5E9cVpIgufgdRaQN6UAbaVDIZCZBLaJkeebQccIPSSR++NxyjqO4smWI3DWZ KZLb87y/xkzF9eDzY9MqJpniGyLq9Nm+NYl/Y2md1hb2W4Fg7SJa//7Azozw8Z+1vtIbJAu8r6Vm RNNwnqz7ofjuMJGE2/wNFqHwSZTJjaHr3qkoBeEWX/FBFf4rs7cgc8MXfov7tUHXlA4w0bH3cAha VmKNlxPBP9Uv/PVmAwIM9bLw8HOKti6HrwWo0ufILhRr0qmtJFlk9jPE6UtsckDJ7Vt4SqxQHvCr QbO4x/yKsjgiTobUIAXuthSvihh62h8Fy/FHreGujuX4mKEt/uoRIQF4xrZMWavCk2isNqsk1Gzt 65aEtN3d7K1DTaOLturNE4YPC8Rs4+iDRiNhqStyEtuumPYXmKXKo9g8y9Sz+POoEP4cXrSe29cT QmeUbD5rz6ReAPZyOBJ0RHE8FzWNqxiTEqdu4V68E+dRIqf1a3MpmwGpnQwEc59L5e+RqOcIkiWG gWQQm8bPMMImzqvnWTYcLtnp0NFpzcDory6MoeY5dMiXQb/ys7ULeQEa4Pz1YW1DoK24lidkYy0B 9CmFdC09ElCECXf2KKmwLeWT765/5EnoGX/SRB0hho2EMoFAhdTbLGxDwZ3jg5o2fA2jIrYWemoh v2qFAnKk3Plr7MAHgw3gXKXI8uaXZ4ovaEIrs26Mh6Qp0gKUXHHxdykxfmatgKoRMhl26nA4lb7t gq4WqO84BiT5JADZkIk3sVveigpzcrx1yeKlprQOJb/Ld9oEUhrZ25yYEFmIdknJPg5K/AtwDQzV M3CgvnQm5iRxHcsu3ICLdsfO47orKrsjFVzlTRsr0ubBinZAt3iDj3Q8zdiK+ev0vLxmLz3qmAm9 oxQUaQXjbJcFTawsamA52d6rmuvvXNTJOeYhBU1fkX10p9NoJA6anj2WzdYmaKHn0tZEr6Lsopz8 FYQyaYY21XMMg99ENzicc95nwvaND8nN29xFtBHnWC7P2ShoBSP0+lnDWMNxNPycvdAQW+dwC2Rc tc7ExstF4HFqXEM4sFY9CHKkVnzqubea2VQiVNL/0TWtHPo7b7NzMD8cHtZsiKKh5yyz/IRP0GUM CNWlDCX2OblyvKkpY7I3uvD4Fb5bfMz9v/WEejKYr459y/QKZdKe3/K+5DfTIzu0yL+GSKlvPqxM wAaf4dVlxlPzCCVfvafT1XjSoeTdk5jz5Le7OMi0g/sr2UaZE/8RxUqOgOx9rbEngNdRdL9TSQDr LsjpUbQw+naoL8u/PmTJpwE586+AOzmgsVmG1DG7IgzE/k8B7AaPZgJCkfgMtjGgNRcsIhw8nyi+ Lbq9y6a6ReQwGHQNn+T+b0j+PG78HyOf/6+yBesO3pqX+tnHqOlHKaB9v+bhQHC1FmPNNn2FkbGQ dTzwr6phwJoAnYR+XQkSO+UA1hRO4SADyuUhAHlhTcGd8rWwBiQKiA+RMbrF0iOZ1owLpkYBCUao kMjX+C2efmSjFVsQvE6EtjjkoBZRIM6nyo+7eqJwS/Cy1zgh0wwr06wnm0UUfecwk8D3VZslnXak +dmgMxZ2vZZXdo1t7y9/FbdukDbLo5qzZJTH8070GCywo3xkFc1oYRNF0CsgCGSRywd12kv7QEFM JnBdmDaW+1D5g0N+tNc92cOgK3Ulax1CbCvFU8gUDOTgVmNjbvOknXT7rSpdZWCFETiNSZI9kUFM jgfnuc8Tvc5HdO9VAPAWabI/osfyqusm36wl+Q5HW0/q3Nsdm2X/E5SB9OE7trMzGBahsgPPM7hL qZC3bsmn6+2RZ5WEqFD8d9oxGACaJd32b9figFGur5z6XPqb9DcQVNpzyCNj9evkmIpm++Co6P0i +znnKqdl6ezHuBeJ+tHoK85htmycyJXAFb+giwsXKfgb9/pxwv0YpOJFJgIAHIKUJpqUOWF1X1El 98u2lBgBU+pMqbdSPYVe6JSkr7pedXerduFUS8RUcz9XWrtj/KgGBlOHp4TypMGTGrhsAxkbcerQ aU4oaJsZagJNZ1DTmVfGGteG2WWjXVxEkQ0HuZE2AW6s/7zCMzGLvqUV0IOvtAbXopwtj7UE9cDD CI8EmcvRb1JCypCKNe/1snHO3OIqYLR49H/7VHQtOYtIUxN9vNUW4+tXQMN4P9eu37r6SfFrLAOb JwBwkDwBAwqK4TTDMOMjwz5t7egGZzHFEFXI46sslw6ggVTif7V7OMxCcztl+ncU1SuEt6Z/whEy KEhjKYXiY3jus0D6LVtTZqTF2ehL1405MpgYrLl5UO3DZ2KMop+gQyz5Ar/p7JTVwW4PRKbwYo1/ /SaDrrLuiSO1Cg4eSNLiDVgV77cUVQI1WlutEclez7ELjlyDoIp2qTJ1vw03QNDPv+BjmH+92YYI Q28p3kTYrmOCuzTMwDyZeGCOl+VunkYj5395u6rGcRN3tX41fKDEpUIMnpAmZILl5Tl/vdb9DwIv 4Y60CumK1cVQF4llaj2U9MkyOUG5yEcKgGKz0Xkmmy4jeToBlgwOSpssyoZD98VW9VSfVAk0aw0+ pz1ra2d0uKu+g1MlbYpx/SmLRJquo0Tgo6zFZlQLiw0m5PFCoya5E33FY61dTB+GodfJ1LiUZ0tp wrFJRylP2ldoK91uNqESnDO4jFr5inppK2lBujbAO4twhDRigc6IOkNkwDMtbC14Ia+bihmVSQWq m4A2+OFYPEB1Scbe8H4cyIKtT5xuBldgfdAdwUMfzK2JK18teUo0xDY2zueLxeLmV1JEGi7+n+Sn HmuMkl8UHMGGFjxyLX8SRq6PrtCpSbbpedp5MkF2KqNb7DqYZ5smiOb4oECr6OB1nQpXWJdET0dH 3DHuth9C61QEZB0Zuuh+OCdsbrCLvrWMMBSAq/lJG5MHd+cYy0H+qy2/XofdznnNw7C4yMnfO4g8 EYNYP7UsDs3M7nbd+ZTCQUHayqF1HHR9xm68fBwGk9R4IiJ97dT7zcDFja7sVCekwNsOtbeVP27y kf2vYoFF4IPIdXsYUIW0V5a/OAqn0T9rUCcscCvj6Bxun3BSUGutJMsXjzhUFpgtc24lt4g/vsaK COjL+db5LW5kDtkOhKA2kmpgnSA1YAGyY++xxxoxloquW/MIk1iJKtjVMdJfaNMRbgvfk2KqGlFX EY4wVtAcFAFU7R3RztDpveChWptYo9uhbOJ3MLE/S5Kl+QnW+NqrkamKJJna50ZdvOoWdSH8jwcl jZaom6wTqJVJzJG8+ROpFw8ZmUllwhzJOjgk5sWqjeJ7ztWVM/4StC0ds1UufyGQRQCi3B1wntKL StRD0Ede5xyID7A1zYJlY+nYaVVABNy5TiqNHbZLkV1YS8viG1a8sLpUYfgae/NGiSV+ZPWT+9nX hcegrs/X8ajO34vtWoGUCEg0KeumWe7wrsA3MHLkzBFwDLaKgToOwt7IrXXhV5Yz2URx8+mnqo6N MsPBak7CuII05rEjbJ/ZnHKHpyjuQ55+yCMXeXce3EojUMzRkx2nbtQTxK4h0CdV8YbEPJlFIBLi gVM31sMVoh3xDEbp+oohbkeSWrcy4ZtMxYgKhe6Npi/12ratS0+Q+7n9FM+frGERapaeHO+Mm7Hs tNqqxZFju5gQFPch+DW248nBSxqrgnGgRWnBZnLTNeTzyqaG8wU2B/SdfZ6B3+BO62+8K/wU2Faa LaJ26lNoAZlAo33qQ/KY1x1hEHHHXVT7sbO9k29zrjcZPE3y2jiRaVzdOzDueRZEJF5uCNPQpyW1 wmV/B4OLZe4ZiQLK74NCzNHDNkfnX3EnBXD08xAQAe6WqbHO/c41pL9FKxUGB6uUHd7qR4yCRRCV milQtvf8wAxsVQ2kKkhaPdf8zuQgM/8mP3Ni0SHjU0ygJDn/PAjc4b+m44NoLvWzTTxZBK8h2VTK m321CYgGJ9jckK8uAMnyVKJRPrNiJe/vn7BuvbRsJG3cfdGirX8HhYjUQb9Q5fMWcfwhq/kNxA3G gWROfBdW4aBLcfJf+4YZsPXmlIDqFeuEIAI9TwaeEZ6o+e88d1Lo2fsV8QXq0TgD32TSxP5c39YV KG9RutbxafMNpRpebRrCqIIe8neKG+8eJf8k0qPbLui4t16WLxam4Xiq8rm9ZmyEjMIF2x43YbTX skOsvrEr0u1IVyjz6HsUY+fpO+z7+w9TazlsX2JZXzOWMxLjhDH/PV4XUZgdAzwPhbWyUb5TsG4M dzrkuj0CmNWs7ZaDMelNT1zyMCSroSYHUhla5nAmodPYvwRuXjOdVxnyRQF8Gn4JhxyIz/KIGLdh /vj6SFAgJyaqoVIB/KxtWrjEYqYXOhl3upsF8dE1yMbFvlCiWqBD0FqAtxUehWY0w0pLRoqcV2nm NWCgltASWRry7pXAhiDkiQ4w5i4wGjgV/IifqIw0jKeJQ7hZ4pyPsteyK1fRWP/mRJpcXyetNsx0 HI4XFJPvq94S/omTLAd2U6EuphzEnIM7RoPVZsHLv9vuRRR9D4qxVwT8h28Osiw4HTBEN3wMrXfS d6u7QdtrG23W9nH4kKJNpoEpC2WGSNHPgzggta6OaquVvyfFKpM+qiOnN8cSZYCLhanA0lF03nSD 3r7RSTSsePFkZNgG0YRJZtRbh8phBA6VI4yUQBOVRXzB6xK6At6Av+Qa8OD79YfpAfV8NV8GV49U PdlkDnVYMLbVw6SDKnOdkUndSBViCQqodeQdOXlMP/yIKUyMF5Cv2VVac4EikorD9+qL1oI3nLjL KQZerg81NeEIZ4Q4vdsRYP3q//qQjuQVTdxjsjsRitRsX+sBEr8Mxq8eKuHC9DXNzATDx3EinW3s oKXgDsCM8OkF3mafuQNlTCZOoQBb2LZMWpQWIAxIgLlGsWYvzGJkb6Zd7crpDSP2ECYn5l9kHWJJ nI8tv3Rh76r2gClOuAfANKONjFIDGYBRYl/p+Dad4rM8kTOp61ewAgYOoTDFpQTEdc8sFuvE54LK FXRhloiSwUUfrR6UvGaVCCoq29nH1U9P+unlC/SzUIAZ9qtpFN79UkdZrkircRmKzmQd9QfXkSTG 4K/HX2iEeUAeKPotwaHljFz/GfY04Hyy9O2nnjbQ6u1jy1zQACp2hMOq6fj7kx33cPkT2NwVYyeA +BQrhdg5ZH0fUcUBWIJfg1Wobod2mWQ9bjE/uyRGJBuY8g6u5bHGb3DcO5lPiL2N/L8kil2b4B3e aTckYdEHfn+drKmSx2P0GwAtfvIF7DZxpyldrbrsPi8JyFNVWS8SwNvvF0qLM2cjcxrmq959pzZA AqTM4SDb9Lr82wo4wPTgUHa96wuWFS9vpExFmsoyaF5WwsHyeHsvC41xDJIxAKIiYNmLRRylSDJj vKV1E/3dhzs424GE2ENNaR/2XNB0U5bcx8nI0wBW/WMGuCitIxkuxvgEpIZUhHktd30l9TsXF2Y= `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/fifo_generator_v11_0/fifo_generator_v11_0_defaults.vhd
19
30145
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lHZAv/MVAAt3F19GG6CyO2D9ozHTHXUyHUqVqPhHJ9Up8V3v4BMtL2rZCdPHvvrLl9m3lxdPLeMd yZjuwpNKug== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V11pDh2GdTX922gInHRdE4PGQC5LocLJP7s9hbeXjPbTiX/dPLHGusbEN2B0toY0K8U4vuWNSniM 1aH2SNR2JV5BnhJYTc5D8l2e07TnA0V6ktY1z+NOBfbsIHPai5FO4rlYQdX0gfNxjRiE4WpTGufJ +8B9yaPmasK5qJ0hmyc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0akrUk3wQb4EqzKkib7F59nSOOeoy+q3qc0fQDYykXO49Ll/FgY0ewL69TWySlFx1Cac/+BCy6vf iumuPLpTjOS55mFm1JTMxYzM9NsagXEQHLi1lEkcr65/dw7cjFH/RPICXrv18S5beJM408VyZvsr NCAeZ9gbVAaeGzkHq6VNPIh/P5GGGWEK3241GOn4p1v1t2GkteaDbOSjGK7wX7a4kTfRzrAH+xYH 86BcPdOp3oyEseFdQgL0BZboHxt4zJr0bXL7Ln+oOm7kGCKk4PXPdudDDSsXKQUPtDHqr2MHJwZk LDVjKe6pX7e2DnCF/lojAxyhWqtc4aJmRRvYWw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P2FMFi4MUNbCcmQEmOw8kkGKpCf5liEfyrVflbrNDPfCQyQhrfO1z3elwJF/eYuRk4Q8ng49IhJM QbJUTOajY+rTGsCSJpmNj13e1oNpCtCwEA2TBzHdzEyAxDwQ0hUh3ZqnFSNQ0MMnavo9wEIKRylK MAHL5TjDsmLJG1Zi4ZQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GFuz3jjDcNus13vZfabnTsKTQz9Q9tOYpUUTv0v99miJHiWg9X4Bm37tDSsBPgge2ZWYV/fIZNhM o9RFowO2ZPIK8CdMOp5y1r9QlxbgxiEVYj1tH56LRgvbv2A1ghGFDDY3Qvyz5G2dmEuSZ/58uAtK A8Mm1zy2Ln16qChURWHrjkDuCcIOuGQ1GysEn2sqg3E/XWxojTbAmy+LaQrAOqIwoDTGFZ/Ek5fe 49U6fyDbugt8sjMOq32EEkOAQwWmc5uVOZWv3KIDCD6tRxPMIg8J9cwcCTEoanlasaaRs9KqN5go 7g24OWiCSjQz8Pf4KXR9USnCWt9Xh2mPsrZAPg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576) `protect data_block Im59AZ0tyI9QVvGpilKg9HEOiAHuKQ2NKYRjb1pd0X7WwD7SaI6W4ecFLl1slrZiOg38vNT2jeLC sUifOcYtYKBpwUhczYuM0NU8ofXJE+tVEcgK0WeUxBa3Nm3JxIY8ceE0NiVlhzqucj6Zs6YSrTVR IzoeekVAa08tJhIKjoAlFSa9wyTBR9RhdCoCDrL9mX0yChKzY08VowGrwE1Vx+je849cntpZtWLj UyErf3AoiR5zlyY6+F9+ZJu0iKZ5kSqPVAsIbJdASVNhiUP9Gb2tkTyXlEqtQ2Q7TdzbUXD1P+e4 RIW0EDv1PJV3dgWa35jqC7S/Abo4GdaYudtrFmvXPh15P2UAEcIkAL2sXmw9Cq9e2/B3fe2h2WHZ R6HRrugJiKb9Kz4zHzk0tE46PnEhGKJ3uPPTdumTTx0Jd9X3oCMvA/aBXYLuhkOXpwlU00ghdBZU Hn9nPe9Zr3JJ+jddZRs7qhIGWWbrBF0wXoikisln23kFC+AL+KHs1AxGfnJCltH9K2SkN1vgrg3Y E4eW7JQuIrHSKptWbTNSDTwyCpiUpFlOBRxz3JQqZkoSi7Q63rmxJmjre1xnMQDi+2F4PTZxCebB vT/Clet4j9ITo998fieOV305e2SMayJ8sOY9kIOju5cxqL1xjxVk0J6nQvYkGMgwWd84+nqKMDQz 1bx3tnOa79cF8e1MXUAtikBEn3lqLZMHaI5Bwbx7Etf4/S+QyIwrcAhl4FHKc5RYRPchLN54KOKX euUf+yQHDpRXmnhW3cI/7lZuUNLiHs33rZnSYUfp1Xr6zR/sZgQfFx+wWEZ+OCTLEyXq6qCxehKK duezfDNrScrAS0i2JoIAe4i9ZKUBysFPkAD7HB1sircS37ZiKPgpcpdJiQzQKdB8A/eUfSV+wUcB beubHqWWnfiLG42+Pm/ch9mX/4JcB763jWCEv4/J25vEG7R2xrWoZwfUKZv2M9PZKDY1ilna/ukW N5Ok3Z9MPcXgnkQe28lofLwhehMtMAzVga4/e0ohQx9YzZHPN1hzfyW8A/k9HZiWY5v0tB7AGxkn EruAVlGypO+UuTmayWJK2c9voLBVqfi8G+7+F+7PKZuyjIE62Vb3l8CFanvDr6S62IShU0gQ/xEc C3at4n1VQvcTLLqAAgj2ev3R+JRK7KuksWvR5bb0OxzCat7j50CsKkecb/LfHXlEXBymQFbRzQyz 4d2qN/xHwTrpuixP25+4xuVBDCStx+os8A6IZ5qMe+kXMzjPqP+oJdJ4q20qsddfvb2nvZ4gHoLQ NubwrmPtY356WeGGMnw9VHFc8OSs+MIEWQckOCjD5xhY7/LqUeui8Z1tIXvf0xS1RgLdzTRLWdRi q4WOPSla7ee7S7dVZYAS3ki4sfgP6PVIhLjVexJxiMg/QtebgO6+HhKTeCMVoSJA8oSTTczyaSCw SJqsZQpEKkN1Dm3F8IXAw+1yXZ6ROly7Z5BKBySqGuuexMEDibabYf9+FuElZll2TIK+s7UCTGFn nu56mztixpSo2nxIjDJO1WS63u8a29uMYCXqGn7Nz9BBUrdbNQX6EhWhxZlbFrecwYYwYQoDANvq lE6NhMmmqnIe3+SANBuo2572QPOzGOSVg5T+RGCfklwmzIn1WV9xHbI/yPJwr18TEt+PpGVq69Uc GzFNUYEG8KNUB6muas3VcKvNxEphXlR41nRbzZTcaqwKKTLfyuzKG1uII9ThR1Yzvz6kgulpA1EQ haJ5FWO6GJV7rXhSeBhKyG5EAUMRfcGkkuK5lsI1XYJZO5fJlKrkvMhrrDz+hMBjKnYWNoVNNIO0 uHjMWiUmuhXUif1KsOSbtWRGwdVsJigADeSYSgva8y2e4fVqkk5L2MAdj6BKueGLcxwboaodgZ+D 2QkfleRhz3zg8sy+CbLzaEFt1Fdo0HE9TPufWW6Mlw0RXqFxhqacm8lH1YF6IVXMwmLb89qp/LPc lEOJ977Ns11Ibpv0bBtmceaPPhPNcQw9d+1bNalzHZaYnJELuMM8vkq3K0jWaJq8uG8XUKdDsXya dh5HDBbZNOyD1MHPf74HB96huuOE/RRRA2OnlOx2RHx+oN9wFgA7/eHGylLsGs5xEZobwPAA/1xx hKkLtdnHto/XQ+hrMDGm1GmvQ17RriZ7o/qhfjaCm/R4qW9Tij4zUEmhA1486zZPGIbW7Y3V0SpB 97ll26rJpijNMTUcu7DysTPAkbWvP9R08XcDn0k4wP4GdtPh6lP2fwPUKvBuO298ExnPt+G/7pHB tKyIoB3T2/vLGoaW2/9EKFvs2JZZawize7NukAUFf24F6fp+QsXGVsRDh5ZhBBrD2wt/hvwi3hoj RoTuwtQuRagCJzB5ZD84Aqc6UV0R/PrNMXXm1VXot3HROrD0qTkEo+1BmwX1puEBpd7cs7VpIHTw hlw9PVHHQRgREJU0azV5978j2yK7wmdJ6Km9lbuGL1FUm7NewZjQP2bYCEM+l7Oft2STO7ndmFV8 9RelzQqOddxtZEDpCYZf2HrdDru6P+ohxrrR0SAcbThmi3neXkATR3D4r5BSAGT6s33hbjXtegHM NhDCfnU5xC9i77597wARVfxpethuKJ0euNZNhUtv+XFVWj8VlzL6GCyzqRZryB7MkTnfiWVTFeLi wwu9UVK9mcYLFi4Hlag/ssgdudHLnoqAys/MHb69RtYHQyn24Ex6bCST7gGoxRZGL+Mi3hTgP3aS uPI9zc2DzmBp4DC/Usb7Soo4lDgcI7ZVIH+IV8rSi8zP3bRQ1XWXUCcDKlRGboJIo+vuV4D5jZ6/ ZZ2QHvGGABNyrpOR7Gw+fiZsWWluXSrBQqvIef/xuOMNg0zIXpfHznRoJg5tzs8JL3B/CfepfFyx f2f5JepxChNszbF/R3/zbIGKQP1TyjSG6jIuwueid02fNy2ehbB55HmV/lGLa+/GJLZHVVIhbr3h oIRfWia2Bu+BaMRbL/asbTxUBW1Vvtj8uYUdbqDwMxXrGzWk/YHX3s77lfpNtDBGbqzz/v1bWYy0 ORCHZ+75I9jjqBFjpZryruTahI+MP6maNSapiCs/28mBwWDHZg9TFIb5DJSC72NoLzFm7yrBT0GL GBWFFv8C4lrAVZ5D2+r0Y4mySL0ZRUmDGJz5fI3wKm04eC/lDlS709Cm7xAfhFW0Tt8ql6lCZPry VjKzFVZjw5rv4G2uJ/pDjIIbDeB/fLy6HuOX6xqB24OdTnnpra3tRB2dXPD28sEFRGfpYFjk3ljs 22TipwAUaDVcOmm2vX90cT8fRLqGWaR3citIai/n67i9xU7aPLAquAC+U+X4yW415/IMKYJA6k4f PcoUxVFKrOEyzQuNWD7Kx5LY8Y6ey35mcQ253K7sYyUvCOi04NN0yNW3aXSfrOeam/QFmJo5FSAt zNkeSjI/5pFnqorgrDZdV2WHXsWZTjrEg3QjkWXazJQOVU+x1oJOQBlCDNmPm6haqNhtJ04K0+Ox YG5ewcaDne0fmK2RwjXTg4NxN6aNhwV1UHKHeksAKDSJbQd1Iim4VtZ7bhyOhYAAlO+7TOEHQqDP earFCGMB5PEfEDr2++UO7aDZQKSCMVtTekEojwpIojl5BeTUQslWSl33RjieWIJfrg/rr4EJ8vvL ov0TxeHW2fCCXEdzpUDGTcMSNbVg08dLabhZFPnYTsROCT1wJUVtYPf++PHW6YmKRYwBaYtjOUyZ JYr8UEb2dOQoFPB6bmPMZMoLTYnYZpsVkZAvBvf7NPnoI2bdRKxGRdTctgLC5JsUQ/JP9eLcnApx gLbVfI/O91X+DZJk5JDWmxpRw3n4gYz6iuFwPc4ZoMKxX5BpUVhgR9K2yfEWiIN6ukTZJF115k3R ipqV/yntBarqL56QDHKEeJyCCF/zMUefVjknln4DRHGqnkb0ulM+MVD5tzUKFymTfKrNd8DTu2Wz pxJXkm83EuisQ2IhuRrc3OQm4lWKDKDForm4hsWZWB0lK+piYakQDP1vKxeQOkklVqkmdbe6MffZ 3UX+1sN1OmJ8UKFaBeaEy3I/rJMqFBdJbFFLsTSjXJ9T5Jkl68DuQdfNbobPIHf3prEKWe5vdHZ4 P8TULZgji6zWcY0xo3VOsN6Gsh0E6nnnvDNBaoOlkX5qhjPe1Qh5wgFnn4pYDjgz4zYrhdCf5hxp EWMx/caiUeu2wAZpyMj//wRTbP/stCohT37t6skQnj7YlX+RUd/IDlHlvdJaBaq08+D/eU0hVFkT KmxKx2LooygZVZdONEdaBGfyq+15pnkYKBFB+mf2xVcrgGQUpUL0n+oAk60U0L8lxtO3H9nCF/ae XjTV7LEANAVByqSJUOnl1t2Q30zWE9bVi3NyMsCH+WnnRe5fnKmr72Les+4keDnQjubGxENAnJYq NnOS5YlfP33TzYF5QGgjtDwzJf9JFRBtAxEtU+kDZe53oCsJ77xZnhvpsQZduo3daAu3HAbKI8ZU pFUvjXgsiFtbqpVCdLy+kd6+voPN3GcqB7f/i0qVGN580FgyZNf2QvqULLMB9nOv06mGb4LFoPGr Lh806W+gQTM0P3smgwJede6pEIPsUpJpvVh8c3zCbfS473HwpBAY9m7m5OZ38UI0WVxHZ4oBeSKk rQDAyYRvWyG9dH9hXRXO+VCIVQJgKwWelfGAq7yPUeGyww5vmDaOo/3IGionUM3aLtGXNhb4jV1E QhosSqUH/X+IFYi1BCD6z/f5P7AdGEYwynl3ST54WrQFXhq/5IU2cRe7i1ySv3+Tr4hqnAv4XxYm ohawa15c7ppzhUU+i0W3iozD6QcOI2XHA6Tmp27BKHf7yC5Uze8d9gF1CLmJvCYJV3MiwsdiuPPK gWetq57i1quSzAKB1xFgPgzJhv162e23IOSsbDqTLbddcG8a+z8ooI3/rV2zekIIu3TN3x/Lg0Us s73lHmRzLqeSVuQaJw5ENLE+Pvf3oUVY30Uic4zRYd6ao8sNhHJByi7k/Co6kxVDp0+juqdlgocg Un/oSYtN1OXqnQJIoaVpgYRIXsSgkVyFBF4tI9zrDgWn1ERyELvHObAPeGzTjF7K/sabM/NA8dc8 UHv0BLoLsw5LEnSPFvcZuRydPWQ2SVROViOtwJV91U4JdV32PYbaBE9Rt4Wa9Bb6nvG/Y8kzIwfy JuKZ4e8TuPzC8LH4rP7T5/0MQX4N3z47Q4O0X9eqVq7RaGc3pO9rjnGKbqWgSuso8IVURzZilIbw ABkVOfyQWxYA8VWDkd6g2h6NQAruiGxjvciuZ3u3BPkbz0LSYHOlamv4DF3apsTCx8VXr5diqV9p RhLKEwa9t4YrqcxuVKp4mUz4CMmjDW6p54F9t3ZjMxhLlGCzCJbgtsrlcSLQ2mLJGeYFN6ywQf4b X0s1Q1hCD4mjaTiMmS7uKff+H9/ts+f/GGf+Qhb+Y6hqTHSyI596u9BFMRr+9iejaCSgQNFJ3wze jKcmbqMxcxQqUxlL8xR9sxyRdEv1rNUzvV+o9b/iKRkkHndp5hjsjnlIAKCnSbD5aMC8MX3a/vVV nkIvw9skzxgYH/4z6ptNy27lfj84sWhejbgPljRw/JXpkah23C8E/iH9Y2XUnNuYQX1cqp3AuOos YEiA5yH44bKDEJ9Qpd65vbKVsoyEziPc72k95m7/P+y4Ghs+eUY8V1CKGsQNRGqpTe1bseATH2+v 1KZK5FfNt0pG1Pv1HzxE4FZVOzJSZrTNb5IXJye3bf8DIgcwBGFU+YUSIEqQFyptzZfjT3aZ7b59 RWlbFcFltT/BtNBqQOgaVcf3nDszO4I+RbCzBj5DK46CtNM1DqPaHOJ7OVAdy9bt7uZTIQy3SPXH NZLWYvaj2KTh7JIQccblICUuYOIvi6PH4UI+SB36kGagi0+QqaAMLo7OodmGfBGTWTd06acBdSXl 3QomHfjlgZCBRS26xtjQy0w5g1ow+vaEVt+U2EcMjPLKK+4QWB/3tMqpd8kNm94rwDrfO/FqYaSu dTF3+kCzqYO/iVXlc5NFxyzG9ZTx1ZqIo/E+J1kXEwhUWd9tBRewtjtF+OoLR3pklXGBHDZ5LxWQ truHBLWK2/MaOeHhrgBtDKj/1mRrUEhcUWAVimy8XSb6hGkmjBDUeaP8U9OiS+IEVFCqgrPlOFae UiVN3tgFfJRTDzvcRJ93eOnBfg/mt1xSrEbOToivfCpyFF17B/UkpJhcQXNVtJuSXbHn3y3In8qV eZ0EEsl2Z0lijlNZ4LWzHLDU4tFUM6CgINaMCgtyB7AcN9FBeORT2Tnj2Ed57UEjdHZ4acnVR6ya DghHwyJBf6KPoeZhiNaZqZUXHDwI/W0TxdXgjRiOpjk5lIOOLu+zNwN4e3WvtsMK/qaCF5jKA19e iAosC3IOqIsKZCmv9x69+UamxkKsi4cUW6jhEqafWAu+jCkatqHke8yqI+n37qkr3c6yqDjMmuO7 QM09IhpXM1+cr+OItVAAratOT3oV2uEto6sMoIdYee3XPJKj5YgsH9OQbuXI1/vA1BhqzEwABmI2 mWMrvk0WJCrb3dvtfb6DwP8dFq387dNLU0plopitOJy11n0xEo0F/vhRAO5ZgfnajoIk2K1hlh7h 3Qx8TAex6XTwz6VUeJ2rFEgy+w72AQgXONpCANpMOHte39RkqaW1VQzjp9YpM7wOnKcWoA+PwSJw Bn4pVigTKAP1okAsjg+e/7DaLGndG2LwzvgsQMSsz/5J1EoTvpEdXSU6bQLP+B3I7S4R5F821tNE tswEdgC8lFGcU5p1ztM+vmEZYqgjtcXEiTdbe4gC1U1D5IBSjblhMdN5XMUnrTQaGBpZ4+J/AYWg RIIfDT92d1XUB772kp9MfyN8IRG32mZwcin+N0f9+J1DHRS0T4piw0dTMovpUvMm8Yb672sqB0mk OOBXlI8V5i023Hfiw0YKPJJY1kmn28bZ2RHlMxDriXgxJgD1cnSyxn6leV0YpAz7joSTF2zyq4Y6 +c+F+FPkzMpBH8BE1a81XRdPiIQGecMdWSAp+9iKIKaSJdXlQbMlS4b+lh/S4AyPu5f8BxCKJino AkEug7HSnNYlM/ckc/EttcvKqsIQthpSRP/IHmXkKiixjwW13hDqzY05YyyHKQ+r0qo2KFx3GvIY LfyGkSBv9SCdMNQmqgPqdCUM7WJlyh4cqQKppVXSBvFbOvPR82nBY2hXXKrIUJYTpfnyAqarELM9 8dSHgihE0xF1URQmCPil+9InXfZOVj4YFRW/EGgIUSQcPOZqVqnX0C/8fB2z2g/CHWJHkFJp+V1D 11ImYhq3cYHDs/wWzIHTpQ8mQCZXSH7+QVn8YXPKEs1Mqeb2kWL3HjgVMbuwvOc+wRaRgYoZfL4y /AMCyMLwr4QowVvCIghJzh0zq+bUry00yEVNjUA7McuhRVBr745tobGdlLw27cN6Yy2qWK+vZtQt tkcd1tcJGVYh691yaMiW/sAGEfzC1yzHil2xPKTfodTpj371gz3N12xdo6wXTQwUohFmtxgu+7ki dPJTdJQ6uMtIbfCRWc+ZEMozHI2VWsbob8uI2hKK/Du+pgcni4Geg5QsV5KnYgbNFen9/bBw8EJu o37XMnTrFTiHYwnV8Y3DJ92H4jZIucVXKoUPaUoIVblPuEoPlZfQnm5yllNjU4UF/CZXWhQt8Wv9 woCFRQHdFMcO/khUxVl9nR8IxrvNaH9wYHep2nCPjuKt22V3VDHbQDsiV3P1s6qlM5WBuSKv3lMu LpukIpvGS3BhjPE8l1C12fLr7uTbxvIyeuFDRAuvTYAaBOrO1Io3AYNydWc7SAGq5nzfJzn2djNL 7QEgfaYfhVU552yduppXrH8BS1tYlLZBv1ovf5aesK30UD+WMPAFCjdXsOX/QWbvW03He2/0GQPF PNzX9jW9TPkXnR3dHnt+CXbJmXuTocE7rJky39xZXNjbtBWuDoFOkxuSiAZW5OLzOjPZLrkdue6D K90oEKnCQP6AAVYVqAFsEX6/h1tsXeSyX8Ya90YAvCJWdqqXiL/MNiW10aC71n08kESACtGUMjBP 2LRdvdX8M14vaJDPR8+3gRcMla9M1c/sfFHt1o+RDV2z81KwH558U4c3JGz3qQCqLVAXcTPoTRUr Efair8zbcRanRrry+fUbxpRSGjS5pqmZd4F5OIrSpiRdX5akzmdmgSK20Yzi2vtNHNHDOqQy/1wm gLnhXjsIwlRch5wNwAxHXzqdACKk/Ec0AWpuParr2t7za2w7sYUqttSx/SxbnYHIWHjzGEVHGW2J nLwDf2yfqmqeIeGCb25Spm9XuLkmlilshTyene1/GDMe3BRHV2RGPCINxJs4SUUkBkOwDTypB29D ixUS9kmUysptJPyqU9TTIIPhhz2d7091m+9Mk0iIrOIPIc4WPIc89CH3VQtSzgi75SdRARzxGPl/ hoKI20LS/gc+MWQHOqGeI4cF8oMQUbFWb3Ra04kG4hp6Ammh7Y0yeJ8WqY4ha13piMbrHmYvWVhJ z1DZZhoLjK+FRWQ5I3L2DH4iVi6qSfwfRzf6lY/ln1QhtzXFy+7Qs+ieLf3SZybm37F1uKW/8tmd Vu8VEZh6qwYBJ8l3AkYTngWXZRz9uhBxNBoqI4J4QZjpaAIeeJ5WbR18purBCipKUHCEFAeRXyHN /paYV7PJ119MLSMjNp1NEoZXb6EfBQ54nS6OfXrjslefqUDTiybRui5EooLCumFd3K4Qk1pe5Vxd NiXTknEujxfTMGME5ZfPDddQYXgvSOxc9yLsuio2/m73UWAOHoYGGnAPxdqcdUcoUoW9yf9Ws3Uy 0HZJ/Ql49rfDImH1Amt0V9w7DtQF9jYfyMZn0cOybORgqwpBtVj0hTazVZp2oLXaToD7J+oGG9K7 nT053C8FkZB0BS4OJcT6aVkYeypQKA+mrc1kbuz96r/pG/LFIHsSYL2pCLGTr0ItzFTxifSJo48r V+BxXZRPSXMM+hEZbxbcMZxnwsTPAvnZc7hbmsrpLzjPDlAvc+dHroGPn5ntX2TqZln5UYmO3VAi 8+8GFJtzqYwctRSJb5u5QZZBRA0cpq+tNswnzW6PQ/g9t2GyIlYmZdVNrp8mXtXkZBvvi77Hf0Kw +hWG4cwEWkMfcJ9Wt6QgWPAmO3RckY8H00JABijAGWt4+B40FjpUgVtPCe2VX9/IV+SW7qegs3m4 U6w6z56moJNB68lV0+CHS+XQZZPi4UmFD5mO1MH2GVs1M7L9jqEkddBkRyG5Rdi3MTk+/+FIFDpK 1yIGe2g/baH5PvdPb8pPnWxHXpOKCEZzZ2oObOuMDfE8IKv56xX37W9DviW79sMFMzCd8EYtSfxr omRggwEF+xoKmdkThOF+TfzpYGM6xUjzH/DcdL2mJIJEdtWdEgXV245t/dkqZCDSjAFBQ2aNxNCe kv7e/cq+AxyfKmv/wjSyPkjZq4oNH98oek1QXN1ux862XOjpbpr7i3P7Ypd3UXxcpP0d4b7c3dsX Rt2guOXG2UZGcokOpmR8fzwGdUiL4OGAVMx7n0BMkapPSZsjALxEEUMYt4fqt/xYA8/kx9WcUNfi xnyzfePGBBhhZ0cOo8BcIgY6MGWN0ZkZtGljEfaz+Zj7/hQqaUKdec+db9v3/+119/ik0NHRhioT Z1xWwIDkiPpqrVASRzi57GbUKZnuzevdDMyLBMgR4PualoszGX70F+tc7GWM0ymg5NG1fG5C2/SI 4P15LwKGMftfzjwBVfobYIAxAZvO5UokYFz5ZRkswVlwAVnktsgWKMpPnkJEg8XioGHNBL9r1wya mR1SQRQlR2FOzdHoaipDAZmazcMmDKC0kjnb9uYBCtU9d0bC+RhW0XSNXBbFdYLPNfBpcDNqr0ED C2MTpQDBi82FGPinsNFP3G7CAQ2Uz/kDDlsmP1apXq3DOJf5HFmchowHlNojWDhlgfXsboZbhwJW WUlSgkxlvYtaLYIQV3SvhlDQxindQtTz2ZZIG8jUmLUw2Yh75cwoo47VI+lLB6UriWSCXKuxo6PL 0LI9zWhkXx/jEgI+rZ5FpGxMB8XJpkvU/3VIlcBQPDvtDuyPgy+HhXFYh6TORAtwGzi5niGB5z0l vDXOV9oXyiyNUUNqYzko+7g2bl+4niTIW3Pc8ebFjKCw8OLciY/C6O1HcZs4pambsLlkFeAzn6YS i35GyxOSs6mSvN5zHCAD4VKyhC83EhoDFMcsIdLL/X+WDSlAOhQdWpMc5TNCpJ3DjwEQ4g1++3h6 wboWx1E7Yt6Pss1YkStpl+AJnxZsgI2gkw9I34OZugm6ci8DvkBcktZ38ewKxET59SfpMek0UKI/ V0rHjATYuGVVvosRO2Oscwi8DC6FxPVYkWrHkweafn1iOKPPTrdr1mGJasqwj77fFeYbYukmRDng Is7zDu6TUL7q3YX/mDQXrCw9jzNjwWOi9zZgFGlST/lpytl+s+k9knfzIWzATEIaIcIO1XKsQPTc fkI1z0Ou2g7iAp0ZpARrtSH1FXl4eMYNy+ZpEaEy7RuMIa6ro9qwpSBUxQbytxVXQdXNsWOHtSxi rWl+28pQId3BSrEwdudLWb8CCA4TOTLhUzewAYehtCi8OCx9lLCtIfuKYsJTDXfm7ih1flwZUilU 2QUzmDPF8VXzux/Mpd5TIv5lz9IU162e5/G/KH5xLieY+Q1wQW3YXIT95URzJxlHA5Jl42K740yw OYC7dlYqYkV9+5WKGrXmNSmJZlBthwr8Yszk6Xr0G8rodUlOT8JFAD9Y/En03KkYBwIGo/Xc/XVK OuulCFCS9BQVte1IhktNlsmTgth1GsVptbWr225b4RNYa6z6oRA4xiV7rUD0MmwsmHYgoRKM6c69 4OMuMP7rGlZKC8VaRs1Af+NKtOYy6nWVc0Y++CEu3azaSJm1z1lVJQq0yiAvr0LCu+6uXB0s1rAF qFLjLrOe9mazxxzvu6pVHuzV0r/L9uqt5l4YZelCzPVZVAM+9qPuUCFeO1TSReAxxJMpPMxmmrDk A5QufIydz+NfNJqPLgWKagXrLYR0AJo4A8Yt09ki5fW0KOZ4HcRk2/pxHMp0Cgt5ZzjaB0kouHdH iPNct92Y+MMiArMq7kIwh7PUtfB8Hees0CQSnEB3qWZbmJlX5zDt7Jo/tySrzllhcQjqxO0qgVSp Tq82BYAlctDA0rgODAwHeYW+OWP7nbIr/jZIkJSZrMT1AuT/7R14XcYvoMB521Fekje7h5NbPAMq lCLaPSBCWPgmeY4Hll6nPMud8ZyPYgQp4C2nAVdtJkAX6XePdMNPKltdJ/HFJRj1ykjMZ+V+Gf1J 4asFcYCziozFK4CV4k5eMJaarJgNcV4u0oCPzIT5Bciv6fwXigVCEqAZmGpmxJ4WsKlTZEm373Au ZjHQh7T+m6IGxsjNmPLaKK7MBCR/S2F1IL5A9pwaBtP2wlmD5fpQ+s8lwoNRUD91SV+uzt/XlYbw XM3uAHGxXYP2JqSPmOCfxAMxpiDfomgsqNOvMUH5u/CB8yq3l5N9g2fI1wPYPHJcmzDtTXcq8Z+a 1QgkIDDseCwbEU2LbRswo+X+WNbFNKf/XVx2eSuG1QNFDbBboct0e5HtqU8s9YSlez3SQnXPpO72 0mwr7j5tNelmwlYZsN1PXRpnPRbNUVTRXVGpEem05kYQLGApcT2w6Py+XPYlo0P4ukBZg5vbJOXw nE3ZdSGXfuw0AOfZKDn/jj5E2pxav5VaKb/NRgHl3lNY27QsLogyNciaqyfV3Hbyn5xzEBK9IM2A Q6OM9JyDafbpgpEEG49MnbWQfvbco9D0pEqEIQoKgiDWYrHr7hfjpqGEGecqqMT4NvSFbM5+fp61 5Y+9HHYJMc2zG5s4nEiR+utfB4+U2sDey3v5Z6vKAWAI0VRtCZWqW2OVwYJ2V7QEttS4OkUwXV+G AWb2R8vZXNRjWsl6Huq6vjsCsON1ZullTIz9xbU/8DcY10+jRReysZP9IM7QDRl0r+Gh/xTpWuNE TR9o/l0ark7t1y+Cy3csehjEA+7K+9EdReZOtDnONapH6VNB+A3l8MWeU3u+wziMrRKbBGuls+pE jULfqjC9tO1DtNejrBxbpR7gDDXc/n7ImfhlYCULhGmZyAhkq0IGYJr9WMIZf/4l0BwZCGYrjnet CZqM3Ys2Q+grN+bN4JLWXrmTtMI7UhlrLm8SaPO8KrUW4LqBREP3mCdRvMbhmGn+SmxC8xTZma6F rs04g8Z5/ywdODtwqql0OBml9JzVs5vwVAT9Mmdre4R7zE5zK9cTiVsBFFk0szQumyfAEwyNfyUq FynMp3Wq5dA/GMMwjh9ifcWvteuz1/4Z3LVgsWQa08aYG1kYJNSq8/AG7ti8QyzYSKvRKbXW6xij yZVSqvpqy941AtVkh5MOnrSmhwAgeZ22RZGLL3snX3+vk+FZJiiV04mrHyvVKw8jtm40Sb4Ibsig qNh0h1kpCMGkIip5il3rNn8R5CVEuxXbH6+tIYdxTA3ndaFK1Ye4QFfROQgeUIq0wffSLkhznNKg A+v8k2jT6HUxJ6r++Dqi/B6cdbNiXrDuosEB/+GDMSMg/QZ0owa4iVwSHfVvF7L8fLQFN9yvfhjE rPu16aO3BKEdkaavQ5CohOjmuiCi/Db/qVGA9shif9Idejk3tVMqCM1j3IoPHhQjGanpMCq1Iw33 Lp1BU0y6hvHBlnpHF7I8S0RsvmVO6jNWMyjunfCpK2wcQbw6Hs71Tc0ErLOBXR1OWZob9JvSllFh mqdqkCUffvQFrFo7S4KAvG4d+SSjfSiBqu7uIKvl41fLz7Ujx+DkJP8iv4EYBfe/2/Ivjb+TV2xy bnqUj9+ZFLO/mofq9uCtTv/y80dMVQeZyToz7Bi/sR1ge9EGq3to2Fkl8tvoMEJ0InlOUg15GvfE cHpjGcoKjSWXTvOu6sKaRGu26QpEDXQ6bKZOSQAnwDpdHqfWCeUVmOF0Ppo2LBCVuqqxHJRkj2Fn +TL257dMfeDoJjJwrcOUZACO101upByptDHy/naVaAoiY3p02L++Tt67SfiW8+jUaSgL2FKogXrl z51BWdYRXB2Qk+6Q22eISFtVciZgEoYTSLUHsMFVRkL3CFkdSM/Siq5cUzSBQ4JbfyGVKz6JFf/e KwwYxjBXHxBue/K5SPIGjr0F1Jv+asNPLYAVPOUDVKzgOTC5SUFvY2PfYugWx9s6cmkMWu6AaHyl NVM08c9ILPB4rWQP7vrYFqjL8hXmmpAngD8F9Qlf7rAKKdiRoZJZjEsvFMOzVYuZPSmbY070u42d tcpZcPp+ctGgIxYJkFLOyl78Ui1A+a3Fj+bXkh9J3tZZzLnHTD7ysQidEX0LVAFvIsjezngfkLr9 tPBiDYFNbtl3p4dfDO74/xUt0gtZpYWbHDPnBtPyq/CHbZQ2+Nm1RBAWuogr+90nEcAwuWL9AJmr MaIVd8kmAl5J4Paaj25p2dBhFN5sIIHvXuKsMFBKlpJbnya2wic+JypKcq/om5hi9TpHUOMpH0mM +sPXA1q1QlkFPbRuoelbDUkVihm7iUu+tpyWI/h8tCanksZVcZZAw9Jyb1R6vO/wdIwE3cXs1t9L QbOeSv3O597bO1EovS+FwGL6aaFfXF8NeCURiEqbWRsCz1LIiOLD42Nglu6i4DQoHeo8GJux0OAq q9g3g4hdWfRmCvAiAK0WK0rGmPrwOrxKfEoWU2uj0t8Cw7zOkbQdoJ5F1G2gKXvpWcntYRmD5KO4 FSGOvkCncIpFDmsesy9kDuHMHKwzziiAjFMSMGUTOd9hiJuuI2xd4xsU96PBkVNSS0DHU1HxP1iP S2PXz+KHOaHLmngD+IgFNR7n81yZGd/PwFis+xJmx/Ya26YGOKxJcBkYOhUePbO+tyGdIXi7i7oB 5pFH/FF4e81ILV3JpKpROat21M24AVaZGY1LHw3XXTPkhOb+f8lM8DRoef7Y3+PofQrogtz7mfqE FDuBa73apnYDJP1uD34og4lmK1oXUXiC2PrXmq53bWroyVWhpJ3iswS8GGUxkn8+25kx3gHPpXdt +f2vwAnDtmiC0Fx4hMeWqR0uEnzUS17/yRl/z0+S49HHgPZBMI3mppNufRGN7D9IgO7vQgk3ASgi LTSPvy1nZsOVFdxN+HWVsTN1ieJExRgBGKkZn3s6WvocLVlNZP3/5rzwCLvgB/DBgHuR2eQPCszr JLQHD0rywOByuRef7cYhLmDybpNJD0wZGIYvAvE7DccvoBCRJPnv6M9uJW0lzuSXmQbpeKJS/qlq aCusUDID3X8TKagE6wZiknXz7G5VH8WbxNcRNDxJ+zz0aBCNUgVeLdUcL+pSh1rDJGDySYA4Y4fS ly1VKBIVvsGhTVnG5Dcl3VM5rrHALNrlxYWIoSx1ZQ5O8405eTW3PN08hBDcuHynMG0XJjnXuaAJ UJItiNvL+SLw3zfrTwcwC2iZXjFDlNviUw5/fKwbjXqeGlpjKqKviOAWGimQkVByU+RaoA4YlLP+ 1IVZIO+sV8yljVRWhJk80h5Af7hR1CcmB11mZYKFUxYyPkfRHxvnv9sErdEmIMn5rysYLzDWKYLC QDnN2jUWb/WwnT9uudxpSolC8x7xJQ4KpBQY7+8h4JbMoQKDE/HJIWfEKgyXWF47QofU2VHiF+Za 166QnVxvumefTppYFq1meHip8vVBCR1Zaq0kgIpsTTawL3Yii/183J/2NzBPX1XrETwFiFllQdQ9 vusJGNZbjZTbCLogv+jPVskPktokW33rKLinEKOKFdWqiE3Y4wxCBMj6Da4bnzdNHPh+hV4UJjor w1hKxLXe50Gt4wc3j4CBGoTnttI5ocfkB5lqGrOBU7xLQQCQ6bTbwMtK4rj+btPdLGDCGVNlONFQ yUfGO/3/SWiTBCvZ/xRgUy02H1C/5Gl6WaA9opNUKMH6tLgSjDbNPy+rY5KZoZR8pyHCQ/Vxk8I2 pguYB82mFYgmsLpblu9Njf++YcT44wHwuPi/ZmexpLXGiqxEDueA3l0mzaODLnY0T6wf94u3rHYA x2SKcJl+/Kl03Xzms0BxTJvydVqSP0w8JS7us0Giyhc5MIRWhEq5E93GqkUTR+BrVSe+1WxCDZFW TRNSAdqKtBjQ6+Os62lrxp8qj6WmSfaHjNe3xrYEMtsYYZdUHxHbISQXs9+bCrRSUjxMCxkduBlr 2KJX6SVJmUjQK9Hv9BfhRlrziz+aUlYDHSNB8WaSt/oqR80+DyJ247lWEz/PlCVVjw5KpGhLRdk3 +PLpyIGDxcX1KxZSkhRXwSDlEceGBEHCbv3+8SGhJyCPrRlWW871GwFok1laFxwJJ+4WCb78x2Fw 6T14G2RUh0BS8e/CoXTU5JdUjehtzMkQP7UPF7bw+cteWdpDKyVJlgQ/9ZMBGTGXUHt9mYJpyWZ7 HB+kNE4g1Fgzdiv55Np+VmlriPbbVcx/ePwOpprMsHj0bZxPf/moqQsq5AjoFsMiKe+Nw2dV6cNg OgHJ5gqt3bkiXaAa/XBwDt6sNiSvbm7UEHuID7S6D1NtVen29KVa9V97d1aL1Six+5SohKasQv4Q UHjtrGzmujCl0845Y/JU4mkzwoHqYFg/mKjUSsZqKZxad8NBzrA9G+dvJSOdKqpy4fQu396th/+Z A5i6IoX5V8S4ZZpPFuix3AWoldpae9pdW9PIU5MWki1zgjvnmP2DxSSIGd2BiMMqR1b4lECKVcWp 0ZjTf5L99QkqXRmXRQneHKtagNtWAu4YXpiVlHp2WdElQF8z7rxpLuBKm2QWVpfsK4i6S2gADcxM +2QjjwbDwCznlQ2nizRtyuW/xQfbqW8S/ptUiE/Vx3M91rpuSq48fiiDHhKNLPma8cdge/XgqSMI yJ8p2kXu0iU5xhp8lyZa8SoeIpXBfkFrE1JU1ZkYSO9eUbMCqVCJZCCZ+3whro1pB9j1tgbYX814 yfO7db5xR7cfknlrlIlsET0oZxKLO3MAwqHAznadDXOuwVxomlPZewVrX2JclK/ww5sC7/lULXIA qk7vmKaSNvpBMR9ayx2yp75hQuum6kLAx5OH+ITBOZrXeIINPnm6/Y9ZuVIc9e0qgsL4Kdre8B1y eti4avsI0WBdyRILXNJDDRxcj+PfmBWvxN3+SY1c+er4eIMADK+s4ePqr6hyB/j515xgMYbAr1Ez xsQ6sMWQQx8Z4rh79iq9oY5OF3eeQJnjLYH/Hz6M4Bga4HgKHsfvmLcfmPKOndDNYfktXr6yMp3t cInYQKoiBO1MmjkAqU48nH8Vdzi3bKt6cx6j0716xYXzpiiAzEDbi3JbinFzZcYneXaKECj+caX+ Gm953EDrNrx/f4wHHfjYE3YEZl6bbPpYqN7MyAPCWu6NJB6isqiYX0IZxW4L3B+N+46kSoSQapil 7TjPp10rwVbQDhFJGe1Ok0egGVSeZzr+yWec5kOHqOxny2aTi/nkkLQBiearmg6LQYDC1IJDfZ9Q P7vgpEbj8I8dACVFLYpqI7LmZeqYEq4NWYxqkJy/XYbwiivEDXxS3xWg4Hccafcv/vZsO54a5t4S B0M5THouzVb5B3CyrkLUPD4aPJ/xUIsITX4dUV+/+ZrToyv/jApJH3YcKmxYcATwPSovpxKuYdCf 6mU9UweyOVDU9qm7MRFpSeMLnrwAwS/elW/S47BH6LLKvrs8GjyGj5t/Z8HpBTAwa4qAkFwjwfpp 1dW//ABy9M61Egv/WHqlnHMCb6R0utypbFEKGL9vu9qgrQz7mSDJ1phBDMGldVMn2DyK3Rd6O2K0 Mk+GMOkqSiujP6wUhFQMhsyyUNag0oYIGMOeEVAl3fVyqtFcJWPXSpHH88P+anziphfh3iFt4g3W NZZDZhUV8nBSKttksHDLvS9ovb7m8iLynIV5EqhNQJz6Evbnwv0bZY+XjVpyiP5wVSZtAtVwDtt7 IwlY389jSn4Q/hXZx+onid7BJ/1M6NZboop2U2T5t/5E19TqSU/v+IvIwatfTnCc1TXc9p6rJi9P CiYYSnobnGo0CGTBYsa3kyobJN6hYcFehr3DH0hMsfrTBsTpdee0M+P3DJO+Lhp3fJZFzfhWv6GJ cZbAfAfRIBeXDx691/MdTX5tnyNr1sCOI9pSk4RG1y/EIFvM3fNhxJsb93vX6ce7PAcMPYWbWriI KnCa9DTy0U9zvwImuVO8XBQdDgR4rExFL2/AzCB/W4lZXnrMfCoPkvyfdovKdH5prRMHi387PaH/ FXaU40yXieclB6YHIuCDvdazxMOjGibaJkaHUEN5W1zMeDuyuX0caDwhqsExTNOqaViTkoeGO80j WnKW7tmPdDf01zy0oJgsemc6riuK50hwqVnORhXg3RWUlYc+17F8Jigam+E0k5gB4xTJjtD5L5VD OnwEFVKo+SjcUcIXI++KI7QGFS0pnUOqMJL9mqzDVuf5O4zJRCKyR3tMeveYrkGglzlZlnC1eCbU gbYI20RRtdil0EOd+7lSphQLf8f4vb/lyVsHYkzkjSNRT6dCpmMfAG4RPd2o21Uf43ocBOBXMfMG UCdZMKzPDdqyrGTT++3VzdwWIxJ4ycprv7wyVHMVZjQ1MlLF0AHXIe4SCtQxiwmOKa61KaInhcmA H+THjwfPdsl96xEohUMGzhbAIBBF4iBFbrRuO/opajtssfVyaUAA3331f+2DVAjwRGFGIJ6hgy9X P8XXWIYTuUwWsxicKNXJ34JJ+qrZNQD6m4mx+FuN7rqmDB6qyOW08SZDvMqnnjPhCH0K59UIhnqA p+dfb0CjhK9fDimm+rgi8E9R2w0g7vu+7kdC5JMv0484Yq+vxmgu0doXHMxIIOhQmskJi1d7goGb GxeHiPSHixglhIRjWwWaRRHkl+xm8C8tYGbGPYrBxsN4I8ZospM/2i2X26nk0cq3nxALotq2xRpB pI8cNDHlOYKI9tSSIv9XSBmrS814NgEAz4X+R23omekqB86DvaeIb5h7veFgga//q+ASDBUw7klZ JhnumvUcXueshH1X8vuTKCKYYHogx9kXIGd2BA2lXO/yps4E6AYAbFoaD/XPoxhegfrA7KUM4+l1 hwyoSN1NjwbOI5lmflAjM4t4lFj2GsFp1SfmU9NYLKRTwPR2MSkv5cEmcjI+Xo50dghKlcqGuM1s BUkbZAoldDIpUasuDTj7T0L8+0nETxQXUuFcc4z9ki89rmyh/b15JJRbnNTtU/9xtNlBzAzCAUnc QWnuuFDliou+1BN5tQO3hqb0NZySHmgVy5B1N5yMUL5MyPzEqMrTXojs8+kaqCJrXOR2ZageEnnF fRH0vynog5pyEwOuAbcVarEJr5ApDJeXKRkmPd0UWpJ0qoS8/rAyr+FEEnZeVnBlMvEJhLXzYkt5 pxqIzRB491UFZQktNuzbOyBMAeqhUl1P7Hn5SIA6zgAO0gkBxqJ8D7iB0cZa2Fhbp0t6qSxKX1d9 U6yGqUK8f+98pFMnx8scrQimTjQXAq7rmGKTThFrnB6fR89tyL3v8bi/aC+1M1KNVouNSvJUJDYL moePHRAr4XtxjC3NZV4nxOydDawYwzJLKa9exYdb5qu/8DInntylxHnJCkkr0WR6xHlnRZf9NVM1 834yY3Mg274P4gNDytvSfXckdtkQS1LbzALfUuI34xYYzhhivVfYpx4TSDg9RxVc2LXVm6U1hkUP 5KrnP3kEMQlRLeBXXKe8RkJem9HTL6XAV66Z9ZeiYHoSZ8US1RBpnPkqAY5KR2BzZlHewDjZ+pBk AZUymjF3POZ+a9DoUiPqycFKXJWqRE9kbjJd8oP32z+w2cu7hDRRgE5yenEwJCNVieSbTeBdckz1 r5aDRugKl91Kv0ijwsUMI5NB0fDw+So1tqNu6gBG4WEQr+VwxQjet8OL38NR4p3ma1PDC7iL1Xav cPEouxc3RrFqMBP9EFLacoPIJCZf22zDti0w2ipEzv1Bve02aQbmjPoUUNQabYVIhvuypOtxY9zE lJj/4F2dYM4Rgo+EBQxPYtYWLqcQUVbpIpYG6Wm1hrGWGnbzGce0C/anfOBOUBlqfAKHk2MhC+Np DUtMMmR5QI5mRhRmux+CuhHNYAutNa+5XvRS8gI5En7Ff/NWCMf9tbFZhMQUciHcNzFjopbe7UJj 2mZrWvhQqQyYkRAVyV0Mp7CHLHZFbjmlWnNSnEGPPvMJQz8cLtTQmtHcZdArW64QnziEXwKvK4UE +S+SrYHDGugeiE+puf3/22oHv/ngPln1B/+jQSwjwmxe75HVijTzrrs0MUWXDx6jAt+L+2DkplLD xZmhAEjZ4/fuh2JPVhMQUktjcrBXHJHrH8qgypaihv7BDOin48/fYI495hQ5mL/22q0gT01uQq6T Z09oZar/MPK7EPvZuFbidq0EwvNSS7KyhGqo8buOj30gFdd/aAGoaRt/1a7v+O3LCvOhx5FLuXLU W4d1/ljnQ3DCE8ato0IrZ6MFYRs6v1dr8eojIzkHuv2VsqSFQtqpWwJI1qm/bYPAn4LmI57sTXvx hV1BXZJsAYeUK+BVwMNjU9wH2rtyLNkaPie14j+ZjwvLJA/t2puaEPoyKoKscppkZw/v9yOdgvos r4Jho1sulKsNAPXeysMVpoUYso531x8SI5+uqOsQvTZGXPljkFyK8FhaBxI5AlHUsFDgHiBdCA7k 2Z7gC5QIuRFa4z9/EnUHe2GVaQGSocEtBu16RlCtkttXOl7xKbnONWJiw6OLpL2H0cHDEPWlw3k9 C8EtKtQ1v+go6V/HClGTzWrVxgeXN+53zVrWV+1bYw3QKLkwj/LB86RCK+/NaSJb3SnqADRMbLMg 6JSsDt2Jk4c+IMcbLbvaoQZgeuoulHCTNZ/pdB/j9GI4ClbIkBe1eupKXYt3PWBE3r0HFzWRlNIO o0YDvmv/HsxkZZeGNa52OE+beMtirGQmDqaOgh/kQuAL/J5vq1k6lxSouEFoX1qq5cHw9vi5eVae 0Me9X8MOu/Reyo04idXM+uVoztxk5ZEVSBV0nrij0mCmd5apFTYAUoIBMKr8a+j+10i1JRc2/ypA CuO8h46+s8pT955GhwDlnUIBBM5DOVDEuDuzjmdWrEGwiHJbJAzHfp3r+ANPnkPPxQdkFfY6Hhxu a4LhzyAJCeaYbU3NuRi7X/x43NJwgbSJsPgI/aC7xSPAvL3wIAY7fOF5267tTWwBViRwAs4t8gko UPA+cWiXvTH4dANA+7ZUi9VCJ3m8vK5UCyyyZHlq83FwxbOOdlxjx6wh/xWjIBzWjWLc91FWCvfU bwhS0Rkvp4lLife+Vsj9e/0O+oB+NMNSX8zDgkPjdqxEN4T8GRSgCa+hi/66iO+qf4UVavyylZQL vCfTrF0z5u5GntjpNWs8LWCK3EHj+o7+BrjlgDVd0X/uREUsj7fxpuDsGipRqi+J7u54P/c8CLpz FRrjFGwkvW9dCfIrs6to83AnV1HGp/7UhpDl2Y/UnNqCNR3B8KA41dYDqPmGv/j+8Eq9JE+LzCWh qQwNYgCxM4DZ0FBLd7+qEPPaqqsBgbICa+3IpOqhWWHOz0924FyMNJ6ylMwOfD4pmuwHQDotGjRX Fe0WcvPAMFrFZ7dzPqkyGQQeu+xemyrM4wmGv4kzWZ8HumxJgQF2rgqOAnuj/RvgbMyjpsZgLN5H XyE+bR91qY4m2BfHiB2HyJJjb8ro1U3XTlo5/yawz2R118JGBA3EMVvToZ0N0g7DngMpYhA64bD4 I0Kvje0R6rl9pPtIatFkFJ6W2VEwgbZudErGDUizZETXIa+25wFjAAfTH4FsTD0AE27hPOV6AbOE 9DEXWmkYILOyDoLdJSdLBBSBRmI+KTzdhWuaDb4yl8f6+Qv27kfXxbx+aRTRCKinvEG2zBmPouJE aoPSxH8sVTyTmCAuBvJ7+0jQTTmdouMgFnszYZtPvbBdnDgMlFOttRyWYptNRm3B1MBE4tqIL/PT uM5aPYvNsN1xsReN9keY5Mlfo31e8fC6MjN7to/3hAFy2ad+0DyODbXGuLXfKIQaKUX3PD86SkWa rwCsjTqFVrh+CRYQnY2A2w8ucVgJxLSDienRplhpyoxO685wOfeuPd8VkUQgm+ajbNOKZOuHSSKp Iasp/wxDnKa/NQ67U5fmzeTtbcXijzD21lNcn6iXOOnZP0rtdTn+SLMaEG8kRHMdJGiGFcLRO6KE XTJetOLnIN2xGCs1rN3yI5Q1sdFj8HwmJfprCrr9pLaL64iM7EQAkgt/rPl0sfEYEnT6+AJoc0Ep +Bxv0Z2WndbSNmUVP33cTi5N5mE0jZbqicKljJh1rxqSBzTzMO46sMaMjnzwN3b4UqG6lBe2jLEn f52w7xRiUuFZ9TFucMmNrAvjiogTnrc/nor7J4jEf6Gg6UJnsdgg4I+zlIB1B39Tgc67c3notWs8 81Z6ZemkJaisTPB0vO6YYQ+MwCYf/JM2CHv40M1sT3xT4BhQT9zt7TA+VkBCRL+UbIJqPo2NQ0zC EeUI9WWoAsRY4G9lIs3JrvUNdAHhQu9Byf2ZbyEtAPZk3Ynn3GX5CgJMVcBZcU1gDNEZ/+NMAOnt ggV0XiIzCxE8/tJ2ROFIonsvJ5DYJ91XH6bNJSL5cMHR0bgieLkzwd2njOoCByzwShbyHjMbbi/x cYqPpFP+60gFJMeGyfI8JMSKxNKfEFg/2od5PZjetE5V5Cw1oWrvoNnJdWTSN0+V4d5bNZVlAPPP kiUq9KJiIhFx17bP7fWFYDJf4pP1fEjll/drjdGgUg3r58AgtNY8o4ZiRwuWgtGReIq9xpjUn5lQ VMtzK8sgAJb0gpAHrzSDop5datztxVNkyPnmC16NjCWhrn2VwfUCxBwTn+ZfucWQqZ6+whvhcmG3 cYIKgtgwIkRwAhEPnb19EaJh/i7q/fHKthbgaRUp72jRm93+Dsk35s6CbCoEVEM4j7MrWg3eF119 iC/jkNLO5aHm1qCU5E9cVpIgufgdRaQN6UAbaVDIZCZBLaJkeebQccIPSSR++NxyjqO4smWI3DWZ KZLb87y/xkzF9eDzY9MqJpniGyLq9Nm+NYl/Y2md1hb2W4Fg7SJa//7Azozw8Z+1vtIbJAu8r6Vm RNNwnqz7ofjuMJGE2/wNFqHwSZTJjaHr3qkoBeEWX/FBFf4rs7cgc8MXfov7tUHXlA4w0bH3cAha VmKNlxPBP9Uv/PVmAwIM9bLw8HOKti6HrwWo0ufILhRr0qmtJFlk9jPE6UtsckDJ7Vt4SqxQHvCr QbO4x/yKsjgiTobUIAXuthSvihh62h8Fy/FHreGujuX4mKEt/uoRIQF4xrZMWavCk2isNqsk1Gzt 65aEtN3d7K1DTaOLturNE4YPC8Rs4+iDRiNhqStyEtuumPYXmKXKo9g8y9Sz+POoEP4cXrSe29cT QmeUbD5rz6ReAPZyOBJ0RHE8FzWNqxiTEqdu4V68E+dRIqf1a3MpmwGpnQwEc59L5e+RqOcIkiWG gWQQm8bPMMImzqvnWTYcLtnp0NFpzcDory6MoeY5dMiXQb/ys7ULeQEa4Pz1YW1DoK24lidkYy0B 9CmFdC09ElCECXf2KKmwLeWT765/5EnoGX/SRB0hho2EMoFAhdTbLGxDwZ3jg5o2fA2jIrYWemoh v2qFAnKk3Plr7MAHgw3gXKXI8uaXZ4ovaEIrs26Mh6Qp0gKUXHHxdykxfmatgKoRMhl26nA4lb7t gq4WqO84BiT5JADZkIk3sVveigpzcrx1yeKlprQOJb/Ld9oEUhrZ25yYEFmIdknJPg5K/AtwDQzV M3CgvnQm5iRxHcsu3ICLdsfO47orKrsjFVzlTRsr0ubBinZAt3iDj3Q8zdiK+ev0vLxmLz3qmAm9 oxQUaQXjbJcFTawsamA52d6rmuvvXNTJOeYhBU1fkX10p9NoJA6anj2WzdYmaKHn0tZEr6Lsopz8 FYQyaYY21XMMg99ENzicc95nwvaND8nN29xFtBHnWC7P2ShoBSP0+lnDWMNxNPycvdAQW+dwC2Rc tc7ExstF4HFqXEM4sFY9CHKkVnzqubea2VQiVNL/0TWtHPo7b7NzMD8cHtZsiKKh5yyz/IRP0GUM CNWlDCX2OblyvKkpY7I3uvD4Fb5bfMz9v/WEejKYr459y/QKZdKe3/K+5DfTIzu0yL+GSKlvPqxM wAaf4dVlxlPzCCVfvafT1XjSoeTdk5jz5Le7OMi0g/sr2UaZE/8RxUqOgOx9rbEngNdRdL9TSQDr LsjpUbQw+naoL8u/PmTJpwE586+AOzmgsVmG1DG7IgzE/k8B7AaPZgJCkfgMtjGgNRcsIhw8nyi+ Lbq9y6a6ReQwGHQNn+T+b0j+PG78HyOf/6+yBesO3pqX+tnHqOlHKaB9v+bhQHC1FmPNNn2FkbGQ dTzwr6phwJoAnYR+XQkSO+UA1hRO4SADyuUhAHlhTcGd8rWwBiQKiA+RMbrF0iOZ1owLpkYBCUao kMjX+C2efmSjFVsQvE6EtjjkoBZRIM6nyo+7eqJwS/Cy1zgh0wwr06wnm0UUfecwk8D3VZslnXak +dmgMxZ2vZZXdo1t7y9/FbdukDbLo5qzZJTH8070GCywo3xkFc1oYRNF0CsgCGSRywd12kv7QEFM JnBdmDaW+1D5g0N+tNc92cOgK3Ulax1CbCvFU8gUDOTgVmNjbvOknXT7rSpdZWCFETiNSZI9kUFM jgfnuc8Tvc5HdO9VAPAWabI/osfyqusm36wl+Q5HW0/q3Nsdm2X/E5SB9OE7trMzGBahsgPPM7hL qZC3bsmn6+2RZ5WEqFD8d9oxGACaJd32b9figFGur5z6XPqb9DcQVNpzyCNj9evkmIpm++Co6P0i +znnKqdl6ezHuBeJ+tHoK85htmycyJXAFb+giwsXKfgb9/pxwv0YpOJFJgIAHIKUJpqUOWF1X1El 98u2lBgBU+pMqbdSPYVe6JSkr7pedXerduFUS8RUcz9XWrtj/KgGBlOHp4TypMGTGrhsAxkbcerQ aU4oaJsZagJNZ1DTmVfGGteG2WWjXVxEkQ0HuZE2AW6s/7zCMzGLvqUV0IOvtAbXopwtj7UE9cDD CI8EmcvRb1JCypCKNe/1snHO3OIqYLR49H/7VHQtOYtIUxN9vNUW4+tXQMN4P9eu37r6SfFrLAOb JwBwkDwBAwqK4TTDMOMjwz5t7egGZzHFEFXI46sslw6ggVTif7V7OMxCcztl+ncU1SuEt6Z/whEy KEhjKYXiY3jus0D6LVtTZqTF2ehL1405MpgYrLl5UO3DZ2KMop+gQyz5Ar/p7JTVwW4PRKbwYo1/ /SaDrrLuiSO1Cg4eSNLiDVgV77cUVQI1WlutEclez7ELjlyDoIp2qTJ1vw03QNDPv+BjmH+92YYI Q28p3kTYrmOCuzTMwDyZeGCOl+VunkYj5395u6rGcRN3tX41fKDEpUIMnpAmZILl5Tl/vdb9DwIv 4Y60CumK1cVQF4llaj2U9MkyOUG5yEcKgGKz0Xkmmy4jeToBlgwOSpssyoZD98VW9VSfVAk0aw0+ pz1ra2d0uKu+g1MlbYpx/SmLRJquo0Tgo6zFZlQLiw0m5PFCoya5E33FY61dTB+GodfJ1LiUZ0tp wrFJRylP2ldoK91uNqESnDO4jFr5inppK2lBujbAO4twhDRigc6IOkNkwDMtbC14Ia+bihmVSQWq m4A2+OFYPEB1Scbe8H4cyIKtT5xuBldgfdAdwUMfzK2JK18teUo0xDY2zueLxeLmV1JEGi7+n+Sn HmuMkl8UHMGGFjxyLX8SRq6PrtCpSbbpedp5MkF2KqNb7DqYZ5smiOb4oECr6OB1nQpXWJdET0dH 3DHuth9C61QEZB0Zuuh+OCdsbrCLvrWMMBSAq/lJG5MHd+cYy0H+qy2/XofdznnNw7C4yMnfO4g8 EYNYP7UsDs3M7nbd+ZTCQUHayqF1HHR9xm68fBwGk9R4IiJ97dT7zcDFja7sVCekwNsOtbeVP27y kf2vYoFF4IPIdXsYUIW0V5a/OAqn0T9rUCcscCvj6Bxun3BSUGutJMsXjzhUFpgtc24lt4g/vsaK COjL+db5LW5kDtkOhKA2kmpgnSA1YAGyY++xxxoxloquW/MIk1iJKtjVMdJfaNMRbgvfk2KqGlFX EY4wVtAcFAFU7R3RztDpveChWptYo9uhbOJ3MLE/S5Kl+QnW+NqrkamKJJna50ZdvOoWdSH8jwcl jZaom6wTqJVJzJG8+ROpFw8ZmUllwhzJOjgk5sWqjeJ7ztWVM/4StC0ds1UufyGQRQCi3B1wntKL StRD0Ede5xyID7A1zYJlY+nYaVVABNy5TiqNHbZLkV1YS8viG1a8sLpUYfgae/NGiSV+ZPWT+9nX hcegrs/X8ajO34vtWoGUCEg0KeumWe7wrsA3MHLkzBFwDLaKgToOwt7IrXXhV5Yz2URx8+mnqo6N MsPBak7CuII05rEjbJ/ZnHKHpyjuQ55+yCMXeXce3EojUMzRkx2nbtQTxK4h0CdV8YbEPJlFIBLi gVM31sMVoh3xDEbp+oohbkeSWrcy4ZtMxYgKhe6Npi/12ratS0+Q+7n9FM+frGERapaeHO+Mm7Hs tNqqxZFju5gQFPch+DW248nBSxqrgnGgRWnBZnLTNeTzyqaG8wU2B/SdfZ6B3+BO62+8K/wU2Faa LaJ26lNoAZlAo33qQ/KY1x1hEHHHXVT7sbO9k29zrjcZPE3y2jiRaVzdOzDueRZEJF5uCNPQpyW1 wmV/B4OLZe4ZiQLK74NCzNHDNkfnX3EnBXD08xAQAe6WqbHO/c41pL9FKxUGB6uUHd7qR4yCRRCV milQtvf8wAxsVQ2kKkhaPdf8zuQgM/8mP3Ni0SHjU0ygJDn/PAjc4b+m44NoLvWzTTxZBK8h2VTK m321CYgGJ9jckK8uAMnyVKJRPrNiJe/vn7BuvbRsJG3cfdGirX8HhYjUQb9Q5fMWcfwhq/kNxA3G gWROfBdW4aBLcfJf+4YZsPXmlIDqFeuEIAI9TwaeEZ6o+e88d1Lo2fsV8QXq0TgD32TSxP5c39YV KG9RutbxafMNpRpebRrCqIIe8neKG+8eJf8k0qPbLui4t16WLxam4Xiq8rm9ZmyEjMIF2x43YbTX skOsvrEr0u1IVyjz6HsUY+fpO+z7+w9TazlsX2JZXzOWMxLjhDH/PV4XUZgdAzwPhbWyUb5TsG4M dzrkuj0CmNWs7ZaDMelNT1zyMCSroSYHUhla5nAmodPYvwRuXjOdVxnyRQF8Gn4JhxyIz/KIGLdh /vj6SFAgJyaqoVIB/KxtWrjEYqYXOhl3upsF8dE1yMbFvlCiWqBD0FqAtxUehWY0w0pLRoqcV2nm NWCgltASWRry7pXAhiDkiQ4w5i4wGjgV/IifqIw0jKeJQ7hZ4pyPsteyK1fRWP/mRJpcXyetNsx0 HI4XFJPvq94S/omTLAd2U6EuphzEnIM7RoPVZsHLv9vuRRR9D4qxVwT8h28Osiw4HTBEN3wMrXfS d6u7QdtrG23W9nH4kKJNpoEpC2WGSNHPgzggta6OaquVvyfFKpM+qiOnN8cSZYCLhanA0lF03nSD 3r7RSTSsePFkZNgG0YRJZtRbh8phBA6VI4yUQBOVRXzB6xK6At6Av+Qa8OD79YfpAfV8NV8GV49U PdlkDnVYMLbVw6SDKnOdkUndSBViCQqodeQdOXlMP/yIKUyMF5Cv2VVac4EikorD9+qL1oI3nLjL KQZerg81NeEIZ4Q4vdsRYP3q//qQjuQVTdxjsjsRitRsX+sBEr8Mxq8eKuHC9DXNzATDx3EinW3s oKXgDsCM8OkF3mafuQNlTCZOoQBb2LZMWpQWIAxIgLlGsWYvzGJkb6Zd7crpDSP2ECYn5l9kHWJJ nI8tv3Rh76r2gClOuAfANKONjFIDGYBRYl/p+Dad4rM8kTOp61ewAgYOoTDFpQTEdc8sFuvE54LK FXRhloiSwUUfrR6UvGaVCCoq29nH1U9P+unlC/SzUIAZ9qtpFN79UkdZrkircRmKzmQd9QfXkSTG 4K/HX2iEeUAeKPotwaHljFz/GfY04Hyy9O2nnjbQ6u1jy1zQACp2hMOq6fj7kx33cPkT2NwVYyeA +BQrhdg5ZH0fUcUBWIJfg1Wobod2mWQ9bjE/uyRGJBuY8g6u5bHGb3DcO5lPiL2N/L8kil2b4B3e aTckYdEHfn+drKmSx2P0GwAtfvIF7DZxpyldrbrsPi8JyFNVWS8SwNvvF0qLM2cjcxrmq959pzZA AqTM4SDb9Lr82wo4wPTgUHa96wuWFS9vpExFmsoyaF5WwsHyeHsvC41xDJIxAKIiYNmLRRylSDJj vKV1E/3dhzs424GE2ENNaR/2XNB0U5bcx8nI0wBW/WMGuCitIxkuxvgEpIZUhHktd30l9TsXF2Y= `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/wr_status_flags_sshft.vhd
19
23122
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Qn7IteVsnZ/mdHCLR8tB/KgmTn8ijcYuBtDLGh2oUVKuF3qoFWhv7eC1IOCXLirwb60qousghfg7 0xqsSbRyrA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VgzxfdCZunpPyUwqbYGeC3ulpMsK7w2LNEgFOrFKGlFGTp9v30dyUA7MsiKFgCrzzKT+VrIPwMvw QxU3GQIE0b38WJ5xx5bDenrFuj9fMfRnJLJFcG2V0iBV/hYdVoEecQkZyqCPVfkUdjfKW2nQQ9vE YSgHM9qDx8fLqyQ6zAA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 1ig4g7vOmzvtScDRtVb+tZEnSyg+feSk/Z8usEB/u9AljT40pDkFhR2JxLDYn3XXgfKo9dhNCFm0 whMJYjKNylxxgSFkNtQwR2XIg0BWg/XJdnzmvhE+MtmxAUvbHjuEhgVFiobIjRufLvFlBirtf174 Rb6IlMY8DFzGP8TNtNYlVuQtzXS4NvjPSDwmxdLLBUryIvh8XgTaS4XKcRx4c9SU8usSs2eZmKp1 PQzsFR6KYhbJsoU+KNdgC0qr7WxKSf9E11HFfNp3O241b9T36xgfVJMNzGcu/ZHXpRemcPttjJFK GMln0o/DwR0gidlS+JLK6pgrPDgP5/6nmLlP6Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yE7rDdP/qWpLchJqOpJirpc1zOl8T978Yfk6G9kBcFGYD0r+ZC5agvccz99iMwduJEgIxwFmjnzG 7g7dI8mK6Rjj6eLbQ31Mhsmq+p5Y7KQTNM1pfCzFCw+oJzuBbgsBggo35NClB7Hfb8DM7OriNRWJ U8K86UkzA2Prba4TIBs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BN9F+vWJYtgfrzbWbiAE08ecWOdWyzeeA+i0U6sGshkhExwtl0R/3hfy5ttqQZECat07SJZlP3jh V4CCuSQw513kvIfiNR1n8KZK1ODiyg59gOwmz19wCVgWfDfnfDXmgYxf+0derYmc4F2n9+pXRhDQ enznNCCvV1TM+SbAXbMWWC77ZJDkWposT7aeuix0KzNLkoMsiFOvzPJVJxWsxkGPtD/xLXraVjuo /R9zbJjLpYz0T/O/R4G6FwuMiIZFlEBmhA8YI04Xnb8Of0h/udsHa/BIz80Zs9KgMYw1jOPT6P6u 7aYcNrAi7eu92a51ZSDtMllbDqQBzVGgrUZg9A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15376) `protect data_block MHoDEg5HKtbJQm4sK1SpIfR5UGuP1fChct1ge0FTJ9uENwKWzH9DVy9373oZu5dXZ2iXujcOQkUU yGylArXwOlj5uCSnYXRf2suPORRilA/0E2ysX6/H8qKxz6n24/JPJNg+ArO9aJJyjGNO5IGKyZfm chzlSKyt6KixypOknWRq25rBM92ZcDeBN8ExlM0nc63Mrq8YBD76IIXeex7YcfXvq79yytZMKbcH IuRNu7Mxqyg7C24I5jTAOgB/t9PImRs/SzqvMEQlI11Y8xH8U/V2/jp2qzEmwRPa7T2ImhuVnVZy YZhkH4f4dtFyrE2i2T4MD4AggUsDFkqvqUEzc+K9tQWsqHSkwAGKH+vBIJvxFhKZtv45p8N2qqGB Sv5ftAV1w4COuxq7wTCJIDkwlsm+qg7o2za255FBfBGGbG2CSpWxWyBk0eF2UhMUTFvkWKQYQ7X/ EAmgaV+iwhZTckU7O8qcnier/XGNDTrGXXki4kVNTwyMsAFScs+FDM5Xqrs2C6fgs0041IZexgyu ubQlRkOzbJqsFiNLeV45OKVnBxI/NWYH8uQOQeqo5byb3j+8reVIOkRDFIr863DCCmC6XWIH40zC rjl7zQYMNS5ZRXEfJ1bjsva+5/SHy5cFMuTMuHBGNPuhXA8t4NFjPImC/mWco6+4cT8YbMy/+xu8 CEW7FlIvI+EQtyWFLT+j4ThtFcKw/72V/Z1Iu3Oi3WBjs6b9031FvfGbQy5eIy0WcWk4p3KyrOE9 cRcciV0XoS6fZB+a+lWkLoa/Pr6B9WJRe7gCNSW59fJQ9sdUkpsDefqIMfiJtglVSNSrCayDiHnE G39kPtzmf7KIIy6IFq/wBZGbre510AbLlbDflLxlQXjhZhfE/Z9A5yhQBbNtBNlhBr2CaYb+O8Xa NZW7OBRUBOMwfer3Jv0IG3kqspnu97dkO44HiZ2Na1Lt9WQmHi+4jIgfOExJHRgs8MN6x12L6cYd Knv8aTucM9EzEFGIf3CxMHDDHH2Ct15jNXUgxQyXHBkMfeYJhdvolieUlTNeeyXXqEN5dmic6YTo L0iN/dXrSoZN/4lc0FtFnCh4lfgUBmjjGTWTnaoAhRl0ElAfC00cxLtyguoWOSgom1baxDnX/tGI inoynxdyfa/gpCGeAFyhca8sKp7fHJjcCHjJVCX68E6a38WpM6gvlr1RpOQndWKOi3adKBvkTLbP t1GdLza8VoW093F+dI7ferEqFWWBwLyFfZxzicVdXcoFXkyoZq5T9LG6nQLsN9Q0vzk1ME6IeR1k 9WZtxrdVQJxnRN+XWcikuJLWTs3So4R7prhFcla5gB1Pgz3hg8wFREBB60TpqIXOEWP9JIevHvch amJQH2yXa1cy8bxPdUBMJC0QNYFBZt3cqnUr1TRfCetrLqfOyYhT0ItPIMVWMCKckqvyRuIyUjOY bBhEcbBlcPSgKkALdw/AHGTQmuHBIh8OWsGgeNnoD7EQkQTLfLq5el041MX7NYjPjmXH94vsTvWs NWaS/GYiGCQtaoqn0eBXKkXrhn5DRLvmFci2n+IBjVpAftPsiOy4rJUP8Zk/RAV+2lUH2kRM75cV 7ZrQWLAtfTpQYL0Z0eYOYwxDLVlv/Qz/++uyajfEro3RUtVHKiHtfoGMp6NmLwUOrQZ0ZwoNyxvI eyzSuSl0qqwjO1Z+6V4ozkGwZPBJaXTlYZ8niFaBdFImcCyB7EMf3LynXboa9wrKMqaFqGNUIePK FWI8NVyTllF5Lkr+Dr/UdPkCi/MeFcv1vA+Sx4gi4p5LALLdkVewe+g0oW8i0wVehNLXmfshlgmb Qv/+aGurcoTiWq0Sb+UMkPdNEepjYDBCON7kUbLeTlr+wdXZvf0N9s2C9i1BQM+tp1FYV7VZPhZo SmeiDWv+qz/Vdm/g9wcjeZc+3d8Q0EbLE00cR6zHWrA3YIKb+vGAse4FwmrfNZnCaynnHx3fs3dj /wrkn0TRJIKIb1Jna+hmAE8p7GQ7B+ZLOs1OPBWhtO+QLAd0zDAt2Bt6yhD2uJLMSQ6UvHhTl8/W gzQ6ApXDlZOo0WqFTmHXAserDMz8uvZmdvWguAiVLctoMi0raC5QkMDGHbD14Cre7MRcLCfGT/AD A4U1TeC5r/Zsq2Efw1ZbfBdYft5wjwK8c2abJcjGqbM9Bh0Sao+sY5hwSmdTbKPsIFi36mMceBuz KU33xtuQHrnYwg6PjF86luW0NTYDFEnyXSe4nAdSiT4CAEgx7Z6N3A1emygLFAbmHqGDxs6MGrzC VFwR/1ZApzAyWYm+dIFgzqSAut3T507m+PB4dAtJPmg43K997qcEoIu9hPERCZeCBBvXkASh/mBF ry5IApITeSPyAciHAwPuEBroGbA46Q7gFR806JJdlSmz376wE/XV8JF5kcEpm1RncJGNHYwpAGnw VdGgfSrG5kk7iV7Ro9d32ReT1QOaRWCaNKeqEjdHzw5eGoZl0tMMSJ0b2XrffeBFspqKulIUbb// OrDW1LW2UewbvyHBOSoW2597rVQXroff5ia+zRdnV+2GXPCCCZTBpYAYeyUHWE+2o6pI2UVUVk9V htojdrDV5y2KU5sq8qH9H78sZQ3R2/rGvYtX6f0sgB3qaom8rsTJf2XjGOAl4hlNvqqUcyzYj01K WPg6jnkKzgYK5g5dxi//js97H1INOZVtlcBbBqT1xG0xc1Z4s8hHKe4Pk/lJitSZIuHKZUQbIz8j IZmHNm1o2FS4SCOT/VU6C6pWCMBOueJrziW2JD58K5IEPqj8CFe0kiL/2vv9wMHsZ7T1mEoKa+iE PZYJFfcBb/hE/Q+hpNYnIetTLAbBvwhFxZFUwgZvSJx1Ue1ssduPG+XwkVbCf7Wn5pHP1Iq/7COW C06HnSOOH7f6LLvYSMXJoN2PeZDTFTuuC8YFepKX8sRnolLIBOGgSd0omsNHrNHBq+AOqoI3VZiz dTnhZwbhhKvL8JG0aW3yzAZDoYKW8iL1a5gYEv0fCbTIf8kjq13flRokA5VADGyns9q7/1fqOQCC X1KY+1XbHX5FCkA42D21nAkiCnQJ1GZjjEtfm4gC8Kgs16DMeFF2dPsET63EjbM6XtG0yvRXKFas jOn77q4eL+mOYpXZsoO4ifDdMY7dy029F998f57jM9NLDqUpEtGT98bxPti5SCwbZ8wLoeyz/WXz SUJP05q8GG6LJ5OlZX6vcfIy8FXaVGyySoFCYdHT4HoPTaMXWCXN8+JeiwJw+61YR4Cx8kkBlSu6 h32bsEMwEfWy/1XoEQWGU9RdXbtOgQu3POLvh7MtSZLXj2QY7gDzHpR2AxU3TmxRK55lSQIw5hPF wol/L2x/DV+ZsXB+IkGScsmFfiycTgVXk1KLTTz56DTTnvIMWMKnAxBU9GLMQ4UOjdAwrLGi5IKS lM9tJkx3MtX7Q2B9xL4+A3A1QDq0yk5SYQts4yWgoPgNzW1E8nO7FseLdY4jh6SiZ7L0ihG92oeZ bJMkX3ySQsJPwhuNxe0cDscyLCIlEfLbpTkjco6HsHmpHHFA4lvMU4sQXS89xFlHq24wwIc6IRY1 bj9GISdvBEcPVPmW2RFzytzSNZ1tPQoFTkTGkceIHkwAGFdpjB5kz8O2to8JSc9PaSliQ1soF3Pi PuHtDhKpSylzcRbr86QH/JKvU10J++ZnQdAid7QD7kMW3kCuXlweNMK5YELur6AYvCSWB9rFz5f4 rC68kcrBXd5GFrXELaZSNk4yg3vzH+rfBbWg9WDbejOo21JtDX0pp0GOdDTaYToAMMEZIn5Q2Dr7 zDxEedBKrQxBERRKvlLigZUBImtLTvRtpUkP0YOVDZQOEKXfGNAlCMg9QovUU6y830Fg83adLc2e m2Bppmtngd6k31Kj3Xj3rDPJsb3oAu1asW8R+6c+LWPDuFO/U7FHYG0NrLOppePJK3YwfHBwRNVk DWXOSimslcALtewBn+BpOr6RxGqd8uA+GPvQwp8f/IfoNN9Q7jRHdPs82LffU2aSIrFuJnh3UoQy h+Uo7CKeOUpKOpPtAeF/eK9Ev3DB6oJdqhHocbWJwTXDPm5dSjz8fgOqePzgmBh77yZPMl2aeTL+ uhIypmgw3/hLIfBgII+l/TyqV+oGYYtVMvgqiYp93NmJpwKuwW5jiYta2p9cf6cKb+wflEFB7vmR dF95uvNWBMpb9mQUcivJMtMk0RI9O4f7PZq4IfUEv5T5Xw7w+QUHXDFDCXGIt7STqLhjbAUf8Dk9 UfNkQc9LpzHHaESy09rUC57ZfyRyRQq8ZcDewzu59zrh1SrgK5xVLXhAcllwsY+Si2P3KuaARiI3 aY6B67h2fETv+8FfcrShtCgTGgLhN/QtToz5vYjSM418CnceqOS4UuHzBv0C27/UOpOd+ZNhmRK+ jsPOvfYqDCYwlq2tm6X19iHkKpFeHY1XVHKWE+SJrKGGP6985pWbaIAvOmPyi1AbB4EggYdgBrpD 6nUUtBfOtUFuP0ldZbiWPU7KkL5agDQv2iFEA5T4NtyzmwNB03ZszppsS6oHC+zbaTKfWbVlfJaJ pM3y0lKkYflYtZ1F0q+HNItxZtTwNH0pok7hKPWp2kojkThEDcivXyGe+XdJZAUO3+U8CKxaLPnZ 6rvjB6tc3zXReJEDZ7K2MaSLx/X84H9Y/r+weBjBh5PIjm5HZWJc5jlA4O18pYrGgb+I4yhG6xK2 5hTgNji1vi7HZaYk+Ar7O3HvSph4u1NtawoSLKl0FSX9V5i0yXNpkiN7ZpM7Unc42AV2kzm15BU5 fhuJLFeGuQx0jwCzxoOrtk/KCv8RmUks6vxtzyussCYF2loC9d2uVYIyfzLFheBIPC2dmEVrm8xW MyfL1NQXEnKnm+bbeHsXKeTiaZIchQRfOWlYim/v+MX1VEQQ+m6atT5qZwtRqTZXqbzn2wXhNEZu +toFCPiQNE82CHAFTEaxBpeA8vkpogVmQfYOEzoIiswMQarfNCu76f/70fVmgyGuDlSQl6gPINQ2 SAbaICEWkIy0AErh83unZjztH6qC5UV6i+UIPnzE43Dtt9XMISY7i/M1UXd9SOcGF+7q3DRrC8Db p6P+1QCgUD0FFysmZsK4zHTR/O1W9/B0c8SmKH+Mdl7BO4Zzz/TCD4CHXr2wKTkic+BoFWnocHvj 7WrXJC+JdC33I94AZYqAf7swWkX6hLVwOSAyvj6yrMs39apmzL8ZqLK0J+WU8YXGgzn3yCagErci UEOz+t+9isvCUrCcqlQUwfGjlehxPYrkbX4DdoVcN6NQ8cbWnSJUIZGQpzgelvi6SW6JLEC8pyQ2 lrWxjGocUcbAp2+LUe767/dyZKLwI0Ekgip4KhTxVZrbE1orIaYfjiJZQBrrdbqTd7YplWKiR1Tl JACpFXB+82i6PV/yqFltHlz/673r/XFWahyes8btBeF3E4cXwZlN5J6RPmNiK/GTdx2TwvG34fN3 D+xEilaVwVk10uVZyqIY4AEuNlekpxM07VlKxocB67iKroaSoqjfdhble3IWmaRdJkdKQkTLm+X1 YxjfprGK6a0k+fd48OicaIzvzN0WpOjkdK4HQJf+WmfowemSeZDW3jQQ5IowdcHCLcZmiQ0QxalU Wvdlrpalact+Imy/4D8w9Sbz9oywA8+jeWEpOWMHn8YydJQsk+Dzrp2W/Y2qCAQ8LslZ5lDxKYHK YioYRTEwyrqNF5iOVdm6YLf9uIhp7k4YU/Ibi1/sIukCQsk3NlvkcPG7blEzwh7Dn/Bg0crlyXKo kIen6kdEm6UhtOkksw7yz2I3pE2RukEJvEiAAIAtyEO7LERNO5+MSOeIFVAEF0xf/GDZuWHYoMcD LaUvyttyP06Ruy1v2RnOOtEOU9F2EYyl9PFrfv88IyrILZUsWmHWJw0O5np4QcrXv+mnN+23x/w7 XFobvscj+eGMhLLR/pmW1G30z3txdX3r1vcSdHUb9NFbM9S9lqOwIb6aajxJfeJaq+gshs1sQJX1 OGOYL7C6IFgcQYXF3g9ouz0PBtjaZDrPJKbF1e1TpwsI9S/FDQS9rK7Tkpx2jc3SNU54WKMctAUv 3DMZ4LzjeAuftzjJg+Nb9LKfrCpgmjFbB87EyLNtGHu5SPvX4FwvmbWk4BnCDRUFRETKNIA3e0Qu w+6L3+IryIIfri1ROMJfCKw6xZmGkb//78uwOvIZeDoUku456imXS9D98lmQrdut4maDH+QtTxhf AfOoO8r/tgP4XchyekHqh8BOA7CeDBcsxZJGFlNRLta9Yhjb3TAkgomx3eb70FcBDZfsI1k2J+U9 DKuGNEy0VLI7UuIQcIcT7gaKpDve8rMLlnyLh8W773R9LLE6/8hHfyEuCCSMec2YvIQy6E0y0YyJ vukXqe465UHqKY4Wjsb+H8hfzBRpZxyhNWP1lBj8gmKY4JDUk2KFyFnt46WysPUGn1kPzHsnpYSD Mkt8CBbGUbibYa+3xFwWnJbj/JX7Tg1E1mw49MP2T5qsYINet4Vwv79MCwjUqHMFl1wfGydnNER3 mn67ZBcdOGr9EpmcXgtFAJmjoZr6H9nfNHSabNMXAQwmfigFY+9ijyqGfkrWsB9f8WCGmuiytbev kdTfeTJj1XG7R2nXN/hc2bcnQe0LG5i0MAnmqYrLWkNObEnSkqW4liBwAI3RP+PgWxyggkyZgAGc +pi7ckvTay22h3uLdOIW/JFRs74agxg7Y5FYyUw7WhrQ6WdSqJxg1zvMxLfL5FkqoBWUEG1KMA69 wGXHZ2fxmoMLtJ6sa2kT+Wdru+x6fOLiK8lgAc9HAOKnIxnrWDGlP/NP/AzDyXzGb2MHCk/ChCEx uuREokBlzxU4ziEvzoDdBWM+e/UBTFgesF00RdhotI0oW3UsSW7/fdYid5z9kGk2NjPx3CMcODEX VcwkiQ/OesPIoD0gVb41ODngCtLnY5EC4trKuf0CiYd6N3SmouLLXEMqqOXSzHvQ4xr2oUIfs1Sz h5Ltshf3nIq5cDWmV+vyfBHi3cgDPKJJXuZ1xznQE453Kvc/vobkYQE8/jypsslRoRJhC/re4C3L vt/MyMRU3eQQbyS4YYgYjy1rZpOe1/wHzYMcxraXQXwoxL+qfO6TSYfu+9/m1JIYnBSGmqD78EuD k7QZ01rHgfFM3BRBXYTdkvqkioL2GVLY7mp/8iTcsTn5CfSgpUyvojE1hu3CE3xH75CoZx9ZNMt2 CPgjEfmBaogK/9b0nm25Kw6ab6XUGxpB7UhQPFEKeWFzvboCK2aIVchPOYlY2epFLwiUBley3IQt t73fwbUm8X6aUwYut41U2YJUbPIPiVC7rrLBpoY77GhsNBv5UphY6vkLkTx6R2Cm/pb0BlHXEz5N 2gdrCJstvAYUFJQ2WngE7TKfdOQy9ELgpi0p6oE09JfDAfvrvpYK4Su+osqmbBMvk6jpy9WpyMq8 n1h22nV7UVvbB5TQt/LTuxhvDu7SfVzjlZAyayb4rvTBFvhPE3QMsUc7xHDKv3miBV6x+OyjyQdt PeRfyJGMhRh7ulTjFStE8nL37IFPR0FjdbBL/v4sGGouEy2f4AYjQZGoxIBGeI5g23F5iMVfQptD X8EcBYSuPDg8KYcwhWBUoaSDcWlrUnCP/i1C8MDm9KjxXk4TRTkGIuLWHbO6gp7th29KO//Kfo11 +Oi0XR7/6V8alF/fxGrhHcBLiukIvHgOUbGUr64Ql4MXk054PsGWb4YWDN5cxjdXbln/AuxTAqij Eh59Pv6zqPsqwQ0F+9HM4pz5cmvpu4VZEYstxPJRZdsOEH0B3rZpyZRuIhJ9aYTUZ28mDAaL1rRN RlPg7qeBUXVj52vpqB6bSMciIsLSthEqDqECwZRjhfYaHQK5T2v0XuVBGk5PV+J6/wdcKAerzFie tg2tCUAm/o9bx59VHpyPurZ4CiOAR40SX+8o5HlvO+xmh7brV8PUIjVTCbtuctc2FgAQ3OchJRPZ ToIaSNYf+w0S2VKisLGUHZonPHQ0kwsNzSl1VRvKXc5RYASGSMUByvXVARWfBvcgX9c1LUmlzZoO o5VSTtTe635rDHkSWbctkWd45UfSPkHyZ51SrXOeZ2ZxfxEEvfgaH1nVtFi6VkQXkcLue9ReRAhE Ahq01oAP8N8oCpRAFe50ifoYvWUqH56ZMeB+fV+CRKn9fn/nOdnAmNnGb3TGcsLpq0EjixtOgFns czMwWR2RyvNY45qzV7GFRXQlLbYifI0Art49GgqhNJcp9KKg4TsNjA2nNlUif+5XZ3aD24/ZtLIY iI8Tf6yteGex8zqf3zCVF68QdGUnhxdspxG6v4DNL33vM9RZcAKAvu/lw0y3AX/fUoPrpRm+LIMp w7YhqvXIS5ls5srDRqovOeWB4MSFLqlOLKz0UkALYxdFWp5ypMyi9Foe9NDJjl/pMBIhdMr93Fu+ J2diwiLwR37FNLZIcfNt0xulZhK8HAtby9kIRTpaiRsTW2raAWaTa9mEBzzU67MBG8mN4hjYuLTL oqDh8vOfB4pGecK1jSxG7NiMFGuN/XMFyxUHU8Qx3jjUs2uYF6s06Q7DId74FANm/jneoUnTNyEG uhHwyZCQ39m042OVx9q5I2g01uCumARgWgaZdggVs+viZUl6ze4RsBH05wxKrBYRk6VaK+ciUSiU YhEx1C3KlQJ08vs3sgX2T+NSye1ia6sowPHRodaVyhAw7bxD17G0xP2sI+KT2ZU76+g0SRhhvGxx KuJ1lclUnMPVDqWMnHSX5qkUyZNNqJ1FomTzhg6zHGGFRNuoAlma2HBmdWk5gyUHCvwB/yoJlv4J gP+PjtzMQYVh2c8wVl9KAm/9qLqVKgQIqwPuWf30/zD/GCTygBQnfkA0woFxzeemdwGDTM3xRwWc rBp7T0fKsEOK2AT3ZeshaDe/qL9E6n2MX4Ryq80iabZ1VROpakyv28eEILPH4di/XL0dMt3e8gqY u9m/PRsCyPGr1x/KnpC5IKfwGdCta/gPi9QuNDpKD7VApe5DjjjHRa5aJ08JZptjYbeik5fZC1QY nvhj8Q0E0dHIst/OYOUzxzj/L5J7X8AAg+35xkLrC8KvpVgtVVN+E2bp1Px92/cvOZPLa2rURZBY kgDAZ8v+qpNehNUspi4gaXqBRhNxluf4JFc24I0S6/V94ZZuPAn/mbgK15WaI82OVHp06aPFSzl+ UEzS080PLr37WRuOkQ1zT+KdFh7umGPoPtxl1XyPkSZ6Id1++8zOVU1jEe4GZ7frT7vLNvWwBAcN IhA7zluZ+SazbuOHjhZnyk0nuuEsMz9J57mrxduSiMmLCg/eR0Hl9a1+bZqwWaVsYKjkZSVt3m4M L5PHWKyq/P8hMrsH7JKGBvyCYR++rfrtWSD/dK+qsYOKBQgj6YP4T/2f0ZTS7bhmVKH95u3xwBZz yXxgaw08pCJkyFB0AXX8NFyKMwzBERbYpb+XBUN/uU/wZRGZINZ4CD/L/Rvv/w0lWWPGjmW5D6Yf 8QMysBjm2MIrjqTZqTWK46cyHy2dfAuY1Yg5Ie8GXe5yWkmLUZhXtcepBUfdVdgHhk7/Z7VfWnxd +Dx7JEvkQMj/RAf0rauj/Ys+zfM0n6OSPUV3SzJyrMerCm1guGJcFteYzoFJMN6+bB2+vCioIPL5 yd834HbdRK8hhd/1GjgtddLXaVLQiVdzwEAhJr7huQ4rWV+Hh/cjRL4vp9/eJTDnJdrcqN0L+YVC UwRdt4aLinoBMy36TID3PTF3PSuQviIdTA1YG1CiUloteH8DP8ORA1Zb0xHWQwvaGKy+p5jmDRyr b24K83Zi6UMcRVd+ZE+NlD6QTLRjsMxCqzCDeGV5MUVCuAFfhv1ckbigD7IewC0HUm1vLGx8LueF YU7nfESgguPtVuSUvIRVuE5Pkp3fNpXAxciyZEebkwwJJE59OcqwxZ/xGrqiWkLXk7U5050DVpXd ccfI9tEmbZ9/p4Y3oDvziSAbpNOWUJLzrAleY8JR3/6FJfUXEAMqj/DDCV8/1fGG7NWunFTlRgsI FfyqZOiANMovFRwNLmIK9UfMcyorUiRLuP0R3Yg78AesNg9M+fMXD8BLx1eTp9GpVOkZBa1RovP2 +6Q3yxBObTNEJEQwTY59Sfjfn6o0tapcBYxlFHzyT1SMka1iP1pSCEUnOsDWp3T+ChlONdczdbMc +LfCjNe0aIEVqki8rvnlIc0Dt2PxeN/4kez89lr402XjEyuoetXUc4y598eL/+18SjoNjsmkPyRv o73HK9kbiCMHa1EWgzmp1Ld4P2UazAQNIqFZon/p2fAel76tm6n8I/FjFAYHV3YxYrSz/phkszjB PDXWGZbd/bSV5zmMVLsfALCyoRgslOBBs/3fazWT8uCyC6Eg1toozqOv4ti3oSY7ffQq/kbO25iZ bagijV0QlcdlH7s15Sz2n0a3ABq74/StDb+/gFejE2l+89vl9yMYn9tcOuTD72AuN4djY/bGJd3R t8gnrHJetz2kg0Fl6Kc699IxUddmAzWbXqb7IZFJN9Fej2ujZ23mH9KnRGUyzzxgDGIKze4pFzly wseocKlLpi73hWRamLnj96L68Q2I1/9+VcNh0zUX++fgcvEuyG1zhjwtE6PKDl8X73XGy2kZyvGA e9lxamyRb0RLF+W9KlvFuUnHna6YhAklv1j1ovXl62zI3/XryFuysgONAVKU0lTtfl3PslUSydaa PDQDwWyaHBl/biEQDyGQrD5TMIVRe7V5krDVAf1z9NPn9V9/CILOLrYyhjXEoFKrlNAwBA/Wx4/p m8qe20z47t+X5dGwXu1nZufV5zoVme3LuAHaimhJPDWto5ejxOIisjQfZ/UATxzv6TNb2IyN0aZ8 9i7VLucJwk+4hiPwdiaKrH4XU5zogHTVUD723y/cwK/n59oCAp61Gpo3sJ/sKPzGUzTX7rKeDVjk fGKlZOEf1lxr2OKaobOrbHcVhetplMqx0czRMpBzfvLtktb+FOAL0qMjLrZmaDa2oci0od1n5/3m ZD2+czsgL9fHG/MGO4nqsowazAp8a4oTM+GmTeeFM5V887dxv2fRq4I1Fzd7CcZRCmIK7RazCCG/ ON7Jbp8Bh8WJBv+vx1+iVKp0cd62DjH3dnBvxV1HjQxsvqw87wFrCR/kEPdjZJJK232F8724KwrY Y5b+/As5alfLqul42D7/09eYJUuaiciCi0wB91IzYDfRUYYEkzKnMwWmuNMoDClHEnmcFNY2qLz7 wrb4Bd5AxpyAXbc8wbrjmxVvc8mf9khqY7119Pbb+ON2Qxh5L4MPsZ0KtePgvmOSieM6XdVMLV6S A9Zh5CuooNk0Ls+UuaiqjquQ5PThAwoNS5hJNlW0qxXV9iHZs7OPISNyt7UaCd/mtMrJzCdywssH CqZ365TvlnGFMujm3nQIGIjaO2pwl0WWKSbJWWCozIYx2cUqjpqdkCv8jmN/XsbDQST5IoxvhqzY 54RvQXKXV8VOMEF9PFjNrY1DPTWOOW3cL81DCdancSAcGK5i2U8Lj/2gP8tm17E65Vye0CyKpdqh CLqMumNk8xC9GjJEPCEgyHDrHDjxKrtef6BoiuGncN6l44UDfvzLKw8pP5itSn1s6s06Z2IS3kOY /phtd8DOmW52a5YXb1LaDodXncTNnoj8+jTkngR4QgAwGVWWCA0JWrT6Rs3xvzkiqKQ3UcooET3K jR876mmKTuJCZoS/TsTadMtIQaXWCpfB+sxDi0qac7cqrnkwzKam70ebtBaDnYBZ7ntpeLn8k3ca GareiAsvH/S46+irUfH5iSotB/nfbBMlT7fjNZv8EranK5yqDEcUTc+jgaDMnmvgYEPvZhHdEMNh ZgmoY5ZkB8ELxdYQ/vN6oCIlig9q9L9XA930Y81biQBDKgI4yZn9k1jSMyGjH884r9KqEUSSK4Vi iOM9QvNCAbQSVVGRQSQYl15tNNVCqT5JNkATdMC5CNbwSEBp1heo+LVLknP5O1uNuy7QeJv8lpuy uib5p8/XoSRheHs08fPuWqWcKfMbc1FaOclEE1gx3vT+2rUs9QHV0T//xjtodTFFBq7SouEhkNDI isoAQEePM6qTSFeuCrPKlfKkAKwXiNtc+Gy69pv/IY5Bt56buQ2qLKQH/ylcc9zZLmxqnS0OhoFT /U/vjH37n/C/29enM6oBzoP9QL1sak0/WYz/BBgkDsKesBxW7IM29KzAgiModvRy+jSAOTeARUvg ZWIb835mjmyxObunbJtB4jH/QjuVg3JxmvzYdCJ5QVtMMwD7PkJDMGot7BB1tK5zxtJyww7PiWfn lria+zCTzlPjgUUsgv6yfVdk6zvJbkdjOWWlG1oAnTsKL4kLtn6Pxj+7khGjBGVg/9bj5qqNwjUI /YyJ7iLH6feHqNyFEMHs1YNrb0SopP0TGPSNOdsXVoU7smHZN1nMIGQcM7QkNGm2wI7jaX/w8qga s6knujMQjZ4zIKj2zFr+lp3EHloIAFYFN7OdiUbC4pA6iV0KFOlVG3yH1Z1Zg86GEWCm0mA2lDCA ON50qSpBh7uwrJphZ2TKwT3ksoC1ZTy26MxBteCwYk6BZsxhh1wqmNHQCwPOtiCYk4JnfS2eBqI3 JV2+JqibYAV6JboGkG0honGFArhx9jm+qSKApDEB2Wx7hzdaXzXQGEWZH9vALCDtelXcY56OCcOQ EWjSyrBLvz+F2R+VlStOhu+uTDrxkuufDuLwmm8sRJIcAgeFlMQDrgn/EJW4HvhEdOfxHkSAOB1+ baEPdFo2c0U1lcXmoUhmNbD1GrYsiEu2trc4EUAs4c6aFk52zMMIHSWIpRROo8l7MbXyHSkdc9j/ VtQcLshCE7tHpNi0MwbLoTA2N0iQOZlR2vub+PUEXpY/AeuzkC2VLZzkTB/l581B67YlzqG+nn/g FXkdIanLF+QiQft1QtzfxFnUC8Ji2OrRHd23BPPQ6crmwpJR8kceUnnd1iSYUuGOEjl2cm28oCV+ jwd+wlH7YazBrT8pYoYF2gnWQ8p2zJTML4L4k5DvJKftqjbmVGnE9PruMD3w24xreYP2kQIghasH K0jotIglIEz+YYEEic/iagBzk2IEz7EzRn3FmUC8WPIa95d1FnT3SpVbqKEp2zaw2Zcywm3dmq1y VeMMMQMbch+H3XseHVs78ROxxjg5DCJt04rUq6RyrgF7gMgIwsa4nJlHwqzKdF8tdZmwdQ/0BCAL aA6ptMDBSdlljkMqpB4g8+DeEEbCePhj0OkRk2Yvq/RcdXdvT2tGYdn5XovCzektsx0KnhC5/SdI vAXqEadvIAHQyv7V6u14FXnDlWjDV+tE0RD5ww+4JDVZeOvWwrHgpEtGLfyjquiq2IZTNwJGj0Aw E5sUktAEfcCGpXgMuYQIY09dQxNga5ZzQYAviUBoxToLHOW4vdvYYiUs7dQSqEV2QdAZpp5xBZlk WgyqSp+5KvWa78+BH2m5fqYIFeOa992WSrwZIUM2S9fpkFhP6VFlyZ1jFHR4snPUWmu/sjbLl1aI ZFO1MsgD/rd1EoolvpNmUuQ9WiFfvxB1TKeZyldgXwoKjytt5GrMH+WOwFd6fJrziA4p3dLLhQix olSW6oAdpEuDlig/yv0SYFbz0y5Jbs8xFR29vn8SjXp2jidmkLR4XmfvTY1sjKSlTakzGQwyLhnz fZVrk4tT5emoHRDru0b7lYL7ISAhjXJjBN/3SogelxWTEkg3nnUdQwLHcdtzAcMz66uK21IZjUWI bbzQxM1X7VKlcJSE8uqny1eUkt0RBQ9hVWkbzAYqxgicrbZW+8gpkcoaaHJau3qJwtpfnuG7Z7mt AlYR9b34n6A+IO+qNdaVv6gIIbcuoZw4riaoAAjQw6QcQXHhDSKDlIUDa8lf2+cH94INnYmpL9/e 2GUCOJXrsYFWuqy+Ryz4MwfvEDwlQFZDhKPNML9DH0hd6zDsE7uX5fzc9E+Tp6h3qhq70tVKIlxe 7H1XixC3YWMNvhzHdWZSZ9I+Xv9sisczfjkxkwBL8qAfAMFPEQzWoeaqynq6u/IzRk1y1FMuz3yr J76kKDRqjTZQNENAinKA6geLBjTXvA5hhCavtkQcRwo58cPJOhepy7SPaI7RcKJ9JPpHi9Mhsyu5 ZvQmY7ylb7cCoF+QCI0KFDzEOeer5g8hXOe+K05kmrnRyLr9o47dlx84g/+t8CFXIfO+TRjiQxR0 qmmlvEe9L+S2PrNnsxRn29PYavtVK6xLspx50yNaG4dhVBwCKqEYlPOHQilyIYu7+8+RxdJUwtg3 hCaGnL4U4en8JROC44q9MCfsxqTMB7g3e/g/TN12/mj8stDN7MvyeAvsbGl/6x9AtOfPDAPRFTHq 25/0JhcJd3wnKMTuPky0SZ5Dz4YWYg3vuWFBfbiY3DdvkpehvnC14cd7h3tX2QMw+L7iF2prKASX oWOt8rTQ5J6uv2eDeupWzCS4s0guym3v3yjXZLoCHYhevMDcj2oEXpSaFgy4vPnxgILeezZTjlBo bc9RAcv+CKM63YzCLHeVKuh7urolgFs0DAdHiVfwpE1hCWJ6GFtMOkL3doRYjMCEJnLKDIp+YR4E DI8pIOK1KD88Hql7HvBS0AG36gLEHP0qfQF4ZjsQjzW6RuWncAr1GGnbAzXXGOYPkI7dwdPK8SKf 2HSpS9Y0sh7nLJ+tQ30GIK3omiJYQ37a6BMA9DNR4cvVoA/I4KdSv0ZtHZZtjSnqEuDDZHEShiZB vTxgIcyfxC5ydHOVEq6SlA9cCEAyai3J15b4z8/G1jh33l0tnkX5EoexXL8N7P9D75Ftft3gW56a IVIqfxLuMm6jBlqloRjKG3+dmTaJ1pKLVOtXhaqyuIDvRURHsxmjYeqH5fthdSTp7ug8yzK/QBUS 0617MXl2D/nmesSwUQWVJywR+lC+UTl9I1QzZcWfGWBNFmspq4TVxha3qHpz2KlmvY9Yknz448f1 Fu6B2sDct5BvS6BojUW83IGO88B815znOt9/5UCwzil8Qs0Tdjj+7Z/N3YCoMPchRNB5RE/7jF/q K3wieFYTSrPbCTVtDalEgpPgBThS+letJ2NiXZS03IlY32+s1UJMGajrjVzhl1LZyhAr0WcegREX QjRTfnmf2pZm9n1sA5LyAYR0fu//BG4JWVZjI4f7rWXphKAqFWDMqb3rZXvjN7d6rp92Q/2absG5 3V9763J7AphvKaKHNI8L7I3goBtyg5z3tkc5Cs1Bd9jQGAHPLh+7akwLj8gGuy/VNsxh4A0fD/Kb Wi2uYTa/uXidPe7l9JLXPfsHegX50XXw/fJa8TDmgtVbi1aE69xwYrBxIwS4GkML7ZqdIcuCE0kl G8F44MCd7gs4auZd9Yj++trXjSdUCr5Ybq1TABGGQ7RRykucAOBJ/wn++oe8FLw1YS3BiiU7LIEe xkFaxklEhoASxYahDw4i108QWBLGGw42T1J7fwuYqE1ZRQFw6mOvi7Oqb+RKfM9EUSAP9KUXzMJh WTasb0ejzHjgqp4BtsHKAMPd+iWkDmvJMjMHPLmH0aVrhDTP7NgEb1iI42oWdvfzkpLcPBv7w8Tu urYvRDt6j44UDbvsgaVUiMqxucHv1WAeZJ7Jwr2WmVn4ilsO5t8xap25iSfmw6YQIMEPPXZJVKoZ W6CEBWGhALhNhuKeo/fKwv5mmbRTCXvFAy9TbWBzY5fiPZ8icGX2Oh/P2tjMeJbcBCpLZhTG1xZD NDgazp/9YuSMQk/TzFnCk9LOMPQEUzw1f9Y9NCw0ThMVO7NeDZEMEmsSZQiRyy7JWxeRJwiGXVuE Ke6KhAU4M/Rnf6eMd6xGgY20qc7opt0J8Fz39zKDet+8G+mebCQFaIyAzQZ9iNlw8bORa75Y5iCI fzi7yeEAcRAg6px7OEbUm+xWsf0I4RBfPwZMKuaUAbKOgK6WrB+qisJJx0KnGvVQngqn4axKPkYZ WYFgjV2UbaPRzt5p/bkA9sIK8sITNf9VdQhSs/rSQOS3liK7PSJvhe4A8jQrmlsDNdnmojhHIrvA xV6MBiwKxcPnA+874DHF59ai8R2jAnMAqiaxYZRyH5yCudMIeyG0J5kWrfARKeukRiu2pBEQtHZL MArFC9fJbgFM5LJeTHJqnEalVPizGkQ+R6qQPYt0KNQh85ZL46D84hMVlZOdGCh2BwNsrpnHZjDm +PtjPJP/VY4PxW2dmdZj4Sr+hUMUhgB6IIu9ar7ZYzb/i2/eHlmZ76kpzV2swmqRwlqtLBqUBVDU U6NuBrDnAvDp2UVjfkkQHl7Dslqok/EWaqdL14EpgqQSxdmcUB8I0WD6N5piI4SYS+0i+cEL3hjW Qh4M6bWpxjqJ8zDJFh6gjd1XjnUblkAzos2tKD1w9M0gsLN+4vsH+MssDR1MKI3cmgbmsEKifauI YKp9Bl5JKt62CAqACZvBrronuq5B9QRKtqy7hU481X9JDy04fpoiYIE1v/xAd2XU+Qi1MyrgpLAs umNZdtRO2hx/QitgKplSQtbBWO5gA1dfEF+V7j3pQDiGHoI+htY6q3Og642BSTpGGWk2z0FNl5DH qc2RLM0IPlONH9WWmzPFm/kS17UtGqY7jWCCma3HlNcD5DfOv4A6EMqrxiBebpqeMmRRc5WRc4fu VAo+TsiZmzGXQ5AVjwAQr/gg4t/u4NnhrZB/HKYCzOIz2w6qTqa3BoiGV3Bu3IDDIoHYpnuoy4os wXRhzVhepTYRy4B5WtQEWrT9hNXG1pTjPOKaJM6EwQyoTbrht94NeCMMd0UZ4m+p4BOqwEI+4k8n YWzChcwa0A07mE6YdqCbdKnXa290AE7Ql6285XCLWV1gxu6tsFynRWm/MU2EdU64gz8OdxSxCbvw k84vPW2eptTJeKiQt+aIGwDQz5ecTb69t43w11k9TXipJ6p8IWEWu+wjiNOuRYGeA+YOyNtQjbfl 550AkAJfPe59JlDVIamL53lGe2uPwgXugfE9mmGV+IgV3H0ArqZnrVjhJxpWuKuqX0KTJkDS8Lnl 59OYHANuo01fHLWtsbND9x31Aqp1vchSpIaq5kSLCGfwd7v5jvoO94UYFE5nW2qD9ciKm1R2/jhe QxhTV+xzHf+nzJyUtPfdxEWqG5g76iiL9hyhvPpHw/ALNfOphiD5zPpvfkRUe8DfGNQ2/9L1mxUT DJt7MjMvzS4CQ+hEfTH19wrOVas+kLOzIWtFFrkOhou5CRWFnLif7lFWWM4N/FR9E7U7ufSd/5hz RIJ+korxQq8P5wDtb39V392404lmT39zemzybQh6Ehlw1Nk159mwagvfO2Vh4vwuWh2ydLM78lW8 Htj7WTFi85NxRkEmktdC1koG62vF0BPhQ1WHJ3SMpp3/sEDqQRCmFGb8y3/49LAtYfhN4B8UEE3U AKnIIyew2bD+B46eyluLAf/y6xFE+ekMeh7R9P+piH0Je9vHzsxpdgheypXfPFf4quOksDpcl26l QL7p/Is194/zOxXkyk34hDZQAe0OmivLH85QEdfy/9Ana9YXDj3+F+MpRD2MQokahjDkTymVynbw r0fICBnuzIyM2/hmIJo9cRWW/2D92Rso4UFIAn1fQO9DG8PHeiF4ikJ0QrDogKhLPORlDHbOXece fGzI1SxEw78nnPzuyog9iemoRsUi78+kzjm2iZLjmVDHpeCAqDqv5PK4twZzuvx6eSJTEzH8HAt+ leEHlAHQE2gDV75M5i0pIfM7C6Ge+r85fkgAx8SU/BFMwMwAzDhU11tCFxGhulXMo01BmKac0O45 K90NYjHHpjzNraLjwbJn2Kvv+aBCAfW05idcnNcW2uFrlqjMs7XUqZU/PMhdg2jLSLVzc8RUdp9w ZQZdgDYS+GGzn5khWftCt+aHs2xgmRPiaZq+EfMyCbIxqvsczSlJczIdkWa1ZPw/xEQjDjqaJjdu OkyZ8DXRivPPUGOhHsv1QzUG1708VmG49x9vkLA6Ng6lMxmAs8M+/2j1/KGse90bW0rJD32VijVO bl+eXhqF0j01MCUWREzlf+fJocuvQZ3mbtl6KNyMHsl2j1n/4Kl+5zChIoXVv7l9NtixXgPl+IUY bbeF2+adnyuJmqspgBHQA+MrgLPBbJEaCIPr1DnFOTamjPIqNhOEWQyR/3IBnZLaKgNWZfDL/Sh+ 96/a1Gq45TFtyM68SVTWXT84H7+dBweJn11qaU/X2Okwk8ByOX70UXZyOLJYYuT+Zpy47/9UpmhP cwhtQJD1OherxVCRTg31l4+3SnvN3Z6xEYpGX/BwqqFIiGSBvKb5Q4jH38gt4erYvsR2q3qDeknp RKZha+R3vJwhHISvjionQOVny6FDYrzILoBj1nY+W75cccgG2CEthFxLqQ3/zjeJx/JJ/MtfWUi2 OJ6bNDUU9f+RrVNVF3ZcKfsKGgjC6/MDdShxK/j0u8eOcY7oaWCE8Ov2e5PTjd0s0ThNqmE3jbQ3 7IfgCVa5OgsnnjsCetCsLastDNW+9MHH8K3cqR8gq7s/7hsFVlyxHSV72GrW/5E9DXMyk0gxFntU OHPTxyqjnuGY33Qazegphh2bhsUpgeMLTZHf/swtYrD2r7X7hohwXF+8GHyK5PV4XIX2GH17Pace IfxqPPzqy7FYnjHpVfAQCyeqKoecR3z1aAX/NOhVUtxlzNcvAe63+l0F19qyquFPAvhI5h+VYCPV q4KqjfP25pdUerMboc9r9ZdOUrFbXrCFJX0+htsEtlqmkFWRglPr9JvF8STuTZfUMTyYBk2BwkOu CarSJVJ8vDOo2kVD23VXHWcu1QuEcA6+xSAZ79qNgv/5WY1QmVKrs6JQtJ+1enzSJbQ4SNHZXWqh f43VHQ9h0bK9byFlykCQ0sOtwb3xEcVa2lIQKWok9ApO9yrr0ihcTJ8fkWOIzqbwoJEIgrEYFOUA Ku28+nod/WxfmNojWPGgFhWUTFxJby1KDEm4PB7GblbcXLF3rIqZPS2AZNXYnGXQ/1Mw+4HIwgPY t5JRf+6jXYYw2Y80GdulWRkEyoiLMt1OYvCTLCCoqGIXPRmcWwTLIdEP0kmqT9FK2PdjU9w7/OF8 AP5X2NtQKmE3umSVe5rOxFBNutPPRxYf0blxWD+0jTYkXz3kkPVKovMuM/VJvNdmdYY8fUhW4sEb TCBBNthBRyNWqsM3zCzuUX/n4QAWrBuE+9ZveDYxLsJWUrTWo6P6+Ni9jMEuzserTZj+Bk5+G7am a2YuOIxPDzhzXKpXupkU6CbEAMs5VnlCZUpzfbWqZNMB6XeL69hzsJxd98KbJ7QDMvEkqNWvHqHG MpBFfoBitBJbHuMDtFps8Wpl9rYdFK11QKDLfKRlP5j39Ud+PEcHJ6fG6Xwu5Ym+DyTJShqe8cnR rTmQ/S5D5xw/izj1Ns9EyW4khMWCYDvRU8h1NpPXlI00en0xk7ei0PLog9ypsQigGTiBNda3qZEj Xw017ZRrAIY0VUXQRa5sEvvh3VOWkhJvKTznC/OdetZadBpB0nMpFmrcyBLy+LUfnaCAHRv/5yht eaBtAyLdLy0uzNrxyjoyKjO+J8TbaQy/93e0o7WXSJ38+lB1jMxXMprL4kf6pkUAjucNPT5IZa1L f6RXLMpjXf+b3cfG7autGAU6ndv29sVbIkXzZYsfx4MxGDNllVX7rBXQa7PjIE5rnXrbpy1xpmZ4 erlWrb1BZM2/jTuvIxXqk7EpZhMgZeE6W1hVWZbW1R2iaR9s7KNhvg2zd4YpWfzRCk9dUVZitQ9n TotLqUN3qOVf17TQDXENeA2UsEzvlTQkK4AZh5JfDlHTPFs/sggDbKdUv6Q7bZS2c6hP1d1EMkq/ J5SCGzfoN193sQkgY/k0LBHTIkfVGXgO0GPT6ULzVGgO0NbVAms2wvj7OLq084E2gcoXTM+C8Cp/ EeoKWgAQmBqIoqxc/GK9lH/9ebJuV/23wRmlQIHuHkx6sITBjmyVK3CfuoZP9E2xgl7Py0JkWW3o TXZkd9u2ClsUS/EeJ1v8SrLEu9vF2RZ0o4IZfMTpbIRF70XTfEjmEYzaOMq+/im1PPHWTCUplTZ+ kKjr/PlLOc2e4EllZOuA9Y/pO0XWPZ1fecxrCkW533oCBnJWQrSSwtjpkE8X71pdXSV4lnnAxJih 09Zz5JzpQz4l1rsDatExdYJGGIDBhebd8UlrOXeNdEZu4bhA2V47kuI13E/m2Kh7A2hmSKYqUvgt dZce2tt9L3t1KFpkRB2mZEPNeNmrwkLEQ3mFoyqoWpdaDYXVS09sHg7h6OguSeUyPF2fJf8xWCeJ ELaxgXAU++a+CnqvjM0wR/sjpzM4hWcvVcY3LsTmtt1idHI7IyME96mYkeaUL6PSz/oy/Cf8jZzk 5xZ6FcQHaEPlPQ+icSNVISRP7mj1Ouy7nWNLHsquUli4q7YzNWR1FUQP3r67sXfC5f+6TJloMw8Y lTVsRypUr44+MvHKl1FqCvoFq40Sy55uRpxivUvywxwtgvRjbFepsUJOBA== `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/wr_status_flags_ss.vhd
19
23791
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block e5xVIDBGzQkhDoQ5sfeAF2q83P6A1Z/qsmlSYQJY5xTravGd4CV8IrniJyUa6zNomwm8ijfsSBDZ 3Cv5fk91Hw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JTncam9YaU88Ye5zsiMSZerKzQZ8ndV/jFOlVBJ2+1NMrth4ym5MZgOOJUn+hqDs7WawEc66qp7n dAXASYJYn+qFnCtyUAhIyvGYbamoaDWo5Ex6WN67wq/uxVFQHJyQE9mBWmFUuyQbfWAxdn0X8Ddd XBKhuVWHjadjfvTndGU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WysH5jibOCiuNoaEF/J6UEux/f9qwkqszrQvmOG1LAQguVnzJ7+cmZtEvDLaeM5SMkI/c6AvWtXW QAEuUSUqI7fc7s94OSdoy/EO2eWxzu/2PZr3+Vm/RDQkA2VgY92Mk7iTSAe4nvupzjwLJJp7MPFn W0Qp6hutV366SMmocbalqT6lFUEm3BdJRb/waOPaQXsiK/eXFOfDC+OkXBIeDSI4U6bTS5BbTI6J pFf7UmKKQ3+TO+1O/Q+2hW5WOgJzIUFjgYlL/k7HV9GLoiTkFeWQv9D4PmITDLLqEoJBQEH042D6 w9tSjJ90YaeXyJsQBc944KHiROaj7JIGL9ptSg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HfnNrIheX+bmcZCjcmnXLaiCn2W6T6H6Dp6dScskVGNGAylFhqrXsMMXHrPiUKf5LFkT6rGH4xNt DnPlwzwiCAkQpMo27mNuJmSmEL1NZn19+z1IhIkgUjJMK+DU6V8j1HJvLoBzdBKXeOfEsIha7CfH SYvgpUYxukUrvYeSdDM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FcdqosqcEEFjwfToDdg81IlS3kR13BUL9UoyGE7K0tYyJxwBRWvuEZwjlqyLvEdW74UEcoL322wG MsjKrbrYQdHQMnu0VAIvQRAp+YUu8ZY/Amts9d4uoKQ4ceZKPNKKjhA2gLCTZlClOnHdKjhfnFhg C4vFlIgGFFvgy7hYPvMYgUjBeujuUeMJVrfDQoBe2vY01NCaYs8PD38+MZrB1yBWXtoIH1Kudp5s 6rfzNC3iiU875HSyCH3s6Fgf+5qupOBLk1FOGYXDOgVB80WiCFsXlSgDSubN5g0HTJQJ5d2+rdH3 3+ADIpk9sqzMVdE2qp7yCA7kfUMNWwWOq2rtCw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15872) `protect data_block 4aK9nRWvVjlxIKF2x0qa9NV2t2mDrtthn+IbFT1fLWLDi9rczbae6bVONr1iJ6T4G8DETIVjBuY1 MnCmGcGz7CNbpq8u7J9aftYj2DQplUrwMmZQLI+iAsHWiuJzWU0b3FwfDqw32es7iPEO18SBbM11 AUYaZZkc5wmppvidg61k5iWmxF2/QEuB32yh+4rpuC8NxJdUc+fFl50TrjaknACqtyakfrSijiLr V0N52T4m43DQRqYCpbvhs+mSRfQ2URyq3jAPeEuK3E+VtkaEMSRPcQJRZ6Q74LSybWpA+/PHMipH IpvPOBIeGAeHqQ4qfRoR85Fvnj3xqr0rYAZs9/PEuPq3tAkWARp+CpULmmP/TsbRLDio6GgMjVl7 U0NgEoDGiYPf3wiQHNgNSmmD5UQVZwWB53EvOzwZ/RzPldIGz/wnsrQI5zJ7z+pteyjeG65/ckXJ Ics9LDsjNdVCW15zIvW30VXS2LZghDynNaUMe5cdPpUmLPmlo0/fXC0LEzISnh+vX01/mFVIDN9R LN1oXm2CMQfAHY/r3FOUqBfT3S5q3Pd9WiF6yfxA0pFeLxe/Nn+J61Pimb0i2tU4gNGNbIWqblVz qkVyDTDoXDQYktHBMBpOl/TisHiMqXxxML+/jXqqAeP/jYyWxewwtoDZMxbBkrQqyv59n3aRWFzn w+B44DiTyzPwvzmfUH8Vxxp5d/lENcT2D6LDIInJfZCTncF0uR1izDTomkfytyCG8UYmX3Jqo/yJ FewjGVcSzt24nErLjAq8w6Pp3euilgx9LiBCGt9bcTBDdlZJJmHTtd3CSQOkjzYZ7XkQpjFyuYia 2XuG6TM/mTIWpaNoQVVoVwpXQM4IQEVHtlwRaC9fHriMinq6z2bi1IgXcMH6Jc22hOz2O9o3XxRk ZZyhZF1Wn+fXHg6DYUj8UV8k4VzFO5cqbns+Gz03dHJpIkTMJGLL8fQqNbb+fJXwMqOv0EgV9dIR rkkFtsiWD1UlNN0ie0wQIdTKrarBvYB8TuAmRjGVBOOzNjszRI+cC1p9ZhFpRDi6uDzqHXfo7hKI 7guyj6kn1yBgE52TfQDoQ9dqZEPTPgSQ94AzyD9HX9MdYgRM3fS50E+5iXiL82TRQsXARVDs/+yQ 5fo20BSJr4jOiO36moIM080YyeIu0UeZFeVblsdWBLt9p3HmHzdpnRgB+NXiFB5Hla7DIH9mImd5 EYcCe8JVfLlfSIpTC0vFymFvDOEiy5qqFp0fJK7Rlo9x0bwFADHdN0OfNv81Ax04VcmKC2SwKwCw +UoDIEhCWUq5lVvA8ojO5GJkpJv3Q6DIvDlYBTK+TvOyJJ85ZRWck6ShdYBTKyGCyVCegiTY+bHq Uq4ARs6gGYQ0m6Gi6tUhGmA9rvuaDzD3F8MSttOMjpfGIEPiFNeoATT/drJQgacG3Y82B/UaGyQD oHwz03SrOPI7Tt27c93neen3xKkxnigJSc6q/OYLTzmxFgSUp8AVtyvqOX53PeGyc212H0K3sKeg ClsKXM5PxFpyEPRsGBtxb6oQ15DWX/RfCcrZOspcOSw1EJRD39X+0+hm+nWdwTwpqhXtn14z4L5W J4FbcclLMhXGlbpSsynMq/MnhEcb12sMxv6b8yTdTTk8CoPPc+MmkJXfMqjScYNmAkn5fYXn5Kbc DcXAwQtRmZ0jO2gWo2fgno/uJxPGb+fdtDwWXAb6A2R8Y3nWI3kcmtF4NBAoROImC4FE/eeQegD0 IYl3qFZ35wHfIMWFZ287DaHfHeN8tfK+UuLfcmjH3Au37F21bUuzSgYspUpqPPeO5ZuJeudiKkgV jqE3KlmbfkZZJZzOxPhFv2LqJZhrRGFYbkW+4O5ElcZd6babRC5y/+tTXut3vqsO3vN1S0nVPKh7 oq4ynJV+GYop64mBRIYFAz7RdM0i/Dn3tWB7aym6JEYrUkSmu6geUVAb9/PNisGIwwxR1HqhNE23 q8Y61H6SY3iMjFBOsitoteJLsh5M1Rgysaa/lWh4YnTFf2N2er2k4tJK/dynOqmJteWPmwBvp+9E kuLxYbN1km2qvRZtuBesiW6tI89Q+mXCbJacwlv1VShV8/VBBN69BWfM/PjAsDD1lc4TGefASP7r 4NGYxNCUQO/7x+9k8kOaxbs2U0f5m9lsRn/b9n5ZYY/tkwUvy6p3Wn2pz8iguNQGEoXM/Tt+JJH5 cdsDW4UnCDcAN6hMAiUPN4FYS5kUhfeTviG2b6dVfXMCKCnD8PBBv0meZb67xvHxuxwI4qg81IhX b7OvY2YjXQzuYrNtiinH92hRJXfFX4z1Dl5M6QPDsEkp59xTUxWHUFdr5r20Rn6JVWRqlGerZbN6 22mWO/z5gNPPZUJ+7SiX6B7IBe58BBW2Mvlw4PEHK/zlIOn2sjoIlitiA3tL4upIKpMvlB+r/7mZ FWXeT1dbZLXCvtLNPTR9T/PnxMT/0ikRRHRCmqI0r0WDIV8N8k6NQYyEgqTcqVKG9P6pgVr/9b0B 4CY0LrXyVhFDzYYeIhIjWbqDYzbhu6wFEDmiZpdymniCsdZ8St2/DePgruxbYboH31Es90mQTKpC 0EhJ7V4b1M4+vAox6MOFFCfp9OWFNkUZcmUmYZb1l0NdGIBVz3CcDgnvD1lVeZcvwW9/ACQLJRxz wSU62KvnojiWEVHPanl5Ar1dx4Jrk9HqYMEAyXHLLdLPSKHHq8yceyeDK9FqpjGj+pdbHCv1hfMx max1sg+FTCRnyoVHWgd6NJaz7QA8T9jioTrGILX/EHMEySm4OQaw2GFt1vYLn/Alr+MGsw7D0bcz qjOhkQ0QeBsgtz0z/Z2mAvl+1Gn7Hj9sulOo/ejH8EQf50K2Ng8qOYjmOv6H7rRw9AEeizg6IQgn Z+5ccR5y8bgN3RA9UMShjPf85YYYwb2Ccr5E7tU0o3majPpyGwy2L6371mHcWNimqqOCy8YtJZGu 6jnMBdhlRBq2jNh3rxA2RY2U8MXYjaO8oeY2opVfbAFVehLIKVtlsBzLUt+CYwkmaxAtL5bOcEZY nCSluBG7pEozMLKkN3vslg3caJIyoEuBi2SgCKWuRWvaEOc7BPB0H6pz+IxON0dUYnA3s8RdM9X3 VLbSl/AAxkbG9LF+cpYHUCBUo5euUKvIkV2CBeMKZOQl/0qzH4TmQHYW6yogDxfBSJfDTCqViq5c nBFQ1GEJ2URhPaRojbDIY34r5pxIDHGQYw+2WkkYE6bDdR+O0Lc9AkjiqwlihNVlg23KtJuQgG3X xpuImBIiNG2kJM7c2vG31/Z+31sXKrz6FE6FrwMDcodqbdY6oU9fbWsFQ+XHn1rs/tb0XlEAEK6z +xW2icoEmZQlzNuYYL7d0ssnKCpuBXYMzIXbTl1zDxa1ankJSFTQAPvfOdOYzUJtuAcXtKBCTXjT LsvcxEVB5dKcp3Nx4L6U/EUg4+ZghKZN6J4rGRf1k22oogZ7nPNG18z0bkUiiEiWpgLEtR61vMxI T8Fgq5qu3NA8HTaTTqCOYLyaKntLXfABi7XvYOcIo2wYD/GlONQaz26/Sm5TqXBV1vxYvvHrU0/8 RQ0/gVfeIXMZXNgHVuMLukhMyUHFkJdRnijEnmishDmFSD0P9ArB9F4BosswXbdnzmpdAeuU+JtZ WATDPT486Gs42vYYw81mZp+NYBoHob08pQ+dzkzbJk/DRD0BX72a0YnVkJfw3cq2i5TM0UZupnex s157b8O5mtjrO8/LTW/5zta1PLPzBOBVJV9ESr42LX83BCIRZKWsYdd+Cm89m50XnTz0NRtDbwST /RxJRs+BB9R7IYmhi0Y4C6O489uTTn5tMGkp1VMR6Ui0DneZqsFEq4WIjUG4QSu46E+RhvyJSxAS YtR+8ma4XB/DpGVAQ+gb986Y+qd7XrwqS+mPoODY+Rh1qdDH+2lUjKuSZKpwMNjNqttGOp4YOakW ljaORRukAoRtUisWF5j8YI3iimeLOUDWMXnMk9iocRdxSE590Q1Kt1rtbfAAmp9FnlulTW7BvLaW tw17FMcSPHaLLx2+1on9+hEPk6IUtln6x6w4YKCKwpcaEiWkZ5d1aa1f3HCze9yWmwplVif4J/AX bEdqWx58PIZHzBztJFFgrDazoeDolFskyXDFHALZbxd7mSAU2BuWPf++MBvYxBEigRFcvjefJQRU SO3aHva1SoMv3nrsTaM4ksao1+NkQEnpPNVnkxNOm/OuUHmXCiGeLBvlJLRODV9VWvVF8BjxmCtS WSbtW0YUV93FMmNIoOsANILkbU0bcgZfI5lS6UQEeFXz19i0ag2O5UP1zeE1bkKgAQPrbTsf0OcK WYNtGySUizRLtqRcpiUowj9bW5sLe1LeD7fSmZHJh6WBOCUY5c1SBGidBlav1E4t+v7SdfOkMK0g RrZSyGF8UGB0Ug58s9n6JidGuwqxHUvEuYgzkfJZXVbX/CT9gg3lGIuTJ5qPSOtb72p1Kr9sfZkN gtPuQZGA2V6p/HOKNSgIzhbLwn+hUV4kQ6ZbY53FanDkiOVIq9hgagMzTwJpB7a6jrZDWmiv5a2E hnx5p13b0s0UedCKAjwqG1CMJAYRhQtRcjzzXyXZZ0R8NJJJhWBpxHhbJ0ZHKKs7+4Uu3/oa6Gm4 0MQlDJADP5UHADUTKxF6MDly8Jh6ooD9I7I29mhuUYDpSeEsxP8Hw7sMAtaeXmXfo++BvLN7MWjf iwPvX0HMtI/ZqV3ovcoYzBlss0IKmcgc/LK+VTuutvIYeLwoa1g9pNnJ6kierGxqdjkDwmzwSX1D WjmXWqAHid+x6cMM0u320yJ+f6aBGrVKsjRXBFHyR0JYGBAb9FJsLx+ntkIgxGyzy+McqxuRIvFd U14rXLF/cqixTaJXjJHGyiZGw4LI32NpEYNQ3LBHk2nac7lmBnv6P0A/haIDnonr+ggSeowiPA0v zKEVy/Anv36fGp4BZBbygfc6F0m2UvHyxwx6q0y3l9BL7wto4GPl3qm5pePZNjbmPYPFug/Bic3G chLmrdj5wS0Kf8o3e0Rgti3hdC4rFcRPRyGdfzQnvR2Hu29oOXefQh/6G1p/dH3F9eaOCRYm1T+b HtDsOHLCkAlxpZCFbqYE49aefbddD7rVPNDZh4KBfJh9kGXZywEwKU8URlQYmJH2onBdV07Gtt74 +2DjwPrq1AXsWpXjQOdP3zkY4K0VYiZiK5aMC+GrzTTrC923SYj8gbZSCz432GzXI3MsV1oG7CWY fSdSKof8+1s5pmVqYiMoYKnZfQnyBoSYWk5LmGCHeR1VBwI8Zv69+oD94zl3Cuc5u8FdnYp4Aq+j zSnGWeKNJWm/XZiWgoAlutrPkRhHiNa3FJOnpXi9Vd6O5qoXyCkIgdmwAaqMf96KzyBKlo29JakM /BPTE0WVrqCtHSSwtQCTRLjHp869XsvvKBBlF0BGcXSyhX5hSGYvNl0IWmZNQR8c3KiNVGvHTutS 1J1hBZrk9cyWRwI/San3ILnoyn2hsSE74hbJnr8+Rp7yzpno1F7vSSeo5FKj+XBOwYde8cL7nLxH XTKH6CL9vFIIvmENyz2+hYPGCjapTwcmhD0vh8kXORle1c1cjRDCa3rDoRI4Y4bdvybl3KO5DB42 HqZoPKcUlh6+WU/YSnomHU3PX6UhXlt7AnRVaKa3BupudGRbGOtndayz+RYZKS8/xKkMxmcMaRXZ 09DDGfyzQ3X2Jl4ts8WMVPnIs/UVVv9qMtS44XHWe466ETYMFlIVkZ1ZpcCPDFdYi8DE4cbEruiK t5F7nKi/In7prv9QfGho2D0srIxfWfKV06o+5uenw+wcZ0hi1G2KzWaulmUvRe7lVgn4I4E/U08C dNnxH4TA8OPcL4PCu8jMkTuS9GYfMz3J8Kx6y9zSxHSgpIcviV9r1WtlOnHhvR3mispuAru3PRqM YX1KCXTmNqONqeJPt7ZSrjz/oXwTH/YBY8ZNZy0V+qHRQM5ILccZBbd/0neFm3QRNmluVHm1CBr2 tuKpXs7HeYJCJi9bvEFcfXuwa39WHftKOxc2l/DONbEXVqviMt/pQvnb4ASDLb/oDd0PKEDFqix1 QcIkNR6SrZdBKwgYOfhispak/mscviRMBRVu1qDXuhorCZkEpUpdUGo3Js5Og53SJGcCb+nvHnuO tSX+BbWKzGvUvIc56yAgELDeQpF8hkuqEtw4XXvsOCIGW0JmwgpJiC6GOMl2jngJd280tTQuTGd3 uL2vLQD14Q0ywqQ+IIJRmRmirtZAcgE5d44MmD3RCFaEYCLvqM0aBTzKb3KupiAklIB2xPsHdnSh 0U6fodMzCVKZ4PhP/1lmgbpV1Mx2eIGk7Y5tNHI1EJgCtXnem78ewL/8N1tcDw3Hg7oi63ud8uY7 Fv1aW9NByjBWbZKHa1lLOKI7xgNjkfJLFY/Gj9cCqOPUF4xnTHS7/92C2T41FqRHan7TEw10Qp0t Uz8cbmZYy9rQSMZFLjkmKAb1D/DTB1+vC6qzAMB4RVOS5x93reznheRGxV/ZgJUtH/JtPTxmMjdM uKIPVpbe4y5hbbAWChzuMVS+ujtarFSHsIhyFHbTUf65+GlUwJaE2Dr2/3wk2j/Dlocopva5PvHt FJRWDxYsC7SY8mJXXZ55C8dFJE0jk1WVExUZEO84uSuB4+POFuvyCrzVQvc0wGu8Ir0VGq5MlXFp 4sBlYcn6ztA7zSFLk6UztfhI3UIQLIcbCvXOx4m5M98gVj1b0cy5tn6kZCNF9PaZ6bgUaD8llowC OpDeBwsdp8ut18mv9fxOBFgkaln9NBA7n2sHmbLIdKpsmvx9ecKrrhPgbnhSbic+eQXrwecnRhAF yUQbkkd/5d9mmRCzgdhXocyVy2NHizd5zsX2ShX4via0dVKQbmwakXxHkAwPYUPCqoPV8dUW8hKJ 9yiQ4yRxBSWGc7MlcqeXp+q2Nu6PXjuhi6IEE5PxkfsADNXnxdtYtmS1HtatmahwLX6ETSHlpyWN 0mdEEkMkObh15e2XkQpF5+Ge67cjMROeXRpW9x7QgqbesbO2KyZduaLPvpWs0g4uI811Xft1RVM7 haz1B8/vU16ZodJspc/8tn+oxyIip98/1M8pXNL3nfxszujQBcjn6aQyySCZ7c34mTuYuRztgU+N 981ppS/2iJ0g6Jt7KKxdRjlULrQNadR9bdbXO7QPkX/7g0L8IJ4Iku9ingOqwOkOI813pjUvGwUc 0aKdqNjnjwaVxoO8SFfRYPHz2dJi2IZZgkeKguxpL9HxYACdvFkwuvPR2lNLPAXB2ghJ2y5FAXP5 X7wHELeyIiZUiAYnlp+66Ea4sQJR2S4N0EZC39PriZEU8BZrjhlboH5/Pu0jGWcd7T/w4n761BWm H9sDA72W9Tb68j1aDYCPP/ABG6qbVCbra9Ot+Z4OK1nlA78+5FvJ3tIJQAnZzgCEvdL5ZKHZbV9y 5ydYegQjw0r2Zq7RaAMDUas95D+n5m2Oez2iJ01CoM0BXxZeLeTvE/cZP6sNzKo4t6hQ3irPsjDg yQblBhrTBg/CZhdKTRrnlWE/sdCr/mqNgUJ/TvyJzXE35cQw8KiR6twnBJZnaXElgC1MjSN2R7XW GN20U+EBrv+xFfjqb9UkPVE+Iv6CQR8W6ScFzXMKxKd+ZrQwiKjNL0fSTNf9I/ME119CHOzmPo6p KHo9wWf5PcYSwD7/vzJOebDTbqEof71cHlTV6QdoixOpybgJGI0mgrMfijnfCPGBkeNONNSqHEqb GKlkETYKSYr5zY/aJRDHTufqtq0UPMLPr6UNG1SfLkD3XRVaMhmbpgOnInNFFrGBVDemvgAOOM5h AQQqmXhZeYezksv0fYS4KUvt9ZiXRdNGYqTgZyfXOVi/gqdWwHybuq+6R0iVXxUJqntCNJ/W9NdR uTHPXtZa/ErRtH8GpCOnVTZx8rNDT3XSFsvJNlX3DKh4ayUQI2KChkOhpwm3Z2CcVTHNhiGjnHrJ ny6daaMZEX7XTP+Yiqj3m4h0m6Xo1l8eUv3TaY5A+LG7pZCsGVfCBfs4eF4pgThxoqNum6eMBX57 20gO5GIXHKbRnPQhufnb1aFJW4ukhxGl4CRZ2znum1mAQENqBpdfDq5wTJSC6b08Mmsl2dKugMtd IDlLLPdoMk5D2KlHD0GQefeCzOza3oOW1HUsjXLrW8ugVQOnn+uGJbiqE0jeTeUbT3nfQZpiVTYQ uNG8icfGXyabB13+Vi4kuA25gocLA2c99Cs3Et5G0n4gahbUo8nmuOmqvxXJK6uh6EBwlLn7u86D 9NtecIckktttuRSYppMZmUfzAfv4/ruxyVpu4AG1HVY3hp+Nh0Rbg0wbKIR0YbtGosZKAfL3RnL7 jT7cCRCTtW5AuknyPeBNN3w7ZvUKcySUb58gJTdmMD5c4ev27oQQm335yb0zVc4fs2IQVJK8YDdB EUgmjzMKwo6Rgu6/yNJ44HEmODuAXp871awWsoLtYCO/FfmbppfBVX/45rFlDzBzc89Ql8j7HAVc 1KnvLS82qRdjFZfpC8JNSWEi9iYsWqWX23L5souT8Dccnv58yrkj3BO8DO5hPQcMvedIVQfdqgex HNfI/OY+Z39vcvoCBNWyw8Gxj718s0WJEruxcdgNdrVTBza3MZllB2EyrP7HQ+F2OD72ffmznlQ1 mME8pEzedEfHlJu7zo69xPRGvaKG+9z9MQs4gE1deewywBEBABRixYzVRVkhm4rWmCiP4wpqMope mca19hg/42MiiOkuYxe3yXmBiCNdgvL35TtVYqIKfJqDKJ+JN4selk9EEGcAaiODoeXjl96RXOBw EP7E9LuNvQbTcVkTZTW0glaJbTN0Cq1rRevuZT4Op3qQ1k+c2T7tiYoTb85P0uav7l7uUKdoIarz ellcV7FiL3Aa6t+dQMf/sZb1tGVlgrp7euu2vshmQE3XJYG3pb+ue9L8RE568LLt4J4CjR0SmDWk IqXnp2AkA+xPpjSMDtLZxd18sApGI1Fyk8fasfUXOPCXXxZnS09ImK8w9bxqm1sYIfZdLDjPBWnh zKBolZuc2PDzv3MU0x4dkucwYunAKS5OpxTESr36fGG1935x4/aQgTIoGS5usfXjEo9gMvzjE2Iw jlZrqXFsBoU4MpaJlNHBe9Z9SCBnPMnxPdoVyMezUIfkmN+2WPtPl8yP7vtt5WAZOMQ1hIHFwUle +eqE26AB4tcXU3oKYTQwErt2PxSMrw9MIzaR11G61KR38W2iXIjFXHWwsTs2DIkj9MVJ6nLclhvC vkUM4cbXOe51eYgObwBOamtCb6mVgy7YJKn20WABL3KVYoUg+xPiuwU+dmc/dRCNEoNgY4oMJ4FE y39LwQMS1iJ9X0K3Jot+PDECe0QtBkVWpkxD/0Nr+esN95Pqer5x1vkyYzwfy5MyDaiYPLXpkFzA sa7gCKddRmAxmY+8Bqrzvx5BT/8y8FfyqrNjLw0E52kg1AZAWhMHyGJWpo8W1mxCgQMkIpmTjT4y 6HttKexCbX3f2/shojiPMRTlvx/OaA0FY/4EGaCJyaxkw0PxpKitnOgOjIGhIWiYngxiGJXrg77F 5gJ9AeNFRCUnSFK5SII/GNfDGG2kUi0ERy/HihtpOsIpMO7dD28d04nHNWjiZgGqcGSLeP/xp9qj R8M/i2A5DjQ21vdU/udf6O8sCBDbwaEBEG4VOYNez2TaqM71zCsR2frRSFvEYah3CtaywxjE635H pVrgFjoWpgbo/ECsazanpJLX3iy+DoRD+2GXnPZlOSBPSzb9RWawZ6yH8jcSG7zDKynjIgEyaxPB RcAZUkVWKxve9kyLykSiBMA1yv30TZVG48QEuMpU3TQTrczHbSjDlFVbaziGbZsJtKFdwyA4wyH3 hYk3giJFqM58THA4FVK+V/WZmZ60cDhvi9Bod12vIOc662pKb0InZPYeU/i+XJMUnfNdG3E5xRPh bTnStzc2fWu6F99XIq1USWMhRvj2AzYRwHaRQVcu2NLebnf8L5CU3zj7pcg2O8Hu45X6qRv7YW/Z x7Sd/WXzKYCmnmXd0Hb6n8JCv4K+cgYbcdCAJIVoDWCDvXlizj9NlFwXEBTauXKHhBSx9mGmN5oM HLhjue6aQdoZsfTirO42vvHLKrdxeE/Up1oM2T4dy+1OlVLaunvr2fl81EO/Fvu+ulWuXokk5uwr EnZFcnAN5c0WssPYnbn2bSlUb2mGtc9M3Uvl3+8uo+7OcBZZJtyPnyZS9/Ai9C6syAHqor2ZMDXP VwFZMRUlCif61os7ALddps51YDHcKc+ExUoyffrZ5fjJiRkZhM+oqiopH3ICuoz2bK+7n3dtzo1P mqEMOzAvbmkDzBIDvg55S1f6c9/bjjFsRwi7HZreGYDw2dF7xtEOCorzR77Qx2B4h1Cu431cg8Sf /X+y0dj+og8xVj9/8u4O/2VwV3unmAlCgGBwjy/IpR4Q0RgNvok1WK+vVDqxVybVLEwSvwQcOpMi THU+UgAFgPNN2BLAy6MQzyeJt6ALYRXmsp/Z28kLqd8j8BoDwZ9d+hvXZBX/x/XDI9xBFPAQhDmn /RlU8jWBlpYM/t9S/2vjWCoooc1y2Oa+WkzNTLIK5dhiAx8evwhDbB4pKAECx+OZuBza/uyYJbbw 9O2iSpFS3ZNIbNsqlttqgpFm9Q3gW2Mv2PK5Q3O6eIaEOeeabl3m1DMBZqhsBeS9XmIzNs3//uxl kjSIdVscSLDqnHLoNBkIccMpNra1zPknY4FRxkZlruEsYWL6I7AlGEK6xn1IigpvzI+ctyPKdzEh 5B3hxWBbtDNOoJt+qKcuS0ANzKuBOuvPdtvJE/Y45Z2sbdKi9PTJspBHu3pPTJ1l0N0X1SVc16hk rU7l9A4x8AMncqTYCKijZcbwCjXH0ro0D5gi8pOaHXfIrxtkbCoO5nBp3z4cTbjsqIT51AaNN42U KwMWTlWiKjminvKEpmoqQ2KEj4PrTScaF/S52GL9a9E1prc0DBsxYcLRSF3UM4AoKB8v2ajkAx86 wX58YQpga/QPb/qEa6AHCeVxaYWPMUAUsBysHeOQ/H3fXNyGh2bvV6/w8DKcXZqnv7ojHFk+sl76 gMMrQ8iAn3DlkeInXMXt0/qc06Pp1sHSz2w2D67WLlBpGjsTCMixGFpP986ogkvXyyY9Z0CfH1UI nZMBbv/8x+Q1UwFIIsxWviLszDYqbYXo+tCT/iNlPaA1kKn1cCCwhd3NmyR+nrljjKPe8y8O95+Y hyhYskAPiE2c9y8uOyM0mGFnIIq0Atp15oOHunCnQKVzSjIr4UkgzGJgLT6ix8aWaLM0ENglYli6 1Go3RC08m8FNg7pVF41Oxr1PC90Qc677fcso5zSFb6cJ8YtOc6fJukVKdIp+jgy9QUdIxwvuB6eL 6ZQ3MenqeMjzJp7ldxj645/NRAxvNoEYljvTD2sZlhgBhDfKRqTqv3D5Ueta51/ujBxiz/DHtNAi NqdVXXxDCNBwrXmuhOu05jx4h+3JLYLeemWI8mDsbOUIxIPE3b/+Mfox6+6574BqzdCgHonrL9Yw QQWT9qtyjuQNa2vX+QoNC4RMudJIX2jtCQdQwAGQ3mGrjoukG/bP5ublze+UTeaS14KmsRiT5gRg Jcwru+qllHkMq7xJU0737NcT/gERA2kIsTXjIFQD4aHYhUqu4DhpQa/TaWQVM83fCeo5rPsEPFp6 33aDxnvrIa2Q5/o0EH3ai5m4KiEyTd+MltNIB/a8lU+3UDPG+ISesHmsipcbtsQmi7CyisHnlioP Qa96MQ1SPGqDYncMZ8gt1xGa5pv8rpZd8O5D0UiAiF1nwBLGFGVyINxJ/ekPw7hn0C5Ienue8q3H b3mpMRCNQIdmY/y6ruHJjIL6XWYr9DJD/vdlVuWBuHA//87pggVA4MTYfmzXXHqJgc6CCf/CvVtF S1lYqsgAkYy0M9Hyax46K5rDFlntypdir5L11k0t1oQGnRjVn+H7nmcbVf6gCIS8PRR8FekRwVTQ g0EurL6ShBozppW3N8pLlO/AlKVglPnG3TPV5sUqBehXb+S1q5MTWQuC5/czdEq3LDrzjSAndxwd PfeDCejZScO05FH/Ce/oveA2CSUyjkRyU0/DUQNIqucQn0bfKQwNlPZSZXuYYwAr7M3KNi4BnDhk eS4X//kxYtFTup6jctfq61EnPZk71kDN+ORi5XhL2y+HdGZuKGOkQgpI+l8qLbpn8R+k+K+PCz99 GiOtB6D4S0qDSubF15IB+BZiVWABaKw4QnW1NtF0yZwm+5cGN5ldtBCNmBwjeCjnAgr1Rh4mlsTP 211zDqI9Y9jJfC1jsijJFu8kPOEO0aBj6avPWy80DrXK7421OiQSt0i+wVZb/uiPnk4grika1Flt VX6G50S2y4PD5kOhO5hJChn+a2CZVCymU1BSV9rJ4PKJ1VZZagDe7gDjJRfyLUGt7+V1M7DcD5oD R+OC2QImtkHSGV9Nr2WnIKocCo+lMW03HE2HIEVX8vYBe9bZsuVNeJcmtVnOb17NjfZUzcukV6yW qN4iUJEcrZ1EN58wDvLHU4D260xXWHqYaG56/SnKlRV2/uQz8CRwiE5xCJSzdNB0aa52wdzimtCR lW+cZk/I/8xwK5Td7H75PgQvD8lo7hxb86V4J8N/ePzK8PLR7rD32yA5djEIN2MBuUeuobmWabNA IumojO0PdmA5NFjn7gdKzcEsQlKjjr/kjZ5kTMVCRJpcb/kSUEfrLeuIVnHvA9Lry34eEYce3U0B ae0XNV+Ao3EcpYY+ZdMya79ZBSkq0l2bFYNGPeSFQCtSED4bQo9keDtgYzGMOXUUKDXIFqjoMFDU rMZv+de4iygT6/0HACcUJiHbTX7JGs1xaGeviAntMwIdJ4FfoP6EWDMyh0RyEx4noc330sb+wER2 8UuJnkBP7K5LbEHKN8j6DJnpXx/v/v2CsaG3hDOJdxzR0svQI4Jo2BehF9p0qkoqz0zzAq+FwhyW Qy00cX7K76JdugJoGjwfrCIo7Q8ONb7tjpFpYW7T4Q4jAC5vidzwKO2CmFqQkWDqzn89NUJ4DldF XWC6TOsvCE2iasz2FHkdeaiyVUDSx54ZLb6fCiz7nKhkkFlZnYV+ZT/FlaOC8G/wjYWaY4jkgmlR DVXmp0HE0JUfatxIKTPwi+mpORBSfyPXMZgxebpmR4o8IN1EmvZHvRSjO0sv8YqvP/3lURGhDNOn XeXZFsT3k9tKC+4a1uZTDKHnrTTxieJkqXkeKLrER5aPAQaQtwudC3VBea1oq7a3DeqV46aT3IOx hBvvQzO5EcJ6xofWZ/OTgpnI3IHnF1A9rcT+FbQwISSOfOiyKomQgGlC6Qq6EUZ0WIFaaK+mMrbU Roz/kexZGdY9exSAF0JZreZwG0++1v3kPquIsZtjj3L6/vch3BUIpSDbphQQP3z3pYuQnulaVRO4 LeEpzHE3Gw6XpIbTwFmGPyQrY8rpOnvweIqAJLBwsqdWmWgxWINpIBQZEPK/uWzIHqEmCeCIZFfT x2dkD94YNaWqDdkZ+S6OMjZHzs+AV7iJaNHlU+dlQ26phEaYyf3OuIMkV8YbsYtO4ekXPmYr0Xjb JYVEP65jiJUgoTMPIY16RCAXugUGaTUgu/3fJbAlZs797ztl52naxrz9cJAiVXruU4Plx43aX3Xz GnrZtc78vQgxkq8jmuoaPbZqZ49Bm20qp6/ZZFPXmnXjveXP7h8cSlntZG/sRJkWJG12T1rwCOFm 3XwR4S6OpvS5rUhtiyqwwgR3vYl5KHGgC1gFS7yeZOb9ho8iyIboaW0tOz+OZ+2YRhZbZ8zp82ry go+jd3iz4gTMtVwS0VdHBo1w1+OJhE6R/naeUoBBCLPscAmvQQw90GayCVdYzoTZAKJCRnx5FkEn jPza28ybMTjrp5POPEsyj+ceqTG+YKIAq8uwHzDRfLXlHQxjzVSs3HwTmSbNmuFXv2BepePoruQw Zxk5osw2YjEO7ctAzU6z6lhpz5IOIfgIlveNQC6sWKgb8OQINcKsowq4R0nS8kqItZt2aLos7SIJ EBqGbg8yfFESpRCMn0ljBuNQ5B7JotMXa52WhG1JXksfVuJv2pNid4cmNmhHALXSVf7mNmKbplXE OpIOalpFsPC6UVLGTt20g+jFvKdPNZaEDm3gjR0L3VSONp0xBQyho1/Pr9tweZCmUGlDWGoE88YO HbyFvGBmDem8lQuXbGJuzBLisUGtQPEMPT4t8tTgrDhQLYkj4Sj/aGbHfasakj137heCGfKSXbX6 dzlu7mzKuKOvu927L16umBogU7bWSY7Uhg3pTQoRpcHBn6LoHegKab9nup+/raZ80hSbJ+bu1SEY drCvHaz1a4heCY/i2TR0YGtDOYGcStO+Y1Zbpyu2L+ETZO0Ho72jGrZnPepFFy30IJcrbRwZRtBh U3hWGplKgoAZHT+X2rZwm1SyeijqpApaMTtUk3o2ndnwOuQ9G3RWLP624akw/j6UerUtJyKlhFf1 F79x9B7g8/wui7bfKhrCpLMZX0/zJHKlllb465vja+Addh8c7Qg4dCWSbetGmAGNvNrQotDEamyO cXylWUyz3UABqJ3tI4kOy6NIvI5CDPLlr4OyS64VlKHeAT0V7NwICoYDc6GQskVSRRxzFzfmbxJ4 EqK+3Ek0c0GamslgY/EzarWxDbhfAa/Qjf9gRn73kmKCWRxx+XXRCnLjBML8V+0t+eq8FVOXJ2jH hmIS9jiMqj1IL3lt8+xVrrCkUMW23kqWW59ndyt2BoZIh+PdckFOpFWJPq5worz5dIwrd8SqcHVm 8HZGLcPlS9baDQ1XTqkVo3fjpI1lIdJzz3yhRvOR/75tVKj0BfrU1RX8PTECZOG1nRCkNrTM102c flaZlp3P8tY2BwU3snLV8IyTFXBB8q3lDa+rB6AkhSjQIKRZTSKooZs2aSQ6eCRwquxPQntfSMj6 8LeX9OpuQcbtbpOkfyHlBHEJvzrjTogsxoViEUkNO1DJurbcCI+T4gs5kN/jP6YirSMckW3Qm5T4 Kla6towUOaP+7kkQTaL/WGLFKajl1znUGF/InJAsmFeluvY2et3VlyPaFfDpbEkzK7s/Q3Au6QVf EOj30zb51KsFclGzoryZDi1T3lVvG/UveeqQPCXPQU4jq9sK2kZVvTgjOH3isvx1vSutOzXGrW/Y fv6av4uwMvsQjXGwG4SXdJW+62AqWUxAAgi0JWBBOuYZyJHz5KqOLQyBDAFRkTftVjtHIqoRLtWY TGzYJTc+n5KBQP/NQ0AbolbyfxCyj8XTtVyly5UMjsqMOe1+MUdAmPi9IRQw2XZ5iIzDyaLZjqaV Pln/c6Z5Cl64PS5kJwwXcyWz2oAnqq9g2yCtsWUMdmqhEeNKlybB38FdEOy1XBDqYT1FWQo8/u4x s9cZ9txblxRA+lXG59Z0KI941eef++XnKPjN2ZOgdVS8gydYaQjJl+1jT+n1Tsx1CrDjUUPfLrte xwEOXZ87qF8nTTaK2+ABEEmh23W1mqcZI7wqnb9Mbc/9CTQ3mhdedNlf8TQh7r8JP4sXQpGhqFV3 oPeHdaGzD+HSSpYT4+3F1HxRBGl6xw70c4mEtGGdflkMd3SDd9AlbQkNDK6njUlJD+QV7BOEpJyT wbsZn/+KM9jSH5xQtIjotXvdHGCx8YfKHqYJDmmntG0F0F85cBVdc08bxlmjxpL8vjdyxTrnoHg2 W6bMgNuRMtxLPknEr/wDRH8QZDmK951bUiX2IIqaP2f7VLN6/oS2vGqe1DJNtPaiOy7dGI9TerxV S3DCr5SQmUmvz/KHfMpqYdu2VScZqsh9aAxkvXvBwqg8XSczukWg5RnguRmEnAi7PdrbWO05qs/J /5vnZMqdMlVN4HCFfSPHzA7/b5REquQmajz7XeYwnuufD5VM2u8qPdt4/kZuMfYVxiV1F7eD+gW+ BXIQxi3iVr/NBD9mAgv+clr8fG9Y0dAlOzqvj/Q/OqoggPs4wo09yxGjREMegxRi4nFS/iYlb8qG rYdkQucL8foh8/CY+8QH84Df/YII8vlouQ8ij2rHeK58nCJ897/TYlEGTuV6hTZ1qERwgeIXJQ6C 8Di4j/wt8C3b2j64SF8yHeO8JPHtd3fG94lEoHKSQPF1Hu0eAnTedmT4etFUE2bfnsQpY9ZsEOCB IJJtiPJGJGSIr4BMRbzmZtg5qeMpbBmri6QUUNHvnjaAtANWKuZkBOPL0SwqsKPq0783VOu0KAZJ rJMt2A5wEEyk+td5LQ53F+rzJznjadYcBMhAnW+ikrqIoWcv/e7zjWKxLOZrPAdqJw5aLZ03iu+y FEFXb8KZ/jjUd81fb8qbPPjtGgRWf3BjhyfapT5gb8CsAIllCwATwHEEw4F0YyUj9OdGpP6WHLaS w/gRYiwE0Ay/pyJwL9/td72iVoOYsbH2PjbCa5+BVPHK9xjW4l6piDpDmBFORIgapfAi+Q9jNe+F ZcWOx+e4AdJ84n6FlX38zkJCOndiY1McUQSzIY8YELMYfSZwGugBUuIVRoeT9Dwbt8HiUbypPVcD 37tIt4c7c2yGpi101MKG4OJ0n0HrAhrhbHBgSXQgWuv1HMi3VcmW4Zihk+qbWWyK3v+aqaLzzDJD WLc4YHpCLVv6WwRrVtxVTw+h4swpA45ObaOtTAB3LCiPIlU6u/rdjNF+UOvPkmaUZAVHgcBdX7eq iA7B33orJb8++w+AYdXxKAAUd/L56T9PxeTr3DqD9b2ffkL85xPsyOZRA1U1mlofY4J4dR6YPHmE BP6qQrTOzEa8qfLs3wFvUMKzU3Q6tAoWd2Kcm5lfdEanPoFBiycznZCSNetD4sZYg/5CvgERlHRv p5dbUL6FHwx1KSWYDQmA8Sh+6QLZJ8IohF6bayNcwEOu1WZj0ursCUF9QJbqv3A47h7lOn3Yi333 v0jaXanHo2UlSYUfnEY98KSzJ9FD4gZa6fATzR9OgdpWF9+YBWDd2kKUJw728qNwQT1ApV/Wddwj T64AY0GG6HoinPJnxV7+73jw17w/RkCG9SFcxtZa/iMzWEjPiK3nixQNJiRsXfpaxQ3+9oigMjB8 xz2CcVeY8nGq1/XiEC6yQR/QvNvKtPbBXvl15/XhcPkMcmShAWCEknH7rK7fZ31bQbhZUVqu/9eM ia8SB/4aSJaxelA6hOMewicbnLFrfpQJ3nTOzaP6fFY8FNRlxAdHcjvU6aefBV4TwUc66FzARSHm AKHQ3xEHtdoSB4yOBppvZjzKoVOCm4QonfBCBS7SkOPHINRwTQMx8f9GKF3CRNMMSBekWi7MX85G Hk3nSnfgRSgSqJZjYitlLonjfAb8yyIL55keYA/1W9lIINK22+AUs5mErs5KDs/YxsG3UELJqYUm gsH2Ku6MHAfAbSjxXKuJ0XRR9RlheuqvbJgciDhn/TO4D5lFLos3lHQgj+oMkqJLGmDHv+8RDkQO llBCRX8xL03EvJ/P+NxLIZVt4uwlIe4Lq2p4en0nBa3zVaore48R4dqG+7GP6WxQ2QORAFe/TsXi +sTHfLIG1m+gxcgSwQRsvvGt/6GmhPxyDh0jmxOODWQs774MDVCf2D9cWN/fRdEEnWZGbkK1qn1+ FKkK+WhlSWEmJ4pzYnEaIkhJAJL/v3QTFAXplLuxP10OE1QmV7gxCg2sX6HFs8lR+fWgtuHosOYU kOsozbnxc1g0PcAbhyUurHDBN907v7FqgAA/tm2raeLj5L+VcZLNzgyypLO8CEuJIcnVA5xlXSjs fToO6XvV6cZL9Np6hNdHTAHq3tN+yaY2cogZHplfaSL6p/d2YkKX4LtzjXwDGw0wODGID/tDbzfQ IoUUx4KJAx1INkelQJdGjdEOxyOpZfA4beQhCPGfKo6k3ddROeCjrhufkqRzOr67gWPfp/Oql++U idg2Z9DY4/PfLmpWbEDWLJl6ionwJrIRyUj/RfbxGWtR5pmN7583Q0yYMiB7ueKFa5lZ8W/ERSR4 lsASSBeNg7iQun7TJWy13d2ZPQFBcj8YpswRZjwn4ACndI14V1PYfok/HvGUnHydwFkoGgTur8yt YckxCyROZILbGEu5E4qyyld6OHn0KVN0OWAV5Xpg47KP5YL7f6oO8pXz0nAhpsdOx1zHZrA8gCso zBeOy1aZgczNWJuIgVYdBd0LHQPlmC/JabOyyM29ToNoEhBF6KQpYKt7BN0zh4u5rQXh1bjYFRkC p8f7O5gC0WYERfUFapF9sQRkeHVF0ihYReRFsW2yIWBkI38iy3PKKrOsoUQOrbET6OvNjdmBPyjo oFLQ+SlMqLjJuiN/nkMRN5RldvuXqE/+SnqCHBh3MIlxJrFKLXJL2iANFeMifsR6Yfa1PccbfEL4 jRsWiz9k8CnjxMB0/yUT9qO4S5DggGGtx73EAa9QSEQPKlKVNyTUTPTTvDtj3P7CqwGhQLw9evMZ LPmxa4NOj7GSTsERZGZnwu1lUdAh+56O+zejtGUAe9ypkxZfBm8c+NqG4xeXNLXnksNEYgSyKkOJ 9uwNWcLh4ixSGHUn2aF82WClfS5DoICSJqvsuRWqGHv1sO4C66v1zG7xI3REvxcpJPPskcEBLxL5 ucmVajPFyqqpqTjIEENYyvDB/10Dli5BE+DgXGJ2FkZt6BU6Hl0g7U0RUTnAHlIFJLj/HeVrLWNe fK7MXbbEppZv0urqZNHwoSg1bitrjJjkwb7j8fIXDyk9syAqz9JCdqVJgZwL6aDkWbDOe7HksIva Ru5vJzuEhUT2YMW5DscJ6PADTkyxO1Du251i9OewpAUoWU+GIpdvg96mJlaKHVYp9piQGZ8dDPyf LzfZ31EacD03aCMzWK1GvZexekjDcR3mZ+4yM0Md62xt3ZRMASplgE7qwG2h1+20zHVW2g9JmLcL f+uVJ/lqbQdeHfGD4iFCJhiPRPdmvqANs/juCejTZ3dzyhG9iMT/f8zhxDtMz17fpy9X0dw5Uf7I MS0/vxQG3Selsw0etjGHm6HWg7zswjTU9BqSRLSegSWX56TvV3x9mnd2EAsytx0zJyKYWaiGlylO yhsxUXrOTZMCSqPIA1/viEdVbEnGVoGVbtuliNwCgpmQVFXlfrCon+HSwj8QJlSQtbPgP3YQiD0A Sq92oM7/Nei2NGQ+SUHDiZBPkdyUVtIpEMe75CHPCVRtYFmGiRkGHbYTDs1U+KVjfg/vuLXwnz+O Jawy3w1flVk8bCMvWdrX3m3toE91+gGJPvWTsa+zRHbFkksTgSWP20yMt51sGIjTWNlpXBR/P3wY d6GYpaM5EjKXJX3wm/fobRhmL2yuwnPPchWh15rw4YBX1lx+pn+MGGRKroqDTboSWpLoPNz5iJvR A4PkCTZ/MAhpodeJJhLHg70QiBQY7ebReNCtgF2KmA+DQUIV2RZoLqOoqDJGoNHiwA3SXJmupzdu EMmLUdLPR85TJaoBBEBC8zQNU597QzInw+YE7c4eA2975lf3tReQ71eY2JaQsG5vwxbFcHLCTgWE h7eNMkUBKCdWuJPfWbkkCS7bulfS4NduV5WjPA4NPoZcE4Y3Q6WHimxlQLB/OJktqGRq+wJvLJtD cLmxYARbF8LqpaIm4n94dTgjCqYenYWn0kBzj8vyigDhb4OgOnpKSubKvT4RWZmzvOHZos1pA1L4 zW1+QTdVypkdsQ8+KjQbjbOemLECgTMX6BFFrAkUtsYipvOJ0ZJgoS5BtDg2rD63HbC0/WQM3xpl y+WmgyP5prCGQUfAiyRGdN2Vje6GiixKfS+dAPCbx4n1miMPJQxHcv36jcG+fKBAtRWK1RYcQaXx l4cc8LNEtUxCHkvC4hhyhs8TmVishwGj3aWxZo9bhbZJeqKM6VW9zzB6TAXriBOGJC43pMC7RUBO /xCh1TFaYhCxc9siwn5hs1bmiBUW9B9hy7PBoAXvBRfufcDLgrA1WKc4PGKtOowzmcxNip7gy3Nr jkwhbH8ujsDAq8b60LRUDGvvqxpxEiD5AMO94V08JvqyAP5Ogr5GC3Rh29aIqDjUayPpMZXUuucW PyhWXii5tNEtCGvJ2GLq6wynL0ofcKEbmmAuu4pAtzQpsdH8kpuRu03AJAdoPULZQtWzE+Tzstiq 4O444GNNM649CN2hNWR7D92AM8jVA/tKL8lk66UbILyq996BYjc3yIIamMIaw0XklO1XtmeH26Ad HR8kXL4jwqm7lLSyHSqJ17NWWOo7k3Az/bdZHappuH7ODewi0rmtLxOk3+VGG+xwaVBZd+vQ/Ns8 S4zf5ew4heEzmKjqtA2YUKucYL8yHICqzqwGb7naxt46EE3tv6x9AjsGl833kulhyBzy0OyCod3+ 7evYIexGofhKJwWiSD24rNvfkfmhAm2cXrl+dpIKZpGvzpqEKMlmMkQ5qSBrYozru5I6nWWMov+K zwocnToZVa9SX8K556tabKoiv2doJ8b808+qGA82hf7JUKZuR8K+88tya2njBbsOYTjvOsUaHzFr lL9yA9T2veRVPse0gY2Gj3wItR8vONMZxSblMXlheaEhZ+ng48ZkGoduuuo23IzqLDOIvkhzXq3+ XJoqqHMA/2Xt54gk3AFcVoUzeuDdw8xAAFi36gZfvDYu12Uz8aI3vLhoI/KIGoYXIktCRKpeTQ8t 7ffc9tC6DcUfian9Pb0A/ocE7BFN9bcBlZgdfAH+j4RJ8R9MmBCfjie9K6GZSjktVoxaG97VXJqi hZzclxdE2cJuHmO0hMgR0fjryefVLkPCEhL3dWFcPoWucM3hUyqrQ/4e9yvxV/DrNAdnHMNN7mnB DOLP9KMDxGgQgn/uQNwFbgpN2kSrezM4DVrVGnRQ3SjbDNZF9EOkcBdRHehwxokJbLEOuVswqJhP OCHU+C7CogLBNGCCYbYgk2oY+djBulYEDnGB/bUNpDbM4iwteWTBgeNOlp0gxX/cHGl5QlRObnT5 tuszMh8FaogoqFgBAmTRL17zvNBQts7B4SE4qvsbonE6mnCYp6lLyi6gmFWsEYUkQlJkup4gA33T fV5mUEyw6C8hjeCG1/lcFD+RHUas1GRcyechOhSJGBYjCLJORuDy7R8WBSobEWI9uiAuzMxLa3fE CJBv3qgfnw41SuvbSHtMqyc7qgMC6Dlm6PA= `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/wr_status_flags_ss.vhd
19
23791
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block e5xVIDBGzQkhDoQ5sfeAF2q83P6A1Z/qsmlSYQJY5xTravGd4CV8IrniJyUa6zNomwm8ijfsSBDZ 3Cv5fk91Hw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JTncam9YaU88Ye5zsiMSZerKzQZ8ndV/jFOlVBJ2+1NMrth4ym5MZgOOJUn+hqDs7WawEc66qp7n dAXASYJYn+qFnCtyUAhIyvGYbamoaDWo5Ex6WN67wq/uxVFQHJyQE9mBWmFUuyQbfWAxdn0X8Ddd XBKhuVWHjadjfvTndGU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WysH5jibOCiuNoaEF/J6UEux/f9qwkqszrQvmOG1LAQguVnzJ7+cmZtEvDLaeM5SMkI/c6AvWtXW QAEuUSUqI7fc7s94OSdoy/EO2eWxzu/2PZr3+Vm/RDQkA2VgY92Mk7iTSAe4nvupzjwLJJp7MPFn W0Qp6hutV366SMmocbalqT6lFUEm3BdJRb/waOPaQXsiK/eXFOfDC+OkXBIeDSI4U6bTS5BbTI6J pFf7UmKKQ3+TO+1O/Q+2hW5WOgJzIUFjgYlL/k7HV9GLoiTkFeWQv9D4PmITDLLqEoJBQEH042D6 w9tSjJ90YaeXyJsQBc944KHiROaj7JIGL9ptSg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HfnNrIheX+bmcZCjcmnXLaiCn2W6T6H6Dp6dScskVGNGAylFhqrXsMMXHrPiUKf5LFkT6rGH4xNt DnPlwzwiCAkQpMo27mNuJmSmEL1NZn19+z1IhIkgUjJMK+DU6V8j1HJvLoBzdBKXeOfEsIha7CfH SYvgpUYxukUrvYeSdDM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FcdqosqcEEFjwfToDdg81IlS3kR13BUL9UoyGE7K0tYyJxwBRWvuEZwjlqyLvEdW74UEcoL322wG MsjKrbrYQdHQMnu0VAIvQRAp+YUu8ZY/Amts9d4uoKQ4ceZKPNKKjhA2gLCTZlClOnHdKjhfnFhg C4vFlIgGFFvgy7hYPvMYgUjBeujuUeMJVrfDQoBe2vY01NCaYs8PD38+MZrB1yBWXtoIH1Kudp5s 6rfzNC3iiU875HSyCH3s6Fgf+5qupOBLk1FOGYXDOgVB80WiCFsXlSgDSubN5g0HTJQJ5d2+rdH3 3+ADIpk9sqzMVdE2qp7yCA7kfUMNWwWOq2rtCw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15872) `protect data_block 4aK9nRWvVjlxIKF2x0qa9NV2t2mDrtthn+IbFT1fLWLDi9rczbae6bVONr1iJ6T4G8DETIVjBuY1 MnCmGcGz7CNbpq8u7J9aftYj2DQplUrwMmZQLI+iAsHWiuJzWU0b3FwfDqw32es7iPEO18SBbM11 AUYaZZkc5wmppvidg61k5iWmxF2/QEuB32yh+4rpuC8NxJdUc+fFl50TrjaknACqtyakfrSijiLr V0N52T4m43DQRqYCpbvhs+mSRfQ2URyq3jAPeEuK3E+VtkaEMSRPcQJRZ6Q74LSybWpA+/PHMipH IpvPOBIeGAeHqQ4qfRoR85Fvnj3xqr0rYAZs9/PEuPq3tAkWARp+CpULmmP/TsbRLDio6GgMjVl7 U0NgEoDGiYPf3wiQHNgNSmmD5UQVZwWB53EvOzwZ/RzPldIGz/wnsrQI5zJ7z+pteyjeG65/ckXJ Ics9LDsjNdVCW15zIvW30VXS2LZghDynNaUMe5cdPpUmLPmlo0/fXC0LEzISnh+vX01/mFVIDN9R LN1oXm2CMQfAHY/r3FOUqBfT3S5q3Pd9WiF6yfxA0pFeLxe/Nn+J61Pimb0i2tU4gNGNbIWqblVz qkVyDTDoXDQYktHBMBpOl/TisHiMqXxxML+/jXqqAeP/jYyWxewwtoDZMxbBkrQqyv59n3aRWFzn w+B44DiTyzPwvzmfUH8Vxxp5d/lENcT2D6LDIInJfZCTncF0uR1izDTomkfytyCG8UYmX3Jqo/yJ FewjGVcSzt24nErLjAq8w6Pp3euilgx9LiBCGt9bcTBDdlZJJmHTtd3CSQOkjzYZ7XkQpjFyuYia 2XuG6TM/mTIWpaNoQVVoVwpXQM4IQEVHtlwRaC9fHriMinq6z2bi1IgXcMH6Jc22hOz2O9o3XxRk ZZyhZF1Wn+fXHg6DYUj8UV8k4VzFO5cqbns+Gz03dHJpIkTMJGLL8fQqNbb+fJXwMqOv0EgV9dIR rkkFtsiWD1UlNN0ie0wQIdTKrarBvYB8TuAmRjGVBOOzNjszRI+cC1p9ZhFpRDi6uDzqHXfo7hKI 7guyj6kn1yBgE52TfQDoQ9dqZEPTPgSQ94AzyD9HX9MdYgRM3fS50E+5iXiL82TRQsXARVDs/+yQ 5fo20BSJr4jOiO36moIM080YyeIu0UeZFeVblsdWBLt9p3HmHzdpnRgB+NXiFB5Hla7DIH9mImd5 EYcCe8JVfLlfSIpTC0vFymFvDOEiy5qqFp0fJK7Rlo9x0bwFADHdN0OfNv81Ax04VcmKC2SwKwCw +UoDIEhCWUq5lVvA8ojO5GJkpJv3Q6DIvDlYBTK+TvOyJJ85ZRWck6ShdYBTKyGCyVCegiTY+bHq Uq4ARs6gGYQ0m6Gi6tUhGmA9rvuaDzD3F8MSttOMjpfGIEPiFNeoATT/drJQgacG3Y82B/UaGyQD oHwz03SrOPI7Tt27c93neen3xKkxnigJSc6q/OYLTzmxFgSUp8AVtyvqOX53PeGyc212H0K3sKeg ClsKXM5PxFpyEPRsGBtxb6oQ15DWX/RfCcrZOspcOSw1EJRD39X+0+hm+nWdwTwpqhXtn14z4L5W J4FbcclLMhXGlbpSsynMq/MnhEcb12sMxv6b8yTdTTk8CoPPc+MmkJXfMqjScYNmAkn5fYXn5Kbc DcXAwQtRmZ0jO2gWo2fgno/uJxPGb+fdtDwWXAb6A2R8Y3nWI3kcmtF4NBAoROImC4FE/eeQegD0 IYl3qFZ35wHfIMWFZ287DaHfHeN8tfK+UuLfcmjH3Au37F21bUuzSgYspUpqPPeO5ZuJeudiKkgV jqE3KlmbfkZZJZzOxPhFv2LqJZhrRGFYbkW+4O5ElcZd6babRC5y/+tTXut3vqsO3vN1S0nVPKh7 oq4ynJV+GYop64mBRIYFAz7RdM0i/Dn3tWB7aym6JEYrUkSmu6geUVAb9/PNisGIwwxR1HqhNE23 q8Y61H6SY3iMjFBOsitoteJLsh5M1Rgysaa/lWh4YnTFf2N2er2k4tJK/dynOqmJteWPmwBvp+9E kuLxYbN1km2qvRZtuBesiW6tI89Q+mXCbJacwlv1VShV8/VBBN69BWfM/PjAsDD1lc4TGefASP7r 4NGYxNCUQO/7x+9k8kOaxbs2U0f5m9lsRn/b9n5ZYY/tkwUvy6p3Wn2pz8iguNQGEoXM/Tt+JJH5 cdsDW4UnCDcAN6hMAiUPN4FYS5kUhfeTviG2b6dVfXMCKCnD8PBBv0meZb67xvHxuxwI4qg81IhX b7OvY2YjXQzuYrNtiinH92hRJXfFX4z1Dl5M6QPDsEkp59xTUxWHUFdr5r20Rn6JVWRqlGerZbN6 22mWO/z5gNPPZUJ+7SiX6B7IBe58BBW2Mvlw4PEHK/zlIOn2sjoIlitiA3tL4upIKpMvlB+r/7mZ FWXeT1dbZLXCvtLNPTR9T/PnxMT/0ikRRHRCmqI0r0WDIV8N8k6NQYyEgqTcqVKG9P6pgVr/9b0B 4CY0LrXyVhFDzYYeIhIjWbqDYzbhu6wFEDmiZpdymniCsdZ8St2/DePgruxbYboH31Es90mQTKpC 0EhJ7V4b1M4+vAox6MOFFCfp9OWFNkUZcmUmYZb1l0NdGIBVz3CcDgnvD1lVeZcvwW9/ACQLJRxz wSU62KvnojiWEVHPanl5Ar1dx4Jrk9HqYMEAyXHLLdLPSKHHq8yceyeDK9FqpjGj+pdbHCv1hfMx max1sg+FTCRnyoVHWgd6NJaz7QA8T9jioTrGILX/EHMEySm4OQaw2GFt1vYLn/Alr+MGsw7D0bcz qjOhkQ0QeBsgtz0z/Z2mAvl+1Gn7Hj9sulOo/ejH8EQf50K2Ng8qOYjmOv6H7rRw9AEeizg6IQgn Z+5ccR5y8bgN3RA9UMShjPf85YYYwb2Ccr5E7tU0o3majPpyGwy2L6371mHcWNimqqOCy8YtJZGu 6jnMBdhlRBq2jNh3rxA2RY2U8MXYjaO8oeY2opVfbAFVehLIKVtlsBzLUt+CYwkmaxAtL5bOcEZY nCSluBG7pEozMLKkN3vslg3caJIyoEuBi2SgCKWuRWvaEOc7BPB0H6pz+IxON0dUYnA3s8RdM9X3 VLbSl/AAxkbG9LF+cpYHUCBUo5euUKvIkV2CBeMKZOQl/0qzH4TmQHYW6yogDxfBSJfDTCqViq5c nBFQ1GEJ2URhPaRojbDIY34r5pxIDHGQYw+2WkkYE6bDdR+O0Lc9AkjiqwlihNVlg23KtJuQgG3X xpuImBIiNG2kJM7c2vG31/Z+31sXKrz6FE6FrwMDcodqbdY6oU9fbWsFQ+XHn1rs/tb0XlEAEK6z +xW2icoEmZQlzNuYYL7d0ssnKCpuBXYMzIXbTl1zDxa1ankJSFTQAPvfOdOYzUJtuAcXtKBCTXjT LsvcxEVB5dKcp3Nx4L6U/EUg4+ZghKZN6J4rGRf1k22oogZ7nPNG18z0bkUiiEiWpgLEtR61vMxI T8Fgq5qu3NA8HTaTTqCOYLyaKntLXfABi7XvYOcIo2wYD/GlONQaz26/Sm5TqXBV1vxYvvHrU0/8 RQ0/gVfeIXMZXNgHVuMLukhMyUHFkJdRnijEnmishDmFSD0P9ArB9F4BosswXbdnzmpdAeuU+JtZ WATDPT486Gs42vYYw81mZp+NYBoHob08pQ+dzkzbJk/DRD0BX72a0YnVkJfw3cq2i5TM0UZupnex s157b8O5mtjrO8/LTW/5zta1PLPzBOBVJV9ESr42LX83BCIRZKWsYdd+Cm89m50XnTz0NRtDbwST /RxJRs+BB9R7IYmhi0Y4C6O489uTTn5tMGkp1VMR6Ui0DneZqsFEq4WIjUG4QSu46E+RhvyJSxAS YtR+8ma4XB/DpGVAQ+gb986Y+qd7XrwqS+mPoODY+Rh1qdDH+2lUjKuSZKpwMNjNqttGOp4YOakW ljaORRukAoRtUisWF5j8YI3iimeLOUDWMXnMk9iocRdxSE590Q1Kt1rtbfAAmp9FnlulTW7BvLaW tw17FMcSPHaLLx2+1on9+hEPk6IUtln6x6w4YKCKwpcaEiWkZ5d1aa1f3HCze9yWmwplVif4J/AX bEdqWx58PIZHzBztJFFgrDazoeDolFskyXDFHALZbxd7mSAU2BuWPf++MBvYxBEigRFcvjefJQRU SO3aHva1SoMv3nrsTaM4ksao1+NkQEnpPNVnkxNOm/OuUHmXCiGeLBvlJLRODV9VWvVF8BjxmCtS WSbtW0YUV93FMmNIoOsANILkbU0bcgZfI5lS6UQEeFXz19i0ag2O5UP1zeE1bkKgAQPrbTsf0OcK WYNtGySUizRLtqRcpiUowj9bW5sLe1LeD7fSmZHJh6WBOCUY5c1SBGidBlav1E4t+v7SdfOkMK0g RrZSyGF8UGB0Ug58s9n6JidGuwqxHUvEuYgzkfJZXVbX/CT9gg3lGIuTJ5qPSOtb72p1Kr9sfZkN gtPuQZGA2V6p/HOKNSgIzhbLwn+hUV4kQ6ZbY53FanDkiOVIq9hgagMzTwJpB7a6jrZDWmiv5a2E hnx5p13b0s0UedCKAjwqG1CMJAYRhQtRcjzzXyXZZ0R8NJJJhWBpxHhbJ0ZHKKs7+4Uu3/oa6Gm4 0MQlDJADP5UHADUTKxF6MDly8Jh6ooD9I7I29mhuUYDpSeEsxP8Hw7sMAtaeXmXfo++BvLN7MWjf iwPvX0HMtI/ZqV3ovcoYzBlss0IKmcgc/LK+VTuutvIYeLwoa1g9pNnJ6kierGxqdjkDwmzwSX1D WjmXWqAHid+x6cMM0u320yJ+f6aBGrVKsjRXBFHyR0JYGBAb9FJsLx+ntkIgxGyzy+McqxuRIvFd U14rXLF/cqixTaJXjJHGyiZGw4LI32NpEYNQ3LBHk2nac7lmBnv6P0A/haIDnonr+ggSeowiPA0v zKEVy/Anv36fGp4BZBbygfc6F0m2UvHyxwx6q0y3l9BL7wto4GPl3qm5pePZNjbmPYPFug/Bic3G chLmrdj5wS0Kf8o3e0Rgti3hdC4rFcRPRyGdfzQnvR2Hu29oOXefQh/6G1p/dH3F9eaOCRYm1T+b HtDsOHLCkAlxpZCFbqYE49aefbddD7rVPNDZh4KBfJh9kGXZywEwKU8URlQYmJH2onBdV07Gtt74 +2DjwPrq1AXsWpXjQOdP3zkY4K0VYiZiK5aMC+GrzTTrC923SYj8gbZSCz432GzXI3MsV1oG7CWY fSdSKof8+1s5pmVqYiMoYKnZfQnyBoSYWk5LmGCHeR1VBwI8Zv69+oD94zl3Cuc5u8FdnYp4Aq+j zSnGWeKNJWm/XZiWgoAlutrPkRhHiNa3FJOnpXi9Vd6O5qoXyCkIgdmwAaqMf96KzyBKlo29JakM /BPTE0WVrqCtHSSwtQCTRLjHp869XsvvKBBlF0BGcXSyhX5hSGYvNl0IWmZNQR8c3KiNVGvHTutS 1J1hBZrk9cyWRwI/San3ILnoyn2hsSE74hbJnr8+Rp7yzpno1F7vSSeo5FKj+XBOwYde8cL7nLxH XTKH6CL9vFIIvmENyz2+hYPGCjapTwcmhD0vh8kXORle1c1cjRDCa3rDoRI4Y4bdvybl3KO5DB42 HqZoPKcUlh6+WU/YSnomHU3PX6UhXlt7AnRVaKa3BupudGRbGOtndayz+RYZKS8/xKkMxmcMaRXZ 09DDGfyzQ3X2Jl4ts8WMVPnIs/UVVv9qMtS44XHWe466ETYMFlIVkZ1ZpcCPDFdYi8DE4cbEruiK t5F7nKi/In7prv9QfGho2D0srIxfWfKV06o+5uenw+wcZ0hi1G2KzWaulmUvRe7lVgn4I4E/U08C dNnxH4TA8OPcL4PCu8jMkTuS9GYfMz3J8Kx6y9zSxHSgpIcviV9r1WtlOnHhvR3mispuAru3PRqM YX1KCXTmNqONqeJPt7ZSrjz/oXwTH/YBY8ZNZy0V+qHRQM5ILccZBbd/0neFm3QRNmluVHm1CBr2 tuKpXs7HeYJCJi9bvEFcfXuwa39WHftKOxc2l/DONbEXVqviMt/pQvnb4ASDLb/oDd0PKEDFqix1 QcIkNR6SrZdBKwgYOfhispak/mscviRMBRVu1qDXuhorCZkEpUpdUGo3Js5Og53SJGcCb+nvHnuO tSX+BbWKzGvUvIc56yAgELDeQpF8hkuqEtw4XXvsOCIGW0JmwgpJiC6GOMl2jngJd280tTQuTGd3 uL2vLQD14Q0ywqQ+IIJRmRmirtZAcgE5d44MmD3RCFaEYCLvqM0aBTzKb3KupiAklIB2xPsHdnSh 0U6fodMzCVKZ4PhP/1lmgbpV1Mx2eIGk7Y5tNHI1EJgCtXnem78ewL/8N1tcDw3Hg7oi63ud8uY7 Fv1aW9NByjBWbZKHa1lLOKI7xgNjkfJLFY/Gj9cCqOPUF4xnTHS7/92C2T41FqRHan7TEw10Qp0t Uz8cbmZYy9rQSMZFLjkmKAb1D/DTB1+vC6qzAMB4RVOS5x93reznheRGxV/ZgJUtH/JtPTxmMjdM uKIPVpbe4y5hbbAWChzuMVS+ujtarFSHsIhyFHbTUf65+GlUwJaE2Dr2/3wk2j/Dlocopva5PvHt FJRWDxYsC7SY8mJXXZ55C8dFJE0jk1WVExUZEO84uSuB4+POFuvyCrzVQvc0wGu8Ir0VGq5MlXFp 4sBlYcn6ztA7zSFLk6UztfhI3UIQLIcbCvXOx4m5M98gVj1b0cy5tn6kZCNF9PaZ6bgUaD8llowC OpDeBwsdp8ut18mv9fxOBFgkaln9NBA7n2sHmbLIdKpsmvx9ecKrrhPgbnhSbic+eQXrwecnRhAF yUQbkkd/5d9mmRCzgdhXocyVy2NHizd5zsX2ShX4via0dVKQbmwakXxHkAwPYUPCqoPV8dUW8hKJ 9yiQ4yRxBSWGc7MlcqeXp+q2Nu6PXjuhi6IEE5PxkfsADNXnxdtYtmS1HtatmahwLX6ETSHlpyWN 0mdEEkMkObh15e2XkQpF5+Ge67cjMROeXRpW9x7QgqbesbO2KyZduaLPvpWs0g4uI811Xft1RVM7 haz1B8/vU16ZodJspc/8tn+oxyIip98/1M8pXNL3nfxszujQBcjn6aQyySCZ7c34mTuYuRztgU+N 981ppS/2iJ0g6Jt7KKxdRjlULrQNadR9bdbXO7QPkX/7g0L8IJ4Iku9ingOqwOkOI813pjUvGwUc 0aKdqNjnjwaVxoO8SFfRYPHz2dJi2IZZgkeKguxpL9HxYACdvFkwuvPR2lNLPAXB2ghJ2y5FAXP5 X7wHELeyIiZUiAYnlp+66Ea4sQJR2S4N0EZC39PriZEU8BZrjhlboH5/Pu0jGWcd7T/w4n761BWm H9sDA72W9Tb68j1aDYCPP/ABG6qbVCbra9Ot+Z4OK1nlA78+5FvJ3tIJQAnZzgCEvdL5ZKHZbV9y 5ydYegQjw0r2Zq7RaAMDUas95D+n5m2Oez2iJ01CoM0BXxZeLeTvE/cZP6sNzKo4t6hQ3irPsjDg yQblBhrTBg/CZhdKTRrnlWE/sdCr/mqNgUJ/TvyJzXE35cQw8KiR6twnBJZnaXElgC1MjSN2R7XW GN20U+EBrv+xFfjqb9UkPVE+Iv6CQR8W6ScFzXMKxKd+ZrQwiKjNL0fSTNf9I/ME119CHOzmPo6p KHo9wWf5PcYSwD7/vzJOebDTbqEof71cHlTV6QdoixOpybgJGI0mgrMfijnfCPGBkeNONNSqHEqb GKlkETYKSYr5zY/aJRDHTufqtq0UPMLPr6UNG1SfLkD3XRVaMhmbpgOnInNFFrGBVDemvgAOOM5h AQQqmXhZeYezksv0fYS4KUvt9ZiXRdNGYqTgZyfXOVi/gqdWwHybuq+6R0iVXxUJqntCNJ/W9NdR uTHPXtZa/ErRtH8GpCOnVTZx8rNDT3XSFsvJNlX3DKh4ayUQI2KChkOhpwm3Z2CcVTHNhiGjnHrJ ny6daaMZEX7XTP+Yiqj3m4h0m6Xo1l8eUv3TaY5A+LG7pZCsGVfCBfs4eF4pgThxoqNum6eMBX57 20gO5GIXHKbRnPQhufnb1aFJW4ukhxGl4CRZ2znum1mAQENqBpdfDq5wTJSC6b08Mmsl2dKugMtd IDlLLPdoMk5D2KlHD0GQefeCzOza3oOW1HUsjXLrW8ugVQOnn+uGJbiqE0jeTeUbT3nfQZpiVTYQ uNG8icfGXyabB13+Vi4kuA25gocLA2c99Cs3Et5G0n4gahbUo8nmuOmqvxXJK6uh6EBwlLn7u86D 9NtecIckktttuRSYppMZmUfzAfv4/ruxyVpu4AG1HVY3hp+Nh0Rbg0wbKIR0YbtGosZKAfL3RnL7 jT7cCRCTtW5AuknyPeBNN3w7ZvUKcySUb58gJTdmMD5c4ev27oQQm335yb0zVc4fs2IQVJK8YDdB EUgmjzMKwo6Rgu6/yNJ44HEmODuAXp871awWsoLtYCO/FfmbppfBVX/45rFlDzBzc89Ql8j7HAVc 1KnvLS82qRdjFZfpC8JNSWEi9iYsWqWX23L5souT8Dccnv58yrkj3BO8DO5hPQcMvedIVQfdqgex HNfI/OY+Z39vcvoCBNWyw8Gxj718s0WJEruxcdgNdrVTBza3MZllB2EyrP7HQ+F2OD72ffmznlQ1 mME8pEzedEfHlJu7zo69xPRGvaKG+9z9MQs4gE1deewywBEBABRixYzVRVkhm4rWmCiP4wpqMope mca19hg/42MiiOkuYxe3yXmBiCNdgvL35TtVYqIKfJqDKJ+JN4selk9EEGcAaiODoeXjl96RXOBw EP7E9LuNvQbTcVkTZTW0glaJbTN0Cq1rRevuZT4Op3qQ1k+c2T7tiYoTb85P0uav7l7uUKdoIarz ellcV7FiL3Aa6t+dQMf/sZb1tGVlgrp7euu2vshmQE3XJYG3pb+ue9L8RE568LLt4J4CjR0SmDWk IqXnp2AkA+xPpjSMDtLZxd18sApGI1Fyk8fasfUXOPCXXxZnS09ImK8w9bxqm1sYIfZdLDjPBWnh zKBolZuc2PDzv3MU0x4dkucwYunAKS5OpxTESr36fGG1935x4/aQgTIoGS5usfXjEo9gMvzjE2Iw jlZrqXFsBoU4MpaJlNHBe9Z9SCBnPMnxPdoVyMezUIfkmN+2WPtPl8yP7vtt5WAZOMQ1hIHFwUle +eqE26AB4tcXU3oKYTQwErt2PxSMrw9MIzaR11G61KR38W2iXIjFXHWwsTs2DIkj9MVJ6nLclhvC vkUM4cbXOe51eYgObwBOamtCb6mVgy7YJKn20WABL3KVYoUg+xPiuwU+dmc/dRCNEoNgY4oMJ4FE y39LwQMS1iJ9X0K3Jot+PDECe0QtBkVWpkxD/0Nr+esN95Pqer5x1vkyYzwfy5MyDaiYPLXpkFzA sa7gCKddRmAxmY+8Bqrzvx5BT/8y8FfyqrNjLw0E52kg1AZAWhMHyGJWpo8W1mxCgQMkIpmTjT4y 6HttKexCbX3f2/shojiPMRTlvx/OaA0FY/4EGaCJyaxkw0PxpKitnOgOjIGhIWiYngxiGJXrg77F 5gJ9AeNFRCUnSFK5SII/GNfDGG2kUi0ERy/HihtpOsIpMO7dD28d04nHNWjiZgGqcGSLeP/xp9qj R8M/i2A5DjQ21vdU/udf6O8sCBDbwaEBEG4VOYNez2TaqM71zCsR2frRSFvEYah3CtaywxjE635H pVrgFjoWpgbo/ECsazanpJLX3iy+DoRD+2GXnPZlOSBPSzb9RWawZ6yH8jcSG7zDKynjIgEyaxPB RcAZUkVWKxve9kyLykSiBMA1yv30TZVG48QEuMpU3TQTrczHbSjDlFVbaziGbZsJtKFdwyA4wyH3 hYk3giJFqM58THA4FVK+V/WZmZ60cDhvi9Bod12vIOc662pKb0InZPYeU/i+XJMUnfNdG3E5xRPh bTnStzc2fWu6F99XIq1USWMhRvj2AzYRwHaRQVcu2NLebnf8L5CU3zj7pcg2O8Hu45X6qRv7YW/Z x7Sd/WXzKYCmnmXd0Hb6n8JCv4K+cgYbcdCAJIVoDWCDvXlizj9NlFwXEBTauXKHhBSx9mGmN5oM HLhjue6aQdoZsfTirO42vvHLKrdxeE/Up1oM2T4dy+1OlVLaunvr2fl81EO/Fvu+ulWuXokk5uwr EnZFcnAN5c0WssPYnbn2bSlUb2mGtc9M3Uvl3+8uo+7OcBZZJtyPnyZS9/Ai9C6syAHqor2ZMDXP VwFZMRUlCif61os7ALddps51YDHcKc+ExUoyffrZ5fjJiRkZhM+oqiopH3ICuoz2bK+7n3dtzo1P mqEMOzAvbmkDzBIDvg55S1f6c9/bjjFsRwi7HZreGYDw2dF7xtEOCorzR77Qx2B4h1Cu431cg8Sf /X+y0dj+og8xVj9/8u4O/2VwV3unmAlCgGBwjy/IpR4Q0RgNvok1WK+vVDqxVybVLEwSvwQcOpMi THU+UgAFgPNN2BLAy6MQzyeJt6ALYRXmsp/Z28kLqd8j8BoDwZ9d+hvXZBX/x/XDI9xBFPAQhDmn /RlU8jWBlpYM/t9S/2vjWCoooc1y2Oa+WkzNTLIK5dhiAx8evwhDbB4pKAECx+OZuBza/uyYJbbw 9O2iSpFS3ZNIbNsqlttqgpFm9Q3gW2Mv2PK5Q3O6eIaEOeeabl3m1DMBZqhsBeS9XmIzNs3//uxl kjSIdVscSLDqnHLoNBkIccMpNra1zPknY4FRxkZlruEsYWL6I7AlGEK6xn1IigpvzI+ctyPKdzEh 5B3hxWBbtDNOoJt+qKcuS0ANzKuBOuvPdtvJE/Y45Z2sbdKi9PTJspBHu3pPTJ1l0N0X1SVc16hk rU7l9A4x8AMncqTYCKijZcbwCjXH0ro0D5gi8pOaHXfIrxtkbCoO5nBp3z4cTbjsqIT51AaNN42U KwMWTlWiKjminvKEpmoqQ2KEj4PrTScaF/S52GL9a9E1prc0DBsxYcLRSF3UM4AoKB8v2ajkAx86 wX58YQpga/QPb/qEa6AHCeVxaYWPMUAUsBysHeOQ/H3fXNyGh2bvV6/w8DKcXZqnv7ojHFk+sl76 gMMrQ8iAn3DlkeInXMXt0/qc06Pp1sHSz2w2D67WLlBpGjsTCMixGFpP986ogkvXyyY9Z0CfH1UI nZMBbv/8x+Q1UwFIIsxWviLszDYqbYXo+tCT/iNlPaA1kKn1cCCwhd3NmyR+nrljjKPe8y8O95+Y hyhYskAPiE2c9y8uOyM0mGFnIIq0Atp15oOHunCnQKVzSjIr4UkgzGJgLT6ix8aWaLM0ENglYli6 1Go3RC08m8FNg7pVF41Oxr1PC90Qc677fcso5zSFb6cJ8YtOc6fJukVKdIp+jgy9QUdIxwvuB6eL 6ZQ3MenqeMjzJp7ldxj645/NRAxvNoEYljvTD2sZlhgBhDfKRqTqv3D5Ueta51/ujBxiz/DHtNAi NqdVXXxDCNBwrXmuhOu05jx4h+3JLYLeemWI8mDsbOUIxIPE3b/+Mfox6+6574BqzdCgHonrL9Yw QQWT9qtyjuQNa2vX+QoNC4RMudJIX2jtCQdQwAGQ3mGrjoukG/bP5ublze+UTeaS14KmsRiT5gRg Jcwru+qllHkMq7xJU0737NcT/gERA2kIsTXjIFQD4aHYhUqu4DhpQa/TaWQVM83fCeo5rPsEPFp6 33aDxnvrIa2Q5/o0EH3ai5m4KiEyTd+MltNIB/a8lU+3UDPG+ISesHmsipcbtsQmi7CyisHnlioP Qa96MQ1SPGqDYncMZ8gt1xGa5pv8rpZd8O5D0UiAiF1nwBLGFGVyINxJ/ekPw7hn0C5Ienue8q3H b3mpMRCNQIdmY/y6ruHJjIL6XWYr9DJD/vdlVuWBuHA//87pggVA4MTYfmzXXHqJgc6CCf/CvVtF S1lYqsgAkYy0M9Hyax46K5rDFlntypdir5L11k0t1oQGnRjVn+H7nmcbVf6gCIS8PRR8FekRwVTQ g0EurL6ShBozppW3N8pLlO/AlKVglPnG3TPV5sUqBehXb+S1q5MTWQuC5/czdEq3LDrzjSAndxwd PfeDCejZScO05FH/Ce/oveA2CSUyjkRyU0/DUQNIqucQn0bfKQwNlPZSZXuYYwAr7M3KNi4BnDhk eS4X//kxYtFTup6jctfq61EnPZk71kDN+ORi5XhL2y+HdGZuKGOkQgpI+l8qLbpn8R+k+K+PCz99 GiOtB6D4S0qDSubF15IB+BZiVWABaKw4QnW1NtF0yZwm+5cGN5ldtBCNmBwjeCjnAgr1Rh4mlsTP 211zDqI9Y9jJfC1jsijJFu8kPOEO0aBj6avPWy80DrXK7421OiQSt0i+wVZb/uiPnk4grika1Flt VX6G50S2y4PD5kOhO5hJChn+a2CZVCymU1BSV9rJ4PKJ1VZZagDe7gDjJRfyLUGt7+V1M7DcD5oD R+OC2QImtkHSGV9Nr2WnIKocCo+lMW03HE2HIEVX8vYBe9bZsuVNeJcmtVnOb17NjfZUzcukV6yW qN4iUJEcrZ1EN58wDvLHU4D260xXWHqYaG56/SnKlRV2/uQz8CRwiE5xCJSzdNB0aa52wdzimtCR lW+cZk/I/8xwK5Td7H75PgQvD8lo7hxb86V4J8N/ePzK8PLR7rD32yA5djEIN2MBuUeuobmWabNA IumojO0PdmA5NFjn7gdKzcEsQlKjjr/kjZ5kTMVCRJpcb/kSUEfrLeuIVnHvA9Lry34eEYce3U0B ae0XNV+Ao3EcpYY+ZdMya79ZBSkq0l2bFYNGPeSFQCtSED4bQo9keDtgYzGMOXUUKDXIFqjoMFDU rMZv+de4iygT6/0HACcUJiHbTX7JGs1xaGeviAntMwIdJ4FfoP6EWDMyh0RyEx4noc330sb+wER2 8UuJnkBP7K5LbEHKN8j6DJnpXx/v/v2CsaG3hDOJdxzR0svQI4Jo2BehF9p0qkoqz0zzAq+FwhyW Qy00cX7K76JdugJoGjwfrCIo7Q8ONb7tjpFpYW7T4Q4jAC5vidzwKO2CmFqQkWDqzn89NUJ4DldF XWC6TOsvCE2iasz2FHkdeaiyVUDSx54ZLb6fCiz7nKhkkFlZnYV+ZT/FlaOC8G/wjYWaY4jkgmlR DVXmp0HE0JUfatxIKTPwi+mpORBSfyPXMZgxebpmR4o8IN1EmvZHvRSjO0sv8YqvP/3lURGhDNOn XeXZFsT3k9tKC+4a1uZTDKHnrTTxieJkqXkeKLrER5aPAQaQtwudC3VBea1oq7a3DeqV46aT3IOx hBvvQzO5EcJ6xofWZ/OTgpnI3IHnF1A9rcT+FbQwISSOfOiyKomQgGlC6Qq6EUZ0WIFaaK+mMrbU Roz/kexZGdY9exSAF0JZreZwG0++1v3kPquIsZtjj3L6/vch3BUIpSDbphQQP3z3pYuQnulaVRO4 LeEpzHE3Gw6XpIbTwFmGPyQrY8rpOnvweIqAJLBwsqdWmWgxWINpIBQZEPK/uWzIHqEmCeCIZFfT x2dkD94YNaWqDdkZ+S6OMjZHzs+AV7iJaNHlU+dlQ26phEaYyf3OuIMkV8YbsYtO4ekXPmYr0Xjb JYVEP65jiJUgoTMPIY16RCAXugUGaTUgu/3fJbAlZs797ztl52naxrz9cJAiVXruU4Plx43aX3Xz GnrZtc78vQgxkq8jmuoaPbZqZ49Bm20qp6/ZZFPXmnXjveXP7h8cSlntZG/sRJkWJG12T1rwCOFm 3XwR4S6OpvS5rUhtiyqwwgR3vYl5KHGgC1gFS7yeZOb9ho8iyIboaW0tOz+OZ+2YRhZbZ8zp82ry go+jd3iz4gTMtVwS0VdHBo1w1+OJhE6R/naeUoBBCLPscAmvQQw90GayCVdYzoTZAKJCRnx5FkEn jPza28ybMTjrp5POPEsyj+ceqTG+YKIAq8uwHzDRfLXlHQxjzVSs3HwTmSbNmuFXv2BepePoruQw Zxk5osw2YjEO7ctAzU6z6lhpz5IOIfgIlveNQC6sWKgb8OQINcKsowq4R0nS8kqItZt2aLos7SIJ EBqGbg8yfFESpRCMn0ljBuNQ5B7JotMXa52WhG1JXksfVuJv2pNid4cmNmhHALXSVf7mNmKbplXE OpIOalpFsPC6UVLGTt20g+jFvKdPNZaEDm3gjR0L3VSONp0xBQyho1/Pr9tweZCmUGlDWGoE88YO HbyFvGBmDem8lQuXbGJuzBLisUGtQPEMPT4t8tTgrDhQLYkj4Sj/aGbHfasakj137heCGfKSXbX6 dzlu7mzKuKOvu927L16umBogU7bWSY7Uhg3pTQoRpcHBn6LoHegKab9nup+/raZ80hSbJ+bu1SEY drCvHaz1a4heCY/i2TR0YGtDOYGcStO+Y1Zbpyu2L+ETZO0Ho72jGrZnPepFFy30IJcrbRwZRtBh U3hWGplKgoAZHT+X2rZwm1SyeijqpApaMTtUk3o2ndnwOuQ9G3RWLP624akw/j6UerUtJyKlhFf1 F79x9B7g8/wui7bfKhrCpLMZX0/zJHKlllb465vja+Addh8c7Qg4dCWSbetGmAGNvNrQotDEamyO cXylWUyz3UABqJ3tI4kOy6NIvI5CDPLlr4OyS64VlKHeAT0V7NwICoYDc6GQskVSRRxzFzfmbxJ4 EqK+3Ek0c0GamslgY/EzarWxDbhfAa/Qjf9gRn73kmKCWRxx+XXRCnLjBML8V+0t+eq8FVOXJ2jH hmIS9jiMqj1IL3lt8+xVrrCkUMW23kqWW59ndyt2BoZIh+PdckFOpFWJPq5worz5dIwrd8SqcHVm 8HZGLcPlS9baDQ1XTqkVo3fjpI1lIdJzz3yhRvOR/75tVKj0BfrU1RX8PTECZOG1nRCkNrTM102c flaZlp3P8tY2BwU3snLV8IyTFXBB8q3lDa+rB6AkhSjQIKRZTSKooZs2aSQ6eCRwquxPQntfSMj6 8LeX9OpuQcbtbpOkfyHlBHEJvzrjTogsxoViEUkNO1DJurbcCI+T4gs5kN/jP6YirSMckW3Qm5T4 Kla6towUOaP+7kkQTaL/WGLFKajl1znUGF/InJAsmFeluvY2et3VlyPaFfDpbEkzK7s/Q3Au6QVf EOj30zb51KsFclGzoryZDi1T3lVvG/UveeqQPCXPQU4jq9sK2kZVvTgjOH3isvx1vSutOzXGrW/Y fv6av4uwMvsQjXGwG4SXdJW+62AqWUxAAgi0JWBBOuYZyJHz5KqOLQyBDAFRkTftVjtHIqoRLtWY TGzYJTc+n5KBQP/NQ0AbolbyfxCyj8XTtVyly5UMjsqMOe1+MUdAmPi9IRQw2XZ5iIzDyaLZjqaV Pln/c6Z5Cl64PS5kJwwXcyWz2oAnqq9g2yCtsWUMdmqhEeNKlybB38FdEOy1XBDqYT1FWQo8/u4x s9cZ9txblxRA+lXG59Z0KI941eef++XnKPjN2ZOgdVS8gydYaQjJl+1jT+n1Tsx1CrDjUUPfLrte xwEOXZ87qF8nTTaK2+ABEEmh23W1mqcZI7wqnb9Mbc/9CTQ3mhdedNlf8TQh7r8JP4sXQpGhqFV3 oPeHdaGzD+HSSpYT4+3F1HxRBGl6xw70c4mEtGGdflkMd3SDd9AlbQkNDK6njUlJD+QV7BOEpJyT wbsZn/+KM9jSH5xQtIjotXvdHGCx8YfKHqYJDmmntG0F0F85cBVdc08bxlmjxpL8vjdyxTrnoHg2 W6bMgNuRMtxLPknEr/wDRH8QZDmK951bUiX2IIqaP2f7VLN6/oS2vGqe1DJNtPaiOy7dGI9TerxV S3DCr5SQmUmvz/KHfMpqYdu2VScZqsh9aAxkvXvBwqg8XSczukWg5RnguRmEnAi7PdrbWO05qs/J /5vnZMqdMlVN4HCFfSPHzA7/b5REquQmajz7XeYwnuufD5VM2u8qPdt4/kZuMfYVxiV1F7eD+gW+ BXIQxi3iVr/NBD9mAgv+clr8fG9Y0dAlOzqvj/Q/OqoggPs4wo09yxGjREMegxRi4nFS/iYlb8qG rYdkQucL8foh8/CY+8QH84Df/YII8vlouQ8ij2rHeK58nCJ897/TYlEGTuV6hTZ1qERwgeIXJQ6C 8Di4j/wt8C3b2j64SF8yHeO8JPHtd3fG94lEoHKSQPF1Hu0eAnTedmT4etFUE2bfnsQpY9ZsEOCB IJJtiPJGJGSIr4BMRbzmZtg5qeMpbBmri6QUUNHvnjaAtANWKuZkBOPL0SwqsKPq0783VOu0KAZJ rJMt2A5wEEyk+td5LQ53F+rzJznjadYcBMhAnW+ikrqIoWcv/e7zjWKxLOZrPAdqJw5aLZ03iu+y FEFXb8KZ/jjUd81fb8qbPPjtGgRWf3BjhyfapT5gb8CsAIllCwATwHEEw4F0YyUj9OdGpP6WHLaS w/gRYiwE0Ay/pyJwL9/td72iVoOYsbH2PjbCa5+BVPHK9xjW4l6piDpDmBFORIgapfAi+Q9jNe+F ZcWOx+e4AdJ84n6FlX38zkJCOndiY1McUQSzIY8YELMYfSZwGugBUuIVRoeT9Dwbt8HiUbypPVcD 37tIt4c7c2yGpi101MKG4OJ0n0HrAhrhbHBgSXQgWuv1HMi3VcmW4Zihk+qbWWyK3v+aqaLzzDJD WLc4YHpCLVv6WwRrVtxVTw+h4swpA45ObaOtTAB3LCiPIlU6u/rdjNF+UOvPkmaUZAVHgcBdX7eq iA7B33orJb8++w+AYdXxKAAUd/L56T9PxeTr3DqD9b2ffkL85xPsyOZRA1U1mlofY4J4dR6YPHmE BP6qQrTOzEa8qfLs3wFvUMKzU3Q6tAoWd2Kcm5lfdEanPoFBiycznZCSNetD4sZYg/5CvgERlHRv p5dbUL6FHwx1KSWYDQmA8Sh+6QLZJ8IohF6bayNcwEOu1WZj0ursCUF9QJbqv3A47h7lOn3Yi333 v0jaXanHo2UlSYUfnEY98KSzJ9FD4gZa6fATzR9OgdpWF9+YBWDd2kKUJw728qNwQT1ApV/Wddwj T64AY0GG6HoinPJnxV7+73jw17w/RkCG9SFcxtZa/iMzWEjPiK3nixQNJiRsXfpaxQ3+9oigMjB8 xz2CcVeY8nGq1/XiEC6yQR/QvNvKtPbBXvl15/XhcPkMcmShAWCEknH7rK7fZ31bQbhZUVqu/9eM ia8SB/4aSJaxelA6hOMewicbnLFrfpQJ3nTOzaP6fFY8FNRlxAdHcjvU6aefBV4TwUc66FzARSHm AKHQ3xEHtdoSB4yOBppvZjzKoVOCm4QonfBCBS7SkOPHINRwTQMx8f9GKF3CRNMMSBekWi7MX85G Hk3nSnfgRSgSqJZjYitlLonjfAb8yyIL55keYA/1W9lIINK22+AUs5mErs5KDs/YxsG3UELJqYUm gsH2Ku6MHAfAbSjxXKuJ0XRR9RlheuqvbJgciDhn/TO4D5lFLos3lHQgj+oMkqJLGmDHv+8RDkQO llBCRX8xL03EvJ/P+NxLIZVt4uwlIe4Lq2p4en0nBa3zVaore48R4dqG+7GP6WxQ2QORAFe/TsXi +sTHfLIG1m+gxcgSwQRsvvGt/6GmhPxyDh0jmxOODWQs774MDVCf2D9cWN/fRdEEnWZGbkK1qn1+ FKkK+WhlSWEmJ4pzYnEaIkhJAJL/v3QTFAXplLuxP10OE1QmV7gxCg2sX6HFs8lR+fWgtuHosOYU kOsozbnxc1g0PcAbhyUurHDBN907v7FqgAA/tm2raeLj5L+VcZLNzgyypLO8CEuJIcnVA5xlXSjs fToO6XvV6cZL9Np6hNdHTAHq3tN+yaY2cogZHplfaSL6p/d2YkKX4LtzjXwDGw0wODGID/tDbzfQ IoUUx4KJAx1INkelQJdGjdEOxyOpZfA4beQhCPGfKo6k3ddROeCjrhufkqRzOr67gWPfp/Oql++U idg2Z9DY4/PfLmpWbEDWLJl6ionwJrIRyUj/RfbxGWtR5pmN7583Q0yYMiB7ueKFa5lZ8W/ERSR4 lsASSBeNg7iQun7TJWy13d2ZPQFBcj8YpswRZjwn4ACndI14V1PYfok/HvGUnHydwFkoGgTur8yt YckxCyROZILbGEu5E4qyyld6OHn0KVN0OWAV5Xpg47KP5YL7f6oO8pXz0nAhpsdOx1zHZrA8gCso zBeOy1aZgczNWJuIgVYdBd0LHQPlmC/JabOyyM29ToNoEhBF6KQpYKt7BN0zh4u5rQXh1bjYFRkC p8f7O5gC0WYERfUFapF9sQRkeHVF0ihYReRFsW2yIWBkI38iy3PKKrOsoUQOrbET6OvNjdmBPyjo oFLQ+SlMqLjJuiN/nkMRN5RldvuXqE/+SnqCHBh3MIlxJrFKLXJL2iANFeMifsR6Yfa1PccbfEL4 jRsWiz9k8CnjxMB0/yUT9qO4S5DggGGtx73EAa9QSEQPKlKVNyTUTPTTvDtj3P7CqwGhQLw9evMZ LPmxa4NOj7GSTsERZGZnwu1lUdAh+56O+zejtGUAe9ypkxZfBm8c+NqG4xeXNLXnksNEYgSyKkOJ 9uwNWcLh4ixSGHUn2aF82WClfS5DoICSJqvsuRWqGHv1sO4C66v1zG7xI3REvxcpJPPskcEBLxL5 ucmVajPFyqqpqTjIEENYyvDB/10Dli5BE+DgXGJ2FkZt6BU6Hl0g7U0RUTnAHlIFJLj/HeVrLWNe fK7MXbbEppZv0urqZNHwoSg1bitrjJjkwb7j8fIXDyk9syAqz9JCdqVJgZwL6aDkWbDOe7HksIva Ru5vJzuEhUT2YMW5DscJ6PADTkyxO1Du251i9OewpAUoWU+GIpdvg96mJlaKHVYp9piQGZ8dDPyf LzfZ31EacD03aCMzWK1GvZexekjDcR3mZ+4yM0Md62xt3ZRMASplgE7qwG2h1+20zHVW2g9JmLcL f+uVJ/lqbQdeHfGD4iFCJhiPRPdmvqANs/juCejTZ3dzyhG9iMT/f8zhxDtMz17fpy9X0dw5Uf7I MS0/vxQG3Selsw0etjGHm6HWg7zswjTU9BqSRLSegSWX56TvV3x9mnd2EAsytx0zJyKYWaiGlylO yhsxUXrOTZMCSqPIA1/viEdVbEnGVoGVbtuliNwCgpmQVFXlfrCon+HSwj8QJlSQtbPgP3YQiD0A Sq92oM7/Nei2NGQ+SUHDiZBPkdyUVtIpEMe75CHPCVRtYFmGiRkGHbYTDs1U+KVjfg/vuLXwnz+O Jawy3w1flVk8bCMvWdrX3m3toE91+gGJPvWTsa+zRHbFkksTgSWP20yMt51sGIjTWNlpXBR/P3wY d6GYpaM5EjKXJX3wm/fobRhmL2yuwnPPchWh15rw4YBX1lx+pn+MGGRKroqDTboSWpLoPNz5iJvR A4PkCTZ/MAhpodeJJhLHg70QiBQY7ebReNCtgF2KmA+DQUIV2RZoLqOoqDJGoNHiwA3SXJmupzdu EMmLUdLPR85TJaoBBEBC8zQNU597QzInw+YE7c4eA2975lf3tReQ71eY2JaQsG5vwxbFcHLCTgWE h7eNMkUBKCdWuJPfWbkkCS7bulfS4NduV5WjPA4NPoZcE4Y3Q6WHimxlQLB/OJktqGRq+wJvLJtD cLmxYARbF8LqpaIm4n94dTgjCqYenYWn0kBzj8vyigDhb4OgOnpKSubKvT4RWZmzvOHZos1pA1L4 zW1+QTdVypkdsQ8+KjQbjbOemLECgTMX6BFFrAkUtsYipvOJ0ZJgoS5BtDg2rD63HbC0/WQM3xpl y+WmgyP5prCGQUfAiyRGdN2Vje6GiixKfS+dAPCbx4n1miMPJQxHcv36jcG+fKBAtRWK1RYcQaXx l4cc8LNEtUxCHkvC4hhyhs8TmVishwGj3aWxZo9bhbZJeqKM6VW9zzB6TAXriBOGJC43pMC7RUBO /xCh1TFaYhCxc9siwn5hs1bmiBUW9B9hy7PBoAXvBRfufcDLgrA1WKc4PGKtOowzmcxNip7gy3Nr jkwhbH8ujsDAq8b60LRUDGvvqxpxEiD5AMO94V08JvqyAP5Ogr5GC3Rh29aIqDjUayPpMZXUuucW PyhWXii5tNEtCGvJ2GLq6wynL0ofcKEbmmAuu4pAtzQpsdH8kpuRu03AJAdoPULZQtWzE+Tzstiq 4O444GNNM649CN2hNWR7D92AM8jVA/tKL8lk66UbILyq996BYjc3yIIamMIaw0XklO1XtmeH26Ad HR8kXL4jwqm7lLSyHSqJ17NWWOo7k3Az/bdZHappuH7ODewi0rmtLxOk3+VGG+xwaVBZd+vQ/Ns8 S4zf5ew4heEzmKjqtA2YUKucYL8yHICqzqwGb7naxt46EE3tv6x9AjsGl833kulhyBzy0OyCod3+ 7evYIexGofhKJwWiSD24rNvfkfmhAm2cXrl+dpIKZpGvzpqEKMlmMkQ5qSBrYozru5I6nWWMov+K zwocnToZVa9SX8K556tabKoiv2doJ8b808+qGA82hf7JUKZuR8K+88tya2njBbsOYTjvOsUaHzFr lL9yA9T2veRVPse0gY2Gj3wItR8vONMZxSblMXlheaEhZ+ng48ZkGoduuuo23IzqLDOIvkhzXq3+ XJoqqHMA/2Xt54gk3AFcVoUzeuDdw8xAAFi36gZfvDYu12Uz8aI3vLhoI/KIGoYXIktCRKpeTQ8t 7ffc9tC6DcUfian9Pb0A/ocE7BFN9bcBlZgdfAH+j4RJ8R9MmBCfjie9K6GZSjktVoxaG97VXJqi hZzclxdE2cJuHmO0hMgR0fjryefVLkPCEhL3dWFcPoWucM3hUyqrQ/4e9yvxV/DrNAdnHMNN7mnB DOLP9KMDxGgQgn/uQNwFbgpN2kSrezM4DVrVGnRQ3SjbDNZF9EOkcBdRHehwxokJbLEOuVswqJhP OCHU+C7CogLBNGCCYbYgk2oY+djBulYEDnGB/bUNpDbM4iwteWTBgeNOlp0gxX/cHGl5QlRObnT5 tuszMh8FaogoqFgBAmTRL17zvNBQts7B4SE4qvsbonE6mnCYp6lLyi6gmFWsEYUkQlJkup4gA33T fV5mUEyw6C8hjeCG1/lcFD+RHUas1GRcyechOhSJGBYjCLJORuDy7R8WBSobEWI9uiAuzMxLa3fE CJBv3qgfnw41SuvbSHtMqyc7qgMC6Dlm6PA= `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/or_muxcy_f.vhd
15
12722
------------------------------------------------------------------------------- -- $Id: or_muxcy_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_muxcy_f ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_muxcy_f.vhd -- -- Description: -- (Note: It is recommended to use this module sparingly. -- XST synthesis inferral of reduction-OR functionality -- has progressed to where a carry-chain implementation -- will be selected if it has advantages. At the same -- time, if a rigid carry chain structure is not imposed, -- XST has more degrees of freedom for optimization. -- -- This module can be used to get an inferred implementation -- by specifying C_FAMILY = "nofamily", which is the default -- value of this Generic. It is equally possible to use -- a reduction-or function (see or_reduce, below, for an -- example) instead of this module. -- -- If however the designer wants without compromise -- a structural carry-chain implementation, then this -- module can be used with C_FAMILY set to the target -- Xilinx FPGA family. -- -- End of Note. -- ) -- -- -- Or_out <= or_reduce(In_bus) -- -- i.e., OR together the bits in In_bus and assign to Or_out. -- -- The implementation uses a single LUT if possible. -- Otherwise, if C_FAMILY supports the carry chain concept, -- it uses a minimal number of LUTs on a carry chain. -- The native LUT size of C_FAMILY is taken into account. -- ------------------------------------------------------------------------------- -- Structure: Common use module ------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 07/06/06 -- First version - derived from or_with_enable_f -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- entity or_muxcy_f is generic ( C_NUM_BITS : integer; C_FAMILY : string := "nofamily" ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end or_muxcy_f; library proc_common_v4_0; use proc_common_v4_0.family_support.all; -- Makes visible the function 'supported' and related types, -- including enumeration literals for the unisim primitives (e.g. -- the "u_" prefixed identifiers such as u_MUXCY, u_LUT4, etc.). library unisim; use unisim.all; -- Make unisim entities available for default binding. -- architecture implementation of or_muxcy_f is ---------------------------------------------------------------------------- -- Here is determined the largest LUT width supported by the target family. -- If no LUT is supported, the width is set to a very large number, which, -- as things are structured, will cause an inferred implementation -- to be used. ---------------------------------------------------------------------------- constant lut_size : integer := native_lut_size(fam_as_string => C_FAMILY, no_lut_return_val => integer'high); ---------------------------------------------------------------------------- -- Here is determined which structural or inferred implementation to use. ---------------------------------------------------------------------------- constant USE_STRUCTURAL_A : boolean := supported(C_FAMILY, u_MUXCY) and In_bus'length > lut_size; -- Structural implementation not needed if the number -- bits to be ORed will fit into a single LUT. constant USE_INFERRED : boolean := not USE_STRUCTURAL_A; ---------------------------------------------------------------------------- -- Reduction OR function. ---------------------------------------------------------------------------- function or_reduce (v : std_logic_vector) return std_logic is variable r : std_logic := '0'; begin for i in v'range loop r := r or v(i); end loop; return r; end; ---------------------------------------------------------------------------- -- Min function. ---------------------------------------------------------------------------- function min (a, b: natural) return natural is begin if (a>b) then return b; else return a; end if; end; ---------------------------------------------------------------------------- -- Signal to recast In_bus into a local array whose index bounds and -- direction are known. ---------------------------------------------------------------------------- signal OB : std_logic_vector(0 to In_bus'length-1); ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component MUXCY port ( O : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; begin OB <= In_bus; ---------------------------------------------------------------------------- -- Inferred implementation. ---------------------------------------------------------------------------- INFERRED_GEN : if USE_INFERRED generate begin Or_out <= or_reduce(OB); end generate INFERRED_GEN; ---------------------------------------------------------------------------- -- Structural implementation. ---------------------------------------------------------------------------- STRUCTURAL_A_GEN : if USE_STRUCTURAL_A generate constant NUM_LUTS : positive := ((OB'length + lut_size - 1) / lut_size); signal cy : std_logic_vector(0 to NUM_LUTS); begin -- cy(0) <= '0'; -- GEN : for i in 0 to NUM_LUTS-1 generate signal lut : std_logic; begin lut <= not or_reduce(OB(i*lut_size to min((i+1)*lut_size-1, OB'right))); -- The min -- function catches the case where one LUT -- is partial (i.e., not all inputs are used). -- I_MUXCY : component MUXCY port map (O =>cy(NUM_LUTS - i), CI=>cy(NUM_LUTS - 1 - i), DI=>'1', S =>lut); -- Note on cy handling: As done here, the partial LUT, if any, -- is placed at the start of the cy chain. end generate; -- Or_out <= cy(NUM_LUTS); -- end generate STRUCTURAL_A_GEN; end implementation;
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/dc_ss_fwft.vhd
19
9156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eRz+leRSRPpou0Iyb6bnhB8hg9kPbBirrzFUAdKqw/be3+N8ZrhDizYaLfXqnwxlgZsSWJCzRfM7 HvMw/rTLhw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Rl74r1iJC/bnSjzA+Rx4NZe56NnmjoVRFzUux12uAkwgT++rVuZ0cWQxVSY31Gff9TGn02lNxavo U1xWF81U2u/Zi0XY7ZHmbpbdUEdpSv9huiEIrpuLuTgWjBSUwsGYqRxHLx1vq4vioRXFlAhPk9JA iYodwxjKI7YbbZElfVA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lO1ylq105LQ/xiJNZcB3fPTy1RngsQ3yQ/KJ6FM1qs+SoXmUQjQaEb6hJLPAypYN8r4VdJAzSC/U 5nFe27DWNjEKmiIleROkH20okne+9N7+PhPIZQnib521U3SV/ecBImKKPYRpHhAeqE7OE/DzQFWx 10ISqR1I6WBii4R8gkz5k4dkFHhiTU6fgkIHLUXXclJrpQ6fHHlk7MPcpQDjK7bXjIiQ81qfpVmp P5Kh8wiY7VppUj33GlIcYsNio8GAIV3e0kBKLoX73uDqdvJ/2zBzKOZoDd0As7C4AHF8YSixL0MC djalIDRCSOBX8Rd9h057rIe8ZIXNMu/BHoKk/g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aJoUzTg4Ju9hNY+ZPcuNUmGg+rCD8aivgSTst8VRB5/g9QHuzghA24ad2z08gxWDFeIOT/HFgT6H g4nDsyLlbHK2gxUijkJ6ORkRfGOxb8UwHTzLEIRJ5zmkHtJXYM250JOsiukrgEDT40HqdtSgre6O kXXliGFm9MU0LwRby+I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ca/+TaSll/KHF7mIE37XMZRKDQpSdluwuJA9x/CRPHNmOrubSxRKoPtbXlxVM6ehE2hXp6yB6qBf Fup9ZI873BFwgulDsuQHuOSUPGo4bBHwDnNbSi/4G2je8uxqj4KeP/bv0RKunNMT/FTascQdDh6n SVSARZi75+ElUvhBfAjPHB+yugMvSxDk7TRPn1RomvNtW1CJTL51/PQt26FoAtnxmwYDcU5wo8WT ATzZmP4jq9ClSvjXHkf/VnlLenBFunDj22Ef6vdvxByXWMZrDdZyqqIvDvktra69BBPdtD2LNyW1 FCI6v17qDRdmShLAB1bJHs4PPkDtQbDOwcgx6g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040) `protect data_block lmDBhH2N1dVyr6Nx9UJnCqbVIGTs6xb5a1JBLsQDb1u6ak2Y9w+hzQuzpRQDI9KwrFRgnvEs7ZT5 /2erdWOBCFUVk9MvsY6SAEvX2k88OrHzY4L32DgAn2B08x642ngwasBeTvX8VL8MoRQJwU3PdcZy Wm8tffH12U9ZCPcY3faNFcNHlW051suOnfhwVur1Acd+RboAZWFS351l+7sjVXC2Ug5yGSPPem2C Aowk//TB8x4Fae2mSF24p3Wmp9Y+7oVzba/S+Ugiiyu57bz4wIibGxEqwNnL/trVC+cqtBRWjusv ngC93W2hrwpIEk9Y6lgmtzEF/nlJwrwOxrCTr8vArV6LVJeBH2KBXmXeqmkxrtbBmOSikXxx9482 jucheBC45w1g+LoBZ5zEmSSc3rCy6pFz5VzgW/KttQkODde8MvrnW5r/rTjn4hAqgAO1HWckbiGU PtNvd5p59bIHLawabEjLlYMzD2rj2DeSOsn+PYdQ4vbTQdMcFUOkCH+b6swNhu7wmAhO3L6CN3N3 pEiGCGRghrq6YNBracQVnVto9A6XRFBWoHVSkSCVztIUquL3cRXMzp7ma8i2024zbYMeQrl6wmrH O49189DsMfMHpEqkgT2xz+yo3f/xKnioXiA9U57X1NjXq91HpdBJhhnaV3Eg8POTstp5r7qjfPyI zTkYJ2IWioJJNcmpBYAn4SgHyFOvM0246AbKxV/41P5qosEGpXTFGdHJj7JmoyRVhOFB1m73FnUf zc/KQzh5O4tPC8dBGscN6Wun4JazZ1elhqFIUFO8eTvI3YJGNmDhK5s3wQ8OKL4jRhR8+vdITPOz IyYPrB3IUTWNdkjLa6ulgzE3DTCViGZGo0eLe/3b6GEPHDTTGV5+BQ76B3DbpBa9/CEs/O1OCGLv +UjCNdus18u8jAQla6cPSnxHmwLWVgS1g8A8LRM9eAE1Jj2gmPdRRj9sT2QQke2QVKW6IuJwgrJN f/FoFD5OdmpSrWLo8URr+8yEImFkkWG1rlZMF4b2agX3TvaaW9CD34M4kIXyaekKGw8t4bRNB3xi K0EGA2DeB0Oev7MZOBzgEZms1kziADkBhbpUzWy+PMq1W0yxcWLfAcB1qLlpEhqozaoZbajQN+kK ObDEAqEjqd0lq3DZzLpAQmOtFMHH11K8xUt5Z2yPLk7F1bmKLIUNbhmC3bS84YqNSTFNZyWWdZuc srHnDyZQMVn0IxLD9eRQjDQHmFsNZWMdKxzQAyXEPDnavZvUnKl4+SqLkgpTY+nDn2kRFHhxg0nh 6ArlHZjGlkQJjjjK2tTwgeG1a63HcxewMNweCEauYZmmxUr6zHNoczPUrwyjIHJBv9d5XORZjJrg T3HRnIi7rZKXIl5VVrpIiTVJuFmXdzDHRhJ6IK7OJccm2VelAGD51/zpKLnNNbuJ3HJ/9yLX5ge8 NidA73tMAV4wcIvRZyJK0vNLogsqJoVZAj3Kj9CGYhIjDW7hW85wwhvMPmDCRki0K+xy1E//nlES y6rfoJ85cVWV5K7DP3KOChx02VES41J1UCbHnjaVdtJFlTPU3KB0+vNpK0VpzTmUcF2sGwx5qAxD ClIFz9Di3URw+NZnChUtx1McpqVXNFVPV+xrS0Ck2O23oFAuUFjulQdwnex5GgE58FzAHlC7HnY+ 6sXcr/s5h1gcsARWYeYLRkWGVfSOLdCRxl5DATlAIhyIP9Bd17WjGZXVjnmnA02q8Mcl/pRds46c FmlcifhVs9fm4nE3OtlQmIFPD5mFG+pyH5Af/k3o/GKUGeLs2Ypko85ZgYVxEw06ChO1O4VVP1nZ L28f6BlUpbB35ZaIQ3mciDJNWgK68e1H4yVtcn9k+r8aVx3Hf7x6Ok1QgxsQl5d1o2JWJKiciik9 tLScTYb6AzuJoVEhv2fuwSGFklwcS/kcOd/xZ1A/P7dZOMikjt4zxbMZ9zrjjmSzdMOh1UaXFpe8 QqpcE7Jfme23o36SmMVWdSsEY/8IYRZbgtfSKmeauL6EytxKgnoBDwOBaFPr0lcfldP6YYLlFGpS AXfkWz3FgQ+xTkg376AhR2eaoh5T2EFyYqtt5fX6i7j3Krx6b5eKY4AG9XkUBdGagR0AFXWoAzWB xbkuNijBmGa031l983iOGJRudR6GuMMiXrWroGX/9OxJHuK1GBYzE5AVox1wLY+XIdpE+HnhDvJl 3wU2MfyoKVjHQ+fyCZ11RV9XHCfb+SwOSxDCkXPhvICDDb+x1zpTeO0XW6jstwxPAnw6W9XEEfza OjbuV1xG0zaFjtRPN7T+iT/ZtQZTxEvuUcKB2j+uZ2+UUJiCLFw8cIE5v3/fSWlqOolGXCMGMq2s o8dYztDbgAiw+1bfNAvh8kdQSdNYuH6dpy3lYA6cVWI6xQmg0h+9Di12mK8wSLHZmG0v0s23bFLr CcFXNXrDmQosuPGv8SZ971bOEgB/tf7NTSYOhUpFaYaCvCtKcwZz0hjuEvurLylbQ9Xo6eVxWhr6 g2fptgjKo/Y69iyY9PlCs40MEkYhKuv8m7NHhOqD+XKhd3GpePG9wj8Gq2iU6JAb4l5zcTaKCl86 IhUZKv8nb5nj+bYOjhJWZFpa2d+/SnNn2OjeG8ZiD60mLd6aX+q+eQw9jdrSu8dfvER+2t+ri9wa HfRggp6WK6sPH32/bzHP/lDJUrV7jj1I4MCfPz8P920ZfS1He3+wlI4PLHQ5m8ohhWF0O1VBJ7PZ LqnufhPDBPM1Oy/Omc61Ff2U5HzupGucnyK20yxVB2QG15kPh5gPXV5DWLZHOlGjS9tw+mSJPYhY 06HSLSNCu8zZFM1vCRE+vC6/dDyxVFD/h8gbW2szMbk4JvyI6ZO9guQksuJHqB6IvoKC7iy/qM0u Jtwzm8YTYox/nr1FhMC9+CJJrIJB8wEjjUJAJsXA1HTKFTZsq2ke5nP1m/4ic7kulCDJOkB+kFNu nuT1hoEJx0U4HkFOM/am2XhpCgh6z/9gCu/g9/pIg9tnIwgu4s+b5AJOrBvSHmYIkpIwaYO9h8I2 c33ILAQNN30g8gITrEiwtA3ontgYKs7T35wqXVWGzZba5E6WgOHYa5tOOaYK711AtLBy+Q+MSZQu tZdI0bYVxMtOfuwkvUlmj9n9zinCzUFNH4gudq67owUeiqDkZWmWR4xDkR47aiQaw+y0yvPK+RU3 0KQ43vOVinHfM0MIAxQnKQz3bkd5Z3qc1/0eFTmNOZSZKhSkTIdvNBJV1FgkDnvVdPuK6lGF5HB/ YeSrCDq8h+VofobmP1TUVyBaU8OQBNCq8PQ+5yBvdV7Isuvcfii/YG5kPg1wOEValNRm95CmC/27 j9Hx9xeSVD2s1H9hjBcyD/PnkQ1+wp6oueFJELGVjD89FAgzPL+JKWyhfp2O3yLktPu0ye04L3mA 9qD+NMvTj7S2Fl+cBtDJZ5S/nx8MQMvjYh59HTTFIq+lKzp1z4zLtGK5loV07nBY2iacMyXy2U7W RPFQP9tf9mEmgxyyAaO69qCni6ZceE8ol3tHlBTP6uP5p5ZAVkWOCrqjBn6QpIh6+6H7jrU8IfCB eJf7xvoSuWoxlyU70I6x17PIsMBeD9ZcEbCSTH46lC0rxxn8oT+wbeFOvcDJlmkvkb7/Zfz63gWQ wv2kifQaDz2pOiCm/P10e/s6PHUqLp2xi8TDS00ij6LFG7vx9wiH8Y18MYcD63MAeWDSsf08MBn6 Iv0ZP4jK3pjeBFDuOTFZgJrDclGUgNRBtEMHNS9TGfgNuI22bkCgHsYJNVJPQBmeNg0uTFO7+p1e OrmIiO8H9zX2PQ/Omvzryy7wFErbdnY6sdF163zGG5qxLQycSpwy0sD4pKlbBHNK8l8ZSo+8xCbh Kq84GuuRrY/R+KHweqaEHvsJgIjjq5ZjczptHbNtSDUCBiERI4NCvJOa6EFaqYBu+LId7gD4811Q 4FxHJ/XUTEPk6ThI6yUFuciphxhIKLVP7Mxa8N4dobMuv9qxAr8LbCkj23LCE+2UXmBq2zTzhwZk KH1sA2xbzlr18apIzOtoZc967m6xlAlSyKF5HM1SE3P7LchsEaOxLsFKs70NmPJMwduqz+Zwq9ua ZfSsAsKb6n1P+IXOKhFirAWa0qgDRBBtxO+5WXkGjlM1zmGLpweMBM5RoeF9QpHAq9rrpJTfnY1p tyt0k7AOUNyXgEgjQyC2+/co9g7uz/XK8PXDuXySBXFXsuxMAgiPgelLCRWt7F3/lDe8triJJhuQ MgUT8wPa0s5uzXKG/bWwIiIuKHcoYmIUjkNAB3FU1822A+Uk8HlVepEQp6hMIlnoO3zrj7AZysqc m6MEPSKdheyazLGL5cGbh6aciijwuW97/fUn/G024GElt+cyjfruFqNDv6GysNvQmCZLbUIzFYY7 ONKQ3YKkqASZktW9B4ihPnmUioQI9N85QGbRS7wHXhRxr047aKvvRSK0A3V0Dhm4OLzbOA9f+kmI JY9GXS5hSDAmNl+fvpZ7QHN9lp6JSJjqMZ0/3qDA+985TbNEc8rQWOIXMf6uy34lrdAueCEtCWWj Rqd69+2zunb347VIu6iehnnuQF2ktRSUlywzEPf4fUtyaZWFUFiI02+z2FGdM1uCGEQXujSIaIwA qVqjAir1gYCpSYyMjlcQP3//pRIUUEIxKUPf0kxPKvPKCJC5yBpZ7OrnGBHNp7LMM9NkhS/CKC8p xgclYn1jEf3Dz5IIhuf7mAAB4UscZFYYn00e35XyWV7w5BA9m2JtFFyj5B6OJRsGdt22oI1sVwrJ AZs3/KLCQZjidrz8KrnVFfkthy9vzO7js9nkusH2lWtqeE+U0h8V8l7llJ8wyzUquu/C5qQnhD5P wcpvxXYdaMb1QTv1U1YR0aV7elOo2m+PYJ9NK6bocwfTzaTvKmQ+yqgFvwHpb0pWvnvfk5Z5Q0bl iyIw9VkzpNSNocrLTuUjFq4CVbdSZhtCkR6DxTPLhJbHApv+U5ZJslsk54X3PuSxflXV/WVq6ezo Z4ZFwpry0o99jRpRms3Z0NiNr3ojclLNbNEjA+WamYvdV2jLI4DK4wsCpJj8N/Ezs/e1PSMUl6lY qL9Y5JfkrBs259nLqGV0Szi0my9nMlj9TJ63bDXwMuaFNuCgUkPOSttJEMVGwfK0G1AGwxE1t8gi SpBsF9wxVKCBKBmeIpShdEJ/aBEYt9R5lFc5tmY94lbWhN/qWJzi62RLA/lEmOdbDjXLIKwcH5Yw P3eF1LrIL6bPrx8DAYa/ODRa5CCFOO2T3m6/rnJUUjusaM4k2/poGhBggSEnuxfGeNCbOP0sLMZR tEeJ87YFl3Ye3+aH584rU2YEdmPLKZjgU5ISvddJfy0t3Eeo1QAFwGUZl/RWw0KEPYkrVSgH4GYe Onmn2q4D3DjOhdpxdzk5CFq2LoySnjWsiHK6LKBVe8IShyKeXOEaiMoE2Sm+buUg0ZtYpqtHD03/ fjK4V6Yss7Ix5o2C9tObxOyU1PFNbzZwFNXE+rewrVHOs4PtZEjox92MicT5rbKaVs7ypAcqX186 fNHsmuF7Ew8oK2Nf2aMqMsXtxvDCHShdkVqfrz6bs8foMM3DXhvkbwCz+KfR5woBrloO9XOdRP/k HD0ifbTPkRkpTB5c3VEVSwFVJ1aMGYrW9WM3B/FW4RZy4q6CLd5ndxKnwSWoZCtQjOTlhs1g+jUd Tw9Z4FIl18PUkQkfCmw3DKAvoEhB7s4fdDMDOJ6zuU+WQBYGPkS/5FMd/sHTAVkY4V2A4W35hQ53 8R13l8jjzxFdTJmfOLSoen0jZroaxI6o14oKneV7tTAAxKSS/1gM5zglOZPF443KbZN44Tjh3x5+ ZsYm+KPYzhpXgHsVS+rjaynwpSLfs+btL5bE+uJaq5w83ZN7e0o2cFoI8F55TG8266A37qJlykTO KAU2vr6If4NcaGfyUohm6bQHtlqBe6Hj3Dq84G13YYK/PmwkEN1WYr+8k3OHj3W+JrlKmSOrqg8k LmhgZCNPEaxEpy02mX9L7MzJmjM8m0Nhp/AexHcUQ+US32K7sUBaWeILqmVK+cvyZwFMM0txRf16 uBCgtpZD+O4Y9MR6vLP/KVm9JjskGiD3Gy5IlFlPYQC8fQJUSAsClV4b1fosgybEcjgyuUxlBgGE xYVY2CCY2Icev70wmD2TF33n4xQhsckOCWDszK8yET6GqGxv4XFR+zTvX+QKUIQwKeKU848NCkdz SQ98ueA8fa3rOwNwpbYQuyDm1N6svpTyyWbENkhqpisBigCy+ljENhP5/cAhMAjUbWjBsT2EMzHS LR7o94FMhuK/57DhuJ2nBOzURhWA8S37tLjcBppwuv/5X63BINd0+Z9KJZH8CAcnxwaXDat6LUcs uCGCwP9u+00kzqIsb1YbYNmjay3ZRHAjAQLQUCK5Fjwqj0LOn+i68cD9O5brXT3kiQR88U/mX9+u ostJ5Bv2YpM5DH2pO/19VRGSerGS33Zt6QCsi1nf002rRK9AwKkRYA5nzWJlRIj5bhkDQPdyikLP dN/B36RPI18Wzm/6GpcJaB6uWhG2nhhk0/dCLMXImhFqzwtp6BLGb1McNq+v6X1CTE3cGvOnPz6P Ev287hT9ddBV6TL2BPhg39fZujwYYZbG/nj/2TOqGsJ52HmLTlydSs8JAQuox7XaavT++8mFCLLo K7wj7KZNA65Tn09kpA9NLItcw1uuCbCR `protect end_protected
mit
bpervan/zedboard
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo2.vhd
15
14428
------------------------------------------------------------------------------- -- $Id: srl_fifo2.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo2 - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo2.vhd -- -- Description: same as srl_fifo except the Addr port has the correct bit -- ordering, there is a true FIFO_Empty port, and the C_DEPTH -- generic actually controlls how many elements the fifo will -- hold (up to 16). includes an assertion statement to check -- that C_DEPTH is less than or equal to 16. changed -- C_DATA_BITS to C_DWIDTH and changed it from natural to -- positive (the width should be 1 or greater, zero width -- didn't make sense to me!). Changed C_DEPTH from natural -- to positive (zero elements doesn't make sense). -- The Addr port in srl_fifo has the bits reversed which -- made it more difficult to use. C_DEPTH was not used in -- srl_fifo. Data_Exists is delayed by one clock so it is -- not usefull for generating an empty flag. FIFO_Empty is -- generated directly from the address, the same way that -- FIFO_Full is generated. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo2.vhd -- ------------------------------------------------------------------------------- -- Author: jam -- -- History: -- jam 02/20/02 First Version - modified from original srl_fifo -- -- DCW 2002-03-12 Structural implementation of synchronous reset for -- Data_Exists DFF (using FDR) -- jam 04/12/02 Added C_XON generic for mixed vhdl/verilog sims -- -- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR -- component declarations -- jam 2002-05-01 changed FIFO_Empty output from buffer_Empty, which had a -- clock delay, to the not of data_Exists_I, which doesn't -- have any delay -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; library unisim; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; -- conv_std_logic_vector use unisim.all; entity srl_fifo2 is generic ( C_DWIDTH : positive := 8; -- changed to positive C_DEPTH : positive := 16; -- changed to positive C_XON : boolean := false -- added for mixed mode sims ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DWIDTH-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DWIDTH-1); FIFO_Full : out std_logic; FIFO_Empty : out std_logic; -- new port Data_Exists : out std_logic; Addr : out std_logic_vector(0 to 3) ); end entity srl_fifo2; architecture imp of srl_fifo2 is -- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated -- based on the selected depth rather than fixed at 16 constant DEPTH : std_logic_vector(0 to 3) := conv_std_logic_vector(C_DEPTH-1,4); component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16E; -- component LUT4 -- generic( -- INIT : bit_vector := X"0000" -- ); -- port ( -- O : out std_logic; -- I0 : in std_logic; -- I1 : in std_logic; -- I2 : in std_logic; -- I3 : in std_logic); -- end component; component MULT_AND port ( I0 : in std_logic; I1 : in std_logic; LO : out std_logic); end component; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic); end component FDRE; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic); end component FDR; signal addr_i : std_logic_vector(0 to 3); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic; signal data_Exists_I : std_logic; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to 3); signal sum_A : std_logic_vector(0 to 3); signal addr_cy : std_logic_vector(0 to 4); begin -- architecture IMP -- C_DEPTH is positive so that ensures the fifo is at least 1 element deep -- make sure it is not greater than 16 locations deep -- pragma translate_off assert C_DEPTH <= 16 report "SRL Fifo's must be 16 or less elements deep" severity FAILURE; -- pragma translate_on -- since srl16 address is 3 downto 0 need to compare individual bits -- didn't muck with addr_i since the basic addressing works - Addr output -- is generated correctly below buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and addr_i(1) = DEPTH(2) and addr_i(2) = DEPTH(1) and addr_i(3) = DEPTH(0) ) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (addr_i = "0000") else '0'; FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay -- was buffer_Empty, which had a clock dly next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : FDR port map ( Q => data_Exists_I, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists, -- [in std_logic] R => Reset); -- [in std_logic] Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to 3 generate hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr_i(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i(0), -- [in std_logic] A1 => addr_i(1), -- [in std_logic] A2 => addr_i(2), -- [in std_logic] A3 => addr_i(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate FIFO_RAM; ------------------------------------------------------------------------------- -- INT_ADDR_PROCESS ------------------------------------------------------------------------------- -- This process assigns the internal address to the output port ------------------------------------------------------------------------------- -- modified the process to flip the bits since the address bits from the -- srl16 are 3 downto 0 and Addr needs to be 0 to 3 INT_ADDR_PROCESS:process (addr_i) begin -- process for i in Addr'range loop Addr(i) <= addr_i(3 - i); -- flip the bits to account for srl16 addr end loop; end process; end architecture imp;
mit
dries007/Basys3
VGA_text/VGA_text.srcs/sources_1/ip/FrameBuffer/synth/FrameBuffer.vhd
1
15058
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_3_1; USE blk_mem_gen_v8_3_1.blk_mem_gen_v8_3_1; ENTITY FrameBuffer IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END FrameBuffer; ARCHITECTURE FrameBuffer_arch OF FrameBuffer IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF FrameBuffer_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_3_1 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_USE_URAM : INTEGER; C_EN_RDADDRA_CHG : INTEGER; C_EN_RDADDRB_CHG : INTEGER; C_EN_DEEPSLEEP_PIN : INTEGER; C_EN_SHUTDOWN_PIN : INTEGER; C_EN_SAFETY_CKT : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(13 DOWNTO 0); sleep : IN STD_LOGIC; deepsleep : IN STD_LOGIC; shutdown : IN STD_LOGIC; rsta_busy : OUT STD_LOGIC; rstb_busy : OUT STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(13 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_3_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF FrameBuffer_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_1,Vivado 2015.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF FrameBuffer_arch : ARCHITECTURE IS "FrameBuffer,blk_mem_gen_v8_3_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF FrameBuffer_arch: ARCHITECTURE IS "FrameBuffer,blk_mem_gen_v8_3_1,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=2,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=FrameBuffer.mif,C_INIT_FILE=FrameBuffer.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=8,C_READ_WIDTH_A=8,C_WRITE_DEPTH_A=10240,C_READ_DEPTH_A=10240,C_ADDRA_WIDTH=14,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=8,C_READ_WIDTH_B=8,C_WRITE_DEPTH_B=10240,C_READ_DEPTH_B=10240,C_ADDRB_WIDTH=14,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=1,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=2,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.61856 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF web: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB WE"; ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dinb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN"; ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : blk_mem_gen_v8_3_1 GENERIC MAP ( C_FAMILY => "artix7", C_XDEVICEFAMILY => "artix7", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 2, C_BYTE_SIZE => 8, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 1, C_INIT_FILE_NAME => "FrameBuffer.mif", C_INIT_FILE => "FrameBuffer.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 1, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "WRITE_FIRST", C_WRITE_WIDTH_A => 8, C_READ_WIDTH_A => 8, C_WRITE_DEPTH_A => 10240, C_READ_DEPTH_A => 10240, C_ADDRA_WIDTH => 14, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 0, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 1, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "WRITE_FIRST", C_WRITE_WIDTH_B => 8, C_READ_WIDTH_B => 8, C_WRITE_DEPTH_B => 10240, C_READ_DEPTH_B => 10240, C_ADDRB_WIDTH => 14, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 1, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 0, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_USE_URAM => 0, C_EN_RDADDRA_CHG => 0, C_EN_RDADDRB_CHG => 0, C_EN_DEEPSLEEP_PIN => 0, C_EN_SHUTDOWN_PIN => 0, C_EN_SAFETY_CKT => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "2", C_COUNT_18K_BRAM => "1", C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.61856 mW" ) PORT MAP ( clka => clka, rsta => '0', ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, douta => douta, clkb => clkb, rstb => '0', enb => '0', regceb => '0', web => web, addrb => addrb, dinb => dinb, doutb => doutb, injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', deepsleep => '0', shutdown => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END FrameBuffer_arch;
mit
dries007/Basys3
FPGA-Z/FPGA-Z.ip_user_files/ip/Mem/Mem_stub.vhdl
2
1381
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015 -- Date : Thu May 5 01:21:43 2016 -- Host : Dries007-Arch running 64-bit unknown -- Command : write_vhdl -force -mode synth_stub -- /home/dries/Projects/Basys3/FPGA-Z/FPGA-Z.srcs/sources_1/ip/Mem/Mem_stub.vhdl -- Design : Mem -- Purpose : Stub declaration of top-level module interface -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Mem is Port ( clka : in STD_LOGIC; ena : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 7 downto 0 ); douta : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); end Mem; architecture stub of Mem is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clka,ena,wea[0:0],addra[16:0],dina[7:0],douta[7:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_1,Vivado 2015.4"; begin end;
mit
dries007/Basys3
VGA_text/VGA_text.srcs/sources_1/ip/ClockDivider/ClockDivider_sim_netlist.vhdl
1
8108
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015 -- Date : Sat Jun 4 16:53:15 2016 -- Host : Dries007-Arch running 64-bit unknown -- Command : write_vhdl -force -mode funcsim -- /home/dries/Projects/Basys3/VGA_text/VGA_text.srcs/sources_1/ip/ClockDivider/ClockDivider_sim_netlist.vhdl -- Design : ClockDivider -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ClockDivider_ClockDivider_clk_wiz is port ( clk : in STD_LOGIC; clk_vga : out STD_LOGIC; clk_cpu : out STD_LOGIC; clk_2cpu : out STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ClockDivider_ClockDivider_clk_wiz : entity is "ClockDivider_clk_wiz"; end ClockDivider_ClockDivider_clk_wiz; architecture STRUCTURE of ClockDivider_ClockDivider_clk_wiz is signal clk_2cpu_ClockDivider : STD_LOGIC; signal clk_ClockDivider : STD_LOGIC; signal clk_cpu_ClockDivider : STD_LOGIC; signal clk_vga_ClockDivider : STD_LOGIC; signal clkfbout_ClockDivider : STD_LOGIC; signal clkfbout_buf_ClockDivider : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_LOCKED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkout2_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkout3_buf : label is "PRIMITIVE"; attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_ClockDivider, O => clkfbout_buf_ClockDivider ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk, O => clk_ClockDivider ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_vga_ClockDivider, O => clk_vga ); clkout2_buf: unisim.vcomponents.BUFG port map ( I => clk_cpu_ClockDivider, O => clk_cpu ); clkout3_buf: unisim.vcomponents.BUFG port map ( I => clk_2cpu_ClockDivider, O => clk_2cpu ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 54.000000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 10.000000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 120, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 60, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 5, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.010000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_ClockDivider, CLKFBOUT => clkfbout_ClockDivider, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_ClockDivider, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_vga_ClockDivider, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => clk_cpu_ClockDivider, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => clk_2cpu_ClockDivider, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6 downto 0) => B"0000000", DCLK => '0', DEN => '0', DI(15 downto 0) => B"0000000000000000", DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => NLW_mmcm_adv_inst_LOCKED_UNCONNECTED, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ClockDivider is port ( clk : in STD_LOGIC; clk_vga : out STD_LOGIC; clk_cpu : out STD_LOGIC; clk_2cpu : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of ClockDivider : entity is true; attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of ClockDivider : entity is "ClockDivider,clk_wiz_v5_2_1,{component_name=ClockDivider,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=3,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; end ClockDivider; architecture STRUCTURE of ClockDivider is begin inst: entity work.ClockDivider_ClockDivider_clk_wiz port map ( clk => clk, clk_2cpu => clk_2cpu, clk_cpu => clk_cpu, clk_vga => clk_vga ); end STRUCTURE;
mit
dries007/Basys3
VGA/VGA.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_v8_0_9/hdl/dist_mem_gen_v8_0.vhd
3
17706
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FljaF+ZK5aTHOSoz3Vm0NikqVWMDJsa6B0n5auKkcpK2yfoh4NMkFSOGE7i5hT2G2OmDqFCWm9tc YAtAWO+FxQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VuHaklO7fcZbgvP/LjbDl/EkRXQ49xbJLUgv+LZx08h9iHpdX2Ad3aJUyi+Mm9SkBjkQeTn5r1+S UG63i7k74LO8DLOaV3ZAhFR26xemCFTNJhRV4WTlZbaDLwwTwolHtox5pPvqWrwcNRDKm9g8NMnd YlHXjrXG4dqROwhGPUI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WAq9qlahy6KlJsiHBBevZuw6t0Jp82EaHyCmJmjvHJivVcOW3KZdOOfURBNRkusEitAk1MkxNe6L L6cmOfxHAEuRdaZIV66SXnaQlslUC+pq4qMr/jfAGJ3DJdTsESR1ZFBYPC2OjmQQSJYrsuq3Mzog 08Frm4578r9zPyPrm0LgntCsWpMTxRK41Sng54PqFpRAYq5QhuP+3dDRR24uHiQVUuazpUojsMHr qoIx1CP9ZqTvjPRnXBxIpH5eLoDYj6bFmQgexpJ3bvvAW0WiugaGTZVovP2cvJMkLs3ZGRRaO+zq FcUqeyIIJHBNVqylq/pvPhOFst8y5+ciHZ3t4w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Yq33ayD7wgTkUY+RWI1XonEAiab9AzVkA7dXTmOZfa7ISu17TZwSbltbMAhnr4GF4Jl3Y8HG3hjT 7bY0kOMVsdKjGf3kSU5+vTAIjgCdYljUCBTv4kT9dfjWV6Cjp5/SibdrzHrc5SJeVkq4q17t+yNg WkIseRKbFrq4ezUzVC8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HXfm/AZ/ud2Y4S5qqMYsPiQ3g9n/rykDHG5PRuN+zMAnkGd8WacaI+RNCKTOz5kVKZEHmiuyVO7m 1f7BH8YYiPHdY7sTsETmBlHyDUg2KYlA9kBZNgl1/G4UfFybzqB1hPjNeDlYrx2hGl2Rn1ga2d+k kR5dguhyx3SrvtKq8b7grLjcVoYJNAsdUWd25TxYjciRhkm6LOn5xR3KhhMzwf7Mn9IUOAtJSm5g SDyWFCRkMly40iesFXFhz8mgoZwCWK/2uXxtKyxESDgdOF4wzmw5gPExNb8F3K3/nozp7O9hDVl4 I/hme3WpwnYqWOds8jCaZjXYkAkFo5lDr8v9uA== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block i6v3YdDbVzL18BogPhzR4t1Ahtm6V8UqO0yAPKBsHgo9MYOjFBrsCPG7wE0IZu3KCaepIeukpdPs 2i7EenNWDS0NuzR7XhYir4/YLj/TW3UNGyw8BWzcbuHgp7lJ1O6oUBgtEVjwFusGzg0l6YW0jxe2 KlNoNBiWZ6+APdl3zMTqLZUEiHw0RErAbJMWmHUC5gkwy4LoVN1MgV+1yNdbLiEVTBBAGkyJOWj6 NdxX1huqIDLcNSytSgE3qVLshELpJxqJbzOtoDeE2t+opV/i4GnmARwrKWC2T81imMwyqbKhcVYw MbWPxDjPMyBa8uxgADiFBOwP42jGZ5pNWTt3Aw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10976) `protect data_block m0oW47TYlYqGazlovl3TxO/O5Dgq2YSqzwrVkFzgEHAL/x8wVye0MZBErAoR5qxSW98Q5PzF9Asp /vGdyCePeOnPKthaeQaJgHtBGzXMoyS8lfmglS1vieOodCAgvv48iY0WIJMLh2HNpF/xZFH4ZMbH SgaoP0BELPRKBgXkbefN70LYR5hLWtZ1c7hfpamEJ9C5+9oiHR3jgz4a7WE/PDQ0a83cirsPygbz AQAnFDJG//7Kic7A0hj/gXFc0OcbrJABji5ShX1OxF9AW/eY0AVPqkwOBYTZFe9V0plp7g16vn2v ZgaWb2/FBCXWCCNcvf8aIKyedVKM9vvHP+SIN3ZjnJsZI/EWNwHdjlh5T8ucsxX6dNCSafs7Vham p+Ojum9GLH5qO+FOg/DBu9xUHEfGHZAeQSjm+BNevXqyS2obym1X7wt6znGM+KcRpai9mfpX60tl N2fQ0EqHHi1JbO3UpyYxwacqqxQZBwyxVHQ+tnTxgMn4OHts2rFpmM2uLaBG0yoWjAuisgIJosuV W7xJ092yJkP83LTLoBZrb+d1tSh3XQGeJAYCg2TABP3JWa5Y7W8nTWzpTgPKBF+CF1PdpV76BWkn dCSJvejbezJ+kdpRMSY338tA1F8NEfPg2XLy5O/s2BiqaYV3UyJn/f+6aMzOfwB6i1DSlnvpWAz7 s8Jf2j8EXVOFAmkBPrkwhwU7LknRFND+jPmI5l3lAPqI1IVtevbfHKU6nt0eymKIAl6+MCJFOana tFqX4w+eJ8U4aG5H68VZDpavHz6BCvYASA+Gs1uLlmdUv5BhTh5xtVB+Ck+A2IC4QYRebS4YbEMy A6tfnq+SG/5/tLlVm2Qzk8XZN4Gx44pQMtQs9MxITm6YNIp0zULpG8lq68lWuEReabo1ACHABLJt P5UXJw6HfglMGzF5egiHhXem5D7N8wWQ8KcJqEXlfVZKTHDheGworglXdaM7P3QR+j5TXw1cukY8 PRfT8wxG/NApI9ZOSKzfqn+JnQ1Y+qBPjHVVntPFWfR9+ih6qrzIi30sGzOF/j6N8TKCQxA3GwUN oSPy8OQBJdQJs1fJQzHCYHDNCs505WuZYQ0VOQI3qG/zVmfhXcewRD0NrHPUyKNCYtHoly+ZBhxo gHkEODgr41P1gDgB2lbfxNsfMHHB7gjxNXnxjA16Jwj/byfZYgQCTep+mSqdQnqEmhru2GEC0Whm wRimUVilFkE3qFOEaRewIWDlU7tXjVRYzBjuOEqZ/K4Udlp19RhKwFQyGt4cq3n2yDS3lkTg/8QY VMyKlAlVv7sJ6mTvoehdbj45ITQAEmPyzOk6fFUWYYohnlzky4Rt3yomjgwSXxltlF9xWgQUMdeL Tlb9bySfPSPlrjMwZ/a414Rkoroo5K1ytzV1ZY8rrhpChjBopNaBzNcQfcfDNRe3w2urpqZTY6T9 od3+bVYojO0UlMj2tYi1bEdJoDe69p0tiWBJzu8nkpVVL29qZKbin+CwAeU5BvhV4Ju6V7qT2cPK jkRVIOzXDEVRLb8WQzKhl8H13e+XcgyuXqGxKvA8noHc8Vd0oNqLBlBvbMUv9jNKXvsG38MHvzRz VAX/UYlbGfvja0eeRREpm4gQbw+ZyzGK40RNWMkIHGkv2nPh8NPTxBL6GVctJqXLzppFoUE1+dev KnxlJ9b8DoeRcgsBBZ7g2AVU74nfrym7otnFQcie21hsYoDYKlVXCLSZET3hjKQ4X5pKYmK2dDYa CbmRNgv6kmvv81+CGjhMjrGfiO5QhopXZ89C78FybuDpxp2ReqT1Fn1cwnmqX6di738UHfX31N7s sMHVnS+5PBu7xKkAQyjmzpys0UFdHyKHSNl7TDxAEP9DqNZx2PM9YR6wuwNDj7etQAbsjaIYV0Pw fXKaFnhhzOd9pdjv7IciV0nE4U4GIZbtuzl7bgH6meoCMpKXxjldPCbZGCuVAVYWA8yIVE4LCQD/ FyY4W3nl63d47yB8LSAyLTKn+FvFoxpuejZdCi6OLqA4YBlVvaR0XuJiUhKwaqq8gXSWdUVdfq3n 2wgiy7XZU14qICL7rSM1XNlqNR62CzhIxwojPSUi47FYfDJe0yIHTZ4YKkBoMqWrGN+th7bGMMa+ lnsETi5gKy6pSby2Pn4BRAE+o4H/C+B8KTc6uPyjiUu1KqKjZvpw2RyMGZ7KlXGzwei+DZsb+7fA z10HDpKPjkV0ZysZ127aFD6/O3931t7fJlbC5px7s7DyqWV/g9oJ/WyOT6QHscj0WUkjeNgLZsLB GwVwBueFKbamtf+sMk2qIGEIuV2H1osyG5V2Ut2jOv9wJK0+2b5UkDnk9LtiiC8zke5WYCHSWcvu B3E2lLDrtRC3HstxnxTMSQ3eL40CZonlsxNinPlSvo9+c3nnGOFRA4XhTYWtW/f9XJB7VPPrFXz6 D3UvDG7m+FUw5pQnBmObg79bV2L+h7X27z0L5IXG+4gYadM3IXQmqaRMmz+8cotgMSFHs+rjYOj1 X8P0nyQoRPQqWf+rMxsXXkUyyvNABYuj/2MOgrl++dPYaqlVXh33LnQBrYLlYJE9Zk0LHMTwE0+b Y0Inz+r8jlMt97QZ7z9Fy7WU9VGtsClKuEUP5Zb4jc6I9gYO4n2g2GiHUiOIPhzSgI8+T4fCJcwH Wz4u5QJHb4QJkpJaqyBzWN9f8vQvsLTj4mQ1gwM9kw9t40DfsaTp1OxPlY7ZuhixbweecCDTjTuD sgv5F9SGTZbvp+DwvjY0RBfxS/fAm5C1NxpO8QoDlMZr5FRyQRFfbgI6S5HyGinjvp3eWhHzzvDa wCUAiuhPQK3IAQ2Thne0bzliO99ajjZMHkn8gY4n04S51RmYhSfXy/ynkzlFFjfbbEv/WQCo9Gut 3HW+zBkORQbPQEJpSWzAxisERSOYqqBUIheA+jD6UwGjc6+24E7184OwupTIyRckzVO8q4LXaPfj 1JdDpjUaGw6Ef04+5no81kHAEjcP441JhOyRD3rl680VRajqqmQ5PyFnRCHTutRw5rIGWeHUhZrq 5S03ydfUypfdIoZlfa7i0cSalmY009myFV0AbHhEMDvjbl4uIaMRkzW6thvumXC9Ie2dCQpYO8Ac xnHy5Oxb7mBMCSLE53YRRcGf5zLPDaFa0cL+qkty/oc900cqVmKwkukCY1zw0cZaaV25n5sWmKci lC4giPtSkj+kdDlrTer9lYmQM3U6BzfyFgjV9+EKsL64EyBvmyW5MwlNFmUDu4zZjOztp+nn8rSC NmXUajmBHcwNncWYOWkGbhVv1BKCm8Lbpg6750/SarMy1UayWos7aZuuF47HQWuFqlvRveVIm+Hp /1mmjBcFesAMfa8dzTYYQJqYuYGifjEHBd2ijAiqmZ/OHB+Q0DWRsBjO+pMhDchitsLDhQzEp5/B U+EnG3mXDezJv9KcgACSTfCkDHkeHzoUCa/G0LRONyp+HgVcnBkQ6bFI5aIxPEBmVNNfap4iLuoj yr31+CzzGWMwgK40nsDblI+3BvURyOYVXUgSb27WCPlGTJftAfyjitsESmO178lThNKKaCWJZzod M5J5CblOgaGzVo6vD79t8jsgtuNYDIvx+reGoseY/Ut/Zj4dgLbe1WC4nU707J/Z3ebafEGreeI1 HmiI93oZ4U1fMqqtRetxV0QbeU4NPbFRM2kIUU/gt/XzkDWP54wHl+AMkfOyREdijlqUG4/aMHUb bU6FADlhi7sM1n9dWb1bh1LeyIDKscvx9aGO86No4vWXuEJydTOclKoT3+cFEbKs+K0x2eSYGuGS g8IkPeH9RCElT+m7qXdt0xbzRaAFgHQfJsPZNT/DOOuvCLfeSabNNxVH6wWAjvYrYYCkGIrCUV6f DZxHiJ+ZPO8VVixvn6huTFcRhSwZVNuJbyh6jjgj/sF3o/9qi5iCYSnX91Z0FyvmIDoGeaBuNj6C msFGVVW5XPp6Gg9otsIWfpukPEkbZazZv0rA3/DziBzoL0eB2hmyv89lA1v66DIiOzNvNWP9QzkP teGCqfiM1dAuzO2vuyLnaIjwnUKUIgmzjp8Rhgz9Q41EcFjsHTmG0is7ZItokRGZrq0lqDR/H4ef Z7pC788k8PNxh2Zrg0rCbH52kVphdnhKnhoJRwRBvnKoFaoUDyG76GjO+RFiIaESg3gsjMYQrvrj ZkZejZVAT/rJs2WHOF0Xf/z3NkG7r1QpVEQFg7LTrHvN+7Kyih//SjM6zHwaYV083X3b3fAQ8rAi qaUDJQYxOflCOx1fUTsK7b8LmjLcj06IlFzkf2tt6k0L8QfMVYq1RnnJg7NWsCKHEj2pqptNcXjq Kjus7EWSZ/1CGkkS+uKlEpo2aGBsA6mSv3gz7N2RpbbKoC8p43V3B/akA25DLwDmZjklLpQIYnzu Z4T0uY4tzE8pT4f/++72nCX85lx8mW/UBzt5DUm49/qB1Gudk5DVw8ueRcyS1G+3sYvNZYngYkzT CRSsHzFCkqOUimsEMfFS6pVGtZj5eo2+CdaPs8W7X35a87Ipcx9jPnKGzQYQ/NKe/Not78VBW92w /vs/UxP1f4Abfel6XpPiiJ27qWMhnVSBvrDg2+9R4D1hxM7TUl8HzBuQCCWd7NhVJl3Db2Lb4PUf HSymytoJp4anJQPdCnUbe7JOObE9G9inM569iCiyo2bKQBUm5mzxpZ9eD7RIYjYhDFmOeKEHaMgJ UoWBNK50TAWuEZnK2inQy23xky9UT5tdfNAbpF7iyoZuo8FbLO6c8tUejHkMDbwj4+yDhsXmvZiT 2JHeTIhqmsMCmSR+wgV9sEY8Ya0NOWLphm7tfnY8830IFaKH6Bolxkgq8OgfZRdRadhGwbfTRw2W 2RNDyvxzuMcWUKOCkJ2HaVEiJuqKJRyVQN+c1kOSAKx4NNCyAvM4AXRuzE6fhifgNDMcNnkdGPnE C16yxazgqCBvcYuAoOhDRgjsziqSsL1c3H3L0i/6TUKcezdBqli7wN3GV3iZJD3v7uYFKpvvtKCG QqUX70Gj168JAE+1OB5J7Kdb8GkOQPbCwMq5f99x0E9v9+mPbPDa4BPpYJip+lSaApy1LLeU22cA 68Ay69pHFTXF7sxowMJI/KQEsCgQGqspxREWI25HJ7IUuPkrN5GxcU/hxyuGM5gzmkbiPUbcMixP kkpuiso0dbXzfaTYH4GiBNkDIscbM/ge17P67mAMXLw8RBFtGaXepJT6j08NE8XeHIUMLascwQvx xhhr3mhw0cK+snQYsIiRvFL2bBIxbEUsQ8JOXvnewHkfxP40a0qFUoOz8JGgvgt/VuAXr96YIVJa HCm/ILwYSkKVw0qH3KEx0B3b4tk78ImxWwSxNRZ065hEXTScWwV5U7bDUCIza3OUzVgzxZ1U1UYN i9x3Iu48DJnlhPpao6Q0n+y+eP0WK4gKH/EWuD4s6keLwHLyBvo+8Buiib3tVboMlmAliudsVpTU p0g35W7MW50gGZC4/voxCpA5YM6j6S0N4SowyeMlDxQJ8KnUiFz+qYo3zT3uRpH2ghOpUJpDlrJ6 fFZcAPiqL/ppER42hR/rH+IJEL8m0/scOFGdaWpa5zxUQADRlULo54N1DeBk3h+AxxM+gbRY6AAl scqW8IbmBuHXxj+61MLxEivukDCT1Ff4MGfUymqjQkx+ZDtYjc3mMiFp0BL2DfCfKkVlkzxFNaC8 0WJ8LEDuxaox/n5PmdokLMjY5kn+zXckgbbpg5HnwLQtr3zV4kBnGeL4mKRDAimZA/04L5qbafEQ E5y48JpITodBznU+32YEPfMA0vdDrG0XBH1GBNO7yks1TUieMkAR/ia8kbICdMECSQ9LiSsLN9ed txzpxlLJkjdQ9ryHpJprv2KFRCqSNXS34+qtYoV7MJLmMr9naBDcc4vkjrpcVmniZ+9ub8EqINDN xtIQYjNg+rvWjghNc7A0SmNpdlQM2KqaZ6jZZZFv3KRvWWGm1Ufu2JWrMpboBTgrRdVvGq/GTeme QDQX03NYlKD86dkgaQ3mRZmGEZMYSM4RpNo5kGj1kJJiTr1AeHv5GDMgqIZ8+vztORsbZSaE46aT oG2SkiWa7DfVN5x51LltuON6I4ZUh+HRVsm9c1hha8UsoaAzFWgtdWMln2QoOhlC09ppmqSiNTkT mu/Pzl6SzUZNgbHjsfN1yuoB+CIMZ+w6XUDB7ceSz+glooI7f6aoLSSS9zl366Ipn14mdfZGgONY JSk5iJYqmGLOE/Zm1Sn6uS8/LRKeJSPTG0g3fCsIwWRHHMrBDmqqSCtwug0Ij51LoJRvgvc6N/AB hsYAbNn7/LmNKpekb1vFwAFENcSgapPi7WmV6vxhaq7etnLGFG9tH1C1HmcGkHhHQ49oayhsiKZm fN4N/duF3ukprg7CyBN5IaD+aihng06NJ8CYtaN7Xb1OtyMHhCwmDzkoY4Qm36obsJb4ZPL+3xjq dBOWJtdrih/zyUwaRWs5+xM/t3FXRN3gzVp9JzGNGXOS0ciTGOrpLLKxPcmEh0Ra4aZiXla050j1 lMWattNBuTU56Kb0AOtR8JiQNJhsP8vqpVph5Fx2Rg0DOT6VX4y29/pmD/ZPdWii6Bh2q757DXsc dqD6Eetw5l7Ptr+Pzfnd+38nkl//z64il+J0iwp7wthts3KtV9qJhCPOmE5yIkNJNqyTrlHR3EA4 sVlnQjaTXk2DFdzZMTdMt2PVDcNijEs/b5Wa0MyTbOF5AA5TxOojdDreKM4HiyHsSWIgi/J2Fi3T zzQC9VaC12E5Zh529MJIQmq5+XWWN06wP7gQif/cqUlp86KzdRuvkUk3XfnmahcN8YM+n9w+P5wp m/QIqGn1tWoccF5cKuickottG4YLca7uepP7WBBkNrZatbTp43aZnfSUUatK+klQ6bKBJJUob89H JLgxoR2xZxv4EDmK8IRaH1D+e/tyH73GjkBkR+pCgl8W3982C5XrV8HDDgtnDWGFGi5t/Bnm2g9j eeo6Ulm+z9puYMSxiSaapRLcKX3NYxhfz+oGVMTCTvCt1Xx+YTxodY+47AqfkU91WX5I4BkJrqfP PNtOtXrGhXdKiJ9oeuUFv9oqbzUGKEI7+i23+6zNlXapARvMXaynCR54ykR1WoGPgbWh71W7Egzt 75N73miqKxK4SuVkIdv5b6kwxP4fopQqjBMGimojUtQGKef+hLm3VyyJ1EU7MhLPXI3QdByVh72i wGDlpLW4w6zQIc+/H9Yi5W7Uy4Kq2h7kUMsp8IZzSaQmQK2WmJKvqSKOxqK08E9j/ZxS6SgieGzH 60qRcgS/NVvHlsjlNbrqDUN1d4hUHabegX2ZYcW1AS8nDnNS/D5Xdddu/lyD02pMpSSuNYgybV16 fESZpLGg6I1SvHWnIIvIznP6oVuA5djwkH6p4FNG5/SoY79gxZR236yLuU5SWrPxiqCBqwG8oLfK M8diFeLAKHpoBJw6InITmtZ8DHBl/QtzaYCW2bOD6FTZ6ivDlwBQ/ZRVQdYBd91TT/TO8JAg1NPu 1eZLbti9ZBsM8g35TGRH8NGsTtzTZMj9M+5m8htWx3vh3kJbGpktMRyFoxgdKUTBKTPYW8pLEW4W ALLKZC1coZ9d0tBHM8m6T10duQ7XQh8y7+re96WlLmqTfy/4ENqtHkYj5y/JE6HBX9HgeMyNbIaD UU0QXdmYndNgCmtQ2txgFKN7TkPXBqAfHV/H5E6axVtGnCAoFeKv6kREOzKiCiqyMmG5a/oIDW5n dkhixcMXRurvICcRzk/8xkIjuLMRCIJkw+7o6NDhbvj6ducqpFxL1rcQXDzrwhlqx46NPDQE4uif YZEo+8LjEkW7mhmM3JKpy+TK3n5b/fyUr4R1KpCqCE99lsPVpwtkqTa8sbN18IK0oP40vZlwNqBh BMCuNR5O3lAzBbjFGy0a/oAauaeo06Os72esNj4NfvUW8U4msypa8ME8mkGo7eaMNPwSfz2XIXU2 /5/NQT751h8AIniAgI4LkJrlzpqlzGh3rMZv++Rf8ydt5NBQ6pwSWa5G3Qccf0TvtY5tvvZzG5wH BR6fhdomXd2Y0MO7vjVlX1PCLE8d75WeO9NPsqtEQLK/LfSvuUbUYB7pNJpDaGqus/o+6WWpnEuZ 5qbl1jELR/SVv1jMxGG9nORigELQJ8g0h+UItmgHCHuabXq8+LdDlhJsZKCVXABLHsbDomGh87jH O9sqUopFtYbRNhb6Xitt7DFtVSwTVdV/PEGKLlT4WThELj5aCdBt3XSIr8Pah3CySUrsqQgAWNUR tFHmjnhIpFx9K4PLUX2rROQQ6cpglI03YVO25asPl1rwKza73Wn8JSHtQwGRJwlShDav5jBmGUcM f/1gg0kRnrwdJUQz9En6mONCwJ0dYEaKcQcOXWBrOGMy6vzx/6C+JrLw5gnDcOh7N6yshcqgS9K2 kBwzpn3mcN85FTRvK3EFZGDt4GjfKweG492rVMR+7Sa96DZGJmMI1F9hE9546ORRsk2XlRLdDmWf k/Sn3NsxQkKlmyg3NWtCuxlulluw9TgRsMvN5Nszwd3SzshnIGR3p4QJaF3FYiaAFDPcorWXFhOg 1ydNgTxa2bOlJcOO2Y/wsySlQTaQrjHYMSnCssVN/qCxSu8NQXqbf/Y9xVrPcNTzok+b0FKoc3Bk u8QjTWgpA4r8qTb9UpG5iQguSxvNyuvvZ+NCcwSMMtk5YCyC9kU7ROIF5zTo6cHmwbH/VlYiVFDo sbbIYVw3bcLlIeIjATF6b9BrUpuUFxQnwyql3hD26zOr2C/VS4rYW96dqgZ0tIWfyh0j25+ylLeX vEWIEcG7jt8YVlsHvZ8bHYLBNLLnoVKR548GUA/PIVyUT9G9+y1iLE+touSegA5sv+7f2Qd1XqMp iBcawz8Chzcs/y1VkVD2tmiCXc5D/N2FTNzuBK1SwHWGyoHVURyFbl4tJNlNdGzxsgI/2lFwognD TN1F950HHbp4gUFIhLlDCsP0O4urrqBkVX7AQrTBgtruVXGmI5y8EWqgPPMXkkB3X/QgqabKe75Y ngdIS0dFkP+Fliq1lCz+nddloWrGK5gAHzYAnGMH6hZ+6waPXvev28AADWyi1azGqA7IR76eSOdJ mmoOCNExffOIXR1ZxZNuX3yj0ZvEs7Ij/Dy/rst/dqFnFNKm/vA5AP8+uXon9x57w6LorHYpugJe JPW45M4cOLu4C2EowJXIHbjVumDM/l98+FcrYAod5xW7mSPs/ks4KilLoZSHuDf2d+D2mKKu90kE dRS3O2hrFJa1//BXo4S83dGbxvW2769wsHOSfl/h0t+25C8Vz4Rf2aL1wV6P2gqKhOV8qX/0VaBL 5+s7J2YSK3weWfualaCTW9TR5hPVugQUZw2zC/xLRSUVe52JXuZDm7OgdhFKeHqyoj5dbBOqy4/U msSsgpbvHBeBkZEfO4gvvPSShmed/SiHZLR9V8YdCgbdmwM2Qgslr7JoCGZThG2sJXspzUlAn+PM hiPvbrNADAdulRlpT+duGOtDSgzMykDi3J4QEFGYuCDzjVhVdb2ogAclsKuQdEz4C2OOIt0+4+WY f1Eqz1OxAG9Z0E17WHU9C3jaYG5WwfFfI8/YRbgA2rABI5mL6ZElMnRecUTUfNFUUH49R/6utolM cpPCkxXrRB+qh3z1rRJT2GTIgwVWWtIUKbfWHqXXXcMDkjwOYnhCszR4OV9yuJuq3GMC9/zuwUA+ WH5xXjZQ5PmOG1rkK3S+AKuM4yJSsAzGoDu51uoikmry48KFEjEfoVQhy1b/KAkvjoNoz4dArISn /NpydbXKw4oOt/gfU7TYB/gk1MXQlcgdZ3PYxVMLQo0V+w87z6id/py/0Ijk/70wp3yr6RYDnwpc rWPN7jy3Sxqy2p3ACpsMv7teI/89zkG4ojPdd5fuWfmZr3rblKyx1g35WjWKool2rmpnwB1Ox1Rn +6LyS+i+6oYx9bCR3RiK4OslCh8sFH2fvBJ/s3YSuTR9KGgj3UNeTCkzgInX1EDptYt2TNCRtR2B 1IglGwFPs+gy+45Y2K90iAJMv3IelYBR5D5dHouRi3sHLmx3EjbxiwzUPr9Cs8xBzTiyIaysEXmf ihEJ+QGRA9MSfNf/pRjeKyFS/1FHTpB+Jn47OvFdHeDez/AH6by1zhKN+Eh+eACCcy78ELY4Bvru VNcIht0qKHc9zsDHtVdQiEZ4tL9ZR1lq0FpIpfR1qg/Ranp43Rpd3tZ5gCZlJvI4npxjvvwB/sYk uP2lMmXfulY49jDrun8j0lkZ+uka7D5tkmsD/ObXWZAUYKc9UobBwi3ny/4VUranj7oC7GiwweSg cQIgOxe799MpuC8tIGVXMJqsXlhAhrTBhoL8QOkTDfZwttEn6T75SETY9fRIF28nraEYL/+0kjtp RRK+RUSiuh1FSLjZaFBZHwjbazkOcQBHpj69N2CyLyidZzonnd12WsBxPIzc+woOjXlB00KV0OQb cuO+QQEklcq8n/m9ipjbIYXGylmTQvCm1ZFakfZ/Q9pth0DXXRGP5XS+CHKSnwLwCv86+Ea8TVxY NlCG0J1dscqV2IB1ynLp4ncTXQ3jrPH/ZQO+Wx5fRnGkbL8zYyDJdyDHuTqYJBz9xSx3nhz8Sa8w 4GWmpcB2ypdmMEfeP8bkPIPnbk+hFI7wbNGEUiywGwB8E2ht1gxP45pc+NkWds4HcKoNpCWZAuDk 91JIChzQlg84a3KDPV53bhwFTmwrl2TJ40+y78kgrhBHQqDLgbCn+rKsJjxxjwjKmfGTNq/aykc3 8gsByQtnZ+j/POAddIfVJJIzDLvS83mrX8QMFPAvC0RiUGNZd/Ryo1fB7Ey+TBwOuLObpNLMamAT GEZeKLwLm96+Uf6cvS6SF0EvWtUNtR29/zuRXbKnSt3w9zea7k754wNO0hz/WUutYIHioI8fPiXZ 3E4WIhz1+YaZe6qHyzP0i4ZEQDt0mpf5YSJgLMghvm86D8yDLDanLgxyY6hQMoXrK8KHexOiN3zb R9RbfKsartxJ6/m6hE0WyULuYC5UgDGNjeRaVO2ygWH4fsnqmBpPGwS8nepiwDBDHyRgpoSfb+dV AQdTLdNbw2xgHIy3gFDfG7ezLAKcbzTYttmJXp5haDsS43oIk1VFnwf7bm8iQ1OsZCJRWcan/jg7 AJoBaRxrp6Oma7y7DJUOdHbQ7ZqpVZpY2xv2AsMlXxfwl6neCWytvm91j52RAbRxzQv+Xzzw9rht XVJ7OaIWczS6M7bdHiLNBxxiadXCf/61qaUw2k1Ms1sj5Y43HSjgOI9ijJTfnxjspFcbSM+TI+Bz 6nVSwIiYnp0QlCthDWWeZwwK2Tb4FGPTMP9n7d65CIATwDkYvGN5m1FMHMYjVBuxxbZC3NLCLNWT hCoAOngnqh51Qm8D2NWjGV768qEaRSam8hqzSRf6ue8uXy/DxGqedqmKimi9ZZaziqRrm4dNtZwW JYHhKEIjB1I2h1Qj4+hyH/z4XSP/6hSFhXgSXtkQw6MCWLEDayoG0onqSjZVOdPjnJwY6SSlg0Vx 3Fgf5gRjPvVUk+GB5y9QguFO5wIITTN8pkmiWkBmrpwOuH26NNVL5cPKn6PbV1l82kRkzHYhiTX8 LDj4AHaKmb51Q7bpiL6uF2GcdRlANNaMDK/ark9IjhLTwUOpscG8sZSrBK9bq7n+yig9JQY6NhS/ L0PidBPsjlJTOLmfHVDncuCvZFfyUuSukUHhUv3gP/IK6vVFeCNxbZn49LwHCMQaTTT3DzEeHP3n iv1oeRCJzDT0Z65puuwXsL7agH1xnD+K/Fu13T0fsSioi8e7h83ywOrxOoJPAPTV0C9IzzSAI/Sq 4ftx2+4qA5eAQA9RoewwNRJMcOYNQusKT3UzNCYTYo8SKlowHZxmjTXJQbPclShFs1DXsgb/xoyd juMiXXkgBQs9hwA7Ngsap51B3QqeTbk2WmI7MtMBNBJvGFICNTe+nBxHjgf4t3mlRiLY0HRUuzkR Vu2TWjh3OkmOj1GeiWX8zxvoDNZwOGAeCl2lmXTxt5B8LpY4A/JBMNIaiH2Jfi84BOZPg7cJ5NZv +UFpMXyEERNv+bt88R8fSqTFamdc7wyLgiYG0IPjXavM5fO0n9l6rHxsGx64LsvHrQQ5RcJsTUQo 6ldyzFhsSD9Zn681NKgyUKdnS/nq6yVxrSZwVV7Bj5Wi/c84RwJeCdE97Fhfp4AzsT+F4y9CyGk/ xURYXqrmVYx/rLpUfJwfs1SEp4ekdAVEa8rnE0Jz1pjuoipqI06oUsi8cc+rzHz2rrxRr7rRYtNJ RVSS/8BrrOiv+alPi7KyAS+QEF4qRquDaeQnsWjXMBLdLQ38sH/oEWeExjqr/HQSsDKuQlo41Tza tTQ8auxAKQ108x/Duu/uHb6TsdVpXDzX0F8ouny6WnDNlU0jTf8Kk6XakpGn6C+ryfhnsq81wY+P 6JeqseciFylPKoaeADb1d1OO8fhTzkNVcAyoZ+i8KGtb9FIs7+zdV8FTMNf2fqExIF/Zx+tsoprP oTOhMJIXd5HVr1vrWmfcPKTuUgMZwk4QwpFuxEGxQP/mTvUiYaL/P/9aNYsIPtrNIvgvKqyXQRgP UJ9/wZtdORUYGjsgPwkHYq1ePz9ra83vNDBJ5nMM/mRLg2cqrnGacAbMZJITpftlQHofwOmUfgld gxLGsMPh0WHMT9f9Sy2hJGamHwJb0eOSv6P5s9HmM6NaDYdL0bWXQvF3oZGxyotiBRFERo3kZT5y 0ZtNtfraE10nafG+dhlyzPmmxgfrLqmIU5IxUtkOGMLVa8iipe9/Pap9P/AmUTjiYKHZBMwsfF8Q Jf/ad+JtSA3gExwZwgcfHgik4fJnCbwL8hZuFIRhiFYIa0R/qGo2D9rIbARJlbR1K0l43RjGA61L It9U5Q4DS1+uT6FqfIjYxnLDPtzQcQsKF8a5qrI6g5/qB0+yHXTkCfHOn0huA10U+b3BVfpwWyo0 BFcb3tHUTmHnVTBbqJXAfLmQqeQPbSjLToAKlkatI8Uhxk5BpUluya9Fji4uMN2Tt4IgGMJqvKGr LKKFRJM555GPMQS2ZAKfi1GFAhPaMzopzzgqO66ehwo9evSJUTHKKiZuoFVNZiKjWzmLEvp36drI 2x3AaF4IwqXJgfOofOFXRJus3vfujntSvI4Giiu/R2RuI4WQF6xU/t6cIyB8NwDWzzep4hE2rkyq FuaDrxYSYLNWYiGbqo7l2LBZj4L7gQDlOcamaD7TbcMmEVQiA6h0Wvs9aSSHs8wtk9v/UtmM806F JMNhlbNPndJ24+NLzaJ3USNo2Ijb2yOvSw+KQBMFOx60CXWvPyQ+8Fpxg6l1Nf1/Q1M+9oyGrFYY 6LPqaV5YQcfOewqIqcw77+Z4kvJdIZzne9zeHC5OnWcqWOBas7OvYh7koAdsnSK+kUbBDqr6dnOC 9tXqrBHn6ZL8RL60eBme6G7Cj7i89n8IPnO9oBAijgL6CA9uJ6xE6IjKKs9ap8C7yit47mIbCbar vddDA3JJGTZXFEJe+6pXeETq1TY/UU4n1tXY72MUScwZ8hxOpO9cPFaJQ5jJbiJ4h9Moyvy2eRxO RGohxbwl7DV3lBtCCnxOUiz8grPvjKT3gEOwYQlMmsRlqYi38QqDSpAD16i+nZhvNXvoNfhbUZ/5 SGknC/KGZSxJjdCnBtxrP1/jl/UO/XZcX5oUhX/FxiqVOhoPopcZb6yW2hU0WxY8rvRSG1p/aXOh ncAhdayBBy7iNDDZKwZiH5Sy8vBaUFrxa/tcewIbcgwZXqH7eTNys6dmh1GxaWhvgXbY4BNbzpk/ kzNN7w7lyFvFvI12q7FpnGu9rRMpGfmnypoG76fzLsQ/AdLD/lBXD5dovX5uCSPq7hTw80Vudx+f qV2NnH8RT7GDznYJhgseiql6uq79fRaW5/0C6QDOZShATaPRYGC68doK1Un6oLLQwsuD6vp8qabp 5jR5gBSOBD+vcSmIjEh3bIBUKvyjeKALnlf5VoIp/mlWEG1e+58SAEne8hFwnXVafjpg4m7yDqcP JuQJ93E/F7Hzp6Q5EgAGUTkyfRemUTbMho7sl/vywOvVpM8xUkCywFH35Tb2BFkj9t4eUUiCauIC V5F5/vldYL6Kum4cEnpyzSgUJKY2hdg3LK5l7c9aM2/dXVRzD2zX9YGdbmEmF54Qp6ULiJqI5SjL mM6U5vjxMooAGz3Ha5boNtbN56tvKxLToaUKzbmQBZZ8ADLttaHejXfo37AeLQ8pBEV9yCKiBGKR KShAZqYAQ17uRErQZxEMzH9gi7uy72CqAZcQP4nAnlWr2Q6pYE5Sx4hvDsTOy9P98LvjnCElItWI bPjGuX9BZI0Y9/peCbCTL0fXXwxUDlFWNycYKmFJH7S1k1vZ5xiYsdOStNnZKE3lgRciPiXjuv+X CJ5cr5cVJodDoXMDPFsU4y6/SDseyZR7TKwbr52Nf5D2iqngeaDkDxa+CCjxm3ScCDY8qn9PY7Cc BodAMJeWgtaC67yX2f43BX1n0AcHQe6fvqEkIx5NOej0VBLiI6ACLaDKZdrBDDhFW0qJdcwkhqr+ w6VCfz/Wq0aoj9wNIgenlqOjs3dGoAxkCyRBPmO9mFU= `protect end_protected
mit
dries007/Basys3
FPGA-Z/FPGA-Z.srcs/sources_1/new/Font.vhd
1
59948
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.std_logic_unsigned.all; use ieee.math_real.all; package Font is function draw_char(X : integer range 0 to 8; Y : integer range 0 to 16; char : integer range 0 to 128) return boolean; end Font; package body Font is function draw_char(X : integer range 0 to 8; Y : integer range 0 to 16; char : integer range 0 to 128) return boolean is type rom_type is array (0 to 2**11-1) of std_logic_vector(7 downto 0); constant ROM: rom_type:=( "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x01 ? "00000000", -- 0 "00000000", -- 1 "01111110", -- 2 ****** "10000001", -- 3 * * "10100101", -- 4 * * * * "10000001", -- 5 * * "10000001", -- 6 * * "10111101", -- 7 * **** * "10011001", -- 8 * ** * "10000001", -- 9 * * "10000001", -- a * * "01111110", -- b ****** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x02 ? "00000000", -- 0 "00000000", -- 1 "01111110", -- 2 ****** "11111111", -- 3 ******** "11011011", -- 4 ** ** ** "11111111", -- 5 ******** "11111111", -- 6 ******** "11000011", -- 7 ** ** "11100111", -- 8 *** *** "11111111", -- 9 ******** "11111111", -- a ******** "01111110", -- b ****** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x03 ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "01101100", -- 4 ** ** "11111110", -- 5 ******* "11111110", -- 6 ******* "11111110", -- 7 ******* "11111110", -- 8 ******* "01111100", -- 9 ***** "00111000", -- a *** "00010000", -- b * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x04 ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00001000", -- 4 * "00011100", -- 5 *** "00111110", -- 6 ***** "01111111", -- 7 ******* "00111110", -- 8 ***** "00011100", -- 9 *** "00001000", -- a * "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x05 ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00011000", -- 3 ** "00111100", -- 4 **** "00111100", -- 5 **** "11100111", -- 6 *** *** "11100111", -- 7 *** *** "11100111", -- 8 *** *** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x06 ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00011000", -- 3 ** "00111100", -- 4 **** "01111110", -- 5 ****** "11111111", -- 6 ******** "11111111", -- 7 ******** "01111110", -- 8 ****** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x07 � "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00011000", -- 6 ** "00111100", -- 7 **** "00111100", -- 8 **** "00011000", -- 9 ** "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x08 ? "11111111", -- 0 ******** "11111111", -- 1 ******** "11111111", -- 2 ******** "11111111", -- 3 ******** "11111111", -- 4 ******** "11111111", -- 5 ******** "11100111", -- 6 *** *** "11000011", -- 7 ** ** "11000011", -- 8 ** ** "11100111", -- 9 *** *** "11111111", -- a ******** "11111111", -- b ******** "11111111", -- c ******** "11111111", -- d ******** "11111111", -- e ******** "11111111", -- f ******** -- code x09 ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00111100", -- 5 **** "01100110", -- 6 ** ** "01000010", -- 7 * * "01000010", -- 8 * * "01100110", -- 9 ** ** "00111100", -- a **** "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x0a ? "11111111", -- 0 ******** "11111111", -- 1 ******** "11111111", -- 2 ******** "11111111", -- 3 ******** "11111111", -- 4 ******** "11000011", -- 5 ** ** "10011001", -- 6 * ** * "10111101", -- 7 * **** * "10111101", -- 8 * **** * "10011001", -- 9 * ** * "11000011", -- a ** ** "11111111", -- b ******** "11111111", -- c ******** "11111111", -- d ******** "11111111", -- e ******** "11111111", -- f ******** -- code x0b ? "00000000", -- 0 "00000000", -- 1 "01111000", -- 2 **** "01110000", -- 3 *** "01011000", -- 4 ** * "01001100", -- 5 ** * "00011110", -- 6 **** "00110011", -- 7 ** ** "00110011", -- 8 ** ** "00110011", -- 9 ** ** "00110011", -- a ** ** "00011110", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x0c ? "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "01100110", -- 6 ** ** "00111100", -- 7 **** "00011000", -- 8 ** "01111110", -- 9 ****** "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x0d ? "00000000", -- 0 "00000000", -- 1 "11111100", -- 2 ****** "11001100", -- 3 ** ** "11111100", -- 4 ****** "00001100", -- 5 ** "00001100", -- 6 ** "00001100", -- 7 ** "00001100", -- 8 ** "00001110", -- 9 *** "00001111", -- a **** "00000111", -- b *** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x0e ? "00000000", -- 0 "00000000", -- 1 "11111110", -- 2 ******* "11000110", -- 3 ** ** "11111110", -- 4 ******* "11000110", -- 5 ** ** "11000110", -- 6 ** ** "11000110", -- 7 ** ** "11000110", -- 8 ** ** "11100110", -- 9 ** *** "11100111", -- a *** *** "01100111", -- b *** ** "00000011", -- c ** "00000000", -- d "00000000", -- e "00000000", -- f -- code x0f ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00011000", -- 3 ** "00011000", -- 4 ** "11011011", -- 5 ** ** ** "00111100", -- 6 **** "11100111", -- 7 *** *** "00111100", -- 8 **** "11011011", -- 9 ** ** ** "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x10 ? "00000000", -- 0 "00000001", -- 1 * "00000011", -- 2 ** "00000111", -- 3 *** "00001111", -- 4 **** "00011111", -- 5 ***** "01111111", -- 6 ******* "00011111", -- 7 ***** "00001111", -- 8 **** "00000111", -- 9 *** "00000011", -- a ** "00000001", -- b * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x11 ? "00000000", -- 0 "01000000", -- 1 * "01100000", -- 2 ** "01110000", -- 3 *** "01111000", -- 4 **** "01111100", -- 5 ***** "01111111", -- 6 ******* "01111100", -- 7 ***** "01111000", -- 8 **** "01110000", -- 9 *** "01100000", -- a ** "01000000", -- b * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x12 ? "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00111100", -- 3 **** "01111110", -- 4 ****** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "01111110", -- 8 ****** "00111100", -- 9 **** "00011000", -- a ** "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x13 ? "00000000", -- 0 "00000000", -- 1 "01100110", -- 2 ** ** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "01100110", -- 6 ** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "00000000", -- 9 "01100110", -- a ** ** "01100110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x14 � "00000000", -- 0 "00000000", -- 1 "11111110", -- 2 ******* "11011011", -- 3 ** ** ** "11011011", -- 4 ** ** ** "11011011", -- 5 ** ** ** "11011110", -- 6 **** ** "11011000", -- 7 ** ** "11011000", -- 8 ** ** "11011000", -- 9 ** ** "11011000", -- a ** ** "11011000", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x15 � "00000000", -- 0 "00111110", -- 1 ***** "01100011", -- 2 ** ** "00000110", -- 3 ** "00011100", -- 4 *** "00110110", -- 5 ** ** "01100011", -- 6 ** ** "01100011", -- 7 ** ** "00110110", -- 8 ** ** "00011100", -- 9 *** "00110000", -- a ** "01100011", -- b ** ** "00111110", -- c ***** "00000000", -- d "00000000", -- e "00000000", -- f -- code x16 ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "01111111", -- 8 ******* "01111111", -- 9 ******* "01111111", -- a ******* "01111111", -- b ******* "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x17 ? "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00111100", -- 3 **** "01111110", -- 4 ****** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "01111110", -- 8 ****** "00111100", -- 9 **** "00011000", -- a ** "01111110", -- b ****** "00001100", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x18 ? "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00111100", -- 3 **** "01111110", -- 4 ****** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x19 ? "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "01111110", -- 9 ****** "00111100", -- a **** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1a ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00011000", -- 5 ** "00110000", -- 6 ** "01111111", -- 7 ******* "00110000", -- 8 ** "00011000", -- 9 ** "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1b ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00001100", -- 5 ** "00000110", -- 6 ** "01111111", -- 7 ******* "00000110", -- 8 ** "00001100", -- 9 ** "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1c ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000011", -- 6 ** "00000011", -- 7 ** "00000011", -- 8 ** "01111111", -- 9 ******* "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1d ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00100100", -- 5 * * "01100110", -- 6 ** ** "11111111", -- 7 ******** "01100110", -- 8 ** ** "00100100", -- 9 * * "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1e ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00001000", -- 4 * "00011100", -- 5 *** "00011100", -- 6 *** "00111110", -- 7 ***** "00111110", -- 8 ***** "01111111", -- 9 ******* "01111111", -- a ******* "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1f ? "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "01111111", -- 4 ******* "01111111", -- 5 ******* "00111110", -- 6 ***** "00111110", -- 7 ***** "00011100", -- 8 *** "00011100", -- 9 *** "00001000", -- a * "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x20 ' ' "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x21 ! "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00111100", -- 3 **** "00111100", -- 4 **** "00111100", -- 5 **** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00000000", -- 9 "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x22 " "00000000", -- 0 "01100110", -- 1 ** ** "01100110", -- 2 ** ** "01100110", -- 3 ** ** "00100100", -- 4 * * "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x23 # "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00110110", -- 3 ** ** "00110110", -- 4 ** ** "01111111", -- 5 ******* "00110110", -- 6 ** ** "00110110", -- 7 ** ** "00110110", -- 8 ** ** "01111111", -- 9 ******* "00110110", -- a ** ** "00110110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x24 $ "00011000", -- 0 ** "00011000", -- 1 ** "00111110", -- 2 ***** "01100011", -- 3 ** ** "01000011", -- 4 ** * "00000011", -- 5 ** "00111110", -- 6 ***** "01100000", -- 7 ** "01100000", -- 8 ** "01100001", -- 9 * ** "01100011", -- a ** ** "00111110", -- b ***** "00011000", -- c ** "00011000", -- d ** "00000000", -- e "00000000", -- f -- code x25 % "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "01000011", -- 4 ** * "01100011", -- 5 ** ** "00110000", -- 6 ** "00011000", -- 7 ** "00001100", -- 8 ** "00000110", -- 9 ** "01100011", -- a ** ** "01100001", -- b * ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x26 & "00000000", -- 0 "00000000", -- 1 "00011100", -- 2 *** "00110110", -- 3 ** ** "00110110", -- 4 ** ** "00011100", -- 5 *** "01101110", -- 6 *** ** "00111011", -- 7 ** *** "00110011", -- 8 ** ** "00110011", -- 9 ** ** "00110011", -- a ** ** "01101110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x27 ' "00000000", -- 0 "00001100", -- 1 ** "00001100", -- 2 ** "00001100", -- 3 ** "00000110", -- 4 ** "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x28 ( "00000000", -- 0 "00000000", -- 1 "00110000", -- 2 ** "00011000", -- 3 ** "00001100", -- 4 ** "00001100", -- 5 ** "00001100", -- 6 ** "00001100", -- 7 ** "00001100", -- 8 ** "00001100", -- 9 ** "00011000", -- a ** "00110000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x29 ) "00000000", -- 0 "00000000", -- 1 "00001100", -- 2 ** "00011000", -- 3 ** "00110000", -- 4 ** "00110000", -- 5 ** "00110000", -- 6 ** "00110000", -- 7 ** "00110000", -- 8 ** "00110000", -- 9 ** "00011000", -- a ** "00001100", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x2a * "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01100110", -- 5 ** ** "00111100", -- 6 **** "11111111", -- 7 ******** "00111100", -- 8 **** "01100110", -- 9 ** ** "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x2b + "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00011000", -- 5 ** "00011000", -- 6 ** "01111110", -- 7 ****** "00011000", -- 8 ** "00011000", -- 9 ** "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x2c , "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00011000", -- 9 ** "00011000", -- a ** "00011000", -- b ** "00001100", -- c ** "00000000", -- d "00000000", -- e "00000000", -- f -- code x2d - "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "01111110", -- 7 ****** "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x2e . "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x2f / "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "01000000", -- 4 * "01100000", -- 5 ** "00110000", -- 6 ** "00011000", -- 7 ** "00001100", -- 8 ** "00000110", -- 9 ** "00000011", -- a ** "00000001", -- b * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x30 "00000000", -- 0 "00000000", -- 1 "00111110", -- 2 ***** "01100011", -- 3 ** ** "01100011", -- 4 ** ** "01110011", -- 5 ** *** "01111011", -- 6 ** **** "01101111", -- 7 **** ** "01100111", -- 8 *** ** "01100011", -- 9 ** ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x31 "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 "00011100", -- 3 "00011110", -- 4 ** "00011000", -- 5 *** "00011000", -- 6 **** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "01111110", -- b ** "00000000", -- c ** "00000000", -- d ****** "00000000", -- e "00000000", -- f -- code x32 "00000000", -- 0 "00000000", -- 1 "00111110", -- 2 ***** "01100011", -- 3 ** ** "01100000", -- 4 ** "00110000", -- 5 ** "00011000", -- 6 ** "00001100", -- 7 ** "00000110", -- 8 ** "00000011", -- 9 ** "01100011", -- a ** ** "01111111", -- b ******* "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x33 "00000000", -- 0 "00000000", -- 1 "00111110", -- 2 ***** "01100011", -- 3 ** ** "01100000", -- 4 ** "01100000", -- 5 ** "00111100", -- 6 **** "01100000", -- 7 ** "01100000", -- 8 ** "01100000", -- 9 ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x34 "00000000", -- 0 "00000000", -- 1 "00110000", -- 2 ** "00111000", -- 3 *** "00111100", -- 4 **** "00110110", -- 5 ** ** "00110011", -- 6 ** ** "01111111", -- 7 ******* "00110000", -- 8 ** "00110000", -- 9 ** "00110000", -- a ** "01111000", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x35 "00000000", -- 0 "00000000", -- 1 "01111111", -- 2 ******* "00000011", -- 3 ** "00000011", -- 4 ** "00000011", -- 5 ** "00111111", -- 6 ****** "01100000", -- 7 ** "01100000", -- 8 ** "01100000", -- 9 ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x36 "00000000", -- 0 "00000000", -- 1 "00011100", -- 2 *** "00000110", -- 3 ** "00000011", -- 4 ** "00000011", -- 5 ** "00111111", -- 6 ****** "01100011", -- 7 ** ** "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x37 "00000000", -- 0 "00000000", -- 1 "01111111", -- 2 ******* "01100011", -- 3 ** ** "01100000", -- 4 ** "01100000", -- 5 ** "00110000", -- 6 ** "00011000", -- 7 ** "00001100", -- 8 ** "00001100", -- 9 ** "00001100", -- a ** "00001100", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x38 "00000000", -- 0 "00000000", -- 1 "00111110", -- 2 ***** "01100011", -- 3 ** ** "01100011", -- 4 ** ** "01100011", -- 5 ** ** "00111110", -- 6 ***** "01100011", -- 7 ** ** "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x39 "00000000", -- 0 "00000000", -- 1 "00111110", -- 2 ***** "01100011", -- 3 ** ** "01100011", -- 4 ** ** "01100011", -- 5 ** ** "01111110", -- 6 ****** "01100000", -- 7 ** "01100000", -- 8 ** "01100000", -- 9 ** "00110000", -- a ** "00011110", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3a : "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00011000", -- 4 ** "00011000", -- 5 ** "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00011000", -- 9 ** "00011000", -- a ** "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3b ; "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00011000", -- 4 ** "00011000", -- 5 ** "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00011000", -- 9 ** "00011000", -- a ** "00001100", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3c < "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "01100000", -- 3 ** "00110000", -- 4 ** "00011000", -- 5 ** "00001100", -- 6 ** "00000110", -- 7 ** "00001100", -- 8 ** "00011000", -- 9 ** "00110000", -- a ** "01100000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3d = "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01111110", -- 5 ****** "00000000", -- 6 "00000000", -- 7 "01111110", -- 8 ****** "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3e > "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000110", -- 3 ** "00001100", -- 4 ** "00011000", -- 5 ** "00110000", -- 6 ** "01100000", -- 7 ** "00110000", -- 8 ** "00011000", -- 9 ** "00001100", -- a ** "00000110", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3f ? "00000000", -- 0 "00000000", -- 1 "00111110", -- 2 ***** "01100011", -- 3 ** ** "01100011", -- 4 ** ** "00110000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00000000", -- 9 "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x40 @ "00000000", -- 0 "00000000", -- 1 "00111110", -- 2 ***** "01100011", -- 3 ** ** "01100011", -- 4 ** ** "01100011", -- 5 ** ** "01111011", -- 6 ** **** "01111011", -- 7 ** **** "01111011", -- 8 ** **** "00111011", -- 9 ** *** "00000011", -- a ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x41 "00000000", -- 0 "00000000", -- 1 "00001000", -- 2 * "00011100", -- 3 *** "00110110", -- 4 ** ** "01100011", -- 5 ** ** "01100011", -- 6 ** ** "01111111", -- 7 ******* "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01100011", -- a ** ** "01100011", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x42 "00000000", -- 0 "00000000", -- 1 "00111111", -- 2 ****** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "00111110", -- 6 ***** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "00111111", -- b ****** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x43 "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "01100110", -- 3 ** ** "01000011", -- 4 ** * "00000011", -- 5 ** "00000011", -- 6 ** "00000011", -- 7 ** "00000011", -- 8 ** "01000011", -- 9 ** * "01100110", -- a ** ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x44 "00000000", -- 0 "00000000", -- 1 "00011111", -- 2 ***** "00110110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "01100110", -- 6 ** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "00110110", -- a ** ** "00011111", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x45 "00000000", -- 0 "00000000", -- 1 "01111111", -- 2 ******* "01100110", -- 3 ** ** "01000110", -- 4 ** * "00010110", -- 5 ** * "00011110", -- 6 **** "00010110", -- 7 ** * "00000110", -- 8 ** "01000110", -- 9 ** * "01100110", -- a ** ** "01111111", -- b ******* "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x46 "00000000", -- 0 "00000000", -- 1 "01111111", -- 2 ******* "01100110", -- 3 ** ** "01000110", -- 4 ** * "00010110", -- 5 ** * "00011110", -- 6 **** "00010110", -- 7 ** * "00000110", -- 8 ** "00000110", -- 9 ** "00000110", -- a ** "00001111", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x47 "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "01100110", -- 3 ** ** "01000011", -- 4 ** * "00000011", -- 5 ** "00000011", -- 6 ** "01111011", -- 7 ** **** "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01100110", -- a ** ** "01011100", -- b *** * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x48 "00000000", -- 0 "00000000", -- 1 "01100011", -- 2 ** ** "01100011", -- 3 ** ** "01100011", -- 4 ** ** "01100011", -- 5 ** ** "01111111", -- 6 ******* "01100011", -- 7 ** ** "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01100011", -- a ** ** "01100011", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x49 "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4a "00000000", -- 0 "00000000", -- 1 "01111000", -- 2 **** "00110000", -- 3 ** "00110000", -- 4 ** "00110000", -- 5 ** "00110000", -- 6 ** "00110000", -- 7 ** "00110011", -- 8 ** ** "00110011", -- 9 ** ** "00110011", -- a ** ** "00011110", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4b "00000000", -- 0 "00000000", -- 1 "01100111", -- 2 *** ** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "00110110", -- 5 ** ** "00011110", -- 6 **** "00011110", -- 7 **** "00110110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "01100111", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4c "00000000", -- 0 "00000000", -- 1 "00001111", -- 2 **** "00000110", -- 3 ** "00000110", -- 4 ** "00000110", -- 5 ** "00000110", -- 6 ** "00000110", -- 7 ** "00000110", -- 8 ** "01000110", -- 9 ** * "01100110", -- a ** ** "01111111", -- b ******* "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4d "00000000", -- 0 "00000000", -- 1 "11000011", -- 2 ** ** "11100111", -- 3 *** *** "11111111", -- 4 ******** "11111111", -- 5 ******** "11011011", -- 6 ** ** ** "11000011", -- 7 ** ** "11000011", -- 8 ** ** "11000011", -- 9 ** ** "11000011", -- a ** ** "11000011", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4e "00000000", -- 0 "00000000", -- 1 "01100011", -- 2 ** ** "01100111", -- 3 *** ** "01101111", -- 4 **** ** "01111111", -- 5 ******* "01111011", -- 6 ** **** "01110011", -- 7 ** *** "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01100011", -- a ** ** "01100011", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4f "00000000", -- 0 "00000000", -- 1 "00111110", -- 2 ***** "01100011", -- 3 ** ** "01100011", -- 4 ** ** "01100011", -- 5 ** ** "01100011", -- 6 ** ** "01100011", -- 7 ** ** "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x50 "00000000", -- 0 "00000000", -- 1 "00111111", -- 2 ****** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "00111110", -- 6 ***** "00000110", -- 7 ** "00000110", -- 8 ** "00000110", -- 9 ** "00000110", -- a ** "00001111", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x510 "00000000", -- 0 "00000000", -- 1 "00111110", -- 2 ***** "01100011", -- 3 ** ** "01100011", -- 4 ** ** "01100011", -- 5 ** ** "01100011", -- 6 ** ** "01100011", -- 7 ** ** "01100011", -- 8 ** ** "01101011", -- 9 ** * ** "01111011", -- a ** **** "00111110", -- b ***** "00110000", -- c ** "01110000", -- d *** "00000000", -- e "00000000", -- f -- code x52 "00000000", -- 0 "00000000", -- 1 "00111111", -- 2 ****** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "00111110", -- 6 ***** "00110110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "01100111", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x53 "00000000", -- 0 "00000000", -- 1 "00111110", -- 2 ***** "01100011", -- 3 ** ** "01100011", -- 4 ** ** "00000110", -- 5 ** "00011100", -- 6 *** "00110000", -- 7 ** "01100000", -- 8 ** "01100011", -- 9 ** ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x54 "00000000", -- 0 "00000000", -- 1 "11111111", -- 2 ******** "11011011", -- 3 ** ** ** "10011001", -- 4 * ** * "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x55 "00000000", -- 0 "00000000", -- 1 "01100011", -- 2 ** ** "01100011", -- 3 ** ** "01100011", -- 4 ** ** "01100011", -- 5 ** ** "01100011", -- 6 ** ** "01100011", -- 7 ** ** "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x56 "00000000", -- 0 "00000000", -- 1 "11000011", -- 2 ** ** "11000011", -- 3 ** ** "11000011", -- 4 ** ** "11000011", -- 5 ** ** "11000011", -- 6 ** ** "11000011", -- 7 ** ** "11000011", -- 8 ** ** "01100110", -- 9 ** ** "00111100", -- a **** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x57 "00000000", -- 0 "00000000", -- 1 "11000011", -- 2 ** ** "11000011", -- 3 ** ** "11000011", -- 4 ** ** "11000011", -- 5 ** ** "11000011", -- 6 ** ** "11011011", -- 7 ** ** ** "11011011", -- 8 ** ** ** "11111111", -- 9 ******** "01100110", -- a ** ** "01100110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x58 "00000000", -- 0 "00000000", -- 1 "11000011", -- 2 ** ** "11000011", -- 3 ** ** "01100110", -- 4 ** ** "00111100", -- 5 **** "00011000", -- 6 ** "00011000", -- 7 ** "00111100", -- 8 **** "01100110", -- 9 ** ** "11000011", -- a ** ** "11000011", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x59 "00000000", -- 0 "00000000", -- 1 "11000011", -- 2 ** ** "11000011", -- 3 ** ** "11000011", -- 4 ** ** "01100110", -- 5 ** ** "00111100", -- 6 **** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5a "00000000", -- 0 "00000000", -- 1 "11111111", -- 2 ******** "11000011", -- 3 ** ** "01100001", -- 4 * ** "00110000", -- 5 ** "00011000", -- 6 ** "00001100", -- 7 ** "00000110", -- 8 ** "10000011", -- 9 ** * "11000011", -- a ** ** "11111111", -- b ******** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5b "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "00001100", -- 3 ** "00001100", -- 4 ** "00001100", -- 5 ** "00001100", -- 6 ** "00001100", -- 7 ** "00001100", -- 8 ** "00001100", -- 9 ** "00001100", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5c "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000001", -- 3 * "00000011", -- 4 ** "00000111", -- 5 *** "00001110", -- 6 *** "00011100", -- 7 *** "00111000", -- 8 *** "01110000", -- 9 *** "01100000", -- a ** "01000000", -- b * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5d "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "00110000", -- 3 ** "00110000", -- 4 ** "00110000", -- 5 ** "00110000", -- 6 ** "00110000", -- 7 ** "00110000", -- 8 ** "00110000", -- 9 ** "00110000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5e "00001000", -- 0 * "00011100", -- 1 *** "00110110", -- 2 ** ** "01100011", -- 3 ** ** "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5f "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "11111111", -- d ******** "00000000", -- e "00000000", -- f -- code x60 "00001100", -- 0 ** "00001100", -- 1 ** "00011000", -- 2 ** "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x61 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00011110", -- 5 **** "00110000", -- 6 ** "00111110", -- 7 ***** "00110011", -- 8 ** ** "00110011", -- 9 ** ** "00110011", -- a ** ** "01101110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x62 "00000000", -- 0 "00000000", -- 1 "00000111", -- 2 *** "00000110", -- 3 ** "00000110", -- 4 ** "00011110", -- 5 **** "00110110", -- 6 ** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x63 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00111110", -- 5 ***** "01100011", -- 6 ** ** "00000011", -- 7 ** "00000011", -- 8 ** "00000011", -- 9 ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x64 "00000000", -- 0 "00000000", -- 1 "00111000", -- 2 *** "00110000", -- 3 ** "00110000", -- 4 ** "00111100", -- 5 **** "00110110", -- 6 ** ** "00110011", -- 7 ** ** "00110011", -- 8 ** ** "00110011", -- 9 ** ** "00110011", -- a ** ** "01101110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x65 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00111110", -- 5 ***** "01100011", -- 6 ** ** "01111111", -- 7 ******* "00000011", -- 8 ** "00000011", -- 9 ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x66 "00000000", -- 0 "00000000", -- 1 "00011100", -- 2 *** "00110110", -- 3 ** ** "00100110", -- 4 ** * "00000110", -- 5 ** "00001111", -- 6 **** "00000110", -- 7 ** "00000110", -- 8 ** "00000110", -- 9 ** "00000110", -- a ** "00001111", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x67 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01101110", -- 5 *** ** "00110011", -- 6 ** ** "00110011", -- 7 ** ** "00110011", -- 8 ** ** "00110011", -- 9 ** ** "00110011", -- a ** ** "00111110", -- b ***** "00110000", -- c ** "00110011", -- d ** ** "00011110", -- e **** "00000000", -- f -- code x68 "00000000", -- 0 "00000000", -- 1 "00000111", -- 2 *** "00000110", -- 3 ** "00000110", -- 4 ** "00110110", -- 5 ** ** "01101110", -- 6 *** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "01100111", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x69 "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00011000", -- 3 ** "00000000", -- 4 "00011100", -- 5 *** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x6a "00000000", -- 0 "00000000", -- 1 "01100000", -- 2 ** "01100000", -- 3 ** "00000000", -- 4 "01110000", -- 5 *** "01100000", -- 6 ** "01100000", -- 7 ** "01100000", -- 8 ** "01100000", -- 9 ** "01100000", -- a ** "01100000", -- b ** "01100110", -- c ** ** "01100110", -- d ** ** "00111100", -- e **** "00000000", -- f -- code x6b "00000000", -- 0 "00000000", -- 1 "00000111", -- 2 *** "00000110", -- 3 ** "00000110", -- 4 ** "01100110", -- 5 ** ** "00110110", -- 6 ** ** "00011110", -- 7 **** "00011110", -- 8 **** "00110110", -- 9 ** ** "01100110", -- a ** ** "01100111", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x6c "00000000", -- 0 "00000000", -- 1 "00011100", -- 2 *** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x6d "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01100111", -- 5 *** ** "11111111", -- 6 ******** "11011011", -- 7 ** ** ** "11011011", -- 8 ** ** ** "11011011", -- 9 ** ** ** "11011011", -- a ** ** ** "11011011", -- b ** ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x6e "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00111011", -- 5 ** *** "01100110", -- 6 ** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "01100110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x6f "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00111110", -- 5 ***** "01100011", -- 6 ** ** "01100011", -- 7 ** ** "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x70 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00111011", -- 5 ** *** "01100110", -- 6 ** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "00111110", -- b ***** "00000110", -- c ** "00000110", -- d ** "00001111", -- e **** "00000000", -- f -- code x71 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01101110", -- 5 *** ** "00110011", -- 6 ** ** "00110011", -- 7 ** ** "00110011", -- 8 ** ** "00110011", -- 9 ** ** "00110011", -- a ** ** "00111110", -- b ***** "00110000", -- c ** "00110000", -- d ** "01111000", -- e **** "00000000", -- f -- code x72 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00111011", -- 5 ** *** "01101110", -- 6 *** ** "01100110", -- 7 ** ** "00000110", -- 8 ** "00000110", -- 9 ** "00000110", -- a ** "00001111", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x73 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00111110", -- 5 ***** "01100011", -- 6 ** ** "00000110", -- 7 ** "00011100", -- 8 *** "00110000", -- 9 ** "01100011", -- a ** ** "00111110", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x74 "00000000", -- 0 "00000000", -- 1 "00001000", -- 2 * "00001100", -- 3 ** "00001100", -- 4 ** "00111111", -- 5 ****** "00001100", -- 6 ** "00001100", -- 7 ** "00001100", -- 8 ** "00001100", -- 9 ** "01101100", -- a ** ** "00111000", -- b *** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x75 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00110011", -- 5 ** ** "00110011", -- 6 ** ** "00110011", -- 7 ** ** "00110011", -- 8 ** ** "00110011", -- 9 ** ** "00110011", -- a ** ** "01101110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x76 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11000011", -- 5 ** ** "11000011", -- 6 ** ** "11000011", -- 7 ** ** "11000011", -- 8 ** ** "01100110", -- 9 ** ** "00111100", -- a **** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x77 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11000011", -- 5 ** ** "11000011", -- 6 ** ** "11000011", -- 7 ** ** "11011011", -- 8 ** ** ** "11011011", -- 9 ** ** ** "11111111", -- a ******** "01100110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x78 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11000011", -- 5 ** ** "01100110", -- 6 ** ** "00111100", -- 7 **** "00011000", -- 8 ** "00111100", -- 9 **** "01100110", -- a ** ** "11000011", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x79 "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01100011", -- 5 ** ** "01100011", -- 6 ** ** "01100011", -- 7 ** ** "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01100011", -- a ** ** "01111110", -- b ****** "01100000", -- c ** "00110000", -- d ** "00011111", -- e ***** "00000000", -- f -- code x7a "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01111111", -- 5 ******* "00110011", -- 6 ** ** "00011000", -- 7 ** "00001100", -- 8 ** "00000110", -- 9 ** "01100011", -- a ** ** "01111111", -- b ******* "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x7b { "00000000", -- 0 "00000000", -- 1 "01110000", -- 2 *** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "00001110", -- 6 *** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "01110000", -- b *** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x7c | "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "00000000", -- 6 "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x7d } "00000000", -- 0 "00000000", -- 1 "00001110", -- 2 *** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "01110000", -- 6 *** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00001110", -- b *** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x7e ~ "00000000", -- 0 "00000000", -- 1 "01101110", -- 2 *** ** "00111011", -- 3 ** *** "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x7f "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00001000", -- 4 * "00011100", -- 5 *** "00110110", -- 6 ** ** "01100011", -- 7 ** ** "01100011", -- 8 ** ** "01100011", -- 9 ** ** "01111111", -- a ******* "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000" -- f ); begin return ROM((char * 16) + Y)(X) = '1'; end draw_char; end Font;
mit
dries007/Basys3
VGA/VGA.srcs/sources_1/ip/v_ram/v_ram_sim_netlist.vhdl
1
624873
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.4 (win64) Build 1412921 Wed Nov 18 09:43:45 MST 2015 -- Date : Tue Mar 01 14:57:36 2016 -- Host : Dries007Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim d:/Xilinx/Projects/VGA/VGA.srcs/sources_1/ip/v_ram/v_ram_sim_netlist.vhdl -- Design : v_ram -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_mux__parameterized0\ is port ( \^doutb\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); DOBDO : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 4 downto 0 ); clkb : in STD_LOGIC; DOUTB : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); DOPBDOP : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_19\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_20\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_21\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_22\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_23\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_24\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_25\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_26\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_27\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_28\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_29\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_30\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_31\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_32\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_33\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_34\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_35\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_36\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_mux__parameterized0\ : entity is "blk_mem_gen_mux"; end \v_ram_blk_mem_gen_mux__parameterized0\; architecture STRUCTURE of \v_ram_blk_mem_gen_mux__parameterized0\ is signal \doutb[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[10]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[10]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[10]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[10]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[10]_INST_0_i_5_n_0\ : STD_LOGIC; signal \doutb[10]_INST_0_i_6_n_0\ : STD_LOGIC; signal \doutb[10]_INST_0_i_7_n_0\ : STD_LOGIC; signal \doutb[11]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[11]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[11]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[11]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[11]_INST_0_i_5_n_0\ : STD_LOGIC; signal \doutb[11]_INST_0_i_6_n_0\ : STD_LOGIC; signal \doutb[11]_INST_0_i_7_n_0\ : STD_LOGIC; signal \doutb[1]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[2]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_5_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_6_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_7_n_0\ : STD_LOGIC; signal \doutb[4]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[4]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[4]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[4]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[4]_INST_0_i_5_n_0\ : STD_LOGIC; signal \doutb[4]_INST_0_i_6_n_0\ : STD_LOGIC; signal \doutb[4]_INST_0_i_7_n_0\ : STD_LOGIC; signal \doutb[5]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[5]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[5]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[5]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[5]_INST_0_i_5_n_0\ : STD_LOGIC; signal \doutb[5]_INST_0_i_6_n_0\ : STD_LOGIC; signal \doutb[5]_INST_0_i_7_n_0\ : STD_LOGIC; signal \doutb[6]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[6]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[6]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[6]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[6]_INST_0_i_5_n_0\ : STD_LOGIC; signal \doutb[6]_INST_0_i_6_n_0\ : STD_LOGIC; signal \doutb[6]_INST_0_i_7_n_0\ : STD_LOGIC; signal \doutb[7]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[7]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[7]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[7]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[7]_INST_0_i_5_n_0\ : STD_LOGIC; signal \doutb[7]_INST_0_i_6_n_0\ : STD_LOGIC; signal \doutb[7]_INST_0_i_7_n_0\ : STD_LOGIC; signal \doutb[8]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[8]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[8]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[8]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[8]_INST_0_i_5_n_0\ : STD_LOGIC; signal \doutb[8]_INST_0_i_6_n_0\ : STD_LOGIC; signal \doutb[8]_INST_0_i_7_n_0\ : STD_LOGIC; signal \doutb[9]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[9]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[9]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[9]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[9]_INST_0_i_5_n_0\ : STD_LOGIC; signal \doutb[9]_INST_0_i_6_n_0\ : STD_LOGIC; signal \doutb[9]_INST_0_i_7_n_0\ : STD_LOGIC; signal sel_pipe : STD_LOGIC_VECTOR ( 4 downto 0 ); signal sel_pipe_d1 : STD_LOGIC_VECTOR ( 4 downto 0 ); begin \doutb[0]_INST_0\: unisim.vcomponents.MUXF7 port map ( I0 => DOUTB(0), I1 => \doutb[0]_INST_0_i_1_n_0\, O => \^doutb\(0), S => sel_pipe_d1(4) ); \doutb[0]_INST_0_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => sel_pipe_d1(2), I1 => DOBDO(0), I2 => sel_pipe_d1(3), O => \doutb[0]_INST_0_i_1_n_0\ ); \doutb[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"10FF105510AA1000" ) port map ( I0 => sel_pipe_d1(3), I1 => sel_pipe_d1(2), I2 => \doutb[10]_INST_0_i_1_n_0\, I3 => sel_pipe_d1(4), I4 => \doutb[10]_INST_0_i_2_n_0\, I5 => \doutb[10]_INST_0_i_3_n_0\, O => \^doutb\(10) ); \doutb[10]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(7), I1 => sel_pipe_d1(1), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(7), I3 => sel_pipe_d1(0), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(7), O => \doutb[10]_INST_0_i_1_n_0\ ); \doutb[10]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[10]_INST_0_i_4_n_0\, I1 => \doutb[10]_INST_0_i_5_n_0\, O => \doutb[10]_INST_0_i_2_n_0\, S => sel_pipe_d1(2) ); \doutb[10]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[10]_INST_0_i_6_n_0\, I1 => \doutb[10]_INST_0_i_7_n_0\, O => \doutb[10]_INST_0_i_3_n_0\, S => sel_pipe_d1(2) ); \doutb[10]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(7), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(7), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(7), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(7), O => \doutb[10]_INST_0_i_4_n_0\ ); \doutb[10]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(7), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(7), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(7), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(7), O => \doutb[10]_INST_0_i_5_n_0\ ); \doutb[10]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(7), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(7), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(7), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(7), O => \doutb[10]_INST_0_i_6_n_0\ ); \doutb[10]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(7), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(7), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(7), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(7), O => \doutb[10]_INST_0_i_7_n_0\ ); \doutb[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"10FF105510AA1000" ) port map ( I0 => sel_pipe_d1(3), I1 => sel_pipe_d1(2), I2 => \doutb[11]_INST_0_i_1_n_0\, I3 => sel_pipe_d1(4), I4 => \doutb[11]_INST_0_i_2_n_0\, I5 => \doutb[11]_INST_0_i_3_n_0\, O => \^doutb\(11) ); \doutb[11]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_34\(0), I1 => sel_pipe_d1(1), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_35\(0), I3 => sel_pipe_d1(0), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_36\(0), O => \doutb[11]_INST_0_i_1_n_0\ ); \doutb[11]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[11]_INST_0_i_4_n_0\, I1 => \doutb[11]_INST_0_i_5_n_0\, O => \doutb[11]_INST_0_i_2_n_0\, S => sel_pipe_d1(2) ); \doutb[11]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[11]_INST_0_i_6_n_0\, I1 => \doutb[11]_INST_0_i_7_n_0\, O => \doutb[11]_INST_0_i_3_n_0\, S => sel_pipe_d1(2) ); \doutb[11]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_26\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_27\(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_28\(0), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_29\(0), O => \doutb[11]_INST_0_i_4_n_0\ ); \doutb[11]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_30\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_31\(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_32\(0), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_33\(0), O => \doutb[11]_INST_0_i_5_n_0\ ); \doutb[11]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => DOPBDOP(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_19\(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_20\(0), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_21\(0), O => \doutb[11]_INST_0_i_6_n_0\ ); \doutb[11]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_22\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_23\(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_24\(0), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_25\(0), O => \doutb[11]_INST_0_i_7_n_0\ ); \doutb[1]_INST_0\: unisim.vcomponents.MUXF7 port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\(0), I1 => \doutb[1]_INST_0_i_1_n_0\, O => \^doutb\(1), S => sel_pipe_d1(4) ); \doutb[1]_INST_0_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => sel_pipe_d1(2), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(0), I2 => sel_pipe_d1(3), O => \doutb[1]_INST_0_i_1_n_0\ ); \doutb[2]_INST_0\: unisim.vcomponents.MUXF7 port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_0\(0), I1 => \doutb[2]_INST_0_i_1_n_0\, O => \^doutb\(2), S => sel_pipe_d1(4) ); \doutb[2]_INST_0_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => sel_pipe_d1(2), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(1), I2 => sel_pipe_d1(3), O => \doutb[2]_INST_0_i_1_n_0\ ); \doutb[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"10FF105510AA1000" ) port map ( I0 => sel_pipe_d1(3), I1 => sel_pipe_d1(2), I2 => \doutb[3]_INST_0_i_1_n_0\, I3 => sel_pipe_d1(4), I4 => \doutb[3]_INST_0_i_2_n_0\, I5 => \doutb[3]_INST_0_i_3_n_0\, O => \^doutb\(3) ); \doutb[3]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(0), I1 => sel_pipe_d1(1), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(0), I3 => sel_pipe_d1(0), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(0), O => \doutb[3]_INST_0_i_1_n_0\ ); \doutb[3]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[3]_INST_0_i_4_n_0\, I1 => \doutb[3]_INST_0_i_5_n_0\, O => \doutb[3]_INST_0_i_2_n_0\, S => sel_pipe_d1(2) ); \doutb[3]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[3]_INST_0_i_6_n_0\, I1 => \doutb[3]_INST_0_i_7_n_0\, O => \doutb[3]_INST_0_i_3_n_0\, S => sel_pipe_d1(2) ); \doutb[3]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(0), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(0), O => \doutb[3]_INST_0_i_4_n_0\ ); \doutb[3]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(0), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(0), O => \doutb[3]_INST_0_i_5_n_0\ ); \doutb[3]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(0), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(0), O => \doutb[3]_INST_0_i_6_n_0\ ); \doutb[3]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(0), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(0), O => \doutb[3]_INST_0_i_7_n_0\ ); \doutb[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"10FF105510AA1000" ) port map ( I0 => sel_pipe_d1(3), I1 => sel_pipe_d1(2), I2 => \doutb[4]_INST_0_i_1_n_0\, I3 => sel_pipe_d1(4), I4 => \doutb[4]_INST_0_i_2_n_0\, I5 => \doutb[4]_INST_0_i_3_n_0\, O => \^doutb\(4) ); \doutb[4]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(1), I1 => sel_pipe_d1(1), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(1), I3 => sel_pipe_d1(0), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(1), O => \doutb[4]_INST_0_i_1_n_0\ ); \doutb[4]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[4]_INST_0_i_4_n_0\, I1 => \doutb[4]_INST_0_i_5_n_0\, O => \doutb[4]_INST_0_i_2_n_0\, S => sel_pipe_d1(2) ); \doutb[4]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[4]_INST_0_i_6_n_0\, I1 => \doutb[4]_INST_0_i_7_n_0\, O => \doutb[4]_INST_0_i_3_n_0\, S => sel_pipe_d1(2) ); \doutb[4]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(1), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(1), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(1), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(1), O => \doutb[4]_INST_0_i_4_n_0\ ); \doutb[4]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(1), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(1), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(1), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(1), O => \doutb[4]_INST_0_i_5_n_0\ ); \doutb[4]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(1), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(1), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(1), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(1), O => \doutb[4]_INST_0_i_6_n_0\ ); \doutb[4]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(1), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(1), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(1), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(1), O => \doutb[4]_INST_0_i_7_n_0\ ); \doutb[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"10FF105510AA1000" ) port map ( I0 => sel_pipe_d1(3), I1 => sel_pipe_d1(2), I2 => \doutb[5]_INST_0_i_1_n_0\, I3 => sel_pipe_d1(4), I4 => \doutb[5]_INST_0_i_2_n_0\, I5 => \doutb[5]_INST_0_i_3_n_0\, O => \^doutb\(5) ); \doutb[5]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(2), I1 => sel_pipe_d1(1), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(2), I3 => sel_pipe_d1(0), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(2), O => \doutb[5]_INST_0_i_1_n_0\ ); \doutb[5]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[5]_INST_0_i_4_n_0\, I1 => \doutb[5]_INST_0_i_5_n_0\, O => \doutb[5]_INST_0_i_2_n_0\, S => sel_pipe_d1(2) ); \doutb[5]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[5]_INST_0_i_6_n_0\, I1 => \doutb[5]_INST_0_i_7_n_0\, O => \doutb[5]_INST_0_i_3_n_0\, S => sel_pipe_d1(2) ); \doutb[5]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(2), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(2), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(2), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(2), O => \doutb[5]_INST_0_i_4_n_0\ ); \doutb[5]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(2), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(2), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(2), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(2), O => \doutb[5]_INST_0_i_5_n_0\ ); \doutb[5]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(2), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(2), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(2), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(2), O => \doutb[5]_INST_0_i_6_n_0\ ); \doutb[5]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(2), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(2), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(2), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(2), O => \doutb[5]_INST_0_i_7_n_0\ ); \doutb[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"10FF105510AA1000" ) port map ( I0 => sel_pipe_d1(3), I1 => sel_pipe_d1(2), I2 => \doutb[6]_INST_0_i_1_n_0\, I3 => sel_pipe_d1(4), I4 => \doutb[6]_INST_0_i_2_n_0\, I5 => \doutb[6]_INST_0_i_3_n_0\, O => \^doutb\(6) ); \doutb[6]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(3), I1 => sel_pipe_d1(1), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(3), I3 => sel_pipe_d1(0), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(3), O => \doutb[6]_INST_0_i_1_n_0\ ); \doutb[6]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[6]_INST_0_i_4_n_0\, I1 => \doutb[6]_INST_0_i_5_n_0\, O => \doutb[6]_INST_0_i_2_n_0\, S => sel_pipe_d1(2) ); \doutb[6]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[6]_INST_0_i_6_n_0\, I1 => \doutb[6]_INST_0_i_7_n_0\, O => \doutb[6]_INST_0_i_3_n_0\, S => sel_pipe_d1(2) ); \doutb[6]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(3), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(3), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(3), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(3), O => \doutb[6]_INST_0_i_4_n_0\ ); \doutb[6]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(3), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(3), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(3), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(3), O => \doutb[6]_INST_0_i_5_n_0\ ); \doutb[6]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(3), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(3), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(3), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(3), O => \doutb[6]_INST_0_i_6_n_0\ ); \doutb[6]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(3), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(3), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(3), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(3), O => \doutb[6]_INST_0_i_7_n_0\ ); \doutb[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"10FF105510AA1000" ) port map ( I0 => sel_pipe_d1(3), I1 => sel_pipe_d1(2), I2 => \doutb[7]_INST_0_i_1_n_0\, I3 => sel_pipe_d1(4), I4 => \doutb[7]_INST_0_i_2_n_0\, I5 => \doutb[7]_INST_0_i_3_n_0\, O => \^doutb\(7) ); \doutb[7]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(4), I1 => sel_pipe_d1(1), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(4), I3 => sel_pipe_d1(0), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(4), O => \doutb[7]_INST_0_i_1_n_0\ ); \doutb[7]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[7]_INST_0_i_4_n_0\, I1 => \doutb[7]_INST_0_i_5_n_0\, O => \doutb[7]_INST_0_i_2_n_0\, S => sel_pipe_d1(2) ); \doutb[7]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[7]_INST_0_i_6_n_0\, I1 => \doutb[7]_INST_0_i_7_n_0\, O => \doutb[7]_INST_0_i_3_n_0\, S => sel_pipe_d1(2) ); \doutb[7]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(4), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(4), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(4), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(4), O => \doutb[7]_INST_0_i_4_n_0\ ); \doutb[7]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(4), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(4), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(4), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(4), O => \doutb[7]_INST_0_i_5_n_0\ ); \doutb[7]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(4), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(4), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(4), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(4), O => \doutb[7]_INST_0_i_6_n_0\ ); \doutb[7]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(4), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(4), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(4), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(4), O => \doutb[7]_INST_0_i_7_n_0\ ); \doutb[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"10FF105510AA1000" ) port map ( I0 => sel_pipe_d1(3), I1 => sel_pipe_d1(2), I2 => \doutb[8]_INST_0_i_1_n_0\, I3 => sel_pipe_d1(4), I4 => \doutb[8]_INST_0_i_2_n_0\, I5 => \doutb[8]_INST_0_i_3_n_0\, O => \^doutb\(8) ); \doutb[8]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(5), I1 => sel_pipe_d1(1), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(5), I3 => sel_pipe_d1(0), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(5), O => \doutb[8]_INST_0_i_1_n_0\ ); \doutb[8]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[8]_INST_0_i_4_n_0\, I1 => \doutb[8]_INST_0_i_5_n_0\, O => \doutb[8]_INST_0_i_2_n_0\, S => sel_pipe_d1(2) ); \doutb[8]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[8]_INST_0_i_6_n_0\, I1 => \doutb[8]_INST_0_i_7_n_0\, O => \doutb[8]_INST_0_i_3_n_0\, S => sel_pipe_d1(2) ); \doutb[8]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(5), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(5), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(5), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(5), O => \doutb[8]_INST_0_i_4_n_0\ ); \doutb[8]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(5), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(5), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(5), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(5), O => \doutb[8]_INST_0_i_5_n_0\ ); \doutb[8]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(5), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(5), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(5), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(5), O => \doutb[8]_INST_0_i_6_n_0\ ); \doutb[8]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(5), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(5), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(5), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(5), O => \doutb[8]_INST_0_i_7_n_0\ ); \doutb[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"10FF105510AA1000" ) port map ( I0 => sel_pipe_d1(3), I1 => sel_pipe_d1(2), I2 => \doutb[9]_INST_0_i_1_n_0\, I3 => sel_pipe_d1(4), I4 => \doutb[9]_INST_0_i_2_n_0\, I5 => \doutb[9]_INST_0_i_3_n_0\, O => \^doutb\(9) ); \doutb[9]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(6), I1 => sel_pipe_d1(1), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(6), I3 => sel_pipe_d1(0), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(6), O => \doutb[9]_INST_0_i_1_n_0\ ); \doutb[9]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[9]_INST_0_i_4_n_0\, I1 => \doutb[9]_INST_0_i_5_n_0\, O => \doutb[9]_INST_0_i_2_n_0\, S => sel_pipe_d1(2) ); \doutb[9]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \doutb[9]_INST_0_i_6_n_0\, I1 => \doutb[9]_INST_0_i_7_n_0\, O => \doutb[9]_INST_0_i_3_n_0\, S => sel_pipe_d1(2) ); \doutb[9]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(6), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(6), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(6), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(6), O => \doutb[9]_INST_0_i_4_n_0\ ); \doutb[9]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(6), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(6), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(6), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(6), O => \doutb[9]_INST_0_i_5_n_0\ ); \doutb[9]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(6), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(6), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(6), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(6), O => \doutb[9]_INST_0_i_6_n_0\ ); \doutb[9]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(6), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(6), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(6), I4 => sel_pipe_d1(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(6), O => \doutb[9]_INST_0_i_7_n_0\ ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(0), Q => sel_pipe_d1(0), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(1), Q => sel_pipe_d1(1), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(2), Q => sel_pipe_d1(2), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(3), Q => sel_pipe_d1(3), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(4), Q => sel_pipe_d1(4), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(0), Q => sel_pipe(0), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(1), Q => sel_pipe(1), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(2), Q => sel_pipe(2), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(3), Q => sel_pipe(3), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(4), Q => sel_pipe(4), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity v_ram_blk_mem_gen_prim_wrapper_init is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ENA : in STD_LOGIC; ENB : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of v_ram_blk_mem_gen_prim_wrapper_init : entity is "blk_mem_gen_prim_wrapper_init"; end v_ram_blk_mem_gen_prim_wrapper_init; architecture STRUCTURE of v_ram_blk_mem_gen_prim_wrapper_init is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "INDEPENDENT"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 1) => B"0000000000000000000000000000000", DIADI(0) => dina(0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 1) => B"0000000000000000000000000000000", DIADI(0) => dina(0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized0\ is port ( DOBDO : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ram_ena : in STD_LOGIC; ram_enb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 13 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized0\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized0\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized0\ is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 1, DOB_REG => 1, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(13 downto 0) => addra(13 downto 0), ADDRBWRADDR(13 downto 0) => addrb(13 downto 0), CLKARDCLK => clka, CLKBWRCLK => clkb, DIADI(15 downto 1) => B"000000000000000", DIADI(0) => dina(0), DIBDI(15 downto 0) => B"0000000000000000", DIPADIP(1 downto 0) => B"00", DIPBDIP(1 downto 0) => B"00", DOADO(15 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 0), DOBDO(15 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\(15 downto 1), DOBDO(0) => DOBDO(0), DOPADOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1 downto 0), DOPBDOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\(1 downto 0), ENARDEN => ram_ena, ENBWREN => ram_enb, REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1 downto 0) => B"11", WEBWE(3 downto 0) => B"0000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized1\ is port ( ENA : out STD_LOGIC; ENB : out STD_LOGIC; DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized1\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized1\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized1\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \^ena\ : STD_LOGIC; signal \^enb\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "INDEPENDENT"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin ENA <= \^ena\; ENB <= \^enb\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 1) => B"0000000000000000000000000000000", DIADI(0) => dina(0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena\, ENBWREN => \^enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 1) => B"0000000000000000000000000000000", DIADI(0) => dina(0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena\, ENBWREN => \^enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"4" ) port map ( I0 => addra(16), I1 => wea(0), O => \^ena\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => addrb(16), O => \^enb\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized10\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized10\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized10\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized10\ is signal ena_array : STD_LOGIC_VECTOR ( 6 to 6 ); signal enb_array : STD_LOGIC_VECTOR ( 6 to 6 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(6), ENBWREN => enb_array(6), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__5\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => addra(14), I1 => wea(0), I2 => addra(15), I3 => addra(12), I4 => addra(16), I5 => addra(13), O => ena_array(6) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__5\: unisim.vcomponents.LUT5 generic map( INIT => X"01000000" ) port map ( I0 => addrb(15), I1 => addrb(16), I2 => addrb(12), I3 => addrb(13), I4 => addrb(14), O => enb_array(6) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized11\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized11\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized11\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized11\ is signal ena_array : STD_LOGIC_VECTOR ( 7 to 7 ); signal enb_array : STD_LOGIC_VECTOR ( 7 to 7 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(7), ENBWREN => enb_array(7), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__6\: unisim.vcomponents.LUT6 generic map( INIT => X"0008000000000000" ) port map ( I0 => addra(12), I1 => addra(13), I2 => addra(16), I3 => addra(15), I4 => wea(0), I5 => addra(14), O => ena_array(7) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__6\: unisim.vcomponents.LUT5 generic map( INIT => X"10000000" ) port map ( I0 => addrb(15), I1 => addrb(16), I2 => addrb(14), I3 => addrb(12), I4 => addrb(13), O => enb_array(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized12\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized12\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized12\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized12\ is signal ena_array : STD_LOGIC_VECTOR ( 8 to 8 ); signal enb_array : STD_LOGIC_VECTOR ( 8 to 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(8), ENBWREN => enb_array(8), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__7\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000008" ) port map ( I0 => addra(15), I1 => wea(0), I2 => addra(12), I3 => addra(13), I4 => addra(16), I5 => addra(14), O => ena_array(8) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__7\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => addrb(16), I1 => addrb(14), I2 => addrb(15), I3 => addrb(12), I4 => addrb(13), O => enb_array(8) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized13\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized13\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized13\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized13\ is signal ena_array : STD_LOGIC_VECTOR ( 9 to 9 ); signal enb_array : STD_LOGIC_VECTOR ( 9 to 9 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(9), ENBWREN => enb_array(9), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__8\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => addra(12), I1 => wea(0), I2 => addra(13), I3 => addra(14), I4 => addra(16), I5 => addra(15), O => ena_array(9) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__8\: unisim.vcomponents.LUT5 generic map( INIT => X"01000000" ) port map ( I0 => addrb(16), I1 => addrb(14), I2 => addrb(13), I3 => addrb(12), I4 => addrb(15), O => enb_array(9) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized14\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized14\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized14\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized14\ is signal ena_array : STD_LOGIC_VECTOR ( 10 to 10 ); signal enb_array : STD_LOGIC_VECTOR ( 10 to 10 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(10), ENBWREN => enb_array(10), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__9\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => addra(15), I1 => wea(0), I2 => addra(12), I3 => addra(14), I4 => addra(16), I5 => addra(13), O => ena_array(10) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__9\: unisim.vcomponents.LUT5 generic map( INIT => X"01000000" ) port map ( I0 => addrb(16), I1 => addrb(14), I2 => addrb(12), I3 => addrb(13), I4 => addrb(15), O => enb_array(10) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized15\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized15\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized15\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized15\ is signal ena_array : STD_LOGIC_VECTOR ( 11 to 11 ); signal enb_array : STD_LOGIC_VECTOR ( 11 to 11 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(11), ENBWREN => enb_array(11), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__10\: unisim.vcomponents.LUT6 generic map( INIT => X"0008000000000000" ) port map ( I0 => addra(12), I1 => addra(13), I2 => addra(16), I3 => addra(14), I4 => wea(0), I5 => addra(15), O => ena_array(11) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__10\: unisim.vcomponents.LUT5 generic map( INIT => X"10000000" ) port map ( I0 => addrb(16), I1 => addrb(14), I2 => addrb(15), I3 => addrb(12), I4 => addrb(13), O => enb_array(11) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized16\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized16\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized16\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized16\ is signal ena_array : STD_LOGIC_VECTOR ( 12 to 12 ); signal enb_array : STD_LOGIC_VECTOR ( 12 to 12 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(12), ENBWREN => enb_array(12), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__11\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => addra(15), I1 => wea(0), I2 => addra(12), I3 => addra(13), I4 => addra(16), I5 => addra(14), O => ena_array(12) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__11\: unisim.vcomponents.LUT5 generic map( INIT => X"01000000" ) port map ( I0 => addrb(16), I1 => addrb(13), I2 => addrb(12), I3 => addrb(14), I4 => addrb(15), O => enb_array(12) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized17\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized17\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized17\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized17\ is signal ena_array : STD_LOGIC_VECTOR ( 13 to 13 ); signal enb_array : STD_LOGIC_VECTOR ( 13 to 13 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(13), ENBWREN => enb_array(13), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__12\: unisim.vcomponents.LUT6 generic map( INIT => X"0008000000000000" ) port map ( I0 => addra(12), I1 => addra(15), I2 => addra(16), I3 => addra(13), I4 => wea(0), I5 => addra(14), O => ena_array(13) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__12\: unisim.vcomponents.LUT5 generic map( INIT => X"10000000" ) port map ( I0 => addrb(16), I1 => addrb(13), I2 => addrb(15), I3 => addrb(12), I4 => addrb(14), O => enb_array(13) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized18\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized18\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized18\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized18\ is signal ena_array : STD_LOGIC_VECTOR ( 14 to 14 ); signal enb_array : STD_LOGIC_VECTOR ( 14 to 14 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(14), ENBWREN => enb_array(14), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__13\: unisim.vcomponents.LUT6 generic map( INIT => X"0008000000000000" ) port map ( I0 => addra(15), I1 => addra(13), I2 => addra(16), I3 => addra(12), I4 => wea(0), I5 => addra(14), O => ena_array(14) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__13\: unisim.vcomponents.LUT5 generic map( INIT => X"10000000" ) port map ( I0 => addrb(16), I1 => addrb(12), I2 => addrb(15), I3 => addrb(13), I4 => addrb(14), O => enb_array(14) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized19\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized19\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized19\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized19\ is signal ena_array : STD_LOGIC_VECTOR ( 15 to 15 ); signal enb_array : STD_LOGIC_VECTOR ( 15 to 15 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(15), ENBWREN => enb_array(15), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__14\: unisim.vcomponents.LUT6 generic map( INIT => X"0800000000000000" ) port map ( I0 => wea(0), I1 => addra(12), I2 => addra(16), I3 => addra(13), I4 => addra(15), I5 => addra(14), O => ena_array(15) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__14\: unisim.vcomponents.LUT5 generic map( INIT => X"40000000" ) port map ( I0 => addrb(16), I1 => addrb(15), I2 => addrb(14), I3 => addrb(12), I4 => addrb(13), O => enb_array(15) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized2\ is port ( \doutb[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); ram_ena : out STD_LOGIC; ram_enb : out STD_LOGIC; clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized2\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized2\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized2\ is signal \^ram_ena\ : STD_LOGIC; signal \^ram_enb\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin ram_ena <= \^ram_ena\; ram_enb <= \^ram_enb\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0008" ) port map ( I0 => wea(0), I1 => addra(16), I2 => addra(15), I3 => addra(14), O => \^ram_ena\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"10" ) port map ( I0 => addrb(15), I1 => addrb(14), I2 => addrb(16), O => \^ram_enb\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 2, READ_WIDTH_B => 2, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 2, WRITE_WIDTH_B => 2 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 1) => addra(13 downto 0), ADDRARDADDR(0) => '1', ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 1) => addrb(13 downto 0), ADDRBWRADDR(0) => '1', CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 2) => B"000000000000000000000000000000", DIADI(1 downto 0) => dina(1 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 2), DOBDO(1 downto 0) => \doutb[2]\(1 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ram_ena\, ENBWREN => \^ram_enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized20\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized20\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized20\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized20\ is signal ena_array : STD_LOGIC_VECTOR ( 16 to 16 ); signal enb_array : STD_LOGIC_VECTOR ( 16 to 16 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(16), ENBWREN => enb_array(16), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__15\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000008" ) port map ( I0 => wea(0), I1 => addra(16), I2 => addra(15), I3 => addra(12), I4 => addra(13), I5 => addra(14), O => ena_array(16) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__15\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => addrb(15), I1 => addrb(14), I2 => addrb(16), I3 => addrb(12), I4 => addrb(13), O => enb_array(16) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized21\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized21\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized21\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized21\ is signal ena_array : STD_LOGIC_VECTOR ( 17 to 17 ); signal enb_array : STD_LOGIC_VECTOR ( 17 to 17 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(17), ENBWREN => enb_array(17), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__16\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => addra(12), I1 => addra(16), I2 => addra(15), I3 => addra(13), I4 => addra(14), I5 => wea(0), O => ena_array(17) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__16\: unisim.vcomponents.LUT5 generic map( INIT => X"01000000" ) port map ( I0 => addrb(15), I1 => addrb(14), I2 => addrb(13), I3 => addrb(12), I4 => addrb(16), O => enb_array(17) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized22\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized22\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized22\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized22\ is signal ena_array : STD_LOGIC_VECTOR ( 18 to 18 ); signal enb_array : STD_LOGIC_VECTOR ( 18 to 18 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(18), ENBWREN => enb_array(18), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__17\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => wea(0), I1 => addra(16), I2 => addra(15), I3 => addra(12), I4 => addra(14), I5 => addra(13), O => ena_array(18) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__17\: unisim.vcomponents.LUT5 generic map( INIT => X"01000000" ) port map ( I0 => addrb(15), I1 => addrb(14), I2 => addrb(12), I3 => addrb(13), I4 => addrb(16), O => enb_array(18) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized3\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ENA : in STD_LOGIC; ENB : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized3\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized3\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized3\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "INDEPENDENT"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 1) => B"0000000000000000000000000000000", DIADI(0) => dina(0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 1) => B"0000000000000000000000000000000", DIADI(0) => dina(0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized4\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized4\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized4\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized4\ is signal ena_array : STD_LOGIC_VECTOR ( 0 to 0 ); signal enb_array : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(0), ENBWREN => enb_array(0), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000002" ) port map ( I0 => wea(0), I1 => addra(16), I2 => addra(13), I3 => addra(12), I4 => addra(15), I5 => addra(14), O => ena_array(0) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => addrb(15), I1 => addrb(16), I2 => addrb(12), I3 => addrb(13), I4 => addrb(14), O => enb_array(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized5\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized5\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized5\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized5\ is signal ena_array : STD_LOGIC_VECTOR ( 1 to 1 ); signal enb_array : STD_LOGIC_VECTOR ( 1 to 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(1), ENBWREN => enb_array(1), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000008" ) port map ( I0 => addra(12), I1 => wea(0), I2 => addra(15), I3 => addra(13), I4 => addra(16), I5 => addra(14), O => ena_array(1) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => addrb(15), I1 => addrb(16), I2 => addrb(12), I3 => addrb(13), I4 => addrb(14), O => enb_array(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized6\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized6\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized6\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized6\ is signal ena_array : STD_LOGIC_VECTOR ( 2 to 2 ); signal enb_array : STD_LOGIC_VECTOR ( 2 to 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(2), ENBWREN => enb_array(2), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000008" ) port map ( I0 => addra(13), I1 => wea(0), I2 => addra(15), I3 => addra(12), I4 => addra(16), I5 => addra(14), O => ena_array(2) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => addrb(15), I1 => addrb(16), I2 => addrb(13), I3 => addrb(12), I4 => addrb(14), O => enb_array(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized7\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); DOPBDOP : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized7\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized7\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized7\ is signal ena_array : STD_LOGIC_VECTOR ( 3 to 3 ); signal enb_array : STD_LOGIC_VECTOR ( 3 to 3 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => DOPBDOP(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(3), ENBWREN => enb_array(3), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => addra(12), I1 => wea(0), I2 => addra(15), I3 => addra(14), I4 => addra(16), I5 => addra(13), O => ena_array(3) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__2\: unisim.vcomponents.LUT5 generic map( INIT => X"01000000" ) port map ( I0 => addrb(15), I1 => addrb(16), I2 => addrb(14), I3 => addrb(12), I4 => addrb(13), O => enb_array(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized8\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized8\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized8\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized8\ is signal ena_array : STD_LOGIC_VECTOR ( 4 to 4 ); signal enb_array : STD_LOGIC_VECTOR ( 4 to 4 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(4), ENBWREN => enb_array(4), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000008" ) port map ( I0 => addra(14), I1 => wea(0), I2 => addra(15), I3 => addra(13), I4 => addra(16), I5 => addra(12), O => ena_array(4) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__3\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => addrb(15), I1 => addrb(16), I2 => addrb(14), I3 => addrb(12), I4 => addrb(13), O => enb_array(4) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_wrapper_init__parameterized9\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized9\ : entity is "blk_mem_gen_prim_wrapper_init"; end \v_ram_blk_mem_gen_prim_wrapper_init__parameterized9\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_wrapper_init__parameterized9\ is signal ena_array : STD_LOGIC_VECTOR ( 5 to 5 ); signal enb_array : STD_LOGIC_VECTOR ( 5 to 5 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2 downto 0) => B"111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), ADDRBWRADDR(2 downto 0) => B"111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 8) => B"000000000000000000000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 1) => B"000", DIPADIP(0) => dina(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8), DOBDO(7 downto 0) => \doutb[10]\(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1), DOPBDOP(0) => \doutb[11]\(0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena_array(5), ENBWREN => enb_array(5), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000800000000" ) port map ( I0 => addra(12), I1 => wea(0), I2 => addra(15), I3 => addra(13), I4 => addra(16), I5 => addra(14), O => ena_array(5) ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__4\: unisim.vcomponents.LUT5 generic map( INIT => X"01000000" ) port map ( I0 => addrb(15), I1 => addrb(16), I2 => addrb(13), I3 => addrb(12), I4 => addrb(14), O => enb_array(5) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity v_ram_blk_mem_gen_prim_width is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ENA : in STD_LOGIC; ENB : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of v_ram_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; end v_ram_blk_mem_gen_prim_width; architecture STRUCTURE of v_ram_blk_mem_gen_prim_width is begin \prim_init.ram\: entity work.v_ram_blk_mem_gen_prim_wrapper_init port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized0\ is port ( DOBDO : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ram_ena : in STD_LOGIC; ram_enb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 13 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized0\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized0\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized0\ port map ( DOBDO(0) => DOBDO(0), addra(13 downto 0) => addra(13 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0), ram_ena => ram_ena, ram_enb => ram_enb ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized1\ is port ( ENA : out STD_LOGIC; ENB : out STD_LOGIC; DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized1\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized1\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized1\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized10\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized10\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized10\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized10\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized10\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized11\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized11\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized11\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized11\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized11\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized12\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized12\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized12\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized12\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized12\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized13\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized13\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized13\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized13\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized13\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized14\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized14\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized14\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized14\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized14\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized15\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized15\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized15\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized15\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized15\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized16\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized16\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized16\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized16\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized16\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized17\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized17\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized17\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized17\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized17\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized18\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized18\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized18\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized18\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized18\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized19\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized19\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized19\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized19\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized19\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized2\ is port ( \doutb[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); ram_ena : out STD_LOGIC; ram_enb : out STD_LOGIC; clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized2\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized2\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized2\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized2\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(1 downto 0) => dina(1 downto 0), \doutb[2]\(1 downto 0) => \doutb[2]\(1 downto 0), ram_ena => ram_ena, ram_enb => ram_enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized20\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized20\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized20\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized20\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized20\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized21\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized21\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized21\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized21\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized21\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized22\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized22\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized22\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized22\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized22\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized3\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ENA : in STD_LOGIC; ENB : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized3\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized3\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized3\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized3\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized4\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized4\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized4\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized4\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized4\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized5\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized5\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized5\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized5\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized5\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized6\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized6\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized6\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized6\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized6\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized7\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); DOPBDOP : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized7\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized7\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized7\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized7\ port map ( DOPBDOP(0) => DOPBDOP(0), addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized8\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized8\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized8\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized8\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized8\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \v_ram_blk_mem_gen_prim_width__parameterized9\ is port ( \doutb[10]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \doutb[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \v_ram_blk_mem_gen_prim_width__parameterized9\ : entity is "blk_mem_gen_prim_width"; end \v_ram_blk_mem_gen_prim_width__parameterized9\; architecture STRUCTURE of \v_ram_blk_mem_gen_prim_width__parameterized9\ is begin \prim_init.ram\: entity work.\v_ram_blk_mem_gen_prim_wrapper_init__parameterized9\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(8 downto 0), \doutb[10]\(7 downto 0) => \doutb[10]\(7 downto 0), \doutb[11]\(0) => \doutb[11]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity v_ram_blk_mem_gen_generic_cstr is port ( doutb : out STD_LOGIC_VECTOR ( 11 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 11 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of v_ram_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; end v_ram_blk_mem_gen_generic_cstr; architecture STRUCTURE of v_ram_blk_mem_gen_generic_cstr is signal ram_doutb : STD_LOGIC; signal ram_ena : STD_LOGIC; signal ram_enb : STD_LOGIC; signal \ramloop[10].ram.r_n_0\ : STD_LOGIC; signal \ramloop[10].ram.r_n_1\ : STD_LOGIC; signal \ramloop[10].ram.r_n_2\ : STD_LOGIC; signal \ramloop[10].ram.r_n_3\ : STD_LOGIC; signal \ramloop[10].ram.r_n_4\ : STD_LOGIC; signal \ramloop[10].ram.r_n_5\ : STD_LOGIC; signal \ramloop[10].ram.r_n_6\ : STD_LOGIC; signal \ramloop[10].ram.r_n_7\ : STD_LOGIC; signal \ramloop[10].ram.r_n_8\ : STD_LOGIC; signal \ramloop[11].ram.r_n_0\ : STD_LOGIC; signal \ramloop[11].ram.r_n_1\ : STD_LOGIC; signal \ramloop[11].ram.r_n_2\ : STD_LOGIC; signal \ramloop[11].ram.r_n_3\ : STD_LOGIC; signal \ramloop[11].ram.r_n_4\ : STD_LOGIC; signal \ramloop[11].ram.r_n_5\ : STD_LOGIC; signal \ramloop[11].ram.r_n_6\ : STD_LOGIC; signal \ramloop[11].ram.r_n_7\ : STD_LOGIC; signal \ramloop[11].ram.r_n_8\ : STD_LOGIC; signal \ramloop[12].ram.r_n_0\ : STD_LOGIC; signal \ramloop[12].ram.r_n_1\ : STD_LOGIC; signal \ramloop[12].ram.r_n_2\ : STD_LOGIC; signal \ramloop[12].ram.r_n_3\ : STD_LOGIC; signal \ramloop[12].ram.r_n_4\ : STD_LOGIC; signal \ramloop[12].ram.r_n_5\ : STD_LOGIC; signal \ramloop[12].ram.r_n_6\ : STD_LOGIC; signal \ramloop[12].ram.r_n_7\ : STD_LOGIC; signal \ramloop[12].ram.r_n_8\ : STD_LOGIC; signal \ramloop[13].ram.r_n_0\ : STD_LOGIC; signal \ramloop[13].ram.r_n_1\ : STD_LOGIC; signal \ramloop[13].ram.r_n_2\ : STD_LOGIC; signal \ramloop[13].ram.r_n_3\ : STD_LOGIC; signal \ramloop[13].ram.r_n_4\ : STD_LOGIC; signal \ramloop[13].ram.r_n_5\ : STD_LOGIC; signal \ramloop[13].ram.r_n_6\ : STD_LOGIC; signal \ramloop[13].ram.r_n_7\ : STD_LOGIC; signal \ramloop[13].ram.r_n_8\ : STD_LOGIC; signal \ramloop[14].ram.r_n_0\ : STD_LOGIC; signal \ramloop[14].ram.r_n_1\ : STD_LOGIC; signal \ramloop[14].ram.r_n_2\ : STD_LOGIC; signal \ramloop[14].ram.r_n_3\ : STD_LOGIC; signal \ramloop[14].ram.r_n_4\ : STD_LOGIC; signal \ramloop[14].ram.r_n_5\ : STD_LOGIC; signal \ramloop[14].ram.r_n_6\ : STD_LOGIC; signal \ramloop[14].ram.r_n_7\ : STD_LOGIC; signal \ramloop[14].ram.r_n_8\ : STD_LOGIC; signal \ramloop[15].ram.r_n_0\ : STD_LOGIC; signal \ramloop[15].ram.r_n_1\ : STD_LOGIC; signal \ramloop[15].ram.r_n_2\ : STD_LOGIC; signal \ramloop[15].ram.r_n_3\ : STD_LOGIC; signal \ramloop[15].ram.r_n_4\ : STD_LOGIC; signal \ramloop[15].ram.r_n_5\ : STD_LOGIC; signal \ramloop[15].ram.r_n_6\ : STD_LOGIC; signal \ramloop[15].ram.r_n_7\ : STD_LOGIC; signal \ramloop[15].ram.r_n_8\ : STD_LOGIC; signal \ramloop[16].ram.r_n_0\ : STD_LOGIC; signal \ramloop[16].ram.r_n_1\ : STD_LOGIC; signal \ramloop[16].ram.r_n_2\ : STD_LOGIC; signal \ramloop[16].ram.r_n_3\ : STD_LOGIC; signal \ramloop[16].ram.r_n_4\ : STD_LOGIC; signal \ramloop[16].ram.r_n_5\ : STD_LOGIC; signal \ramloop[16].ram.r_n_6\ : STD_LOGIC; signal \ramloop[16].ram.r_n_7\ : STD_LOGIC; signal \ramloop[16].ram.r_n_8\ : STD_LOGIC; signal \ramloop[17].ram.r_n_0\ : STD_LOGIC; signal \ramloop[17].ram.r_n_1\ : STD_LOGIC; signal \ramloop[17].ram.r_n_2\ : STD_LOGIC; signal \ramloop[17].ram.r_n_3\ : STD_LOGIC; signal \ramloop[17].ram.r_n_4\ : STD_LOGIC; signal \ramloop[17].ram.r_n_5\ : STD_LOGIC; signal \ramloop[17].ram.r_n_6\ : STD_LOGIC; signal \ramloop[17].ram.r_n_7\ : STD_LOGIC; signal \ramloop[17].ram.r_n_8\ : STD_LOGIC; signal \ramloop[18].ram.r_n_0\ : STD_LOGIC; signal \ramloop[18].ram.r_n_1\ : STD_LOGIC; signal \ramloop[18].ram.r_n_2\ : STD_LOGIC; signal \ramloop[18].ram.r_n_3\ : STD_LOGIC; signal \ramloop[18].ram.r_n_4\ : STD_LOGIC; signal \ramloop[18].ram.r_n_5\ : STD_LOGIC; signal \ramloop[18].ram.r_n_6\ : STD_LOGIC; signal \ramloop[18].ram.r_n_7\ : STD_LOGIC; signal \ramloop[18].ram.r_n_8\ : STD_LOGIC; signal \ramloop[19].ram.r_n_0\ : STD_LOGIC; signal \ramloop[19].ram.r_n_1\ : STD_LOGIC; signal \ramloop[19].ram.r_n_2\ : STD_LOGIC; signal \ramloop[19].ram.r_n_3\ : STD_LOGIC; signal \ramloop[19].ram.r_n_4\ : STD_LOGIC; signal \ramloop[19].ram.r_n_5\ : STD_LOGIC; signal \ramloop[19].ram.r_n_6\ : STD_LOGIC; signal \ramloop[19].ram.r_n_7\ : STD_LOGIC; signal \ramloop[19].ram.r_n_8\ : STD_LOGIC; signal \ramloop[1].ram.r_n_0\ : STD_LOGIC; signal \ramloop[20].ram.r_n_0\ : STD_LOGIC; signal \ramloop[20].ram.r_n_1\ : STD_LOGIC; signal \ramloop[20].ram.r_n_2\ : STD_LOGIC; signal \ramloop[20].ram.r_n_3\ : STD_LOGIC; signal \ramloop[20].ram.r_n_4\ : STD_LOGIC; signal \ramloop[20].ram.r_n_5\ : STD_LOGIC; signal \ramloop[20].ram.r_n_6\ : STD_LOGIC; signal \ramloop[20].ram.r_n_7\ : STD_LOGIC; signal \ramloop[20].ram.r_n_8\ : STD_LOGIC; signal \ramloop[21].ram.r_n_0\ : STD_LOGIC; signal \ramloop[21].ram.r_n_1\ : STD_LOGIC; signal \ramloop[21].ram.r_n_2\ : STD_LOGIC; signal \ramloop[21].ram.r_n_3\ : STD_LOGIC; signal \ramloop[21].ram.r_n_4\ : STD_LOGIC; signal \ramloop[21].ram.r_n_5\ : STD_LOGIC; signal \ramloop[21].ram.r_n_6\ : STD_LOGIC; signal \ramloop[21].ram.r_n_7\ : STD_LOGIC; signal \ramloop[21].ram.r_n_8\ : STD_LOGIC; signal \ramloop[22].ram.r_n_0\ : STD_LOGIC; signal \ramloop[22].ram.r_n_1\ : STD_LOGIC; signal \ramloop[22].ram.r_n_2\ : STD_LOGIC; signal \ramloop[22].ram.r_n_3\ : STD_LOGIC; signal \ramloop[22].ram.r_n_4\ : STD_LOGIC; signal \ramloop[22].ram.r_n_5\ : STD_LOGIC; signal \ramloop[22].ram.r_n_6\ : STD_LOGIC; signal \ramloop[22].ram.r_n_7\ : STD_LOGIC; signal \ramloop[22].ram.r_n_8\ : STD_LOGIC; signal \ramloop[23].ram.r_n_0\ : STD_LOGIC; signal \ramloop[23].ram.r_n_1\ : STD_LOGIC; signal \ramloop[23].ram.r_n_2\ : STD_LOGIC; signal \ramloop[23].ram.r_n_3\ : STD_LOGIC; signal \ramloop[23].ram.r_n_4\ : STD_LOGIC; signal \ramloop[23].ram.r_n_5\ : STD_LOGIC; signal \ramloop[23].ram.r_n_6\ : STD_LOGIC; signal \ramloop[23].ram.r_n_7\ : STD_LOGIC; signal \ramloop[23].ram.r_n_8\ : STD_LOGIC; signal \ramloop[2].ram.r_n_0\ : STD_LOGIC; signal \ramloop[2].ram.r_n_1\ : STD_LOGIC; signal \ramloop[2].ram.r_n_2\ : STD_LOGIC; signal \ramloop[3].ram.r_n_0\ : STD_LOGIC; signal \ramloop[3].ram.r_n_1\ : STD_LOGIC; signal \ramloop[4].ram.r_n_0\ : STD_LOGIC; signal \ramloop[5].ram.r_n_0\ : STD_LOGIC; signal \ramloop[5].ram.r_n_1\ : STD_LOGIC; signal \ramloop[5].ram.r_n_2\ : STD_LOGIC; signal \ramloop[5].ram.r_n_3\ : STD_LOGIC; signal \ramloop[5].ram.r_n_4\ : STD_LOGIC; signal \ramloop[5].ram.r_n_5\ : STD_LOGIC; signal \ramloop[5].ram.r_n_6\ : STD_LOGIC; signal \ramloop[5].ram.r_n_7\ : STD_LOGIC; signal \ramloop[5].ram.r_n_8\ : STD_LOGIC; signal \ramloop[6].ram.r_n_0\ : STD_LOGIC; signal \ramloop[6].ram.r_n_1\ : STD_LOGIC; signal \ramloop[6].ram.r_n_2\ : STD_LOGIC; signal \ramloop[6].ram.r_n_3\ : STD_LOGIC; signal \ramloop[6].ram.r_n_4\ : STD_LOGIC; signal \ramloop[6].ram.r_n_5\ : STD_LOGIC; signal \ramloop[6].ram.r_n_6\ : STD_LOGIC; signal \ramloop[6].ram.r_n_7\ : STD_LOGIC; signal \ramloop[6].ram.r_n_8\ : STD_LOGIC; signal \ramloop[7].ram.r_n_0\ : STD_LOGIC; signal \ramloop[7].ram.r_n_1\ : STD_LOGIC; signal \ramloop[7].ram.r_n_2\ : STD_LOGIC; signal \ramloop[7].ram.r_n_3\ : STD_LOGIC; signal \ramloop[7].ram.r_n_4\ : STD_LOGIC; signal \ramloop[7].ram.r_n_5\ : STD_LOGIC; signal \ramloop[7].ram.r_n_6\ : STD_LOGIC; signal \ramloop[7].ram.r_n_7\ : STD_LOGIC; signal \ramloop[7].ram.r_n_8\ : STD_LOGIC; signal \ramloop[8].ram.r_n_0\ : STD_LOGIC; signal \ramloop[8].ram.r_n_1\ : STD_LOGIC; signal \ramloop[8].ram.r_n_2\ : STD_LOGIC; signal \ramloop[8].ram.r_n_3\ : STD_LOGIC; signal \ramloop[8].ram.r_n_4\ : STD_LOGIC; signal \ramloop[8].ram.r_n_5\ : STD_LOGIC; signal \ramloop[8].ram.r_n_6\ : STD_LOGIC; signal \ramloop[8].ram.r_n_7\ : STD_LOGIC; signal \ramloop[8].ram.r_n_8\ : STD_LOGIC; signal \ramloop[9].ram.r_n_0\ : STD_LOGIC; signal \ramloop[9].ram.r_n_1\ : STD_LOGIC; signal \ramloop[9].ram.r_n_2\ : STD_LOGIC; signal \ramloop[9].ram.r_n_3\ : STD_LOGIC; signal \ramloop[9].ram.r_n_4\ : STD_LOGIC; signal \ramloop[9].ram.r_n_5\ : STD_LOGIC; signal \ramloop[9].ram.r_n_6\ : STD_LOGIC; signal \ramloop[9].ram.r_n_7\ : STD_LOGIC; signal \ramloop[9].ram.r_n_8\ : STD_LOGIC; begin \has_mux_b.B\: entity work.\v_ram_blk_mem_gen_mux__parameterized0\ port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\(0) => \ramloop[2].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_0\(0) => \ramloop[4].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(1) => \ramloop[3].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(0) => \ramloop[3].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(7) => \ramloop[8].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(6) => \ramloop[8].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(5) => \ramloop[8].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(4) => \ramloop[8].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(3) => \ramloop[8].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(2) => \ramloop[8].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(1) => \ramloop[8].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(0) => \ramloop[8].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(7) => \ramloop[7].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(6) => \ramloop[7].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(5) => \ramloop[7].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(4) => \ramloop[7].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(3) => \ramloop[7].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(2) => \ramloop[7].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(1) => \ramloop[7].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(0) => \ramloop[7].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(7) => \ramloop[14].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(6) => \ramloop[14].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(5) => \ramloop[14].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(4) => \ramloop[14].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(3) => \ramloop[14].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(2) => \ramloop[14].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(1) => \ramloop[14].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_10\(0) => \ramloop[14].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(7) => \ramloop[13].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(6) => \ramloop[13].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(5) => \ramloop[13].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(4) => \ramloop[13].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(3) => \ramloop[13].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(2) => \ramloop[13].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(1) => \ramloop[13].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_11\(0) => \ramloop[13].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(7) => \ramloop[20].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(6) => \ramloop[20].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(5) => \ramloop[20].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(4) => \ramloop[20].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(3) => \ramloop[20].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(2) => \ramloop[20].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(1) => \ramloop[20].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_12\(0) => \ramloop[20].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(7) => \ramloop[19].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(6) => \ramloop[19].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(5) => \ramloop[19].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(4) => \ramloop[19].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(3) => \ramloop[19].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(2) => \ramloop[19].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(1) => \ramloop[19].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_13\(0) => \ramloop[19].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(7) => \ramloop[18].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(6) => \ramloop[18].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(5) => \ramloop[18].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(4) => \ramloop[18].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(3) => \ramloop[18].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(2) => \ramloop[18].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(1) => \ramloop[18].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_14\(0) => \ramloop[18].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(7) => \ramloop[17].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(6) => \ramloop[17].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(5) => \ramloop[17].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(4) => \ramloop[17].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(3) => \ramloop[17].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(2) => \ramloop[17].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(1) => \ramloop[17].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_15\(0) => \ramloop[17].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(7) => \ramloop[23].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(6) => \ramloop[23].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(5) => \ramloop[23].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(4) => \ramloop[23].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(3) => \ramloop[23].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(2) => \ramloop[23].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(1) => \ramloop[23].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_16\(0) => \ramloop[23].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(7) => \ramloop[22].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(6) => \ramloop[22].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(5) => \ramloop[22].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(4) => \ramloop[22].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(3) => \ramloop[22].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(2) => \ramloop[22].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(1) => \ramloop[22].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_17\(0) => \ramloop[22].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(7) => \ramloop[21].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(6) => \ramloop[21].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(5) => \ramloop[21].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(4) => \ramloop[21].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(3) => \ramloop[21].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(2) => \ramloop[21].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(1) => \ramloop[21].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_18\(0) => \ramloop[21].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_19\(0) => \ramloop[7].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(7) => \ramloop[6].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(6) => \ramloop[6].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(5) => \ramloop[6].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(4) => \ramloop[6].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(3) => \ramloop[6].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(2) => \ramloop[6].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(1) => \ramloop[6].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(0) => \ramloop[6].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_20\(0) => \ramloop[6].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_21\(0) => \ramloop[5].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_22\(0) => \ramloop[12].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_23\(0) => \ramloop[11].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_24\(0) => \ramloop[10].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_25\(0) => \ramloop[9].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_26\(0) => \ramloop[16].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_27\(0) => \ramloop[15].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_28\(0) => \ramloop[14].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_29\(0) => \ramloop[13].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(7) => \ramloop[5].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(6) => \ramloop[5].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(5) => \ramloop[5].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(4) => \ramloop[5].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(3) => \ramloop[5].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(2) => \ramloop[5].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(1) => \ramloop[5].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\(0) => \ramloop[5].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_30\(0) => \ramloop[20].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_31\(0) => \ramloop[19].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_32\(0) => \ramloop[18].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_33\(0) => \ramloop[17].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_34\(0) => \ramloop[23].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_35\(0) => \ramloop[22].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_36\(0) => \ramloop[21].ram.r_n_8\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(7) => \ramloop[12].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(6) => \ramloop[12].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(5) => \ramloop[12].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(4) => \ramloop[12].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(3) => \ramloop[12].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(2) => \ramloop[12].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(1) => \ramloop[12].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4\(0) => \ramloop[12].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(7) => \ramloop[11].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(6) => \ramloop[11].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(5) => \ramloop[11].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(4) => \ramloop[11].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(3) => \ramloop[11].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(2) => \ramloop[11].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(1) => \ramloop[11].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_5\(0) => \ramloop[11].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(7) => \ramloop[10].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(6) => \ramloop[10].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(5) => \ramloop[10].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(4) => \ramloop[10].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(3) => \ramloop[10].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(2) => \ramloop[10].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(1) => \ramloop[10].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_6\(0) => \ramloop[10].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(7) => \ramloop[9].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(6) => \ramloop[9].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(5) => \ramloop[9].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(4) => \ramloop[9].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(3) => \ramloop[9].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(2) => \ramloop[9].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(1) => \ramloop[9].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_7\(0) => \ramloop[9].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(7) => \ramloop[16].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(6) => \ramloop[16].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(5) => \ramloop[16].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(4) => \ramloop[16].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(3) => \ramloop[16].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(2) => \ramloop[16].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(1) => \ramloop[16].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_8\(0) => \ramloop[16].ram.r_n_7\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(7) => \ramloop[15].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(6) => \ramloop[15].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(5) => \ramloop[15].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(4) => \ramloop[15].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(3) => \ramloop[15].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(2) => \ramloop[15].ram.r_n_5\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(1) => \ramloop[15].ram.r_n_6\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_9\(0) => \ramloop[15].ram.r_n_7\, DOBDO(0) => \ramloop[1].ram.r_n_0\, DOPBDOP(0) => \ramloop[8].ram.r_n_8\, DOUTB(0) => ram_doutb, addrb(4 downto 0) => addrb(16 downto 12), clkb => clkb, \^doutb\(11 downto 0) => doutb(11 downto 0) ); \ramloop[0].ram.r\: entity work.v_ram_blk_mem_gen_prim_width port map ( DOUTB(0) => ram_doutb, ENA => \ramloop[2].ram.r_n_0\, ENB => \ramloop[2].ram.r_n_1\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); \ramloop[10].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized9\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[10].ram.r_n_0\, \doutb[10]\(6) => \ramloop[10].ram.r_n_1\, \doutb[10]\(5) => \ramloop[10].ram.r_n_2\, \doutb[10]\(4) => \ramloop[10].ram.r_n_3\, \doutb[10]\(3) => \ramloop[10].ram.r_n_4\, \doutb[10]\(2) => \ramloop[10].ram.r_n_5\, \doutb[10]\(1) => \ramloop[10].ram.r_n_6\, \doutb[10]\(0) => \ramloop[10].ram.r_n_7\, \doutb[11]\(0) => \ramloop[10].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[11].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized10\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[11].ram.r_n_0\, \doutb[10]\(6) => \ramloop[11].ram.r_n_1\, \doutb[10]\(5) => \ramloop[11].ram.r_n_2\, \doutb[10]\(4) => \ramloop[11].ram.r_n_3\, \doutb[10]\(3) => \ramloop[11].ram.r_n_4\, \doutb[10]\(2) => \ramloop[11].ram.r_n_5\, \doutb[10]\(1) => \ramloop[11].ram.r_n_6\, \doutb[10]\(0) => \ramloop[11].ram.r_n_7\, \doutb[11]\(0) => \ramloop[11].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[12].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized11\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[12].ram.r_n_0\, \doutb[10]\(6) => \ramloop[12].ram.r_n_1\, \doutb[10]\(5) => \ramloop[12].ram.r_n_2\, \doutb[10]\(4) => \ramloop[12].ram.r_n_3\, \doutb[10]\(3) => \ramloop[12].ram.r_n_4\, \doutb[10]\(2) => \ramloop[12].ram.r_n_5\, \doutb[10]\(1) => \ramloop[12].ram.r_n_6\, \doutb[10]\(0) => \ramloop[12].ram.r_n_7\, \doutb[11]\(0) => \ramloop[12].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[13].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized12\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[13].ram.r_n_0\, \doutb[10]\(6) => \ramloop[13].ram.r_n_1\, \doutb[10]\(5) => \ramloop[13].ram.r_n_2\, \doutb[10]\(4) => \ramloop[13].ram.r_n_3\, \doutb[10]\(3) => \ramloop[13].ram.r_n_4\, \doutb[10]\(2) => \ramloop[13].ram.r_n_5\, \doutb[10]\(1) => \ramloop[13].ram.r_n_6\, \doutb[10]\(0) => \ramloop[13].ram.r_n_7\, \doutb[11]\(0) => \ramloop[13].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[14].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized13\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[14].ram.r_n_0\, \doutb[10]\(6) => \ramloop[14].ram.r_n_1\, \doutb[10]\(5) => \ramloop[14].ram.r_n_2\, \doutb[10]\(4) => \ramloop[14].ram.r_n_3\, \doutb[10]\(3) => \ramloop[14].ram.r_n_4\, \doutb[10]\(2) => \ramloop[14].ram.r_n_5\, \doutb[10]\(1) => \ramloop[14].ram.r_n_6\, \doutb[10]\(0) => \ramloop[14].ram.r_n_7\, \doutb[11]\(0) => \ramloop[14].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[15].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized14\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[15].ram.r_n_0\, \doutb[10]\(6) => \ramloop[15].ram.r_n_1\, \doutb[10]\(5) => \ramloop[15].ram.r_n_2\, \doutb[10]\(4) => \ramloop[15].ram.r_n_3\, \doutb[10]\(3) => \ramloop[15].ram.r_n_4\, \doutb[10]\(2) => \ramloop[15].ram.r_n_5\, \doutb[10]\(1) => \ramloop[15].ram.r_n_6\, \doutb[10]\(0) => \ramloop[15].ram.r_n_7\, \doutb[11]\(0) => \ramloop[15].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[16].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized15\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[16].ram.r_n_0\, \doutb[10]\(6) => \ramloop[16].ram.r_n_1\, \doutb[10]\(5) => \ramloop[16].ram.r_n_2\, \doutb[10]\(4) => \ramloop[16].ram.r_n_3\, \doutb[10]\(3) => \ramloop[16].ram.r_n_4\, \doutb[10]\(2) => \ramloop[16].ram.r_n_5\, \doutb[10]\(1) => \ramloop[16].ram.r_n_6\, \doutb[10]\(0) => \ramloop[16].ram.r_n_7\, \doutb[11]\(0) => \ramloop[16].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[17].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized16\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[17].ram.r_n_0\, \doutb[10]\(6) => \ramloop[17].ram.r_n_1\, \doutb[10]\(5) => \ramloop[17].ram.r_n_2\, \doutb[10]\(4) => \ramloop[17].ram.r_n_3\, \doutb[10]\(3) => \ramloop[17].ram.r_n_4\, \doutb[10]\(2) => \ramloop[17].ram.r_n_5\, \doutb[10]\(1) => \ramloop[17].ram.r_n_6\, \doutb[10]\(0) => \ramloop[17].ram.r_n_7\, \doutb[11]\(0) => \ramloop[17].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[18].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized17\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[18].ram.r_n_0\, \doutb[10]\(6) => \ramloop[18].ram.r_n_1\, \doutb[10]\(5) => \ramloop[18].ram.r_n_2\, \doutb[10]\(4) => \ramloop[18].ram.r_n_3\, \doutb[10]\(3) => \ramloop[18].ram.r_n_4\, \doutb[10]\(2) => \ramloop[18].ram.r_n_5\, \doutb[10]\(1) => \ramloop[18].ram.r_n_6\, \doutb[10]\(0) => \ramloop[18].ram.r_n_7\, \doutb[11]\(0) => \ramloop[18].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[19].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized18\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[19].ram.r_n_0\, \doutb[10]\(6) => \ramloop[19].ram.r_n_1\, \doutb[10]\(5) => \ramloop[19].ram.r_n_2\, \doutb[10]\(4) => \ramloop[19].ram.r_n_3\, \doutb[10]\(3) => \ramloop[19].ram.r_n_4\, \doutb[10]\(2) => \ramloop[19].ram.r_n_5\, \doutb[10]\(1) => \ramloop[19].ram.r_n_6\, \doutb[10]\(0) => \ramloop[19].ram.r_n_7\, \doutb[11]\(0) => \ramloop[19].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[1].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized0\ port map ( DOBDO(0) => \ramloop[1].ram.r_n_0\, addra(13 downto 0) => addra(13 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0), ram_ena => ram_ena, ram_enb => ram_enb ); \ramloop[20].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized19\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[20].ram.r_n_0\, \doutb[10]\(6) => \ramloop[20].ram.r_n_1\, \doutb[10]\(5) => \ramloop[20].ram.r_n_2\, \doutb[10]\(4) => \ramloop[20].ram.r_n_3\, \doutb[10]\(3) => \ramloop[20].ram.r_n_4\, \doutb[10]\(2) => \ramloop[20].ram.r_n_5\, \doutb[10]\(1) => \ramloop[20].ram.r_n_6\, \doutb[10]\(0) => \ramloop[20].ram.r_n_7\, \doutb[11]\(0) => \ramloop[20].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[21].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized20\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[21].ram.r_n_0\, \doutb[10]\(6) => \ramloop[21].ram.r_n_1\, \doutb[10]\(5) => \ramloop[21].ram.r_n_2\, \doutb[10]\(4) => \ramloop[21].ram.r_n_3\, \doutb[10]\(3) => \ramloop[21].ram.r_n_4\, \doutb[10]\(2) => \ramloop[21].ram.r_n_5\, \doutb[10]\(1) => \ramloop[21].ram.r_n_6\, \doutb[10]\(0) => \ramloop[21].ram.r_n_7\, \doutb[11]\(0) => \ramloop[21].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[22].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized21\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[22].ram.r_n_0\, \doutb[10]\(6) => \ramloop[22].ram.r_n_1\, \doutb[10]\(5) => \ramloop[22].ram.r_n_2\, \doutb[10]\(4) => \ramloop[22].ram.r_n_3\, \doutb[10]\(3) => \ramloop[22].ram.r_n_4\, \doutb[10]\(2) => \ramloop[22].ram.r_n_5\, \doutb[10]\(1) => \ramloop[22].ram.r_n_6\, \doutb[10]\(0) => \ramloop[22].ram.r_n_7\, \doutb[11]\(0) => \ramloop[22].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[23].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized22\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[23].ram.r_n_0\, \doutb[10]\(6) => \ramloop[23].ram.r_n_1\, \doutb[10]\(5) => \ramloop[23].ram.r_n_2\, \doutb[10]\(4) => \ramloop[23].ram.r_n_3\, \doutb[10]\(3) => \ramloop[23].ram.r_n_4\, \doutb[10]\(2) => \ramloop[23].ram.r_n_5\, \doutb[10]\(1) => \ramloop[23].ram.r_n_6\, \doutb[10]\(0) => \ramloop[23].ram.r_n_7\, \doutb[11]\(0) => \ramloop[23].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[2].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized1\ port map ( DOUTB(0) => \ramloop[2].ram.r_n_2\, ENA => \ramloop[2].ram.r_n_0\, ENB => \ramloop[2].ram.r_n_1\, addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(0) => dina(1), wea(0) => wea(0) ); \ramloop[3].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized2\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(1 downto 0) => dina(2 downto 1), \doutb[2]\(1) => \ramloop[3].ram.r_n_0\, \doutb[2]\(0) => \ramloop[3].ram.r_n_1\, ram_ena => ram_ena, ram_enb => ram_enb, wea(0) => wea(0) ); \ramloop[4].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized3\ port map ( DOUTB(0) => \ramloop[4].ram.r_n_0\, ENA => \ramloop[2].ram.r_n_0\, ENB => \ramloop[2].ram.r_n_1\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(2) ); \ramloop[5].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized4\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[5].ram.r_n_0\, \doutb[10]\(6) => \ramloop[5].ram.r_n_1\, \doutb[10]\(5) => \ramloop[5].ram.r_n_2\, \doutb[10]\(4) => \ramloop[5].ram.r_n_3\, \doutb[10]\(3) => \ramloop[5].ram.r_n_4\, \doutb[10]\(2) => \ramloop[5].ram.r_n_5\, \doutb[10]\(1) => \ramloop[5].ram.r_n_6\, \doutb[10]\(0) => \ramloop[5].ram.r_n_7\, \doutb[11]\(0) => \ramloop[5].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[6].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized5\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[6].ram.r_n_0\, \doutb[10]\(6) => \ramloop[6].ram.r_n_1\, \doutb[10]\(5) => \ramloop[6].ram.r_n_2\, \doutb[10]\(4) => \ramloop[6].ram.r_n_3\, \doutb[10]\(3) => \ramloop[6].ram.r_n_4\, \doutb[10]\(2) => \ramloop[6].ram.r_n_5\, \doutb[10]\(1) => \ramloop[6].ram.r_n_6\, \doutb[10]\(0) => \ramloop[6].ram.r_n_7\, \doutb[11]\(0) => \ramloop[6].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[7].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized6\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[7].ram.r_n_0\, \doutb[10]\(6) => \ramloop[7].ram.r_n_1\, \doutb[10]\(5) => \ramloop[7].ram.r_n_2\, \doutb[10]\(4) => \ramloop[7].ram.r_n_3\, \doutb[10]\(3) => \ramloop[7].ram.r_n_4\, \doutb[10]\(2) => \ramloop[7].ram.r_n_5\, \doutb[10]\(1) => \ramloop[7].ram.r_n_6\, \doutb[10]\(0) => \ramloop[7].ram.r_n_7\, \doutb[11]\(0) => \ramloop[7].ram.r_n_8\, wea(0) => wea(0) ); \ramloop[8].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized7\ port map ( DOPBDOP(0) => \ramloop[8].ram.r_n_8\, addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[8].ram.r_n_0\, \doutb[10]\(6) => \ramloop[8].ram.r_n_1\, \doutb[10]\(5) => \ramloop[8].ram.r_n_2\, \doutb[10]\(4) => \ramloop[8].ram.r_n_3\, \doutb[10]\(3) => \ramloop[8].ram.r_n_4\, \doutb[10]\(2) => \ramloop[8].ram.r_n_5\, \doutb[10]\(1) => \ramloop[8].ram.r_n_6\, \doutb[10]\(0) => \ramloop[8].ram.r_n_7\, wea(0) => wea(0) ); \ramloop[9].ram.r\: entity work.\v_ram_blk_mem_gen_prim_width__parameterized8\ port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(8 downto 0) => dina(11 downto 3), \doutb[10]\(7) => \ramloop[9].ram.r_n_0\, \doutb[10]\(6) => \ramloop[9].ram.r_n_1\, \doutb[10]\(5) => \ramloop[9].ram.r_n_2\, \doutb[10]\(4) => \ramloop[9].ram.r_n_3\, \doutb[10]\(3) => \ramloop[9].ram.r_n_4\, \doutb[10]\(2) => \ramloop[9].ram.r_n_5\, \doutb[10]\(1) => \ramloop[9].ram.r_n_6\, \doutb[10]\(0) => \ramloop[9].ram.r_n_7\, \doutb[11]\(0) => \ramloop[9].ram.r_n_8\, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity v_ram_blk_mem_gen_top is port ( doutb : out STD_LOGIC_VECTOR ( 11 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 11 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of v_ram_blk_mem_gen_top : entity is "blk_mem_gen_top"; end v_ram_blk_mem_gen_top; architecture STRUCTURE of v_ram_blk_mem_gen_top is begin \valid.cstr\: entity work.v_ram_blk_mem_gen_generic_cstr port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(11 downto 0) => dina(11 downto 0), doutb(11 downto 0) => doutb(11 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity v_ram_blk_mem_gen_v8_3_1_synth is port ( doutb : out STD_LOGIC_VECTOR ( 11 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 11 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of v_ram_blk_mem_gen_v8_3_1_synth : entity is "blk_mem_gen_v8_3_1_synth"; end v_ram_blk_mem_gen_v8_3_1_synth; architecture STRUCTURE of v_ram_blk_mem_gen_v8_3_1_synth is begin \gnativebmg.native_blk_mem_gen\: entity work.v_ram_blk_mem_gen_top port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(11 downto 0) => dina(11 downto 0), doutb(11 downto 0) => doutb(11 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity v_ram_blk_mem_gen_v8_3_1 is port ( clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 11 downto 0 ); douta : out STD_LOGIC_VECTOR ( 11 downto 0 ); clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 11 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 11 downto 0 ); injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; eccpipece : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; rdaddrecc : out STD_LOGIC_VECTOR ( 16 downto 0 ); sleep : in STD_LOGIC; deepsleep : in STD_LOGIC; shutdown : in STD_LOGIC; rsta_busy : out STD_LOGIC; rstb_busy : out STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_injectsbiterr : in STD_LOGIC; s_axi_injectdbiterr : in STD_LOGIC; s_axi_sbiterr : out STD_LOGIC; s_axi_dbiterr : out STD_LOGIC; s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 16 downto 0 ) ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of v_ram_blk_mem_gen_v8_3_1 : entity is 17; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of v_ram_blk_mem_gen_v8_3_1 : entity is 17; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of v_ram_blk_mem_gen_v8_3_1 : entity is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of v_ram_blk_mem_gen_v8_3_1 : entity is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of v_ram_blk_mem_gen_v8_3_1 : entity is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of v_ram_blk_mem_gen_v8_3_1 : entity is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of v_ram_blk_mem_gen_v8_3_1 : entity is "1"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of v_ram_blk_mem_gen_v8_3_1 : entity is "26"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of v_ram_blk_mem_gen_v8_3_1 : entity is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of v_ram_blk_mem_gen_v8_3_1 : entity is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of v_ram_blk_mem_gen_v8_3_1 : entity is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of v_ram_blk_mem_gen_v8_3_1 : entity is "Estimated Power for IP : 16.2184 mW"; attribute C_FAMILY : string; attribute C_FAMILY of v_ram_blk_mem_gen_v8_3_1 : entity is "artix7"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of v_ram_blk_mem_gen_v8_3_1 : entity is 1; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of v_ram_blk_mem_gen_v8_3_1 : entity is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of v_ram_blk_mem_gen_v8_3_1 : entity is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of v_ram_blk_mem_gen_v8_3_1 : entity is "v_ram.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of v_ram_blk_mem_gen_v8_3_1 : entity is "no_coe_file_loaded"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of v_ram_blk_mem_gen_v8_3_1 : entity is 1; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of v_ram_blk_mem_gen_v8_3_1 : entity is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of v_ram_blk_mem_gen_v8_3_1 : entity is 76800; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of v_ram_blk_mem_gen_v8_3_1 : entity is 76800; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of v_ram_blk_mem_gen_v8_3_1 : entity is 12; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of v_ram_blk_mem_gen_v8_3_1 : entity is 12; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of v_ram_blk_mem_gen_v8_3_1 : entity is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of v_ram_blk_mem_gen_v8_3_1 : entity is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of v_ram_blk_mem_gen_v8_3_1 : entity is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of v_ram_blk_mem_gen_v8_3_1 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of v_ram_blk_mem_gen_v8_3_1 : entity is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of v_ram_blk_mem_gen_v8_3_1 : entity is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of v_ram_blk_mem_gen_v8_3_1 : entity is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of v_ram_blk_mem_gen_v8_3_1 : entity is 76800; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of v_ram_blk_mem_gen_v8_3_1 : entity is 76800; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of v_ram_blk_mem_gen_v8_3_1 : entity is "NO_CHANGE"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of v_ram_blk_mem_gen_v8_3_1 : entity is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of v_ram_blk_mem_gen_v8_3_1 : entity is 12; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of v_ram_blk_mem_gen_v8_3_1 : entity is 12; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of v_ram_blk_mem_gen_v8_3_1 : entity is "artix7"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of v_ram_blk_mem_gen_v8_3_1 : entity is "blk_mem_gen_v8_3_1"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of v_ram_blk_mem_gen_v8_3_1 : entity is "yes"; end v_ram_blk_mem_gen_v8_3_1; architecture STRUCTURE of v_ram_blk_mem_gen_v8_3_1 is signal \<const0>\ : STD_LOGIC; begin dbiterr <= \<const0>\; douta(11) <= \<const0>\; douta(10) <= \<const0>\; douta(9) <= \<const0>\; douta(8) <= \<const0>\; douta(7) <= \<const0>\; douta(6) <= \<const0>\; douta(5) <= \<const0>\; douta(4) <= \<const0>\; douta(3) <= \<const0>\; douta(2) <= \<const0>\; douta(1) <= \<const0>\; douta(0) <= \<const0>\; rdaddrecc(16) <= \<const0>\; rdaddrecc(15) <= \<const0>\; rdaddrecc(14) <= \<const0>\; rdaddrecc(13) <= \<const0>\; rdaddrecc(12) <= \<const0>\; rdaddrecc(11) <= \<const0>\; rdaddrecc(10) <= \<const0>\; rdaddrecc(9) <= \<const0>\; rdaddrecc(8) <= \<const0>\; rdaddrecc(7) <= \<const0>\; rdaddrecc(6) <= \<const0>\; rdaddrecc(5) <= \<const0>\; rdaddrecc(4) <= \<const0>\; rdaddrecc(3) <= \<const0>\; rdaddrecc(2) <= \<const0>\; rdaddrecc(1) <= \<const0>\; rdaddrecc(0) <= \<const0>\; rsta_busy <= \<const0>\; rstb_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(3) <= \<const0>\; s_axi_bid(2) <= \<const0>\; s_axi_bid(1) <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_dbiterr <= \<const0>\; s_axi_rdaddrecc(16) <= \<const0>\; s_axi_rdaddrecc(15) <= \<const0>\; s_axi_rdaddrecc(14) <= \<const0>\; s_axi_rdaddrecc(13) <= \<const0>\; s_axi_rdaddrecc(12) <= \<const0>\; s_axi_rdaddrecc(11) <= \<const0>\; s_axi_rdaddrecc(10) <= \<const0>\; s_axi_rdaddrecc(9) <= \<const0>\; s_axi_rdaddrecc(8) <= \<const0>\; s_axi_rdaddrecc(7) <= \<const0>\; s_axi_rdaddrecc(6) <= \<const0>\; s_axi_rdaddrecc(5) <= \<const0>\; s_axi_rdaddrecc(4) <= \<const0>\; s_axi_rdaddrecc(3) <= \<const0>\; s_axi_rdaddrecc(2) <= \<const0>\; s_axi_rdaddrecc(1) <= \<const0>\; s_axi_rdaddrecc(0) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(3) <= \<const0>\; s_axi_rid(2) <= \<const0>\; s_axi_rid(1) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_sbiterr <= \<const0>\; s_axi_wready <= \<const0>\; sbiterr <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_blk_mem_gen: entity work.v_ram_blk_mem_gen_v8_3_1_synth port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dina(11 downto 0) => dina(11 downto 0), doutb(11 downto 0) => doutb(11 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity v_ram is port ( clka : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); dina : in STD_LOGIC_VECTOR ( 11 downto 0 ); clkb : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of v_ram : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of v_ram : entity is "v_ram,blk_mem_gen_v8_3_1,{}"; attribute core_generation_info : string; attribute core_generation_info of v_ram : entity is "v_ram,blk_mem_gen_v8_3_1,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=v_ram.mem,C_USE_DEFAULT_DATA=1,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=12,C_READ_WIDTH_A=12,C_WRITE_DEPTH_A=76800,C_READ_DEPTH_A=76800,C_ADDRA_WIDTH=17,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=12,C_READ_WIDTH_B=12,C_WRITE_DEPTH_B=76800,C_READ_DEPTH_B=76800,C_ADDRB_WIDTH=17,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=1,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=26,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 16.2184 mW}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of v_ram : entity is "yes"; attribute x_core_info : string; attribute x_core_info of v_ram : entity is "blk_mem_gen_v8_3_1,Vivado 2015.4"; end v_ram; architecture STRUCTURE of v_ram is signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_douta_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 16 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 16 downto 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of U0 : label is 17; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of U0 : label is 17; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of U0 : label is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of U0 : label is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of U0 : label is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of U0 : label is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of U0 : label is "1"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of U0 : label is "26"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of U0 : label is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of U0 : label is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of U0 : label is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of U0 : label is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of U0 : label is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of U0 : label is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of U0 : label is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of U0 : label is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 16.2184 mW"; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of U0 : label is 0; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of U0 : label is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 1; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of U0 : label is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of U0 : label is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of U0 : label is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of U0 : label is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of U0 : label is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of U0 : label is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of U0 : label is "v_ram.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of U0 : label is 0; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 1; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of U0 : label is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of U0 : label is 76800; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of U0 : label is 76800; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of U0 : label is 12; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of U0 : label is 12; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of U0 : label is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of U0 : label is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of U0 : label is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of U0 : label is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of U0 : label is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of U0 : label is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of U0 : label is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of U0 : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of U0 : label is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of U0 : label is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of U0 : label is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of U0 : label is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of U0 : label is 76800; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of U0 : label is 76800; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of U0 : label is "NO_CHANGE"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of U0 : label is 12; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of U0 : label is 12; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "artix7"; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.v_ram_blk_mem_gen_v8_3_1 port map ( addra(16 downto 0) => addra(16 downto 0), addrb(16 downto 0) => addrb(16 downto 0), clka => clka, clkb => clkb, dbiterr => NLW_U0_dbiterr_UNCONNECTED, deepsleep => '0', dina(11 downto 0) => dina(11 downto 0), dinb(11 downto 0) => B"000000000000", douta(11 downto 0) => NLW_U0_douta_UNCONNECTED(11 downto 0), doutb(11 downto 0) => doutb(11 downto 0), eccpipece => '0', ena => '0', enb => '0', injectdbiterr => '0', injectsbiterr => '0', rdaddrecc(16 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(16 downto 0), regcea => '0', regceb => '0', rsta => '0', rsta_busy => NLW_U0_rsta_busy_UNCONNECTED, rstb => '0', rstb_busy => NLW_U0_rstb_busy_UNCONNECTED, s_aclk => '0', s_aresetn => '0', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arburst(1 downto 0) => B"00", s_axi_arid(3 downto 0) => B"0000", s_axi_arlen(7 downto 0) => B"00000000", s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arsize(2 downto 0) => B"000", s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awburst(1 downto 0) => B"00", s_axi_awid(3 downto 0) => B"0000", s_axi_awlen(7 downto 0) => B"00000000", s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awsize(2 downto 0) => B"000", s_axi_awvalid => '0', s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, s_axi_injectdbiterr => '0', s_axi_injectsbiterr => '0', s_axi_rdaddrecc(16 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(16 downto 0), s_axi_rdata(11 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(11 downto 0), s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, s_axi_wdata(11 downto 0) => B"000000000000", s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(0) => '0', s_axi_wvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, shutdown => '0', sleep => '0', wea(0) => wea(0), web(0) => '0' ); end STRUCTURE;
mit
dries007/Basys3
VGA/VGA.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_sim_netlist.vhdl
1
7597
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.4 (win64) Build 1412921 Wed Nov 18 09:43:45 MST 2015 -- Date : Fri Mar 04 11:10:30 2016 -- Host : Dries007Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- d:/Xilinx/Projects/VGA/VGA.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_sim_netlist.vhdl -- Design : clk_wiz_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_wiz_1_clk_wiz_1_clk_wiz is port ( clk_in1 : in STD_LOGIC; clk_out1 : out STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of clk_wiz_1_clk_wiz_1_clk_wiz : entity is "clk_wiz_1_clk_wiz"; end clk_wiz_1_clk_wiz_1_clk_wiz; architecture STRUCTURE of clk_wiz_1_clk_wiz_1_clk_wiz is signal clk_in1_clk_wiz_1 : STD_LOGIC; signal clk_out1_clk_wiz_1 : STD_LOGIC; signal clkfbout_buf_clk_wiz_1 : STD_LOGIC; signal clkfbout_clk_wiz_1 : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_LOCKED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_clk_wiz_1, O => clkfbout_buf_clk_wiz_1 ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_in1, O => clk_in1_clk_wiz_1 ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_out1_clk_wiz_1, O => clk_out1 ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 32.000000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 128.000000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 5, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.010000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_clk_wiz_1, CLKFBOUT => clkfbout_clk_wiz_1, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_in1_clk_wiz_1, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_out1_clk_wiz_1, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6 downto 0) => B"0000000", DCLK => '0', DEN => '0', DI(15 downto 0) => B"0000000000000000", DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => NLW_mmcm_adv_inst_LOCKED_UNCONNECTED, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_wiz_1 is port ( clk_in1 : in STD_LOGIC; clk_out1 : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of clk_wiz_1 : entity is true; attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of clk_wiz_1 : entity is "clk_wiz_1,clk_wiz_v5_2_1,{component_name=clk_wiz_1,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; end clk_wiz_1; architecture STRUCTURE of clk_wiz_1 is begin inst: entity work.clk_wiz_1_clk_wiz_1_clk_wiz port map ( clk_in1 => clk_in1, clk_out1 => clk_out1 ); end STRUCTURE;
mit
dries007/Basys3
VGA_text/VGA_text.srcs/sources_1/imports/new/Prng.vhd
1
901
library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Seeded PRNG (linear feedback shift register) -- Thanks wikipedia for the consept and explaination entity Prng is Generic ( BITS : integer := 32 ); Port ( seed : in std_logic_vector (BITS-1 downto 0); seed_en : in std_logic; clk : in std_logic; rnd : out std_logic_vector (BITS-1 downto 0) ); end Prng; architecture Behavioral of Prng is begin process (clk) variable tmp_a : std_logic_vector(BITS-1 downto 0) := ('1', '0', '1', others => '0'); variable tmp_b : std_logic := '0'; begin if rising_edge(clk) then if seed_en = '1' then tmp_a := seed; else tmp_b := tmp_a(BITS-1) xor tmp_a(BITS-2); tmp_a := tmp_a(BITS-2 downto 0) & tmp_b; rnd <= tmp_a; end if; end if; end process; end Behavioral;
mit
dries007/Basys3
VGA_text/VGA_text.ip_user_files/ipstatic/axi_uartlite_v2_0_10/hdl/src/vhdl/dynshreg_i_f.vhd
1
12369
-- dynshreg_i_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_i_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- This version allows the client to specify the initial value -- of the contents of the shift register, as applied -- during configuration. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; -- library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.all; use lib_pkg_v1_0_2.lib_pkg.clog2; -------------------------------------------------------------------------------- -- Explanations of generics and ports regarding aspects that may not be obvious. -- -- C_DWIDTH -------- -- Theoretically, C_DWIDTH may be set to zero and this could be a more -- natural or preferrable way of excluding a dynamic shift register -- in a client than using a VHDL Generate statement. However, this usage is not -- tested, and the user should expect that some VHDL tools will be deficient -- with respect to handling this properly. -- -- C_INIT_VALUE --------------- -- C_INIT_VALUE can be used to specify the initial values of the elements -- in the dynamic shift register, i.e. the values to be present after config- -- uration. C_INIT_VALUE need not be the same size as the dynamic shift -- register, i.e. C_DWIDTH*C_DEPTH. When smaller, C_INIT_VALUE -- is replicated as many times as needed (possibly fractionally the last time) -- to form a full initial value that is the size of the shift register. -- So, if C_INIT_VALUE is left at its default value--an array of size one -- whose value is '0'--the shift register will initialize with all bits at -- all addresses set to '0'. This will also be the case if C_INIT_VALUE is a -- null (size zero) array. -- When determined according to the rules outlined above, the full -- initial value is a std_logic_vector value from (0 to C_DWIDTH*C_DEPTH-1). It -- is allocated to the addresses of the dynamic shift register in this -- manner: The first C_DWIDTH values (i.e. 0 to C_CWIDTH-1) assigned to -- the corresponding indices at address 0, the second C_DWIDTH values -- assigned to address 1, and so forth. -- Please note that the shift register is not resettable after configuration. -- -- Addr ---- -- Addr addresses the elements of the dynamic shift register. Addr=0 causes -- the most recently shifted-in element to appear at Dout, Addr=1 -- the second most recently shifted in element, etc. If C_DEPTH is not -- a power of two, then not all of the values of Addr correspond to an -- element in the shift register. When such an address is applied, the value -- of Dout is undefined until a valid address is established. -------------------------------------------------------------------------------- entity dynshreg_i_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_INIT_VALUE : bit_vector := "0"; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_i_f; architecture behavioral of dynshreg_i_f is constant USE_INFERRED : boolean := true; type bv2sl_type is array(bit) of std_logic; constant bv2sl : bv2sl_type := ('0' => '0', '1' => '1'); function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; -- ------------------------------------------------------------------------------ -- Function used to establish the full initial value. (See the comments for -- C_INIT_VALUE, above.) ------------------------------------------------------------------------------ function full_initial_value(w : natural; d : positive; v : bit_vector ) return bit_vector is variable r : bit_vector(0 to w*d-1); variable i, j : natural; -- i - the index where filling of r continues -- j - the amount to fill on the cur. iteration of the while loop begin if w = 0 then null; -- Handle the case where the shift reg width is zero elsif v'length = 0 then r := (others => '0'); else i := 0; while i /= r'length loop j := min(v'length, r'length-i); r(i to i+j-1) := v(0 to j-1); i := i+j; end loop; end if; return r; end full_initial_value; constant FULL_INIT_VAL : bit_vector(0 to C_DWIDTH*C_DEPTH -1) := full_initial_value(C_DWIDTH, C_DEPTH, C_INIT_VALUE); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- begin INFERRED_GEN : if USE_INFERRED = true generate -- type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); -- function fill_data(w: natural; d: positive; v: bit_vector ) return dataType is variable r : dataType; begin for i in 0 to d-1 loop for j in 0 to w-1 loop r(i)(j) := bv2sl(v(i*w+j)); end loop; end loop; return r; end fill_data; signal data: dataType := fill_data(C_DWIDTH, C_DEPTH, FULL_INIT_VAL); -- begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral;
mit
dries007/Basys3
VGA_text/VGA_text.ip_user_files/ipstatic/blk_mem_gen_v8_3_1/simulation/blk_mem_gen_v8_3.vhd
13
222214
------------------------------------------------------------------------------- -- (c) Copyright 2006 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- -- Filename: blk_mem_gen_v8_3_1.vhd -- -- Description: -- This file is the VHDL behvarial model for the -- Block Memory Generator Core. -- ------------------------------------------------------------------------------- -- Author: Xilinx -- -- History: January 11, 2006: Initial revision -- June 11, 2007 : Added independent register stages for -- Port A and Port B (IP1_Jm/v2.5) -- August 28, 2007 : Added mux pipeline stages feature (IP2_Jm/v2.6) -- April 07, 2009 : Added support for Spartan-6 and Virtex-6 -- features, including the following: -- (i) error injection, detection and/or correction -- (ii) reset priority -- (iii) special reset behavior -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.numeric_std.all; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY STD; USE STD.TEXTIO.ALL; ENTITY blk_mem_axi_regs_fwd_v8_3 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END ENTITY blk_mem_axi_regs_fwd_v8_3; ARCHITECTURE axi_regs_fwd_arch OF blk_mem_axi_regs_fwd_v8_3 IS SIGNAL STORAGE_DATA : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL S_READY_I : STD_LOGIC := '0'; SIGNAL M_VALID_I : STD_LOGIC := '0'; SIGNAL ARESET_D : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');-- Reset delay register BEGIN --assign local signal to its output signal S_READY <= S_READY_I; M_VALID <= M_VALID_I; PROCESS(ACLK) BEGIN IF(ACLK'event AND ACLK = '1') THEN ARESET_D <= ARESET_D(0) & ARESET; END IF; END PROCESS; --Save payload data whenever we have a transaction on the slave side PROCESS(ACLK, ARESET) BEGIN IF (ARESET = '1') THEN STORAGE_DATA <= (OTHERS => '0'); ELSIF(ACLK'event AND ACLK = '1') THEN IF(S_VALID = '1' AND S_READY_I = '1') THEN STORAGE_DATA <= S_PAYLOAD_DATA; END IF; END IF; END PROCESS; M_PAYLOAD_DATA <= STORAGE_DATA; -- M_Valid set to high when we have a completed transfer on slave side -- Is removed on a M_READY except if we have a new transfer on the slave side PROCESS(ACLK,ARESET) BEGIN IF (ARESET_D /= "00") THEN M_VALID_I <= '0'; ELSIF(ACLK'event AND ACLK = '1') THEN IF (S_VALID = '1') THEN --Always set M_VALID_I when slave side is valid M_VALID_I <= '1'; ELSIF (M_READY = '1') THEN --Clear (or keep) when no slave side is valid but master side is ready M_VALID_I <= '0'; END IF; END IF; END PROCESS; --Slave Ready is either when Master side drives M_READY or we have space in our storage data S_READY_I <= (M_READY OR (NOT M_VALID_I)) AND NOT(OR_REDUCE(ARESET_D)); END axi_regs_fwd_arch; ------------------------------------------------------------------------------- -- Description: -- This is the behavioral model of write_wrapper for the -- Block Memory Generator Core. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_axi_write_wrapper_beh IS GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END blk_mem_axi_write_wrapper_beh; ARCHITECTURE axi_write_wrap_arch OF blk_mem_axi_write_wrapper_beh IS ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_AXI_WDATA_WIDTH=8,0, if_then_else((C_AXI_WDATA_WIDTH=16),1, if_then_else((C_AXI_WDATA_WIDTH=32),2, if_then_else((C_AXI_WDATA_WIDTH=64),3, if_then_else((C_AXI_WDATA_WIDTH=128),4, if_then_else((C_AXI_WDATA_WIDTH=256),5,0)))))); SIGNAL bvalid_c : std_logic := '0'; SIGNAL bready_timeout_c : std_logic := '0'; SIGNAL bvalid_rd_cnt_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_r : std_logic := '0'; SIGNAL bvalid_count_r : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0'); SIGNAL awaddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), C_AXI_AWADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL bvalid_wr_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_rd_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL w_last_c : std_logic := '0'; SIGNAL addr_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL aw_ready_r : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL awlen_cntr_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '1'); SIGNAL awlen_int : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL awburst_int : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes : integer := 0; SIGNAL wrap_boundary : integer := 0; SIGNAL wrap_base_addr : integer := 0; SIGNAL num_of_bytes_c : integer := 0; SIGNAL num_of_bytes_r : integer := 0; -- Array to store BIDs TYPE id_array IS ARRAY (3 DOWNTO 0) OF std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); SIGNAL axi_bid_array : id_array := (others => (others => '0')); COMPONENT write_netlist GENERIC( C_AXI_TYPE : integer ); PORT( S_ACLK : IN std_logic; S_ARESETN : IN std_logic; S_AXI_AWVALID : IN std_logic; aw_ready_r : OUT std_logic; S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN std_logic; S_AXI_WR_EN : OUT std_logic; w_last_c : IN std_logic; bready_timeout_c : IN std_logic; addr_en_c : OUT std_logic; incr_addr_c : OUT std_logic; bvalid_c : OUT std_logic ); END COMPONENT write_netlist; BEGIN --------------------------------------- --AXI WRITE FSM COMPONENT INSTANTIATION --------------------------------------- axi_wr_fsm : write_netlist GENERIC MAP ( C_AXI_TYPE => C_AXI_TYPE ) PORT MAP ( S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, S_AXI_AWVALID => S_AXI_AWVALID, aw_ready_r => aw_ready_r, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BVALID => OPEN, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BREADY => S_AXI_BREADY, S_AXI_WR_EN => S_AXI_WR_EN, w_last_c => w_last_c, bready_timeout_c => bready_timeout_c, addr_en_c => addr_en_c, incr_addr_c => incr_addr_c, bvalid_c => bvalid_c ); --Wrap Address boundary calculation num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWSIZE,"000")); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(awlen_int)+1); wrap_base_addr <= (conv_integer(awaddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary <= wrap_base_addr+total_bytes; --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awaddr_reg <= (OTHERS => '0'); num_of_bytes_r <= 0; awburst_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awaddr_reg <= S_AXI_AWADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; awburst_int <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWBURST,"01"); ELSIF (incr_addr_c = '1') THEN IF (awburst_int = "10") THEN IF(conv_integer(awaddr_reg) = (wrap_boundary-num_of_bytes_r)) THEN awaddr_reg <= conv_std_logic_vector(wrap_base_addr,C_AXI_AWADDR_WIDTH); ELSE awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; ELSIF (awburst_int = "01" OR awburst_int = "11") THEN awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; S_AXI_AWADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), awaddr_reg(C_AXI_AWADDR_WIDTH-1 DOWNTO C_RANGE),awaddr_reg); --------------------------------------------------------------------------- -- AXI wlast generation --------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awlen_cntr_r <= (OTHERS => '1'); awlen_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awlen_int <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; awlen_cntr_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; ELSIF (dec_alen_c = '1') THEN awlen_cntr_r <= awlen_cntr_r - ONE AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; w_last_c <= '1' WHEN (awlen_cntr_r = "00000000" AND S_AXI_WVALID = '1') ELSE '0'; dec_alen_c <= (incr_addr_c OR w_last_c); --------------------------------------------------------------------------- -- Generation of bvalid counter for outstanding transactions --------------------------------------------------------------------------- P_b_valid_os_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_count_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- bvalid_count_r generation IF (bvalid_c = '1' AND bvalid_r = '1' AND S_AXI_BREADY = '1') THEN bvalid_count_r <= bvalid_count_r AFTER FLOP_DELAY; ELSIF (bvalid_c = '1') THEN bvalid_count_r <= bvalid_count_r + "01" AFTER FLOP_DELAY; ELSIF (bvalid_r = '1' AND S_AXI_BREADY = '1' AND bvalid_count_r /= "0") THEN bvalid_count_r <= bvalid_count_r - "01" AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_os_r ; --------------------------------------------------------------------------- -- Generation of bvalid when BID is used --------------------------------------------------------------------------- gaxi_bvalid_id_r:IF (C_HAS_AXI_ID = 1) GENERATE SIGNAL bvalid_d1_c : std_logic := '0'; BEGIN P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; bvalid_d1_c <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- Delay the generation o bvalid_r for generation for BID bvalid_d1_c <= bvalid_c; --external bvalid signal generation IF (bvalid_d1_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_id_r; --------------------------------------------------------------------------- -- Generation of bvalid when BID is not used --------------------------------------------------------------------------- gaxi_bvalid_noid_r:IF (C_HAS_AXI_ID = 0) GENERATE P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN --external bvalid signal generation IF (bvalid_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_noid_r; --------------------------------------------------------------------------- -- Generation of Bready timeout --------------------------------------------------------------------------- P_brdy_tout_c: PROCESS (bvalid_count_r) BEGIN -- bready_timeout_c generation IF(conv_integer(bvalid_count_r) = C_AXI_OS_WR-1) THEN bready_timeout_c <= '1'; ELSE bready_timeout_c <= '0'; END IF; END PROCESS P_brdy_tout_c; --------------------------------------------------------------------------- -- Generation of BID --------------------------------------------------------------------------- gaxi_bid_gen:IF (C_HAS_AXI_ID = 1) GENERATE P_bid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN bvalid_wr_cnt_r <= (OTHERS => '0'); bvalid_rd_cnt_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- STORE AWID IN AN ARRAY IF(bvalid_c = '1') THEN bvalid_wr_cnt_r <= bvalid_wr_cnt_r + "01"; END IF; -- GENERATE BID FROM AWID ARRAY bvalid_rd_cnt_r <= bvalid_rd_cnt_c AFTER FLOP_DELAY; S_AXI_BID <= axi_bid_array(conv_integer(bvalid_rd_cnt_c)); END IF; END PROCESS P_bid_gen; bvalid_rd_cnt_c <= bvalid_rd_cnt_r + "01" WHEN (bvalid_r = '1' AND S_AXI_BREADY = '1') ELSE bvalid_rd_cnt_r; --------------------------------------------------------------------------- -- Storing AWID for generation of BID --------------------------------------------------------------------------- P_awid_reg:PROCESS (S_ACLK) BEGIN IF (S_ACLK'event AND S_ACLK='1') THEN IF(aw_ready_r = '1' AND S_AXI_AWVALID = '1') THEN axi_bid_array(conv_integer(bvalid_wr_cnt_r)) <= S_AXI_AWID; END IF; END IF; END PROCESS P_awid_reg; END GENERATE gaxi_bid_gen; S_AXI_BVALID <= bvalid_r; S_AXI_AWREADY <= aw_ready_r; END axi_write_wrap_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity write_netlist is GENERIC( C_AXI_TYPE : integer ); port ( S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_AWVALID : in STD_LOGIC := '0'; S_AXI_WVALID : in STD_LOGIC := '0'; S_AXI_BREADY : in STD_LOGIC := '0'; w_last_c : in STD_LOGIC := '0'; bready_timeout_c : in STD_LOGIC := '0'; aw_ready_r : out STD_LOGIC; S_AXI_WREADY : out STD_LOGIC; S_AXI_BVALID : out STD_LOGIC; S_AXI_WR_EN : out STD_LOGIC; addr_en_c : out STD_LOGIC; incr_addr_c : out STD_LOGIC; bvalid_c : out STD_LOGIC ); end write_netlist; architecture STRUCTURE of write_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; BEGIN --------------------------------------------------------------------------- -- AXI LITE --------------------------------------------------------------------------- gbeh_axi_lite_sm: IF (C_AXI_TYPE = 0 ) GENERATE signal w_ready_r_7 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSignal_bvalid_c : STD_LOGIC; signal NlwRenamedSignal_incr_addr_c : STD_LOGIC; signal present_state_FSM_FFd3_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal present_state_FSM_FFd1_15 : STD_LOGIC; signal present_state_FSM_FFd4_16 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd4_In1_21 : STD_LOGIC; signal Mmux_aw_ready_c : STD_LOGIC_VECTOR ( 0 downto 0 ); begin S_AXI_WREADY <= w_ready_r_7; S_AXI_BVALID <= NlwRenamedSignal_incr_addr_c; S_AXI_WR_EN <= NlwRenamedSignal_bvalid_c; incr_addr_c <= NlwRenamedSignal_incr_addr_c; bvalid_c <= NlwRenamedSignal_bvalid_c; NlwRenamedSignal_incr_addr_c <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_7 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_16 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_13 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_15 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000055554440" ) port map ( I0 => S_AXI_WVALID, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => '0', O => present_state_FSM_FFd3_In ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"0000000088880800" ) port map ( I0 => S_AXI_AWVALID, I1 => S_AXI_WVALID, I2 => bready_timeout_c, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd4_16, I5 => '0', O => present_state_FSM_FFd2_In ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"00000000AAAA2000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_WVALID, I4 => present_state_FSM_FFd4_16, I5 => '0', O => addr_en_c ); Mmux_w_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"F5F07570F5F05500" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => w_ready_c ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd3_13, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd1_15, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_14, I2 => present_state_FSM_FFd3_13, I3 => '0', I4 => '0', I5 => '0', O => NlwRenamedSignal_bvalid_c ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"2F0F27072F0F2200" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => present_state_FSM_FFd4_In1_21 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_In1_21, I3 => '0', I4 => '0', I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_aw_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"7535753575305500" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => S_AXI_WVALID, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => present_state_FSM_FFd2_14, O => Mmux_aw_ready_c(0) ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => Mmux_aw_ready_c(0), I3 => '0', I4 => '0', I5 => '0', O => aw_ready_c ); END GENERATE gbeh_axi_lite_sm; --------------------------------------------------------------------------- -- AXI FULL --------------------------------------------------------------------------- gbeh_axi_full_sm: IF (C_AXI_TYPE = 1 ) GENERATE signal w_ready_r_8 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSig_OI_bvalid_c : STD_LOGIC; signal present_state_FSM_FFd1_16 : STD_LOGIC; signal present_state_FSM_FFd4_17 : STD_LOGIC; signal present_state_FSM_FFd3_18 : STD_LOGIC; signal present_state_FSM_FFd2_19 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd2_In1_24 : STD_LOGIC; signal present_state_FSM_FFd4_In1_25 : STD_LOGIC; signal N2 : STD_LOGIC; signal N4 : STD_LOGIC; begin S_AXI_WREADY <= w_ready_r_8; bvalid_c <= NlwRenamedSig_OI_bvalid_c; S_AXI_BVALID <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_8 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_17 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_18 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_19 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_16 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000000005540" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd4_17, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd3_In ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"BF3FBB33AF0FAA00" ) port map ( I0 => S_AXI_BREADY, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd1_16, I4 => present_state_FSM_FFd4_17, I5 => NlwRenamedSig_OI_bvalid_c, O => aw_ready_c ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"AAAAAAAA20000000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_19, I3 => S_AXI_WVALID, I4 => w_last_c, I5 => present_state_FSM_FFd4_17, O => addr_en_c ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_19, I2 => present_state_FSM_FFd3_18, I3 => '0', I4 => '0', I5 => '0', O => S_AXI_WR_EN ); Mmux_incr_addr_c_0_1 : STATE_LOGIC generic map( INIT => X"0000000000002220" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => incr_addr_c ); Mmux_aw_ready_c_0_11 : STATE_LOGIC generic map( INIT => X"0000000000008880" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => NlwRenamedSig_OI_bvalid_c ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"000000000000D5C0" ) port map ( I0 => w_last_c, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd4_17, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd2_In1_24 ); present_state_FSM_FFd2_In2 : STATE_LOGIC generic map( INIT => X"FFFFAAAA08AAAAAA" ) port map ( I0 => present_state_FSM_FFd2_19, I1 => S_AXI_AWVALID, I2 => bready_timeout_c, I3 => w_last_c, I4 => S_AXI_WVALID, I5 => present_state_FSM_FFd2_In1_24, O => present_state_FSM_FFd2_In ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"00C0004000C00000" ) port map ( I0 => S_AXI_AWVALID, I1 => w_last_c, I2 => S_AXI_WVALID, I3 => bready_timeout_c, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => present_state_FSM_FFd4_In1_25 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000FFFF88F8" ) port map ( I0 => present_state_FSM_FFd1_16, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_17, I3 => S_AXI_AWVALID, I4 => present_state_FSM_FFd4_In1_25, I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_w_ready_c_0_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => w_last_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_w_ready_c_0_Q : STATE_LOGIC generic map( INIT => X"FABAFABAFAAAF000" ) port map ( I0 => N2, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd4_17, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => w_ready_c ); Mmux_aw_ready_c_0_11_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => bready_timeout_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N4 ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => w_last_c, I1 => N4, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => present_state_FSM_FFd1_16, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); END GENERATE gbeh_axi_full_sm; end STRUCTURE; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --AXI Behavioral Model entities ENTITY blk_mem_axi_read_wrapper_beh is GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); port ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END blk_mem_axi_read_wrapper_beh; architecture blk_mem_axi_read_wrapper_beh_arch of blk_mem_axi_read_wrapper_beh is ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_WRITE_WIDTH_A=8,0, if_then_else((C_WRITE_WIDTH_A=16),1, if_then_else((C_WRITE_WIDTH_A=32),2, if_then_else((C_WRITE_WIDTH_A=64),3, if_then_else((C_WRITE_WIDTH_A=128),4, if_then_else((C_WRITE_WIDTH_A=256),5,0)))))); SIGNAL ar_id_r : std_logic_vector (C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); SIGNAL addr_en_c : std_logic := '0'; SIGNAL rd_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL single_trans_c : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL mux_sel_c : std_logic := '0'; SIGNAL r_last_c : std_logic := '0'; SIGNAL r_last_int_c : std_logic := '0'; SIGNAL arlen_int_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL arlen_cntr : std_logic_vector(7 DOWNTO 0) := ONE; SIGNAL arburst_int_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL arburst_int_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL araddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),C_AXI_ARADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL num_of_bytes_c : integer := 0; SIGNAL total_bytes : integer := 0; SIGNAL num_of_bytes_r : integer := 0; SIGNAL wrap_base_addr_r : integer := 0; SIGNAL wrap_boundary_r : integer := 0; SIGNAL arlen_int_c : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes_c : integer := 0; SIGNAL wrap_base_addr_c : integer := 0; SIGNAL wrap_boundary_c : integer := 0; SIGNAL araddr_out : std_logic_vector(C_ADDRB_WIDTH-1 downto 0) := (OTHERS => '0'); COMPONENT read_netlist GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_INCR_ADDR : OUT std_logic := '0'; S_AXI_ADDR_EN : OUT std_logic := '0'; S_AXI_SINGLE_TRANS : OUT std_logic := '0'; S_AXI_MUX_SEL : OUT std_logic := '0'; S_AXI_R_LAST : OUT std_logic := '0'; S_AXI_R_LAST_INT : IN std_logic := '0'; -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN : OUT std_logic ); END COMPONENT read_netlist; BEGIN dec_alen_c <= incr_addr_c OR r_last_int_c; axi_read_fsm : read_netlist GENERIC MAP( C_AXI_TYPE => 1, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( S_AXI_INCR_ADDR => incr_addr_c, S_AXI_ADDR_EN => addr_en_c, S_AXI_SINGLE_TRANS => single_trans_c, S_AXI_MUX_SEL => mux_sel_c, S_AXI_R_LAST => r_last_c, S_AXI_R_LAST_INT => r_last_int_c, -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => S_AXI_RLAST, S_AXI_RVALID => S_AXI_RVALID, S_AXI_RREADY => S_AXI_RREADY, -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN => rd_en_c ); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(arlen_int_r)+1); wrap_base_addr_r <= (conv_integer(araddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary_r <= wrap_base_addr_r+total_bytes; ---- combinatorial from interface num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARSIZE,"000")); arlen_int_c <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); total_bytes_c <= conv_integer(num_of_bytes_c)*(conv_integer(arlen_int_c)+1); wrap_base_addr_c <= (conv_integer(S_AXI_ARADDR)/if_then_else(total_bytes_c=0,1,total_bytes_c))*(total_bytes_c); wrap_boundary_c <= wrap_base_addr_c+total_bytes_c; arburst_int_c <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARBURST,"01"); --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN araddr_reg <= (OTHERS => '0'); arburst_int_r <= (OTHERS => '0'); num_of_bytes_r <= 0; ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (incr_addr_c = '1' AND addr_en_c = '1' AND single_trans_c = '0') THEN arburst_int_r <= arburst_int_c; num_of_bytes_r <= num_of_bytes_c; IF (arburst_int_c = "10") THEN IF(conv_integer(S_AXI_ARADDR) = (wrap_boundary_c-num_of_bytes_c)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_c,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (arburst_int_c = "01" OR arburst_int_c = "11") THEN araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (addr_en_c = '1') THEN araddr_reg <= S_AXI_ARADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; arburst_int_r <= arburst_int_c; ELSIF (incr_addr_c = '1') THEN IF (arburst_int_r = "10") THEN IF(conv_integer(araddr_reg) = (wrap_boundary_r-num_of_bytes_r)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_r,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= araddr_reg + num_of_bytes_r; END IF; ELSIF (arburst_int_r = "01" OR arburst_int_r = "11") THEN araddr_reg <= araddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; araddr_out <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),araddr_reg(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),araddr_reg); -------------------------------------------------------------------------- -- Counter to generate r_last_int_c from registered ARLEN - AXI FULL FSM -------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF S_ARESETN = '1' THEN arlen_cntr <= ONE; arlen_int_r <= (OTHERS => '0'); ELSIF S_ACLK'event AND S_ACLK = '1' THEN IF (addr_en_c = '1' AND dec_alen_c = '1' AND single_trans_c = '0') THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= S_AXI_ARLEN - ONE AFTER FLOP_DELAY; ELSIF addr_en_c = '1' THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); ELSIF dec_alen_c = '1' THEN arlen_cntr <= arlen_cntr - ONE AFTER FLOP_DELAY; ELSE arlen_cntr <= arlen_cntr AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; r_last_int_c <= '1' WHEN (arlen_cntr = "00000000" AND S_AXI_RREADY = '1') ELSE '0' ; -------------------------------------------------------------------------- -- AXI FULL FSM -- Mux Selection of ARADDR -- ARADDR is driven out from the read fsm based on the mux_sel_c -- Based on mux_sel either ARADDR is given out or the latched ARADDR is -- given out to BRAM -------------------------------------------------------------------------- P_araddr_mux: PROCESS (mux_sel_c,S_AXI_ARADDR,araddr_out) BEGIN IF (mux_sel_c = '0') THEN S_AXI_ARADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARADDR(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),S_AXI_ARADDR); ELSE S_AXI_ARADDR_OUT <= araddr_out; END IF; END PROCESS P_araddr_mux; -------------------------------------------------------------------------- -- Assign output signals - AXI FULL FSM -------------------------------------------------------------------------- S_AXI_RD_EN <= rd_en_c; grid: IF (C_HAS_AXI_ID = 1) GENERATE P_rid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN S_AXI_RID <= (OTHERS => '0'); ar_id_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN IF (addr_en_c = '1' AND rd_en_c = '1') THEN S_AXI_RID <= S_AXI_ARID; ar_id_r <= S_AXI_ARID; ELSIF (addr_en_c = '1' AND rd_en_c = '0') THEN ar_id_r <= S_AXI_ARID; ELSIF (rd_en_c = '1') THEN S_AXI_RID <= ar_id_r; END IF; END IF; END PROCESS P_rid_gen; END GENERATE grid; END blk_mem_axi_read_wrapper_beh_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity read_netlist is GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_R_LAST_INT : in STD_LOGIC := '0'; S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_ARVALID : in STD_LOGIC := '0'; S_AXI_RREADY : in STD_LOGIC := '0'; S_AXI_INCR_ADDR : out STD_LOGIC; S_AXI_ADDR_EN : out STD_LOGIC; S_AXI_SINGLE_TRANS : out STD_LOGIC; S_AXI_MUX_SEL : out STD_LOGIC; S_AXI_R_LAST : out STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; S_AXI_RLAST : out STD_LOGIC; S_AXI_RVALID : out STD_LOGIC; S_AXI_RD_EN : out STD_LOGIC; S_AXI_ARLEN : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); end read_netlist; architecture STRUCTURE of read_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; signal present_state_FSM_FFd1_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal gaxi_full_sm_outstanding_read_r_15 : STD_LOGIC; signal gaxi_full_sm_ar_ready_r_16 : STD_LOGIC; signal gaxi_full_sm_r_last_r_17 : STD_LOGIC; signal NlwRenamedSig_OI_gaxi_full_sm_r_valid_r : STD_LOGIC; signal gaxi_full_sm_r_valid_c : STD_LOGIC; signal S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o : STD_LOGIC; signal gaxi_full_sm_ar_ready_c : STD_LOGIC; signal gaxi_full_sm_outstanding_read_c : STD_LOGIC; signal NlwRenamedSig_OI_S_AXI_R_LAST : STD_LOGIC; signal S_AXI_ARLEN_7_GND_8_o_equal_1_o : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal Mmux_S_AXI_R_LAST13 : STD_LOGIC; signal N01 : STD_LOGIC; signal N2 : STD_LOGIC; signal Mmux_gaxi_full_sm_ar_ready_c11 : STD_LOGIC; signal N4 : STD_LOGIC; signal N8 : STD_LOGIC; signal N9 : STD_LOGIC; signal N10 : STD_LOGIC; signal N11 : STD_LOGIC; signal N12 : STD_LOGIC; signal N13 : STD_LOGIC; begin S_AXI_R_LAST <= NlwRenamedSig_OI_S_AXI_R_LAST; S_AXI_ARREADY <= gaxi_full_sm_ar_ready_r_16; S_AXI_RLAST <= gaxi_full_sm_r_last_r_17; S_AXI_RVALID <= NlwRenamedSig_OI_gaxi_full_sm_r_valid_r; gaxi_full_sm_outstanding_read_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_outstanding_read_c, Q => gaxi_full_sm_outstanding_read_r_15 ); gaxi_full_sm_r_valid_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => gaxi_full_sm_r_valid_c, Q => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r ); gaxi_full_sm_ar_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_ar_ready_c, Q => gaxi_full_sm_ar_ready_r_16 ); gaxi_full_sm_r_last_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => NlwRenamedSig_OI_S_AXI_R_LAST, Q => gaxi_full_sm_r_last_r_17 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_13 ); S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o1 : STATE_LOGIC generic map( INIT => X"000000000000000B" ) port map ( I0 => S_AXI_RREADY, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o ); Mmux_S_AXI_SINGLE_TRANS11 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_SINGLE_TRANS ); Mmux_S_AXI_ADDR_EN11 : STATE_LOGIC generic map( INIT => X"0000000000000004" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_ADDR_EN ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"ECEE2022EEEE2022" ) port map ( I0 => S_AXI_ARVALID, I1 => present_state_FSM_FFd1_13, I2 => S_AXI_RREADY, I3 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I4 => present_state_FSM_FFd2_14, I5 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, O => present_state_FSM_FFd2_In ); Mmux_S_AXI_R_LAST131 : STATE_LOGIC generic map( INIT => X"0000000044440444" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_RREADY, I5 => '0', O => Mmux_S_AXI_R_LAST13 ); Mmux_S_AXI_INCR_ADDR11 : STATE_LOGIC generic map( INIT => X"4000FFFF40004000" ) port map ( I0 => S_AXI_R_LAST_INT, I1 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => Mmux_S_AXI_R_LAST13, O => S_AXI_INCR_ADDR ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000FE" ) port map ( I0 => S_AXI_ARLEN(2), I1 => S_AXI_ARLEN(1), I2 => S_AXI_ARLEN(0), I3 => '0', I4 => '0', I5 => '0', O => N01 ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_Q : STATE_LOGIC generic map( INIT => X"0000000000000001" ) port map ( I0 => S_AXI_ARLEN(7), I1 => S_AXI_ARLEN(6), I2 => S_AXI_ARLEN(5), I3 => S_AXI_ARLEN(4), I4 => S_AXI_ARLEN(3), I5 => N01, O => S_AXI_ARLEN_7_GND_8_o_equal_1_o ); Mmux_gaxi_full_sm_outstanding_read_c1_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_gaxi_full_sm_outstanding_read_c1 : STATE_LOGIC generic map( INIT => X"0020000002200200" ) port map ( I0 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd1_13, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => N2, O => gaxi_full_sm_outstanding_read_c ); Mmux_gaxi_full_sm_ar_ready_c12 : STATE_LOGIC generic map( INIT => X"0000000000004555" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => '0', I5 => '0', O => Mmux_gaxi_full_sm_ar_ready_c11 ); Mmux_S_AXI_R_LAST11_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000EF" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I3 => '0', I4 => '0', I5 => '0', O => N4 ); Mmux_S_AXI_R_LAST11 : STATE_LOGIC generic map( INIT => X"FCAAFC0A00AA000A" ) port map ( I0 => S_AXI_ARVALID, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => N4, I5 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, O => gaxi_full_sm_r_valid_c ); S_AXI_MUX_SEL1 : STATE_LOGIC generic map( INIT => X"00000000AAAAAA08" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => '0', O => S_AXI_MUX_SEL ); Mmux_S_AXI_RD_EN11 : STATE_LOGIC generic map( INIT => X"F3F3F755A2A2A200" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => gaxi_full_sm_outstanding_read_r_15, I4 => present_state_FSM_FFd2_14, I5 => S_AXI_ARVALID, O => S_AXI_RD_EN ); present_state_FSM_FFd1_In3 : beh_muxf7 port map ( I0 => N8, I1 => N9, S => present_state_FSM_FFd1_13, O => present_state_FSM_FFd1_In ); present_state_FSM_FFd1_In3_F : STATE_LOGIC generic map( INIT => X"000000005410F4F0" ) port map ( I0 => S_AXI_RREADY, I1 => present_state_FSM_FFd2_14, I2 => S_AXI_ARVALID, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => '0', O => N8 ); present_state_FSM_FFd1_In3_G : STATE_LOGIC generic map( INIT => X"0000000072FF7272" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N9 ); Mmux_gaxi_full_sm_ar_ready_c14 : beh_muxf7 port map ( I0 => N10, I1 => N11, S => present_state_FSM_FFd1_13, O => gaxi_full_sm_ar_ready_c ); Mmux_gaxi_full_sm_ar_ready_c14_F : STATE_LOGIC generic map( INIT => X"00000000FFFF88A8" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => Mmux_gaxi_full_sm_ar_ready_c11, I5 => '0', O => N10 ); Mmux_gaxi_full_sm_ar_ready_c14_G : STATE_LOGIC generic map( INIT => X"000000008D008D8D" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N11 ); Mmux_S_AXI_R_LAST1 : beh_muxf7 port map ( I0 => N12, I1 => N13, S => present_state_FSM_FFd1_13, O => NlwRenamedSig_OI_S_AXI_R_LAST ); Mmux_S_AXI_R_LAST1_F : STATE_LOGIC generic map( INIT => X"0000000088088888" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N12 ); Mmux_S_AXI_R_LAST1_G : STATE_LOGIC generic map( INIT => X"00000000E400E4E4" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => S_AXI_R_LAST_INT, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N13 ); end STRUCTURE; ------------------------------------------------------------------------------- -- Output Register Stage Entity -- -- This module builds the output register stages of the memory. This module is -- instantiated in the main memory module (blk_mem_gen_v8_3_1) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_gen_v8_3_1_output_stage IS GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; C_EN_ECC_PIPE : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; REGCE : IN STD_LOGIC; DIN_I : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN_I : IN STD_LOGIC; DBITERR_IN_I : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN_I : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); eccpipece : IN STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END blk_mem_gen_v8_3_1_output_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6" and "virtex6l". -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- C_HAS_RST : Determines the presence of the RST port -- C_RSTRAM : Determines if special reset behavior is used -- C_RST_PRIORITY : Determines the priority between CE and SR -- C_INIT_VAL : Initialization value -- C_HAS_EN : Determines the presence of the EN port -- C_HAS_REGCE : Determines the presence of the REGCE port -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS : Designates the use of a register at the output -- of the RAM primitive -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- NUM_STAGES : Determines the number of output stages -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE output_stage_behavioral OF blk_mem_gen_v8_3_1_output_stage IS --******************************************************* -- Functions used in the output stage ARCHITECTURE --******************************************************* -- Calculate num_reg_stages FUNCTION get_num_reg_stages(NUM_STAGES: INTEGER) RETURN INTEGER IS VARIABLE num_reg_stages : INTEGER := 0; BEGIN IF (NUM_STAGES = 0) THEN num_reg_stages := 0; ELSE num_reg_stages := NUM_STAGES - 1; END IF; RETURN num_reg_stages; END get_num_reg_stages; -- Check if the INTEGER is zero or non-zero FUNCTION int_to_bit(input: INTEGER) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = 0) THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END int_to_bit; -- Constants CONSTANT HAS_EN : STD_LOGIC := int_to_bit(C_HAS_EN); CONSTANT HAS_REGCE : STD_LOGIC := int_to_bit(C_HAS_REGCE); CONSTANT HAS_RST : STD_LOGIC := int_to_bit(C_HAS_RST); CONSTANT REG_STAGES : INTEGER := get_num_reg_stages(NUM_STAGES); -- Pipeline array TYPE reg_data_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); TYPE reg_ecc_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC; TYPE reg_eccaddr_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); CONSTANT REG_INIT : reg_data_array := (OTHERS => init_val); SIGNAL out_regs : reg_data_array := REG_INIT; SIGNAL sbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL dbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL rdaddrecc_regs: reg_eccaddr_array := (OTHERS => (OTHERS => '0')); -- Internal signals SIGNAL en_i : STD_LOGIC; SIGNAL regce_i : STD_LOGIC; SIGNAL rst_i : STD_LOGIC; SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := init_val; SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL DIN : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL RDADDRECC_IN : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ; SIGNAL SBITERR_IN : STD_LOGIC := '0'; SIGNAL DBITERR_IN : STD_LOGIC := '0'; BEGIN --*********************************************************************** -- Assign internal signals. This effectively wires off optional inputs. --*********************************************************************** -- Internal enable for output registers is tied to user EN or '1' depending -- on parameters en_i <= EN OR (NOT HAS_EN); -- Internal register enable for output registers is tied to user REGCE, EN -- or '1' depending on parameters regce_i <= (HAS_REGCE AND REGCE) OR ((NOT HAS_REGCE) AND en_i); -- Internal SRR is tied to user RST or '0' depending on parameters rst_i <= RST AND HAS_RST; --*************************************************************************** -- NUM_STAGES = 0 (No output registers. RAM only) --*************************************************************************** zero_stages: IF (NUM_STAGES = 0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE zero_stages; NO_ECC_PIPE_REG: IF (C_EN_ECC_PIPE = 0) GENERATE DIN <= DIN_I; RDADDRECC_IN <= RDADDRECC_IN_I; SBITERR_IN <= SBITERR_IN_I; DBITERR_IN <= DBITERR_IN_I; END GENERATE NO_ECC_PIPE_REG; WITH_ECC_PIPE_REG: IF (C_EN_ECC_PIPE = 1) GENERATE PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(ECCPIPECE = '1') THEN DIN <= DIN_I AFTER FLOP_DELAY; RDADDRECC_IN <= RDADDRECC_IN_I AFTER FLOP_DELAY; SBITERR_IN <= SBITERR_IN_I AFTER FLOP_DELAY; DBITERR_IN <= DBITERR_IN_I AFTER FLOP_DELAY; END IF; END IF; END PROCESS; END GENERATE WITH_ECC_PIPE_REG; --*************************************************************************** -- NUM_STAGES = 1 -- (Mem Output Reg only or Mux Output Reg only) --*************************************************************************** -- Possible valid combinations: -- Note: C_HAS_MUX_OUTPUT_REGS_*=0 when (C_RSTRAM_*=1) -- +-----------------------------------------+ -- | C_RSTRAM_* | Reset Behavior | -- +----------------+------------------------+ -- | 0 | Normal Behavior | -- +----------------+------------------------+ -- | 1 | Special Behavior | -- +----------------+------------------------+ -- -- Normal = REGCE gates reset, as in the case of all Virtex families and all -- spartan families with the exception of S3ADSP and S6. -- Special = EN gates reset, as in the case of S3ADSP and S6. one_stage_norm: IF (NUM_STAGES = 1 AND (C_RSTRAM=0 OR (C_RSTRAM=1 AND (C_XDEVICEFAMILY/="spartan3adsp" AND C_XDEVICEFAMILY/="aspartan3adsp")) OR C_HAS_MEM_OUTPUT_REGS=0 OR C_HAS_RST=0)) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i = '1' AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END IF;--Priority conditions END IF;--CLK END PROCESS; END GENERATE one_stage_norm; -- Special Reset Behavior for S6 and S3ADSP one_stage_splbhv: IF (NUM_STAGES=1 AND C_RSTRAM=1 AND (C_XDEVICEFAMILY ="spartan3adsp" OR C_XDEVICEFAMILY ="aspartan3adsp")) GENERATE DOUT <= dout_i; SBITERR <= '0'; DBITERR <= '0'; RDADDRECC <= (OTHERS => '0'); PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (rst_i='1' AND en_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; ELSIF (regce_i='1' AND rst_i/='1') THEN dout_i <= DIN AFTER FLOP_DELAY; END IF; END IF;--CLK END PROCESS; END GENERATE one_stage_splbhv; --**************************************************************************** -- NUM_STAGES > 1 -- Mem Output Reg + Mux Output Reg -- or -- Mem Output Reg + Mux Pipeline Stages (>0) + Mux Output Reg -- or -- Mux Pipeline Stages (>0) + Mux Output Reg --**************************************************************************** multi_stage: IF (NUM_STAGES > 1) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i='1'AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; END IF;--Priority conditions IF (en_i='1') THEN -- Shift the data through the output stages FOR i IN 1 TO REG_STAGES-1 LOOP out_regs(i) <= out_regs(i-1) AFTER FLOP_DELAY; sbiterr_regs(i) <= sbiterr_regs(i-1) AFTER FLOP_DELAY; dbiterr_regs(i) <= dbiterr_regs(i-1) AFTER FLOP_DELAY; rdaddrecc_regs(i) <= rdaddrecc_regs(i-1) AFTER FLOP_DELAY; END LOOP; out_regs(0) <= DIN; sbiterr_regs(0) <= SBITERR_IN; dbiterr_regs(0) <= DBITERR_IN; rdaddrecc_regs(0) <= RDADDRECC_IN; END IF; END IF;--CLK END PROCESS; END GENERATE multi_stage; END output_stage_behavioral; ------------------------------------------------------------------------------- -- SoftECC Output Register Stage Entity -- This module builds the softecc output register stages. This module is -- instantiated in the memory module (blk_mem_gen_v8_3_1_mem_module) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_gen_v8_3_1_softecc_output_reg_stage IS GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ; DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END blk_mem_gen_v8_3_1_softecc_output_reg_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- of the RAM primitive -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE softecc_output_reg_stage_behavioral OF blk_mem_gen_v8_3_1_softecc_output_reg_stage IS -- Internal signals SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN --*************************************************************************** -- NO OUTPUT STAGES --*************************************************************************** no_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE no_output_stage; --**************************************************************************** -- WITH OUTPUT STAGE --**************************************************************************** has_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=1) GENERATE PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END PROCESS; DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; END GENERATE has_output_stage; END softecc_output_reg_stage_behavioral; --****************************************************************************** -- Main Memory module -- -- This module is the behavioral model which implements the RAM --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_MISC.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.std_logic_textio.all; ENTITY blk_mem_gen_v8_3_1_mem_module IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_3_1"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_EN_ECC_PIPE : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; ECCPIPECE : IN STD_LOGIC; SLEEP : IN STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END blk_mem_gen_v8_3_1_mem_module; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE mem_module_behavioral OF blk_mem_gen_v8_3_1_mem_module IS --**************************************** -- min/max constant functions --**************************************** -- get_max ---------- function SLV_TO_INT(SLV: in std_logic_vector ) return integer is variable int : integer; begin int := 0; for i in SLV'high downto SLV'low loop int := int * 2; if SLV(i) = '1' then int := int + 1; end if; end loop; return int; end; FUNCTION get_max(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a > b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; -- get_min ---------- FUNCTION get_min(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a < b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; --*************************************************************** -- convert write_mode from STRING type for use in case statement --*************************************************************** FUNCTION write_mode_to_vector(mode: STRING) RETURN STD_LOGIC_VECTOR IS BEGIN IF (mode = "NO_CHANGE") THEN RETURN "10"; ELSIF (mode = "READ_FIRST") THEN RETURN "01"; ELSE RETURN "00"; -- WRITE_FIRST END IF; END FUNCTION; --*************************************************************** -- convert hex STRING to STD_LOGIC_VECTOR --*************************************************************** FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; --*************************************************************** -- locally derived constants to determine memory shape --*************************************************************** CONSTANT MIN_WIDTH_A : INTEGER := get_min(C_WRITE_WIDTH_A, C_READ_WIDTH_A); CONSTANT MIN_WIDTH_B : INTEGER := get_min(C_WRITE_WIDTH_B,C_READ_WIDTH_B); CONSTANT MIN_WIDTH : INTEGER := get_min(MIN_WIDTH_A, MIN_WIDTH_B); CONSTANT MAX_DEPTH_A : INTEGER := get_max(C_WRITE_DEPTH_A, C_READ_DEPTH_A); CONSTANT MAX_DEPTH_B : INTEGER := get_max(C_WRITE_DEPTH_B, C_READ_DEPTH_B); CONSTANT MAX_DEPTH : INTEGER := get_max(MAX_DEPTH_A, MAX_DEPTH_B); TYPE int_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF std_logic_vector(C_WRITE_WIDTH_A-1 DOWNTO 0); TYPE mem_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC_VECTOR(MIN_WIDTH-1 DOWNTO 0); TYPE ecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; TYPE softecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; --*************************************************************** -- memory initialization function --*************************************************************** IMPURE FUNCTION init_memory(DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); write_width_a : INTEGER; depth : INTEGER; width : INTEGER) RETURN mem_array IS VARIABLE init_return : mem_array := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(write_width_a-1 DOWNTO 0); VARIABLE int_mem_vector : int_array:= (OTHERS => (OTHERS => '0')); VARIABLE file_buffer : LINE; VARIABLE i : INTEGER := 0; VARIABLE j : INTEGER; VARIABLE k : INTEGER; VARIABLE ignore_line : BOOLEAN := false; VARIABLE good_data : BOOLEAN := false; VARIABLE char_tmp : CHARACTER; VARIABLE index : INTEGER; variable init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable data : std_logic_vector(255 downto 0) := (others => '0'); variable inside_init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable k_slv : std_logic_vector(31 downto 0) := (others => '0'); variable i_slv : std_logic_vector(31 downto 0) := (others => '0'); VARIABLE disp_line : line := null; variable open_status : file_open_status; variable input_initf_tmp : mem_array ; variable input_initf : mem_array := (others => (others => '0')); file int_infile : text; variable data_line, data_line_tmp, out_data_line : line; variable slv_width : integer; VARIABLE d_l : LINE; BEGIN --Display output message indicating that the behavioral model is being --initialized -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN index := 0; FOR i IN 0 TO depth-1 LOOP FOR j IN 0 TO width-1 LOOP init_return(i)(j) := DEFAULT_DATA(index); index := (index + 1) MOD C_WRITE_WIDTH_A; END LOOP; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, file_buffer); read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO write_width_a-1 LOOP IF (j MOD width = 0 AND j /= 0) THEN i := i + 1; END IF; init_return(i)(j MOD width) := bit_to_sl(mem_vector(j)); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; --Display output message indicating that the behavioral model is done --initializing ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator data initialization complete." SEVERITY NOTE; if (C_USE_BRAM_BLOCK = 1) then --Display output message indicating that the behavioral model is being --initialized -- Read in the .mem file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_INIT_FILE /= "NONE") then file_open(open_status, int_infile, C_INIT_FILE, read_mode); while not endfile(int_infile) loop readline(int_infile, data_line); while (data_line /= null and data_line'length > 0) loop if (data_line(data_line'low to data_line'low + 1) = "//") then deallocate(data_line); elsif ((data_line(data_line'low to data_line'low + 1) = "/*") and (data_line(data_line'high-1 to data_line'high) = "*/")) then deallocate(data_line); elsif (data_line(data_line'low to data_line'low + 1) = "/*") then deallocate(data_line); ignore_line := true; elsif (ignore_line = true and data_line(data_line'high-1 to data_line'high) = "*/") then deallocate(data_line); ignore_line := false; elsif (ignore_line = false and data_line(data_line'low) = '@') then read(data_line, char_tmp); hread(data_line, init_addr_slv, good_data); i := SLV_TO_INT(init_addr_slv); elsif (ignore_line = false) then hread(data_line, input_initf_tmp(i), good_data); init_return(i)(write_width_a - 1 downto 0) := input_initf_tmp(i)(write_width_a - 1 downto 0); if (good_data = true) then i := i + 1; end if; else deallocate(data_line); end if; end loop; end loop; file_close(int_infile); END IF; END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- memory type constants --*************************************************************** CONSTANT MEM_TYPE_SP_RAM : INTEGER := 0; CONSTANT MEM_TYPE_SDP_RAM : INTEGER := 1; CONSTANT MEM_TYPE_TDP_RAM : INTEGER := 2; CONSTANT MEM_TYPE_SP_ROM : INTEGER := 3; CONSTANT MEM_TYPE_DP_ROM : INTEGER := 4; --*************************************************************** -- memory configuration constant functions --*************************************************************** --get_single_port ----------------- FUNCTION get_single_port(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_RAM OR mem_type=MEM_TYPE_SP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_single_port; --get_is_rom -------------- FUNCTION get_is_rom(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_ROM OR mem_type=MEM_TYPE_DP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_is_rom; --get_has_a_write ------------------ FUNCTION get_has_a_write(IS_ROM : INTEGER) RETURN INTEGER IS BEGIN IF (IS_ROM=0) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_a_write; --get_has_b_write ------------------ FUNCTION get_has_b_write(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_TDP_RAM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_write; --get_has_a_read ------------------ FUNCTION get_has_a_read(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SDP_RAM) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_a_read; --get_has_b_read ------------------ FUNCTION get_has_b_read(SINGLE_PORT : INTEGER) RETURN INTEGER IS BEGIN IF (SINGLE_PORT=1) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_b_read; --get_has_b_port ------------------ FUNCTION get_has_b_port(HAS_B_READ : INTEGER; HAS_B_WRITE : INTEGER) RETURN INTEGER IS BEGIN IF (HAS_B_READ=1 OR HAS_B_WRITE=1) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_port; --get_num_output_stages ----------------------- FUNCTION get_num_output_stages(has_mem_output_regs : INTEGER; has_mux_output_regs : INTEGER; mux_pipeline_stages : INTEGER) RETURN INTEGER IS VARIABLE actual_mux_pipeline_stages : INTEGER; BEGIN -- Mux pipeline stages can be non-zero only when there is a mux -- output register. IF (has_mux_output_regs=1) THEN actual_mux_pipeline_stages := mux_pipeline_stages; ELSE actual_mux_pipeline_stages := 0; END IF; RETURN has_mem_output_regs+actual_mux_pipeline_stages+has_mux_output_regs; END get_num_output_stages; --*************************************************************************** -- Component declaration of the VARIABLE depth output register stage --*************************************************************************** COMPONENT blk_mem_gen_v8_3_1_output_stage GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; C_EN_ECC_PIPE : INTEGER := 0; FLOP_DELAY : TIME := 100 ps); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; REGCE : IN STD_LOGIC; EN : IN STD_LOGIC; DIN_I : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN_I : IN STD_LOGIC; DBITERR_IN_I : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN_I : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); ECCPIPECE : IN STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_3_1_output_stage; COMPONENT blk_mem_gen_v8_3_1_softecc_output_reg_stage GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_3_1_softecc_output_reg_stage; --****************************************************** -- locally derived constants to assist memory access --****************************************************** CONSTANT WRITE_WIDTH_RATIO_A : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_A : INTEGER := C_READ_WIDTH_A/MIN_WIDTH; CONSTANT WRITE_WIDTH_RATIO_B : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_B : INTEGER := C_READ_WIDTH_B/MIN_WIDTH; --****************************************************** -- To modify the LSBs of the 'wider' data to the actual -- address value --****************************************************** CONSTANT WRITE_ADDR_A_DIV : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH_A; CONSTANT READ_ADDR_A_DIV : INTEGER := C_READ_WIDTH_A/MIN_WIDTH_A; CONSTANT WRITE_ADDR_B_DIV : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH_B; CONSTANT READ_ADDR_B_DIV : INTEGER := C_READ_WIDTH_B/MIN_WIDTH_B; --****************************************************** -- FUNCTION : log2roundup --****************************************************** FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --****************************************************** -- Other constants and signals --****************************************************** CONSTANT COLL_DELAY : TIME := 100 ps; -- default data vector CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_DEFAULT_DATA, C_WRITE_WIDTH_A); CONSTANT CHKBIT_WIDTH : INTEGER := if_then_else(C_WRITE_WIDTH_A>57,8,if_then_else(C_WRITE_WIDTH_A>26,7,if_then_else(C_WRITE_WIDTH_A>11,6,if_then_else(C_WRITE_WIDTH_A>4,5,if_then_else(C_WRITE_WIDTH_A<5,4,0))))); -- the init memory SIGNAL SIGNAL memory_i : mem_array; SIGNAL doublebit_error_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0); SIGNAL current_contents_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); -- write mode constants CONSTANT WRITE_MODE_A : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_A); CONSTANT WRITE_MODE_B : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_B); CONSTANT WRITE_MODES : STD_LOGIC_VECTOR(3 DOWNTO 0) := WRITE_MODE_A & WRITE_MODE_B; -- reset values CONSTANT INITA_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITA_VAL, C_READ_WIDTH_A); CONSTANT INITB_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITB_VAL, C_READ_WIDTH_B); -- memory output 'latches' SIGNAL memory_out_a : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := INITA_VAL; SIGNAL memory_out_b : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := INITB_VAL; SIGNAL sbiterr_in : STD_LOGIC := '0'; SIGNAL sbiterr_sdp : STD_LOGIC := '0'; SIGNAL dbiterr_in : STD_LOGIC := '0'; SIGNAL dbiterr_sdp : STD_LOGIC := '0'; SIGNAL rdaddrecc_in : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_sdp : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL doutb_i : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i : STD_LOGIC := '0'; SIGNAL dbiterr_i : STD_LOGIC := '0'; -- memory configuration constants ----------------------------------------------- CONSTANT SINGLE_PORT : INTEGER := get_single_port(C_MEM_TYPE); CONSTANT IS_ROM : INTEGER := get_is_rom(C_MEM_TYPE); CONSTANT HAS_A_WRITE : INTEGER := get_has_a_write(IS_ROM); CONSTANT HAS_B_WRITE : INTEGER := get_has_b_write(C_MEM_TYPE); CONSTANT HAS_A_READ : INTEGER := get_has_a_read(C_MEM_TYPE); CONSTANT HAS_B_READ : INTEGER := get_has_b_read(SINGLE_PORT); CONSTANT HAS_B_PORT : INTEGER := get_has_b_port(HAS_B_READ, HAS_B_WRITE); CONSTANT NUM_OUTPUT_STAGES_A : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_A, C_MUX_PIPELINE_STAGES); CONSTANT NUM_OUTPUT_STAGES_B : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES); CONSTANT WEA0 : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); CONSTANT WEB0 : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ----------------------------------------------------------------------------- -- DEBUG CONTROL -- DEBUG=0 : Debug output OFF -- DEBUG=1 : Some debug info printed ----------------------------------------------------------------------------- CONSTANT DEBUG : INTEGER := 0; -- internal signals ----------------------------------------------- SIGNAL ena_i : STD_LOGIC; SIGNAL enb_i : STD_LOGIC; SIGNAL reseta_i : STD_LOGIC; SIGNAL resetb_i : STD_LOGIC; SIGNAL wea_i : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL web_i : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL rea_i : STD_LOGIC; SIGNAL reb_i : STD_LOGIC; SIGNAL message_complete : BOOLEAN := false; SIGNAL rsta_outp_stage : STD_LOGIC := '0'; SIGNAL rstb_outp_stage : STD_LOGIC := '0'; --********************************************************* --FUNCTION : Collision check --********************************************************* FUNCTION collision_check (addr_a : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); iswrite_a : BOOLEAN; addr_b : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); iswrite_b : BOOLEAN) RETURN BOOLEAN IS VARIABLE c_aw_bw : INTEGER; VARIABLE c_aw_br : INTEGER; VARIABLE c_ar_bw : INTEGER; VARIABLE write_addr_a_width : INTEGER; VARIABLE read_addr_a_width : INTEGER; VARIABLE write_addr_b_width : INTEGER; VARIABLE read_addr_b_width : INTEGER; BEGIN c_aw_bw := 0; c_aw_br := 0; c_ar_bw := 0; -- Determine the effective address widths FOR each of the 4 ports write_addr_a_width := C_ADDRA_WIDTH-log2roundup(WRITE_ADDR_A_DIV); read_addr_a_width := C_ADDRA_WIDTH-log2roundup(READ_ADDR_A_DIV); write_addr_b_width := C_ADDRB_WIDTH-log2roundup(WRITE_ADDR_B_DIV); read_addr_b_width := C_ADDRB_WIDTH-log2roundup(READ_ADDR_B_DIV); --Look FOR a write-write collision. In order FOR a write-write --collision to exist, both ports must have a write transaction. IF (iswrite_a AND iswrite_b) THEN IF (write_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; END IF; --width END IF; --iswrite_a and iswrite_b --If the B port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_a) THEN IF (write_addr_a_width > read_addr_b_width) THEN --read_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_b_width --Once both are scaled to read_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_b_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; END IF; --width END IF; --iswrite_a --If the A port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_b) THEN IF (read_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; ELSE --read_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_a_width --Once both are scaled to read_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_a_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; END IF; --width END IF; --iswrite_b RETURN (c_aw_bw=1 OR c_aw_br=1 OR c_ar_bw=1); END FUNCTION collision_check; BEGIN -- Architecture ----------------------------------------------------------------------------- -- SOFTECC and ECC SBITERR/DBITERR Outputs -- The ECC Behavior is modeled by the behavioral models only for Virtex-6. -- The SOFTECC Behavior is modeled by the behavioral models for Spartan-6. -- For Virtex-5, these outputs will be tied to 0. ----------------------------------------------------------------------------- SBITERR <= sbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_sdp WHEN (((C_FAMILY="virtex7") AND C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); ----------------------------------------------- -- This effectively wires off optional inputs ----------------------------------------------- ena_i <= ENA WHEN (C_HAS_ENA=1) ELSE '1'; enb_i <= ENB WHEN (C_HAS_ENB=1 AND HAS_B_PORT=1) ELSE '1'; -- We are doing an "AND" operation of WEA and ENA and passing to Enbale pin of BRAM when built-in ECC is enabled, -- what this means is that the write operation happens only when both WEA and ENA are high. wea_i <= WEA WHEN (HAS_A_WRITE=1 AND ena_i='1') ELSE WEA0; -- wea_i <= (OTHERS => '1') WHEN (HAS_A_WRITE=1 AND C_MEM_TYPE = 1 AND C_USE_ECC = 1 AND C_HAS_ENA=1 AND ENA = '1') ELSE -- Use_ENA_pin -- WEA WHEN (HAS_A_WRITE=1 AND C_MEM_TYPE = 1 AND C_USE_ECC = 1 AND C_HAS_ENA=0) ELSE -- Always_enabled -- WEA WHEN (HAS_A_WRITE=1 AND ena_i='1' AND C_USE_ECC = 0) ELSE -- WEA0; web_i <= WEB WHEN (HAS_B_WRITE=1 AND enb_i='1') ELSE WEB0; rea_i <= ena_i WHEN (HAS_A_READ=1) ELSE '0'; reb_i <= enb_i WHEN (HAS_B_READ=1) ELSE '0'; -- these signals reset the memory latches -- For the special reset behaviors in some of the families, the C_RSTRAM -- attribute of the corresponding port is used to indicate if the latch is -- reset or not. reseta_i <= RSTA WHEN ((C_HAS_RSTA=1 AND NUM_OUTPUT_STAGES_A=0) OR (C_HAS_RSTA=1 AND C_RSTRAM_A=1)) ELSE '0'; resetb_i <= RSTB WHEN ((C_HAS_RSTB=1 AND NUM_OUTPUT_STAGES_B=0) OR (C_HAS_RSTB=1 AND C_RSTRAM_B=1) ) ELSE '0'; --*************************************************************************** -- This is the main PROCESS which includes the memory VARIABLE and the read -- and write procedures. It also schedules read and write operations --*************************************************************************** PROCESS (CLKA, CLKB,rea_i,reb_i,reseta_i,resetb_i) -- Initialize the init memory array ------------------------------------ VARIABLE memory : mem_array := init_memory(DEFAULT_DATA, C_WRITE_WIDTH_A, MAX_DEPTH, MIN_WIDTH); -- Initialize the mem memory array ------------------------------------ VARIABLE softecc_sbiterr_arr : softecc_err_array; VARIABLE softecc_dbiterr_arr : softecc_err_array; VARIABLE sbiterr_arr : ecc_err_array; VARIABLE dbiterr_arr : ecc_err_array; CONSTANT doublebit_lsb : STD_LOGIC_VECTOR (1 DOWNTO 0):="11"; CONSTANT doublebit_msb : STD_LOGIC_VECTOR (C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 DOWNTO 0):= (OTHERS => '0'); VARIABLE doublebit_error : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0) := doublebit_msb & doublebit_lsb ; VARIABLE current_contents_var : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); --*********************************** -- procedures to access the memory --*********************************** -- write_a ---------- PROCEDURE write_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); inj_sbiterr : IN STD_LOGIC; inj_dbiterr : IN STD_LOGIC) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; VARIABLE message : LINE; VARIABLE errbit_current_contents : STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN -- Block Memory Generator non-cycle-accurate message ASSERT (message_complete) REPORT "Block Memory Generator module is using a behavioral model FOR simulation which will not precisely model memory collision behavior." SEVERITY NOTE; message_complete <= true; -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_A_DIV); IF (address_i >= C_WRITE_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range FOR A Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEA = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_A + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEA_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Insert double bit errors: IF (C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN current_contents(0) := NOT(current_contents(0)); current_contents(1) := NOT(current_contents(1)); --current_contents(0) := NOT(current_contents(30)); --current_contents(1) := NOT(current_contents(62)); END IF; END IF; -- Insert double bit errors: IF (C_USE_SOFTECC=1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 downto 2) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 downto 0); doublebit_error(0) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1); doublebit_error(1) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-2); current_contents := current_contents XOR doublebit_error(C_WRITE_WIDTH_A-1 DOWNTO 0); END IF; END IF; IF(DEBUG=1) THEN current_contents_var := current_contents; --for debugging current END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_A + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; -- Store address at which error is injected: IF ((C_FAMILY = "virtex7") AND C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN sbiterr_arr(address_i) := '1'; ELSE sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN dbiterr_arr(address_i) := '1'; ELSE dbiterr_arr(address_i) := '0'; END IF; END IF; -- Store address at which softecc error is injected: IF (C_USE_SOFTECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN softecc_sbiterr_arr(address_i) := '1'; ELSE softecc_sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN softecc_dbiterr_arr(address_i) := '1'; ELSE softecc_dbiterr_arr(address_i) := '0'; END IF; END IF; END IF; END PROCEDURE; -- write_b ---------- PROCEDURE write_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_B_DIV); IF (address_i >= C_WRITE_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEB = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_B + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEB_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_B + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; END IF; END PROCEDURE; -- read_a ---------- PROCEDURE read_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_A_DIV); IF (address_i >= C_READ_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for A Read" SEVERITY WARNING; END IF; memory_out_a <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_A-1 LOOP memory_out_a(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_A + i) AFTER FLOP_DELAY; END LOOP; END IF; END IF; END PROCEDURE; -- read_b ---------- PROCEDURE read_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_B_DIV); IF (address_i >= C_READ_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Read" SEVERITY WARNING; END IF; memory_out_b <= (OTHERS => 'X') AFTER FLOP_DELAY; sbiterr_in <= 'X' AFTER FLOP_DELAY; dbiterr_in <= 'X' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_B-1 LOOP memory_out_b(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_B + i) AFTER FLOP_DELAY; END LOOP; --assert sbiterr and dbiterr signals IF ((C_FAMILY="virtex7") AND C_USE_ECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; --assert softecc sbiterr and dbiterr signals ELSIF (C_USE_SOFTECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (softecc_sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (softecc_dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; END IF; END IF; END IF; END PROCEDURE; -- reset_a ---------- PROCEDURE reset_a (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; -- reset_b ---------- PROCEDURE reset_b (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; BEGIN -- begin the main PROCESS --*************************************************************************** -- These are the main blocks which schedule read and write operations -- Note that the reset priority feature at the latch stage is only supported -- for Spartan-6. For other families, the default priority at the latch stage -- is "CE" --*************************************************************************** -- Synchronous clocks: schedule port operations with respect to both -- write operating modes IF (C_COMMON_CLK=1) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODES IS WHEN "0000" => -- write_first write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "0100" => -- read_first write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "0001" => -- write_first read_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0101" => --read_first read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0010" => -- write_first no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0110" => -- read_first no_change --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1000" => -- no_change write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "1001" => -- no_change read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1010" => -- no_change no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Synchronous clocks -- Asynchronous clocks: port operation is independent IF (C_COMMON_CLK=0) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODE_A IS WHEN "00" => -- write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; WHEN "01" => -- read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "10" => -- no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; IF (CLKB='1' AND CLKB'EVENT) THEN CASE WRITE_MODE_B IS WHEN "00" => -- write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "01" => -- read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "10" => -- no_change --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Asynchronous clocks -- Assign the memory VARIABLE to the user_visible memory_i SIGNAL IF(DEBUG=1) THEN memory_i <= memory; doublebit_error_i <= doublebit_error; current_contents_i <= current_contents_var; END IF; END PROCESS; --******************************************************************** -- Instantiate the VARIABLE depth output stage --******************************************************************** -- Port A rsta_outp_stage <= RSTA and not sleep; rstb_outp_stage <= RSTB and not sleep; reg_a : blk_mem_gen_v8_3_1_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => "SYNC", C_HAS_RST => C_HAS_RSTA, C_RSTRAM => C_RSTRAM_A, C_RST_PRIORITY => C_RST_PRIORITY_A, init_val => INITA_VAL, C_HAS_EN => C_HAS_ENA, C_HAS_REGCE => C_HAS_REGCEA, C_DATA_WIDTH => C_READ_WIDTH_A, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_A, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_A, C_EN_ECC_PIPE => C_EN_ECC_PIPE, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKA, RST => rsta_outp_stage, --RSTA, EN => ENA, REGCE => REGCEA, DIN_I => memory_out_a, DOUT => DOUTA, SBITERR_IN_I => '0', DBITERR_IN_I => '0', SBITERR => OPEN, DBITERR => OPEN, RDADDRECC_IN_I => (OTHERS => '0'), ECCPIPECE => '0', RDADDRECC => OPEN ); -- Port B reg_b : blk_mem_gen_v8_3_1_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => "SYNC", C_HAS_RST => C_HAS_RSTB, C_RSTRAM => C_RSTRAM_B, C_RST_PRIORITY => C_RST_PRIORITY_B, init_val => INITB_VAL, C_HAS_EN => C_HAS_ENB, C_HAS_REGCE => C_HAS_REGCEB, C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_B, C_EN_ECC_PIPE => C_EN_ECC_PIPE, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKB, RST => rstb_outp_stage,--RSTB, EN => ENB, REGCE => REGCEB, DIN_I => memory_out_b, DOUT => doutb_i, SBITERR_IN_I => sbiterr_in, DBITERR_IN_I => dbiterr_in, SBITERR => sbiterr_i, DBITERR => dbiterr_i, RDADDRECC_IN_I => rdaddrecc_in, ECCPIPECE => ECCPIPECE, RDADDRECC => rdaddrecc_i ); --******************************************************************** -- Instantiate the input / Output Register stages --******************************************************************** output_reg_stage: blk_mem_gen_v8_3_1_softecc_output_reg_stage GENERIC MAP( C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, FLOP_DELAY => FLOP_DELAY ) PORT MAP( CLK => CLKB, DIN => doutb_i, DOUT => DOUTB, SBITERR_IN => sbiterr_i, DBITERR_IN => dbiterr_i, SBITERR => sbiterr_sdp, DBITERR => dbiterr_sdp, RDADDRECC_IN => rdaddrecc_i, RDADDRECC => rdaddrecc_sdp ); --********************************* -- Synchronous collision checks --********************************* sync_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=1) GENERATE PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; -- collision detect VARIABLE is_collision : BOOLEAN; VARIABLE message : LINE; BEGIN IF (CLKA='1' AND CLKA'EVENT) THEN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision := false; END IF; -- If the write port is in READ_FIRST mode, there is no collision IF (C_WRITE_MODE_A="READ_FIRST" AND wea_i/=WEA0 AND web_i=WEB0) THEN is_collision := false; END IF; IF (C_WRITE_MODE_B="READ_FIRST" AND web_i/=WEB0 AND wea_i=WEA0) THEN is_collision := false; END IF; -- Only flag if one of the accesses is a write IF (is_collision AND (wea_i/=WEA0 OR web_i/=WEB0)) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END IF; END PROCESS; END GENERATE; --********************************* -- Asynchronous collision checks --********************************* async_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=0) GENERATE SIGNAL addra_delay : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL wea_delay : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL ena_delay : STD_LOGIC; SIGNAL addrb_delay : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); SIGNAL web_delay : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL enb_delay : STD_LOGIC; BEGIN -- Delay A and B addresses in order to mimic setup/hold times PROCESS (ADDRA, wea_i, ena_i, ADDRB, web_i, enb_i) BEGIN addra_delay <= ADDRA AFTER COLL_DELAY; wea_delay <= wea_i AFTER COLL_DELAY; ena_delay <= ena_i AFTER COLL_DELAY; addrb_delay <= ADDRB AFTER COLL_DELAY; web_delay <= web_i AFTER COLL_DELAY; enb_delay <= enb_i AFTER COLL_DELAY; END PROCESS; -- Do the checks w/rt A PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_a : BOOLEAN; VARIABLE is_collision_delay_a : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_a := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_a := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_delay_a := collision_check(ADDRA, wea_i/=WEA0, addrb_delay, web_delay/=WEB0); ELSE is_collision_delay_a := false; END IF; -- Only flag if B access is a write IF (is_collision_a AND web_i/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_a AND web_delay/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, addrb_delay); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; -- Do the checks w/rt B PROCESS (CLKB) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_b : BOOLEAN; VARIABLE is_collision_delay_b : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA) /= 'X') THEN is_collision_b := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_b := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(addra_delay) /= 'X') THEN is_collision_delay_b := collision_check(addra_delay, wea_delay/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_delay_b := false; END IF; -- Only flag if A access is a write -- Modified condition checking (is_collision_b AND WEA0_i=/WEA0) to fix CR526228 IF (is_collision_b AND wea_i/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_b AND wea_delay/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, addra_delay); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; END GENERATE; END mem_module_behavioral; --****************************************************************************** -- Top module that wraps SoftECC Input register stage and the main memory module -- -- This module is the top-level of behavioral model --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_gen_v8_3_1 IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_3_1"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_ELABORATION_DIR : STRING := ""; C_INTERFACE_TYPE : INTEGER := 0; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_CTRL_ECC_ALGO : STRING := "NONE"; C_AXI_TYPE : INTEGER := 0; C_AXI_SLAVE_TYPE : INTEGER := 0; C_HAS_AXI_ID : INTEGER := 0; C_AXI_ID_WIDTH : INTEGER := 4; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; --C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_EN_ECC_PIPE : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_EN_SLEEP_PIN : INTEGER := 0; C_USE_URAM : integer := 0; C_EN_RDADDRA_CHG : integer := 0; C_EN_RDADDRB_CHG : integer := 0; C_EN_DEEPSLEEP_PIN : integer := 0; C_EN_SHUTDOWN_PIN : integer := 0; C_EN_SAFETY_CKT : integer := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0; C_COUNT_36K_BRAM : string := ""; C_COUNT_18K_BRAM : string := ""; C_EST_POWER_SUMMARY : string := "" ); PORT ( clka : IN STD_LOGIC := '0'; rsta : IN STD_LOGIC := '0'; ena : IN STD_LOGIC := '1'; regcea : IN STD_LOGIC := '1'; wea : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addra : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); dina : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); douta : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); clkb : IN STD_LOGIC := '0'; rstb : IN STD_LOGIC := '0'; enb : IN STD_LOGIC := '1'; regceb : IN STD_LOGIC := '1'; web : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addrb : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); dinb : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); doutb : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); injectsbiterr : IN STD_LOGIC := '0'; injectdbiterr : IN STD_LOGIC := '0'; sbiterr : OUT STD_LOGIC := '0'; dbiterr : OUT STD_LOGIC := '0'; rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); eccpipece : in std_logic := '0'; sleep : in std_logic := '0'; deepsleep : in std_logic := '0'; shutdown : in std_logic := '0'; rsta_busy : out std_logic := '0'; rstb_busy : out std_logic := '0'; -- AXI BMG Input and Output Port Declarations -- AXI Global Signals s_aclk : IN STD_LOGIC := '0'; s_aresetn : IN STD_LOGIC := '0'; -- axi full/lite slave Write (write side) s_axi_awid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid : IN STD_LOGIC := '0'; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast : IN STD_LOGIC := '0'; s_axi_wvalid : IN STD_LOGIC := '0'; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC := '0'; -- axi full/lite slave Read (Write side) s_axi_arid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid : IN STD_LOGIC := '0'; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_rdata : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC := '0'; -- axi full/lite sideband Signals s_axi_injectsbiterr : IN STD_LOGIC := '0'; s_axi_injectdbiterr : IN STD_LOGIC := '0'; s_axi_sbiterr : OUT STD_LOGIC := '0'; s_axi_dbiterr : OUT STD_LOGIC := '0'; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ); END blk_mem_gen_v8_3_1; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE behavioral OF blk_mem_gen_v8_3_1 IS COMPONENT blk_mem_gen_v8_3_1_mem_module GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_3_1"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_EN_ECC_PIPE : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; ECCPIPECE : IN STD_LOGIC; SLEEP : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_3_1_mem_module; COMPONENT blk_mem_axi_regs_fwd_v8_3 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_axi_regs_fwd_v8_3; COMPONENT blk_mem_axi_read_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END COMPONENT blk_mem_axi_read_wrapper_beh; COMPONENT blk_mem_axi_write_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END COMPONENT blk_mem_axi_write_wrapper_beh; CONSTANT FLOP_DELAY : TIME := 100 ps; SIGNAL rsta_in : STD_LOGIC := '1'; SIGNAL ena_in : STD_LOGIC := '1'; SIGNAL regcea_in : STD_LOGIC := '1'; SIGNAL wea_in : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL addra_in : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL dina_in : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL injectsbiterr_in : STD_LOGIC := '0'; SIGNAL injectdbiterr_in : STD_LOGIC := '0'; ----------------------------------------------------------------------------- -- FUNCTION: toLowerCaseChar -- Returns the lower case form of char if char is an upper case letter. -- Otherwise char is returned. ----------------------------------------------------------------------------- FUNCTION toLowerCaseChar( char : character ) RETURN character IS BEGIN -- If char is not an upper case letter then return char IF char<'A' OR char>'Z' THEN RETURN char; END IF; -- Otherwise map char to its corresponding lower case character and -- RETURN that CASE char IS WHEN 'A' => RETURN 'a'; WHEN 'B' => RETURN 'b'; WHEN 'C' => RETURN 'c'; WHEN 'D' => RETURN 'd'; WHEN 'E' => RETURN 'e'; WHEN 'F' => RETURN 'f'; WHEN 'G' => RETURN 'g'; WHEN 'H' => RETURN 'h'; WHEN 'I' => RETURN 'i'; WHEN 'J' => RETURN 'j'; WHEN 'K' => RETURN 'k'; WHEN 'L' => RETURN 'l'; WHEN 'M' => RETURN 'm'; WHEN 'N' => RETURN 'n'; WHEN 'O' => RETURN 'o'; WHEN 'P' => RETURN 'p'; WHEN 'Q' => RETURN 'q'; WHEN 'R' => RETURN 'r'; WHEN 'S' => RETURN 's'; WHEN 'T' => RETURN 't'; WHEN 'U' => RETURN 'u'; WHEN 'V' => RETURN 'v'; WHEN 'W' => RETURN 'w'; WHEN 'X' => RETURN 'x'; WHEN 'Y' => RETURN 'y'; WHEN 'Z' => RETURN 'z'; WHEN OTHERS => RETURN char; END CASE; END toLowerCaseChar; -- Returns true if case insensitive string comparison determines that -- str1 and str2 are equal FUNCTION equalIgnoreCase( str1 : STRING; str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str2'left TO str1'right LOOP IF NOT (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END equalIgnoreCase; ----------------------------------------------------------------------------- -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ---------------------------------------------------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; ---------------------------------------------------------------------------- -- FUNCTION : log2roundup ---------------------------------------------------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; CONSTANT lower_limit : INTEGER := 1; CONSTANT upper_limit : INTEGER := 8; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ----------------------------------------------------------------------------- -- FUNCTION : divroundup -- Returns the ceiling value of the division -- Data_value - the quantity to be divided, dividend -- Divisor - the value to divide the data_value by ----------------------------------------------------------------------------- FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; SIGNAL s_axi_awaddr_out_c : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_araddr_out_c : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_wr_en_c : STD_LOGIC := '0'; SIGNAL s_axi_rd_en_c : STD_LOGIC := '0'; SIGNAL s_aresetn_a_c : STD_LOGIC := '0'; --************************************************************************** -- AXI PARAMETERS CONSTANT AXI_FULL_MEMORY_SLAVE : integer := if_then_else((C_AXI_SLAVE_TYPE = 0 AND C_AXI_TYPE = 1),1,0); CONSTANT C_AXI_ADDR_WIDTH_MSB : integer := C_ADDRA_WIDTH+log2roundup(C_WRITE_WIDTH_A/8); CONSTANT C_AXI_ADDR_WIDTH : integer := C_AXI_ADDR_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT LOWER_BOUND_VAL : integer := if_then_else((log2roundup(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2roundup(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT C_AXI_ADDR_WIDTH_LSB : integer := if_then_else((AXI_FULL_MEMORY_SLAVE = 1),0,LOWER_BOUND_VAL); CONSTANT C_AXI_OS_WR : integer := 2; -- SAFETY LOGIC related Signals SIGNAL RSTA_SHFT_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL POR_A : STD_LOGIC := '0'; SIGNAL RSTB_SHFT_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL POR_B : STD_LOGIC := '0'; SIGNAL ENA_dly : STD_LOGIC := '0'; SIGNAL ENA_dly_D : STD_LOGIC := '0'; SIGNAL ENB_dly : STD_LOGIC := '0'; SIGNAL ENB_dly_D : STD_LOGIC := '0'; SIGNAL RSTA_I_SAFE : STD_LOGIC := '0'; SIGNAL RSTB_I_SAFE : STD_LOGIC := '0'; SIGNAL ENA_I_SAFE : STD_LOGIC := '0'; SIGNAL ENB_I_SAFE : STD_LOGIC := '0'; SIGNAL ram_rstram_a_busy : STD_LOGIC := '0'; SIGNAL ram_rstreg_a_busy : STD_LOGIC := '0'; SIGNAL ram_rstram_b_busy : STD_LOGIC := '0'; SIGNAL ram_rstreg_b_busy : STD_LOGIC := '0'; SIGNAL ENA_dly_reg : STD_LOGIC := '0'; SIGNAL ENB_dly_reg : STD_LOGIC := '0'; SIGNAL ENA_dly_reg_D : STD_LOGIC := '0'; SIGNAL ENB_dly_reg_D : STD_LOGIC := '0'; --************************************************************************** BEGIN -- Architecture --************************************************************************* -- NO INPUT STAGE --************************************************************************* no_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=0) GENERATE rsta_in <= RSTA; ena_in <= ENA; regcea_in <= REGCEA; wea_in <= WEA; addra_in <= ADDRA; dina_in <= DINA; injectsbiterr_in <= INJECTSBITERR; injectdbiterr_in <= INJECTDBITERR; END GENERATE no_input_stage; --************************************************************************** -- WITH INPUT STAGE --************************************************************************** has_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=1) GENERATE PROCESS (CLKA) BEGIN IF (CLKA'EVENT AND CLKA = '1') THEN rsta_in <= RSTA AFTER FLOP_DELAY; ena_in <= ENA AFTER FLOP_DELAY; regcea_in <= REGCEA AFTER FLOP_DELAY; wea_in <= WEA AFTER FLOP_DELAY; addra_in <= ADDRA AFTER FLOP_DELAY; dina_in <= DINA AFTER FLOP_DELAY; injectsbiterr_in <= INJECTSBITERR AFTER FLOP_DELAY; injectdbiterr_in <= INJECTDBITERR AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE has_input_stage; --************************************************************************** -- NO SAFETY LOGIC --************************************************************************** NO_SAFETY_CKT_GEN: IF(C_EN_SAFETY_CKT = 0) GENERATE ENA_I_SAFE <= ena_in; ENB_I_SAFE <= ENB; RSTA_I_SAFE <= rsta_in; RSTB_I_SAFE <= RSTB; END GENERATE NO_SAFETY_CKT_GEN; --************************************************************************** -- SAFETY LOGIC --************************************************************************** SAFETY_CKT_GEN: IF(C_EN_SAFETY_CKT = 1) GENERATE -- RESET SAFETY LOGIC Generation -- POR Generation ------------------------------------------------------------------------------ -- Power-ON Reset Generation ------------------------------------------------------------------------------ RST_SHFT_LOGIC_A : PROCESS(CLKA) BEGIN IF RISING_EDGE(CLKA) THEN RSTA_SHFT_REG(4 DOWNTO 0) <= RSTA_SHFT_REG(3 DOWNTO 0) & '1' AFTER FLOP_DELAY; END IF; END PROCESS RST_SHFT_LOGIC_A; POR_RSTA_GEN : PROCESS(CLKA) BEGIN IF RISING_EDGE(CLKA) THEN POR_A <= RSTA_SHFT_REG(4) xor RSTA_SHFT_REG(0) AFTER FLOP_DELAY; END IF; END PROCESS POR_RSTA_GEN; RST_SHFT_LOGIC_B : PROCESS(CLKB) BEGIN IF RISING_EDGE(CLKB) THEN RSTB_SHFT_REG(4 DOWNTO 0) <= RSTB_SHFT_REG(3 DOWNTO 0) & '1' AFTER FLOP_DELAY; END IF; END PROCESS RST_SHFT_LOGIC_B; POR_RSTB_GEN : PROCESS(CLKB) BEGIN IF RISING_EDGE(CLKB) THEN POR_B <= RSTB_SHFT_REG(4) xor RSTB_SHFT_REG(0) AFTER FLOP_DELAY; END IF; END PROCESS POR_RSTB_GEN; ----------------------------------------------------------------------------- -- Fix for the AR42571 ----------------------------------------------------------------------------- -- Reset Generation ----------------------------------------------------------------------------- RSTA_I_SAFE <= rsta_in OR POR_A; SPRAM_RST: IF ((C_MEM_TYPE = 0) OR (C_MEM_TYPE = 3)) GENERATE BEGIN RSTB_I_SAFE <= '0'; END GENERATE SPRAM_RST; nSPRAM_RST: IF ((C_MEM_TYPE /= 0) AND (C_MEM_TYPE /= 3)) GENERATE BEGIN RSTB_I_SAFE <= RSTB OR POR_B; END GENERATE nSPRAM_RST; ----------------------------------------------------------------------------- -- RSTA/B_BUSY Generation ----------------------------------------------------------------------------- RSTA_BUSY_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=0 OR (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=1)) GENERATE BEGIN ram_rstram_a_busy <= rsta_in OR ENA_dly OR ENA_dly_D; PROC_RSTA_BUSY_GEN : PROCESS(CLKA) BEGIN IF RISING_EDGE (CLKA) THEN RSTA_BUSY <= ram_rstram_a_busy AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE RSTA_BUSY_NO_REG; RSTA_BUSY_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=0) GENERATE BEGIN ram_rstreg_a_busy <= rsta_in OR ENA_dly OR ENA_dly_reg_D; PROC_RSTA_BUSY_GEN : PROCESS(CLKA) BEGIN IF RISING_EDGE (CLKA) THEN RSTA_BUSY <= ram_rstreg_a_busy AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE RSTA_BUSY_WITH_REG; SPRAM_RST_BUSY: IF ((C_MEM_TYPE = 0) OR (C_MEM_TYPE = 3)) GENERATE BEGIN RSTB_BUSY <= '0'; END GENERATE SPRAM_RST_BUSY; nSPRAM_RST_BUSY: IF ((C_MEM_TYPE /= 0) AND (C_MEM_TYPE /= 3)) GENERATE BEGIN RSTB_BUSY_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=0 OR (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=1)) GENERATE BEGIN ram_rstram_b_busy <= RSTB OR ENB_dly OR ENB_dly_D; PROC_RSTB_BUSY_GEN : PROCESS(CLKB) BEGIN IF RISING_EDGE (CLKB) THEN RSTB_BUSY <= ram_rstram_b_busy AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE RSTB_BUSY_NO_REG; RSTB_BUSY_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=0) GENERATE BEGIN ram_rstreg_b_busy <= RSTB OR ENB_dly OR ENB_dly_reg_D; PROC_RSTB_BUSY_GEN : PROCESS(CLKB) BEGIN IF RISING_EDGE (CLKB) THEN RSTB_BUSY <= ram_rstreg_b_busy AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE RSTB_BUSY_WITH_REG; END GENERATE nSPRAM_RST_BUSY; ----------------------------------------------------------------------------- -- ENA/ENB Generation ----------------------------------------------------------------------------- ENA_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=0 OR (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=1)) GENERATE BEGIN PROC_ENA_GEN : PROCESS(CLKA) BEGIN IF RISING_EDGE (CLKA) THEN ENA_dly <= rsta_in AFTER FLOP_DELAY; ENA_dly_D <= ENA_dly AFTER FLOP_DELAY; END IF; END PROCESS; ENA_I_SAFE <= ENA_dly_D OR ena_in; END GENERATE ENA_NO_REG; ENA_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=0) GENERATE BEGIN PROC_ENA_GEN : PROCESS(CLKA) BEGIN IF RISING_EDGE (CLKA) THEN ENA_dly_reg <= rsta_in AFTER FLOP_DELAY; ENA_dly_reg_D <= ENA_dly_reg AFTER FLOP_DELAY; END IF; END PROCESS; ENA_I_SAFE <= ENA_dly_reg_D OR ena_in; END GENERATE ENA_WITH_REG; SPRAM_ENB: IF ((C_MEM_TYPE = 0) OR (C_MEM_TYPE = 3)) GENERATE BEGIN ENB_I_SAFE <= '0'; END GENERATE SPRAM_ENB; nSPRAM_ENB: IF ((C_MEM_TYPE /= 0) AND (C_MEM_TYPE /= 3)) GENERATE BEGIN ENB_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=0 OR (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=1)) GENERATE BEGIN PROC_ENB_GEN : PROCESS(CLKB) BEGIN IF RISING_EDGE (CLKB) THEN ENB_dly <= RSTB AFTER FLOP_DELAY; ENB_dly_D <= ENB_dly AFTER FLOP_DELAY; END IF; END PROCESS; ENB_I_SAFE <= ENB_dly_D OR ENB; END GENERATE ENB_NO_REG; ENB_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=0) GENERATE BEGIN PROC_ENB_GEN : PROCESS(CLKB) BEGIN IF RISING_EDGE (CLKB) THEN ENB_dly_reg <= RSTB AFTER FLOP_DELAY; ENB_dly_reg_D <= ENB_dly_reg AFTER FLOP_DELAY; END IF; END PROCESS; ENB_I_SAFE <= ENB_dly_reg_D OR ENB; END GENERATE ENB_WITH_REG; END GENERATE nSPRAM_ENB; END GENERATE SAFETY_CKT_GEN; --************************************************************************** -- NATIVE MEMORY MODULE INSTANCE --************************************************************************** native_mem_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 0) GENERATE mem_module: blk_mem_gen_v8_3_1_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"KINTEXUPLUS"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQUPLUS"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEXUPLUS"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEXU"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEXU"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => "SYNC", C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_EN_ECC_PIPE => C_EN_ECC_PIPE, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => RSTA_I_SAFE,--rsta_in, ENA => ENA_I_SAFE,--ena_in, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in, DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB_I_SAFE, ENB => ENB_I_SAFE, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB, DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, ECCPIPECE => ECCPIPECE, SLEEP => SLEEP, RDADDRECC => RDADDRECC ); END GENERATE native_mem_module; --************************************************************************** -- NATIVE MEMORY MAPPED MODULE INSTANCE --************************************************************************** native_mem_map_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 1) GENERATE --************************************************************************** -- NATIVE MEMORY MAPPED PARAMETERS CONSTANT C_ADDRA_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_A); CONSTANT C_ADDRB_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_B); CONSTANT C_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_A/8); CONSTANT C_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_B/8); CONSTANT C_MEM_MAP_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_MSB; CONSTANT C_MEM_MAP_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT MEM_MAP_LOWER_BOUND_VAL_A : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT MEM_MAP_LOWER_BOUND_VAL_B : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_B,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_B,8))); CONSTANT C_MEM_MAP_ADDRA_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_A; CONSTANT C_MEM_MAP_ADDRB_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_B; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH_ACTUAL-1 DOWNTO 0) := (OTHERS => '0'); --************************************************************************** BEGIN RDADDRECC(C_ADDRB_WIDTH-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_MSB) <= (OTHERS => '0'); RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB) <= rdaddrecc_i; RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_LSB-1 DOWNTO 0) <= (OTHERS => '0'); mem_map_module: blk_mem_gen_v8_3_1_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => "SYNC", C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH_ACTUAL, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH_ACTUAL, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_EN_ECC_PIPE => C_EN_ECC_PIPE, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => RSTA_I_SAFE, ENA => ENA_I_SAFE, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in(C_MEM_MAP_ADDRA_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRA_WIDTH_LSB), DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB_I_SAFE, ENB => ENB_I_SAFE, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB), DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, ECCPIPECE => ECCPIPECE, SLEEP => SLEEP, RDADDRECC => rdaddrecc_i ); END GENERATE native_mem_map_module; --**************************************************************************** -- AXI MEMORY MODULE INSTANCE --**************************************************************************** axi_mem_module: IF (C_INTERFACE_TYPE = 1) GENERATE SIGNAL s_axi_rid_c : STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rdata_c : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rresp_c : STD_LOGIC_VECTOR(2-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rlast_c : STD_LOGIC := '0'; SIGNAL s_axi_rvalid_c : STD_LOGIC := '0'; SIGNAL s_axi_rready_c : STD_LOGIC := '0'; SIGNAL regceb_c : STD_LOGIC := '0'; BEGIN s_aresetn_a_c <= NOT S_ARESETN; S_AXI_BRESP <= (OTHERS => '0'); s_axi_rresp_c <= (OTHERS => '0'); no_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 0 AND C_HAS_MUX_OUTPUT_REGS_B = 0 ) GENERATE S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RLAST <= s_axi_rlast_c; S_AXI_RVALID <= s_axi_rvalid_c; S_AXI_RID <= s_axi_rid_c; S_AXI_RRESP <= s_axi_rresp_c; s_axi_rready_c <= S_AXI_RREADY; END GENERATE no_regs; has_regs_fwd: IF (C_HAS_MUX_OUTPUT_REGS_B = 1 OR C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE CONSTANT C_AXI_PAYLOAD : INTEGER := if_then_else((C_HAS_MUX_OUTPUT_REGS_B = 1),C_WRITE_WIDTH_B+C_AXI_ID_WIDTH+3,C_AXI_ID_WIDTH+3); SIGNAL s_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL m_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); BEGIN has_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE regceb_c <= s_axi_rvalid_c AND s_axi_rready_c; END GENERATE has_regceb; no_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 0) GENERATE regceb_c <= REGCEB; END GENERATE no_regceb; only_core_op_regs: IF (C_HAS_MUX_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rdata_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RDATA <= m_axi_payload_c(C_AXI_PAYLOAD-C_AXI_ID_WIDTH-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH-C_WRITE_WIDTH_B); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_core_op_regs; only_emb_op_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_emb_op_regs; axi_regs_inst : blk_mem_axi_regs_fwd_v8_3 GENERIC MAP( C_DATA_WIDTH => C_AXI_PAYLOAD ) PORT MAP ( ACLK => S_ACLK, ARESET => s_aresetn_a_c, S_VALID => s_axi_rvalid_c, S_READY => s_axi_rready_c, S_PAYLOAD_DATA => s_axi_payload_c, M_VALID => S_AXI_RVALID, M_READY => S_AXI_RREADY, M_PAYLOAD_DATA => m_axi_payload_c ); END GENERATE has_regs_fwd; axi_wr_fsm : blk_mem_axi_write_wrapper_beh GENERIC MAP( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_AXI_AWADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_WDATA_WIDTH => C_WRITE_WIDTH_A, C_AXI_OS_WR => C_AXI_OS_WR ) PORT MAP( -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Slave Write Interface S_AXI_AWADDR => S_AXI_AWADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_AWLEN => S_AXI_AWLEN, S_AXI_AWID => S_AXI_AWID, S_AXI_AWSIZE => S_AXI_AWSIZE, S_AXI_AWBURST => S_AXI_AWBURST, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BVALID => S_AXI_BVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_BID => S_AXI_BID, -- Signals for BRAM interface S_AXI_AWADDR_OUT =>s_axi_awaddr_out_c, S_AXI_WR_EN =>s_axi_wr_en_c ); mem_module: blk_mem_gen_v8_3_1_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => "SYNC", C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => 1, -- For AXI, Read Enable is always C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => 1, -- For AXI C_USE_BYTE_WEA is always 1, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => 1, -- For AXI, Read Enable is always C_HAS_ENB, C_HAS_REGCEB => C_HAS_MEM_OUTPUT_REGS_B, C_USE_BYTE_WEB => 1, -- For AXI C_USE_BYTE_WEB is always 1, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => 0, --For AXI, Primitive Registers A is not supported C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_EN_ECC_PIPE => 0, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( --Port A: CLKA => S_AClk, RSTA => s_aresetn_a_c, ENA => s_axi_wr_en_c, REGCEA => regcea_in, WEA => S_AXI_WSTRB, ADDRA => s_axi_awaddr_out_c, DINA => S_AXI_WDATA, DOUTA => DOUTA, --Port B: CLKB => S_AClk, RSTB => s_aresetn_a_c, ENB => s_axi_rd_en_c, REGCEB => regceb_c, WEB => (OTHERS => '0'), ADDRB => s_axi_araddr_out_c, DINB => DINB, DOUTB => s_axi_rdata_c, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, ECCPIPECE => '0', SLEEP => '0', RDADDRECC => RDADDRECC ); axi_rd_sm : blk_mem_axi_read_wrapper_beh GENERIC MAP ( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_PIPELINE_STAGES => 1, C_AXI_ARADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( -- AXI Global Signals S_ACLK => S_AClk, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Read Side S_AXI_ARADDR => S_AXI_ARADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARSIZE => S_AXI_ARSIZE, S_AXI_ARBURST => S_AXI_ARBURST, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => s_axi_rlast_c, S_AXI_RVALID => s_axi_rvalid_c, S_AXI_RREADY => s_axi_rready_c, S_AXI_ARID => S_AXI_ARID, S_AXI_RID => s_axi_rid_c, -- AXI Full/Lite Read FSM Outputs S_AXI_ARADDR_OUT => s_axi_araddr_out_c, S_AXI_RD_EN => s_axi_rd_en_c ); END GENERATE axi_mem_module; END behavioral; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_clr is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_clr; architecture beh_ff_clr_arch of beh_ff_clr is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(CLR, C) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then q_o <= D after 100 ps; end if; end process; end beh_ff_clr_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_ce is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_ce; architecture beh_ff_ce_arch of beh_ff_ce is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, CLR) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then if (CE = '1') then q_o <= D after 100 ps; end if; end if; end process; end beh_ff_ce_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_pre is generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end beh_ff_pre; architecture beh_ff_pre_arch of beh_ff_pre is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, PRE) begin if (PRE = '1') then q_o <= '1'; elsif (C' event and C = '1') then q_o <= D after 100 ps; end if; end process; end beh_ff_pre_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_muxf7 is port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end beh_muxf7; architecture beh_muxf7_arch of beh_muxf7 is begin VITALBehavior : process (I0, I1, S) begin if (S = '0') then O <= I0; else O <= I1; end if; end process; end beh_muxf7_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity STATE_LOGIC is generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic := '0'; I0 : in std_logic := '0'; I1 : in std_logic := '0'; I2 : in std_logic := '0'; I3 : in std_logic := '0'; I4 : in std_logic := '0'; I5 : in std_logic := '0' ); end STATE_LOGIC; architecture STATE_LOGIC_arch of STATE_LOGIC is constant INIT_reg : std_logic_vector(63 downto 0) := INIT; begin LUT_beh:process (I0, I1, I2, I3, I4, I5) variable I_reg : std_logic_vector(5 downto 0); begin I_reg := I5 & I4 & I3 & I2 & I1 & I0; O <= INIT_reg(conv_integer(I_reg)); end process; end STATE_LOGIC_arch;
mit
dries007/Basys3
FPGA-Z/FPGA-Z.srcs/sources_1/ip/FrameBuffer/blk_mem_gen_v8_3_1/simulation/blk_mem_gen_v8_3.vhd
13
222214
------------------------------------------------------------------------------- -- (c) Copyright 2006 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- -- Filename: blk_mem_gen_v8_3_1.vhd -- -- Description: -- This file is the VHDL behvarial model for the -- Block Memory Generator Core. -- ------------------------------------------------------------------------------- -- Author: Xilinx -- -- History: January 11, 2006: Initial revision -- June 11, 2007 : Added independent register stages for -- Port A and Port B (IP1_Jm/v2.5) -- August 28, 2007 : Added mux pipeline stages feature (IP2_Jm/v2.6) -- April 07, 2009 : Added support for Spartan-6 and Virtex-6 -- features, including the following: -- (i) error injection, detection and/or correction -- (ii) reset priority -- (iii) special reset behavior -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.numeric_std.all; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY STD; USE STD.TEXTIO.ALL; ENTITY blk_mem_axi_regs_fwd_v8_3 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END ENTITY blk_mem_axi_regs_fwd_v8_3; ARCHITECTURE axi_regs_fwd_arch OF blk_mem_axi_regs_fwd_v8_3 IS SIGNAL STORAGE_DATA : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL S_READY_I : STD_LOGIC := '0'; SIGNAL M_VALID_I : STD_LOGIC := '0'; SIGNAL ARESET_D : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');-- Reset delay register BEGIN --assign local signal to its output signal S_READY <= S_READY_I; M_VALID <= M_VALID_I; PROCESS(ACLK) BEGIN IF(ACLK'event AND ACLK = '1') THEN ARESET_D <= ARESET_D(0) & ARESET; END IF; END PROCESS; --Save payload data whenever we have a transaction on the slave side PROCESS(ACLK, ARESET) BEGIN IF (ARESET = '1') THEN STORAGE_DATA <= (OTHERS => '0'); ELSIF(ACLK'event AND ACLK = '1') THEN IF(S_VALID = '1' AND S_READY_I = '1') THEN STORAGE_DATA <= S_PAYLOAD_DATA; END IF; END IF; END PROCESS; M_PAYLOAD_DATA <= STORAGE_DATA; -- M_Valid set to high when we have a completed transfer on slave side -- Is removed on a M_READY except if we have a new transfer on the slave side PROCESS(ACLK,ARESET) BEGIN IF (ARESET_D /= "00") THEN M_VALID_I <= '0'; ELSIF(ACLK'event AND ACLK = '1') THEN IF (S_VALID = '1') THEN --Always set M_VALID_I when slave side is valid M_VALID_I <= '1'; ELSIF (M_READY = '1') THEN --Clear (or keep) when no slave side is valid but master side is ready M_VALID_I <= '0'; END IF; END IF; END PROCESS; --Slave Ready is either when Master side drives M_READY or we have space in our storage data S_READY_I <= (M_READY OR (NOT M_VALID_I)) AND NOT(OR_REDUCE(ARESET_D)); END axi_regs_fwd_arch; ------------------------------------------------------------------------------- -- Description: -- This is the behavioral model of write_wrapper for the -- Block Memory Generator Core. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_axi_write_wrapper_beh IS GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END blk_mem_axi_write_wrapper_beh; ARCHITECTURE axi_write_wrap_arch OF blk_mem_axi_write_wrapper_beh IS ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_AXI_WDATA_WIDTH=8,0, if_then_else((C_AXI_WDATA_WIDTH=16),1, if_then_else((C_AXI_WDATA_WIDTH=32),2, if_then_else((C_AXI_WDATA_WIDTH=64),3, if_then_else((C_AXI_WDATA_WIDTH=128),4, if_then_else((C_AXI_WDATA_WIDTH=256),5,0)))))); SIGNAL bvalid_c : std_logic := '0'; SIGNAL bready_timeout_c : std_logic := '0'; SIGNAL bvalid_rd_cnt_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_r : std_logic := '0'; SIGNAL bvalid_count_r : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0'); SIGNAL awaddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), C_AXI_AWADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL bvalid_wr_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_rd_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL w_last_c : std_logic := '0'; SIGNAL addr_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL aw_ready_r : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL awlen_cntr_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '1'); SIGNAL awlen_int : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL awburst_int : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes : integer := 0; SIGNAL wrap_boundary : integer := 0; SIGNAL wrap_base_addr : integer := 0; SIGNAL num_of_bytes_c : integer := 0; SIGNAL num_of_bytes_r : integer := 0; -- Array to store BIDs TYPE id_array IS ARRAY (3 DOWNTO 0) OF std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); SIGNAL axi_bid_array : id_array := (others => (others => '0')); COMPONENT write_netlist GENERIC( C_AXI_TYPE : integer ); PORT( S_ACLK : IN std_logic; S_ARESETN : IN std_logic; S_AXI_AWVALID : IN std_logic; aw_ready_r : OUT std_logic; S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN std_logic; S_AXI_WR_EN : OUT std_logic; w_last_c : IN std_logic; bready_timeout_c : IN std_logic; addr_en_c : OUT std_logic; incr_addr_c : OUT std_logic; bvalid_c : OUT std_logic ); END COMPONENT write_netlist; BEGIN --------------------------------------- --AXI WRITE FSM COMPONENT INSTANTIATION --------------------------------------- axi_wr_fsm : write_netlist GENERIC MAP ( C_AXI_TYPE => C_AXI_TYPE ) PORT MAP ( S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, S_AXI_AWVALID => S_AXI_AWVALID, aw_ready_r => aw_ready_r, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BVALID => OPEN, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BREADY => S_AXI_BREADY, S_AXI_WR_EN => S_AXI_WR_EN, w_last_c => w_last_c, bready_timeout_c => bready_timeout_c, addr_en_c => addr_en_c, incr_addr_c => incr_addr_c, bvalid_c => bvalid_c ); --Wrap Address boundary calculation num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWSIZE,"000")); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(awlen_int)+1); wrap_base_addr <= (conv_integer(awaddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary <= wrap_base_addr+total_bytes; --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awaddr_reg <= (OTHERS => '0'); num_of_bytes_r <= 0; awburst_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awaddr_reg <= S_AXI_AWADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; awburst_int <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWBURST,"01"); ELSIF (incr_addr_c = '1') THEN IF (awburst_int = "10") THEN IF(conv_integer(awaddr_reg) = (wrap_boundary-num_of_bytes_r)) THEN awaddr_reg <= conv_std_logic_vector(wrap_base_addr,C_AXI_AWADDR_WIDTH); ELSE awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; ELSIF (awburst_int = "01" OR awburst_int = "11") THEN awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; S_AXI_AWADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), awaddr_reg(C_AXI_AWADDR_WIDTH-1 DOWNTO C_RANGE),awaddr_reg); --------------------------------------------------------------------------- -- AXI wlast generation --------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awlen_cntr_r <= (OTHERS => '1'); awlen_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awlen_int <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; awlen_cntr_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; ELSIF (dec_alen_c = '1') THEN awlen_cntr_r <= awlen_cntr_r - ONE AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; w_last_c <= '1' WHEN (awlen_cntr_r = "00000000" AND S_AXI_WVALID = '1') ELSE '0'; dec_alen_c <= (incr_addr_c OR w_last_c); --------------------------------------------------------------------------- -- Generation of bvalid counter for outstanding transactions --------------------------------------------------------------------------- P_b_valid_os_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_count_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- bvalid_count_r generation IF (bvalid_c = '1' AND bvalid_r = '1' AND S_AXI_BREADY = '1') THEN bvalid_count_r <= bvalid_count_r AFTER FLOP_DELAY; ELSIF (bvalid_c = '1') THEN bvalid_count_r <= bvalid_count_r + "01" AFTER FLOP_DELAY; ELSIF (bvalid_r = '1' AND S_AXI_BREADY = '1' AND bvalid_count_r /= "0") THEN bvalid_count_r <= bvalid_count_r - "01" AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_os_r ; --------------------------------------------------------------------------- -- Generation of bvalid when BID is used --------------------------------------------------------------------------- gaxi_bvalid_id_r:IF (C_HAS_AXI_ID = 1) GENERATE SIGNAL bvalid_d1_c : std_logic := '0'; BEGIN P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; bvalid_d1_c <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- Delay the generation o bvalid_r for generation for BID bvalid_d1_c <= bvalid_c; --external bvalid signal generation IF (bvalid_d1_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_id_r; --------------------------------------------------------------------------- -- Generation of bvalid when BID is not used --------------------------------------------------------------------------- gaxi_bvalid_noid_r:IF (C_HAS_AXI_ID = 0) GENERATE P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN --external bvalid signal generation IF (bvalid_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_noid_r; --------------------------------------------------------------------------- -- Generation of Bready timeout --------------------------------------------------------------------------- P_brdy_tout_c: PROCESS (bvalid_count_r) BEGIN -- bready_timeout_c generation IF(conv_integer(bvalid_count_r) = C_AXI_OS_WR-1) THEN bready_timeout_c <= '1'; ELSE bready_timeout_c <= '0'; END IF; END PROCESS P_brdy_tout_c; --------------------------------------------------------------------------- -- Generation of BID --------------------------------------------------------------------------- gaxi_bid_gen:IF (C_HAS_AXI_ID = 1) GENERATE P_bid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN bvalid_wr_cnt_r <= (OTHERS => '0'); bvalid_rd_cnt_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- STORE AWID IN AN ARRAY IF(bvalid_c = '1') THEN bvalid_wr_cnt_r <= bvalid_wr_cnt_r + "01"; END IF; -- GENERATE BID FROM AWID ARRAY bvalid_rd_cnt_r <= bvalid_rd_cnt_c AFTER FLOP_DELAY; S_AXI_BID <= axi_bid_array(conv_integer(bvalid_rd_cnt_c)); END IF; END PROCESS P_bid_gen; bvalid_rd_cnt_c <= bvalid_rd_cnt_r + "01" WHEN (bvalid_r = '1' AND S_AXI_BREADY = '1') ELSE bvalid_rd_cnt_r; --------------------------------------------------------------------------- -- Storing AWID for generation of BID --------------------------------------------------------------------------- P_awid_reg:PROCESS (S_ACLK) BEGIN IF (S_ACLK'event AND S_ACLK='1') THEN IF(aw_ready_r = '1' AND S_AXI_AWVALID = '1') THEN axi_bid_array(conv_integer(bvalid_wr_cnt_r)) <= S_AXI_AWID; END IF; END IF; END PROCESS P_awid_reg; END GENERATE gaxi_bid_gen; S_AXI_BVALID <= bvalid_r; S_AXI_AWREADY <= aw_ready_r; END axi_write_wrap_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity write_netlist is GENERIC( C_AXI_TYPE : integer ); port ( S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_AWVALID : in STD_LOGIC := '0'; S_AXI_WVALID : in STD_LOGIC := '0'; S_AXI_BREADY : in STD_LOGIC := '0'; w_last_c : in STD_LOGIC := '0'; bready_timeout_c : in STD_LOGIC := '0'; aw_ready_r : out STD_LOGIC; S_AXI_WREADY : out STD_LOGIC; S_AXI_BVALID : out STD_LOGIC; S_AXI_WR_EN : out STD_LOGIC; addr_en_c : out STD_LOGIC; incr_addr_c : out STD_LOGIC; bvalid_c : out STD_LOGIC ); end write_netlist; architecture STRUCTURE of write_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; BEGIN --------------------------------------------------------------------------- -- AXI LITE --------------------------------------------------------------------------- gbeh_axi_lite_sm: IF (C_AXI_TYPE = 0 ) GENERATE signal w_ready_r_7 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSignal_bvalid_c : STD_LOGIC; signal NlwRenamedSignal_incr_addr_c : STD_LOGIC; signal present_state_FSM_FFd3_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal present_state_FSM_FFd1_15 : STD_LOGIC; signal present_state_FSM_FFd4_16 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd4_In1_21 : STD_LOGIC; signal Mmux_aw_ready_c : STD_LOGIC_VECTOR ( 0 downto 0 ); begin S_AXI_WREADY <= w_ready_r_7; S_AXI_BVALID <= NlwRenamedSignal_incr_addr_c; S_AXI_WR_EN <= NlwRenamedSignal_bvalid_c; incr_addr_c <= NlwRenamedSignal_incr_addr_c; bvalid_c <= NlwRenamedSignal_bvalid_c; NlwRenamedSignal_incr_addr_c <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_7 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_16 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_13 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_15 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000055554440" ) port map ( I0 => S_AXI_WVALID, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => '0', O => present_state_FSM_FFd3_In ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"0000000088880800" ) port map ( I0 => S_AXI_AWVALID, I1 => S_AXI_WVALID, I2 => bready_timeout_c, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd4_16, I5 => '0', O => present_state_FSM_FFd2_In ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"00000000AAAA2000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_WVALID, I4 => present_state_FSM_FFd4_16, I5 => '0', O => addr_en_c ); Mmux_w_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"F5F07570F5F05500" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => w_ready_c ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd3_13, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd1_15, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_14, I2 => present_state_FSM_FFd3_13, I3 => '0', I4 => '0', I5 => '0', O => NlwRenamedSignal_bvalid_c ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"2F0F27072F0F2200" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => present_state_FSM_FFd4_In1_21 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_In1_21, I3 => '0', I4 => '0', I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_aw_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"7535753575305500" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => S_AXI_WVALID, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => present_state_FSM_FFd2_14, O => Mmux_aw_ready_c(0) ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => Mmux_aw_ready_c(0), I3 => '0', I4 => '0', I5 => '0', O => aw_ready_c ); END GENERATE gbeh_axi_lite_sm; --------------------------------------------------------------------------- -- AXI FULL --------------------------------------------------------------------------- gbeh_axi_full_sm: IF (C_AXI_TYPE = 1 ) GENERATE signal w_ready_r_8 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSig_OI_bvalid_c : STD_LOGIC; signal present_state_FSM_FFd1_16 : STD_LOGIC; signal present_state_FSM_FFd4_17 : STD_LOGIC; signal present_state_FSM_FFd3_18 : STD_LOGIC; signal present_state_FSM_FFd2_19 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd2_In1_24 : STD_LOGIC; signal present_state_FSM_FFd4_In1_25 : STD_LOGIC; signal N2 : STD_LOGIC; signal N4 : STD_LOGIC; begin S_AXI_WREADY <= w_ready_r_8; bvalid_c <= NlwRenamedSig_OI_bvalid_c; S_AXI_BVALID <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_8 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_17 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_18 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_19 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_16 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000000005540" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd4_17, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd3_In ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"BF3FBB33AF0FAA00" ) port map ( I0 => S_AXI_BREADY, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd1_16, I4 => present_state_FSM_FFd4_17, I5 => NlwRenamedSig_OI_bvalid_c, O => aw_ready_c ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"AAAAAAAA20000000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_19, I3 => S_AXI_WVALID, I4 => w_last_c, I5 => present_state_FSM_FFd4_17, O => addr_en_c ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_19, I2 => present_state_FSM_FFd3_18, I3 => '0', I4 => '0', I5 => '0', O => S_AXI_WR_EN ); Mmux_incr_addr_c_0_1 : STATE_LOGIC generic map( INIT => X"0000000000002220" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => incr_addr_c ); Mmux_aw_ready_c_0_11 : STATE_LOGIC generic map( INIT => X"0000000000008880" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => NlwRenamedSig_OI_bvalid_c ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"000000000000D5C0" ) port map ( I0 => w_last_c, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd4_17, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd2_In1_24 ); present_state_FSM_FFd2_In2 : STATE_LOGIC generic map( INIT => X"FFFFAAAA08AAAAAA" ) port map ( I0 => present_state_FSM_FFd2_19, I1 => S_AXI_AWVALID, I2 => bready_timeout_c, I3 => w_last_c, I4 => S_AXI_WVALID, I5 => present_state_FSM_FFd2_In1_24, O => present_state_FSM_FFd2_In ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"00C0004000C00000" ) port map ( I0 => S_AXI_AWVALID, I1 => w_last_c, I2 => S_AXI_WVALID, I3 => bready_timeout_c, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => present_state_FSM_FFd4_In1_25 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000FFFF88F8" ) port map ( I0 => present_state_FSM_FFd1_16, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_17, I3 => S_AXI_AWVALID, I4 => present_state_FSM_FFd4_In1_25, I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_w_ready_c_0_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => w_last_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_w_ready_c_0_Q : STATE_LOGIC generic map( INIT => X"FABAFABAFAAAF000" ) port map ( I0 => N2, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd4_17, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => w_ready_c ); Mmux_aw_ready_c_0_11_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => bready_timeout_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N4 ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => w_last_c, I1 => N4, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => present_state_FSM_FFd1_16, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); END GENERATE gbeh_axi_full_sm; end STRUCTURE; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --AXI Behavioral Model entities ENTITY blk_mem_axi_read_wrapper_beh is GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); port ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END blk_mem_axi_read_wrapper_beh; architecture blk_mem_axi_read_wrapper_beh_arch of blk_mem_axi_read_wrapper_beh is ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_WRITE_WIDTH_A=8,0, if_then_else((C_WRITE_WIDTH_A=16),1, if_then_else((C_WRITE_WIDTH_A=32),2, if_then_else((C_WRITE_WIDTH_A=64),3, if_then_else((C_WRITE_WIDTH_A=128),4, if_then_else((C_WRITE_WIDTH_A=256),5,0)))))); SIGNAL ar_id_r : std_logic_vector (C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); SIGNAL addr_en_c : std_logic := '0'; SIGNAL rd_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL single_trans_c : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL mux_sel_c : std_logic := '0'; SIGNAL r_last_c : std_logic := '0'; SIGNAL r_last_int_c : std_logic := '0'; SIGNAL arlen_int_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL arlen_cntr : std_logic_vector(7 DOWNTO 0) := ONE; SIGNAL arburst_int_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL arburst_int_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL araddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),C_AXI_ARADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL num_of_bytes_c : integer := 0; SIGNAL total_bytes : integer := 0; SIGNAL num_of_bytes_r : integer := 0; SIGNAL wrap_base_addr_r : integer := 0; SIGNAL wrap_boundary_r : integer := 0; SIGNAL arlen_int_c : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes_c : integer := 0; SIGNAL wrap_base_addr_c : integer := 0; SIGNAL wrap_boundary_c : integer := 0; SIGNAL araddr_out : std_logic_vector(C_ADDRB_WIDTH-1 downto 0) := (OTHERS => '0'); COMPONENT read_netlist GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_INCR_ADDR : OUT std_logic := '0'; S_AXI_ADDR_EN : OUT std_logic := '0'; S_AXI_SINGLE_TRANS : OUT std_logic := '0'; S_AXI_MUX_SEL : OUT std_logic := '0'; S_AXI_R_LAST : OUT std_logic := '0'; S_AXI_R_LAST_INT : IN std_logic := '0'; -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN : OUT std_logic ); END COMPONENT read_netlist; BEGIN dec_alen_c <= incr_addr_c OR r_last_int_c; axi_read_fsm : read_netlist GENERIC MAP( C_AXI_TYPE => 1, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( S_AXI_INCR_ADDR => incr_addr_c, S_AXI_ADDR_EN => addr_en_c, S_AXI_SINGLE_TRANS => single_trans_c, S_AXI_MUX_SEL => mux_sel_c, S_AXI_R_LAST => r_last_c, S_AXI_R_LAST_INT => r_last_int_c, -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => S_AXI_RLAST, S_AXI_RVALID => S_AXI_RVALID, S_AXI_RREADY => S_AXI_RREADY, -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN => rd_en_c ); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(arlen_int_r)+1); wrap_base_addr_r <= (conv_integer(araddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary_r <= wrap_base_addr_r+total_bytes; ---- combinatorial from interface num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARSIZE,"000")); arlen_int_c <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); total_bytes_c <= conv_integer(num_of_bytes_c)*(conv_integer(arlen_int_c)+1); wrap_base_addr_c <= (conv_integer(S_AXI_ARADDR)/if_then_else(total_bytes_c=0,1,total_bytes_c))*(total_bytes_c); wrap_boundary_c <= wrap_base_addr_c+total_bytes_c; arburst_int_c <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARBURST,"01"); --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN araddr_reg <= (OTHERS => '0'); arburst_int_r <= (OTHERS => '0'); num_of_bytes_r <= 0; ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (incr_addr_c = '1' AND addr_en_c = '1' AND single_trans_c = '0') THEN arburst_int_r <= arburst_int_c; num_of_bytes_r <= num_of_bytes_c; IF (arburst_int_c = "10") THEN IF(conv_integer(S_AXI_ARADDR) = (wrap_boundary_c-num_of_bytes_c)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_c,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (arburst_int_c = "01" OR arburst_int_c = "11") THEN araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (addr_en_c = '1') THEN araddr_reg <= S_AXI_ARADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; arburst_int_r <= arburst_int_c; ELSIF (incr_addr_c = '1') THEN IF (arburst_int_r = "10") THEN IF(conv_integer(araddr_reg) = (wrap_boundary_r-num_of_bytes_r)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_r,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= araddr_reg + num_of_bytes_r; END IF; ELSIF (arburst_int_r = "01" OR arburst_int_r = "11") THEN araddr_reg <= araddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; araddr_out <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),araddr_reg(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),araddr_reg); -------------------------------------------------------------------------- -- Counter to generate r_last_int_c from registered ARLEN - AXI FULL FSM -------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF S_ARESETN = '1' THEN arlen_cntr <= ONE; arlen_int_r <= (OTHERS => '0'); ELSIF S_ACLK'event AND S_ACLK = '1' THEN IF (addr_en_c = '1' AND dec_alen_c = '1' AND single_trans_c = '0') THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= S_AXI_ARLEN - ONE AFTER FLOP_DELAY; ELSIF addr_en_c = '1' THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); ELSIF dec_alen_c = '1' THEN arlen_cntr <= arlen_cntr - ONE AFTER FLOP_DELAY; ELSE arlen_cntr <= arlen_cntr AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; r_last_int_c <= '1' WHEN (arlen_cntr = "00000000" AND S_AXI_RREADY = '1') ELSE '0' ; -------------------------------------------------------------------------- -- AXI FULL FSM -- Mux Selection of ARADDR -- ARADDR is driven out from the read fsm based on the mux_sel_c -- Based on mux_sel either ARADDR is given out or the latched ARADDR is -- given out to BRAM -------------------------------------------------------------------------- P_araddr_mux: PROCESS (mux_sel_c,S_AXI_ARADDR,araddr_out) BEGIN IF (mux_sel_c = '0') THEN S_AXI_ARADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARADDR(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),S_AXI_ARADDR); ELSE S_AXI_ARADDR_OUT <= araddr_out; END IF; END PROCESS P_araddr_mux; -------------------------------------------------------------------------- -- Assign output signals - AXI FULL FSM -------------------------------------------------------------------------- S_AXI_RD_EN <= rd_en_c; grid: IF (C_HAS_AXI_ID = 1) GENERATE P_rid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN S_AXI_RID <= (OTHERS => '0'); ar_id_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN IF (addr_en_c = '1' AND rd_en_c = '1') THEN S_AXI_RID <= S_AXI_ARID; ar_id_r <= S_AXI_ARID; ELSIF (addr_en_c = '1' AND rd_en_c = '0') THEN ar_id_r <= S_AXI_ARID; ELSIF (rd_en_c = '1') THEN S_AXI_RID <= ar_id_r; END IF; END IF; END PROCESS P_rid_gen; END GENERATE grid; END blk_mem_axi_read_wrapper_beh_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity read_netlist is GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_R_LAST_INT : in STD_LOGIC := '0'; S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_ARVALID : in STD_LOGIC := '0'; S_AXI_RREADY : in STD_LOGIC := '0'; S_AXI_INCR_ADDR : out STD_LOGIC; S_AXI_ADDR_EN : out STD_LOGIC; S_AXI_SINGLE_TRANS : out STD_LOGIC; S_AXI_MUX_SEL : out STD_LOGIC; S_AXI_R_LAST : out STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; S_AXI_RLAST : out STD_LOGIC; S_AXI_RVALID : out STD_LOGIC; S_AXI_RD_EN : out STD_LOGIC; S_AXI_ARLEN : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); end read_netlist; architecture STRUCTURE of read_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; signal present_state_FSM_FFd1_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal gaxi_full_sm_outstanding_read_r_15 : STD_LOGIC; signal gaxi_full_sm_ar_ready_r_16 : STD_LOGIC; signal gaxi_full_sm_r_last_r_17 : STD_LOGIC; signal NlwRenamedSig_OI_gaxi_full_sm_r_valid_r : STD_LOGIC; signal gaxi_full_sm_r_valid_c : STD_LOGIC; signal S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o : STD_LOGIC; signal gaxi_full_sm_ar_ready_c : STD_LOGIC; signal gaxi_full_sm_outstanding_read_c : STD_LOGIC; signal NlwRenamedSig_OI_S_AXI_R_LAST : STD_LOGIC; signal S_AXI_ARLEN_7_GND_8_o_equal_1_o : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal Mmux_S_AXI_R_LAST13 : STD_LOGIC; signal N01 : STD_LOGIC; signal N2 : STD_LOGIC; signal Mmux_gaxi_full_sm_ar_ready_c11 : STD_LOGIC; signal N4 : STD_LOGIC; signal N8 : STD_LOGIC; signal N9 : STD_LOGIC; signal N10 : STD_LOGIC; signal N11 : STD_LOGIC; signal N12 : STD_LOGIC; signal N13 : STD_LOGIC; begin S_AXI_R_LAST <= NlwRenamedSig_OI_S_AXI_R_LAST; S_AXI_ARREADY <= gaxi_full_sm_ar_ready_r_16; S_AXI_RLAST <= gaxi_full_sm_r_last_r_17; S_AXI_RVALID <= NlwRenamedSig_OI_gaxi_full_sm_r_valid_r; gaxi_full_sm_outstanding_read_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_outstanding_read_c, Q => gaxi_full_sm_outstanding_read_r_15 ); gaxi_full_sm_r_valid_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => gaxi_full_sm_r_valid_c, Q => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r ); gaxi_full_sm_ar_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_ar_ready_c, Q => gaxi_full_sm_ar_ready_r_16 ); gaxi_full_sm_r_last_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => NlwRenamedSig_OI_S_AXI_R_LAST, Q => gaxi_full_sm_r_last_r_17 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_13 ); S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o1 : STATE_LOGIC generic map( INIT => X"000000000000000B" ) port map ( I0 => S_AXI_RREADY, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o ); Mmux_S_AXI_SINGLE_TRANS11 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_SINGLE_TRANS ); Mmux_S_AXI_ADDR_EN11 : STATE_LOGIC generic map( INIT => X"0000000000000004" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_ADDR_EN ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"ECEE2022EEEE2022" ) port map ( I0 => S_AXI_ARVALID, I1 => present_state_FSM_FFd1_13, I2 => S_AXI_RREADY, I3 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I4 => present_state_FSM_FFd2_14, I5 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, O => present_state_FSM_FFd2_In ); Mmux_S_AXI_R_LAST131 : STATE_LOGIC generic map( INIT => X"0000000044440444" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_RREADY, I5 => '0', O => Mmux_S_AXI_R_LAST13 ); Mmux_S_AXI_INCR_ADDR11 : STATE_LOGIC generic map( INIT => X"4000FFFF40004000" ) port map ( I0 => S_AXI_R_LAST_INT, I1 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => Mmux_S_AXI_R_LAST13, O => S_AXI_INCR_ADDR ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000FE" ) port map ( I0 => S_AXI_ARLEN(2), I1 => S_AXI_ARLEN(1), I2 => S_AXI_ARLEN(0), I3 => '0', I4 => '0', I5 => '0', O => N01 ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_Q : STATE_LOGIC generic map( INIT => X"0000000000000001" ) port map ( I0 => S_AXI_ARLEN(7), I1 => S_AXI_ARLEN(6), I2 => S_AXI_ARLEN(5), I3 => S_AXI_ARLEN(4), I4 => S_AXI_ARLEN(3), I5 => N01, O => S_AXI_ARLEN_7_GND_8_o_equal_1_o ); Mmux_gaxi_full_sm_outstanding_read_c1_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_gaxi_full_sm_outstanding_read_c1 : STATE_LOGIC generic map( INIT => X"0020000002200200" ) port map ( I0 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd1_13, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => N2, O => gaxi_full_sm_outstanding_read_c ); Mmux_gaxi_full_sm_ar_ready_c12 : STATE_LOGIC generic map( INIT => X"0000000000004555" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => '0', I5 => '0', O => Mmux_gaxi_full_sm_ar_ready_c11 ); Mmux_S_AXI_R_LAST11_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000EF" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I3 => '0', I4 => '0', I5 => '0', O => N4 ); Mmux_S_AXI_R_LAST11 : STATE_LOGIC generic map( INIT => X"FCAAFC0A00AA000A" ) port map ( I0 => S_AXI_ARVALID, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => N4, I5 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, O => gaxi_full_sm_r_valid_c ); S_AXI_MUX_SEL1 : STATE_LOGIC generic map( INIT => X"00000000AAAAAA08" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => '0', O => S_AXI_MUX_SEL ); Mmux_S_AXI_RD_EN11 : STATE_LOGIC generic map( INIT => X"F3F3F755A2A2A200" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => gaxi_full_sm_outstanding_read_r_15, I4 => present_state_FSM_FFd2_14, I5 => S_AXI_ARVALID, O => S_AXI_RD_EN ); present_state_FSM_FFd1_In3 : beh_muxf7 port map ( I0 => N8, I1 => N9, S => present_state_FSM_FFd1_13, O => present_state_FSM_FFd1_In ); present_state_FSM_FFd1_In3_F : STATE_LOGIC generic map( INIT => X"000000005410F4F0" ) port map ( I0 => S_AXI_RREADY, I1 => present_state_FSM_FFd2_14, I2 => S_AXI_ARVALID, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => '0', O => N8 ); present_state_FSM_FFd1_In3_G : STATE_LOGIC generic map( INIT => X"0000000072FF7272" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N9 ); Mmux_gaxi_full_sm_ar_ready_c14 : beh_muxf7 port map ( I0 => N10, I1 => N11, S => present_state_FSM_FFd1_13, O => gaxi_full_sm_ar_ready_c ); Mmux_gaxi_full_sm_ar_ready_c14_F : STATE_LOGIC generic map( INIT => X"00000000FFFF88A8" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => Mmux_gaxi_full_sm_ar_ready_c11, I5 => '0', O => N10 ); Mmux_gaxi_full_sm_ar_ready_c14_G : STATE_LOGIC generic map( INIT => X"000000008D008D8D" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N11 ); Mmux_S_AXI_R_LAST1 : beh_muxf7 port map ( I0 => N12, I1 => N13, S => present_state_FSM_FFd1_13, O => NlwRenamedSig_OI_S_AXI_R_LAST ); Mmux_S_AXI_R_LAST1_F : STATE_LOGIC generic map( INIT => X"0000000088088888" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N12 ); Mmux_S_AXI_R_LAST1_G : STATE_LOGIC generic map( INIT => X"00000000E400E4E4" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => S_AXI_R_LAST_INT, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N13 ); end STRUCTURE; ------------------------------------------------------------------------------- -- Output Register Stage Entity -- -- This module builds the output register stages of the memory. This module is -- instantiated in the main memory module (blk_mem_gen_v8_3_1) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_gen_v8_3_1_output_stage IS GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; C_EN_ECC_PIPE : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; REGCE : IN STD_LOGIC; DIN_I : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN_I : IN STD_LOGIC; DBITERR_IN_I : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN_I : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); eccpipece : IN STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END blk_mem_gen_v8_3_1_output_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6" and "virtex6l". -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- C_HAS_RST : Determines the presence of the RST port -- C_RSTRAM : Determines if special reset behavior is used -- C_RST_PRIORITY : Determines the priority between CE and SR -- C_INIT_VAL : Initialization value -- C_HAS_EN : Determines the presence of the EN port -- C_HAS_REGCE : Determines the presence of the REGCE port -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS : Designates the use of a register at the output -- of the RAM primitive -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- NUM_STAGES : Determines the number of output stages -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE output_stage_behavioral OF blk_mem_gen_v8_3_1_output_stage IS --******************************************************* -- Functions used in the output stage ARCHITECTURE --******************************************************* -- Calculate num_reg_stages FUNCTION get_num_reg_stages(NUM_STAGES: INTEGER) RETURN INTEGER IS VARIABLE num_reg_stages : INTEGER := 0; BEGIN IF (NUM_STAGES = 0) THEN num_reg_stages := 0; ELSE num_reg_stages := NUM_STAGES - 1; END IF; RETURN num_reg_stages; END get_num_reg_stages; -- Check if the INTEGER is zero or non-zero FUNCTION int_to_bit(input: INTEGER) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = 0) THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END int_to_bit; -- Constants CONSTANT HAS_EN : STD_LOGIC := int_to_bit(C_HAS_EN); CONSTANT HAS_REGCE : STD_LOGIC := int_to_bit(C_HAS_REGCE); CONSTANT HAS_RST : STD_LOGIC := int_to_bit(C_HAS_RST); CONSTANT REG_STAGES : INTEGER := get_num_reg_stages(NUM_STAGES); -- Pipeline array TYPE reg_data_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); TYPE reg_ecc_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC; TYPE reg_eccaddr_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); CONSTANT REG_INIT : reg_data_array := (OTHERS => init_val); SIGNAL out_regs : reg_data_array := REG_INIT; SIGNAL sbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL dbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL rdaddrecc_regs: reg_eccaddr_array := (OTHERS => (OTHERS => '0')); -- Internal signals SIGNAL en_i : STD_LOGIC; SIGNAL regce_i : STD_LOGIC; SIGNAL rst_i : STD_LOGIC; SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := init_val; SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL DIN : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL RDADDRECC_IN : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ; SIGNAL SBITERR_IN : STD_LOGIC := '0'; SIGNAL DBITERR_IN : STD_LOGIC := '0'; BEGIN --*********************************************************************** -- Assign internal signals. This effectively wires off optional inputs. --*********************************************************************** -- Internal enable for output registers is tied to user EN or '1' depending -- on parameters en_i <= EN OR (NOT HAS_EN); -- Internal register enable for output registers is tied to user REGCE, EN -- or '1' depending on parameters regce_i <= (HAS_REGCE AND REGCE) OR ((NOT HAS_REGCE) AND en_i); -- Internal SRR is tied to user RST or '0' depending on parameters rst_i <= RST AND HAS_RST; --*************************************************************************** -- NUM_STAGES = 0 (No output registers. RAM only) --*************************************************************************** zero_stages: IF (NUM_STAGES = 0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE zero_stages; NO_ECC_PIPE_REG: IF (C_EN_ECC_PIPE = 0) GENERATE DIN <= DIN_I; RDADDRECC_IN <= RDADDRECC_IN_I; SBITERR_IN <= SBITERR_IN_I; DBITERR_IN <= DBITERR_IN_I; END GENERATE NO_ECC_PIPE_REG; WITH_ECC_PIPE_REG: IF (C_EN_ECC_PIPE = 1) GENERATE PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(ECCPIPECE = '1') THEN DIN <= DIN_I AFTER FLOP_DELAY; RDADDRECC_IN <= RDADDRECC_IN_I AFTER FLOP_DELAY; SBITERR_IN <= SBITERR_IN_I AFTER FLOP_DELAY; DBITERR_IN <= DBITERR_IN_I AFTER FLOP_DELAY; END IF; END IF; END PROCESS; END GENERATE WITH_ECC_PIPE_REG; --*************************************************************************** -- NUM_STAGES = 1 -- (Mem Output Reg only or Mux Output Reg only) --*************************************************************************** -- Possible valid combinations: -- Note: C_HAS_MUX_OUTPUT_REGS_*=0 when (C_RSTRAM_*=1) -- +-----------------------------------------+ -- | C_RSTRAM_* | Reset Behavior | -- +----------------+------------------------+ -- | 0 | Normal Behavior | -- +----------------+------------------------+ -- | 1 | Special Behavior | -- +----------------+------------------------+ -- -- Normal = REGCE gates reset, as in the case of all Virtex families and all -- spartan families with the exception of S3ADSP and S6. -- Special = EN gates reset, as in the case of S3ADSP and S6. one_stage_norm: IF (NUM_STAGES = 1 AND (C_RSTRAM=0 OR (C_RSTRAM=1 AND (C_XDEVICEFAMILY/="spartan3adsp" AND C_XDEVICEFAMILY/="aspartan3adsp")) OR C_HAS_MEM_OUTPUT_REGS=0 OR C_HAS_RST=0)) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i = '1' AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END IF;--Priority conditions END IF;--CLK END PROCESS; END GENERATE one_stage_norm; -- Special Reset Behavior for S6 and S3ADSP one_stage_splbhv: IF (NUM_STAGES=1 AND C_RSTRAM=1 AND (C_XDEVICEFAMILY ="spartan3adsp" OR C_XDEVICEFAMILY ="aspartan3adsp")) GENERATE DOUT <= dout_i; SBITERR <= '0'; DBITERR <= '0'; RDADDRECC <= (OTHERS => '0'); PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (rst_i='1' AND en_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; ELSIF (regce_i='1' AND rst_i/='1') THEN dout_i <= DIN AFTER FLOP_DELAY; END IF; END IF;--CLK END PROCESS; END GENERATE one_stage_splbhv; --**************************************************************************** -- NUM_STAGES > 1 -- Mem Output Reg + Mux Output Reg -- or -- Mem Output Reg + Mux Pipeline Stages (>0) + Mux Output Reg -- or -- Mux Pipeline Stages (>0) + Mux Output Reg --**************************************************************************** multi_stage: IF (NUM_STAGES > 1) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i='1'AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; END IF;--Priority conditions IF (en_i='1') THEN -- Shift the data through the output stages FOR i IN 1 TO REG_STAGES-1 LOOP out_regs(i) <= out_regs(i-1) AFTER FLOP_DELAY; sbiterr_regs(i) <= sbiterr_regs(i-1) AFTER FLOP_DELAY; dbiterr_regs(i) <= dbiterr_regs(i-1) AFTER FLOP_DELAY; rdaddrecc_regs(i) <= rdaddrecc_regs(i-1) AFTER FLOP_DELAY; END LOOP; out_regs(0) <= DIN; sbiterr_regs(0) <= SBITERR_IN; dbiterr_regs(0) <= DBITERR_IN; rdaddrecc_regs(0) <= RDADDRECC_IN; END IF; END IF;--CLK END PROCESS; END GENERATE multi_stage; END output_stage_behavioral; ------------------------------------------------------------------------------- -- SoftECC Output Register Stage Entity -- This module builds the softecc output register stages. This module is -- instantiated in the memory module (blk_mem_gen_v8_3_1_mem_module) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_gen_v8_3_1_softecc_output_reg_stage IS GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ; DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END blk_mem_gen_v8_3_1_softecc_output_reg_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- of the RAM primitive -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE softecc_output_reg_stage_behavioral OF blk_mem_gen_v8_3_1_softecc_output_reg_stage IS -- Internal signals SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN --*************************************************************************** -- NO OUTPUT STAGES --*************************************************************************** no_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE no_output_stage; --**************************************************************************** -- WITH OUTPUT STAGE --**************************************************************************** has_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=1) GENERATE PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END PROCESS; DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; END GENERATE has_output_stage; END softecc_output_reg_stage_behavioral; --****************************************************************************** -- Main Memory module -- -- This module is the behavioral model which implements the RAM --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_MISC.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.std_logic_textio.all; ENTITY blk_mem_gen_v8_3_1_mem_module IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_3_1"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_EN_ECC_PIPE : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; ECCPIPECE : IN STD_LOGIC; SLEEP : IN STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END blk_mem_gen_v8_3_1_mem_module; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE mem_module_behavioral OF blk_mem_gen_v8_3_1_mem_module IS --**************************************** -- min/max constant functions --**************************************** -- get_max ---------- function SLV_TO_INT(SLV: in std_logic_vector ) return integer is variable int : integer; begin int := 0; for i in SLV'high downto SLV'low loop int := int * 2; if SLV(i) = '1' then int := int + 1; end if; end loop; return int; end; FUNCTION get_max(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a > b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; -- get_min ---------- FUNCTION get_min(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a < b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; --*************************************************************** -- convert write_mode from STRING type for use in case statement --*************************************************************** FUNCTION write_mode_to_vector(mode: STRING) RETURN STD_LOGIC_VECTOR IS BEGIN IF (mode = "NO_CHANGE") THEN RETURN "10"; ELSIF (mode = "READ_FIRST") THEN RETURN "01"; ELSE RETURN "00"; -- WRITE_FIRST END IF; END FUNCTION; --*************************************************************** -- convert hex STRING to STD_LOGIC_VECTOR --*************************************************************** FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; --*************************************************************** -- locally derived constants to determine memory shape --*************************************************************** CONSTANT MIN_WIDTH_A : INTEGER := get_min(C_WRITE_WIDTH_A, C_READ_WIDTH_A); CONSTANT MIN_WIDTH_B : INTEGER := get_min(C_WRITE_WIDTH_B,C_READ_WIDTH_B); CONSTANT MIN_WIDTH : INTEGER := get_min(MIN_WIDTH_A, MIN_WIDTH_B); CONSTANT MAX_DEPTH_A : INTEGER := get_max(C_WRITE_DEPTH_A, C_READ_DEPTH_A); CONSTANT MAX_DEPTH_B : INTEGER := get_max(C_WRITE_DEPTH_B, C_READ_DEPTH_B); CONSTANT MAX_DEPTH : INTEGER := get_max(MAX_DEPTH_A, MAX_DEPTH_B); TYPE int_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF std_logic_vector(C_WRITE_WIDTH_A-1 DOWNTO 0); TYPE mem_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC_VECTOR(MIN_WIDTH-1 DOWNTO 0); TYPE ecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; TYPE softecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; --*************************************************************** -- memory initialization function --*************************************************************** IMPURE FUNCTION init_memory(DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); write_width_a : INTEGER; depth : INTEGER; width : INTEGER) RETURN mem_array IS VARIABLE init_return : mem_array := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(write_width_a-1 DOWNTO 0); VARIABLE int_mem_vector : int_array:= (OTHERS => (OTHERS => '0')); VARIABLE file_buffer : LINE; VARIABLE i : INTEGER := 0; VARIABLE j : INTEGER; VARIABLE k : INTEGER; VARIABLE ignore_line : BOOLEAN := false; VARIABLE good_data : BOOLEAN := false; VARIABLE char_tmp : CHARACTER; VARIABLE index : INTEGER; variable init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable data : std_logic_vector(255 downto 0) := (others => '0'); variable inside_init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable k_slv : std_logic_vector(31 downto 0) := (others => '0'); variable i_slv : std_logic_vector(31 downto 0) := (others => '0'); VARIABLE disp_line : line := null; variable open_status : file_open_status; variable input_initf_tmp : mem_array ; variable input_initf : mem_array := (others => (others => '0')); file int_infile : text; variable data_line, data_line_tmp, out_data_line : line; variable slv_width : integer; VARIABLE d_l : LINE; BEGIN --Display output message indicating that the behavioral model is being --initialized -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN index := 0; FOR i IN 0 TO depth-1 LOOP FOR j IN 0 TO width-1 LOOP init_return(i)(j) := DEFAULT_DATA(index); index := (index + 1) MOD C_WRITE_WIDTH_A; END LOOP; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, file_buffer); read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO write_width_a-1 LOOP IF (j MOD width = 0 AND j /= 0) THEN i := i + 1; END IF; init_return(i)(j MOD width) := bit_to_sl(mem_vector(j)); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; --Display output message indicating that the behavioral model is done --initializing ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator data initialization complete." SEVERITY NOTE; if (C_USE_BRAM_BLOCK = 1) then --Display output message indicating that the behavioral model is being --initialized -- Read in the .mem file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_INIT_FILE /= "NONE") then file_open(open_status, int_infile, C_INIT_FILE, read_mode); while not endfile(int_infile) loop readline(int_infile, data_line); while (data_line /= null and data_line'length > 0) loop if (data_line(data_line'low to data_line'low + 1) = "//") then deallocate(data_line); elsif ((data_line(data_line'low to data_line'low + 1) = "/*") and (data_line(data_line'high-1 to data_line'high) = "*/")) then deallocate(data_line); elsif (data_line(data_line'low to data_line'low + 1) = "/*") then deallocate(data_line); ignore_line := true; elsif (ignore_line = true and data_line(data_line'high-1 to data_line'high) = "*/") then deallocate(data_line); ignore_line := false; elsif (ignore_line = false and data_line(data_line'low) = '@') then read(data_line, char_tmp); hread(data_line, init_addr_slv, good_data); i := SLV_TO_INT(init_addr_slv); elsif (ignore_line = false) then hread(data_line, input_initf_tmp(i), good_data); init_return(i)(write_width_a - 1 downto 0) := input_initf_tmp(i)(write_width_a - 1 downto 0); if (good_data = true) then i := i + 1; end if; else deallocate(data_line); end if; end loop; end loop; file_close(int_infile); END IF; END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- memory type constants --*************************************************************** CONSTANT MEM_TYPE_SP_RAM : INTEGER := 0; CONSTANT MEM_TYPE_SDP_RAM : INTEGER := 1; CONSTANT MEM_TYPE_TDP_RAM : INTEGER := 2; CONSTANT MEM_TYPE_SP_ROM : INTEGER := 3; CONSTANT MEM_TYPE_DP_ROM : INTEGER := 4; --*************************************************************** -- memory configuration constant functions --*************************************************************** --get_single_port ----------------- FUNCTION get_single_port(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_RAM OR mem_type=MEM_TYPE_SP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_single_port; --get_is_rom -------------- FUNCTION get_is_rom(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_ROM OR mem_type=MEM_TYPE_DP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_is_rom; --get_has_a_write ------------------ FUNCTION get_has_a_write(IS_ROM : INTEGER) RETURN INTEGER IS BEGIN IF (IS_ROM=0) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_a_write; --get_has_b_write ------------------ FUNCTION get_has_b_write(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_TDP_RAM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_write; --get_has_a_read ------------------ FUNCTION get_has_a_read(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SDP_RAM) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_a_read; --get_has_b_read ------------------ FUNCTION get_has_b_read(SINGLE_PORT : INTEGER) RETURN INTEGER IS BEGIN IF (SINGLE_PORT=1) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_b_read; --get_has_b_port ------------------ FUNCTION get_has_b_port(HAS_B_READ : INTEGER; HAS_B_WRITE : INTEGER) RETURN INTEGER IS BEGIN IF (HAS_B_READ=1 OR HAS_B_WRITE=1) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_port; --get_num_output_stages ----------------------- FUNCTION get_num_output_stages(has_mem_output_regs : INTEGER; has_mux_output_regs : INTEGER; mux_pipeline_stages : INTEGER) RETURN INTEGER IS VARIABLE actual_mux_pipeline_stages : INTEGER; BEGIN -- Mux pipeline stages can be non-zero only when there is a mux -- output register. IF (has_mux_output_regs=1) THEN actual_mux_pipeline_stages := mux_pipeline_stages; ELSE actual_mux_pipeline_stages := 0; END IF; RETURN has_mem_output_regs+actual_mux_pipeline_stages+has_mux_output_regs; END get_num_output_stages; --*************************************************************************** -- Component declaration of the VARIABLE depth output register stage --*************************************************************************** COMPONENT blk_mem_gen_v8_3_1_output_stage GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; C_EN_ECC_PIPE : INTEGER := 0; FLOP_DELAY : TIME := 100 ps); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; REGCE : IN STD_LOGIC; EN : IN STD_LOGIC; DIN_I : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN_I : IN STD_LOGIC; DBITERR_IN_I : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN_I : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); ECCPIPECE : IN STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_3_1_output_stage; COMPONENT blk_mem_gen_v8_3_1_softecc_output_reg_stage GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_3_1_softecc_output_reg_stage; --****************************************************** -- locally derived constants to assist memory access --****************************************************** CONSTANT WRITE_WIDTH_RATIO_A : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_A : INTEGER := C_READ_WIDTH_A/MIN_WIDTH; CONSTANT WRITE_WIDTH_RATIO_B : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_B : INTEGER := C_READ_WIDTH_B/MIN_WIDTH; --****************************************************** -- To modify the LSBs of the 'wider' data to the actual -- address value --****************************************************** CONSTANT WRITE_ADDR_A_DIV : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH_A; CONSTANT READ_ADDR_A_DIV : INTEGER := C_READ_WIDTH_A/MIN_WIDTH_A; CONSTANT WRITE_ADDR_B_DIV : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH_B; CONSTANT READ_ADDR_B_DIV : INTEGER := C_READ_WIDTH_B/MIN_WIDTH_B; --****************************************************** -- FUNCTION : log2roundup --****************************************************** FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --****************************************************** -- Other constants and signals --****************************************************** CONSTANT COLL_DELAY : TIME := 100 ps; -- default data vector CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_DEFAULT_DATA, C_WRITE_WIDTH_A); CONSTANT CHKBIT_WIDTH : INTEGER := if_then_else(C_WRITE_WIDTH_A>57,8,if_then_else(C_WRITE_WIDTH_A>26,7,if_then_else(C_WRITE_WIDTH_A>11,6,if_then_else(C_WRITE_WIDTH_A>4,5,if_then_else(C_WRITE_WIDTH_A<5,4,0))))); -- the init memory SIGNAL SIGNAL memory_i : mem_array; SIGNAL doublebit_error_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0); SIGNAL current_contents_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); -- write mode constants CONSTANT WRITE_MODE_A : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_A); CONSTANT WRITE_MODE_B : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_B); CONSTANT WRITE_MODES : STD_LOGIC_VECTOR(3 DOWNTO 0) := WRITE_MODE_A & WRITE_MODE_B; -- reset values CONSTANT INITA_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITA_VAL, C_READ_WIDTH_A); CONSTANT INITB_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITB_VAL, C_READ_WIDTH_B); -- memory output 'latches' SIGNAL memory_out_a : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := INITA_VAL; SIGNAL memory_out_b : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := INITB_VAL; SIGNAL sbiterr_in : STD_LOGIC := '0'; SIGNAL sbiterr_sdp : STD_LOGIC := '0'; SIGNAL dbiterr_in : STD_LOGIC := '0'; SIGNAL dbiterr_sdp : STD_LOGIC := '0'; SIGNAL rdaddrecc_in : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_sdp : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL doutb_i : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i : STD_LOGIC := '0'; SIGNAL dbiterr_i : STD_LOGIC := '0'; -- memory configuration constants ----------------------------------------------- CONSTANT SINGLE_PORT : INTEGER := get_single_port(C_MEM_TYPE); CONSTANT IS_ROM : INTEGER := get_is_rom(C_MEM_TYPE); CONSTANT HAS_A_WRITE : INTEGER := get_has_a_write(IS_ROM); CONSTANT HAS_B_WRITE : INTEGER := get_has_b_write(C_MEM_TYPE); CONSTANT HAS_A_READ : INTEGER := get_has_a_read(C_MEM_TYPE); CONSTANT HAS_B_READ : INTEGER := get_has_b_read(SINGLE_PORT); CONSTANT HAS_B_PORT : INTEGER := get_has_b_port(HAS_B_READ, HAS_B_WRITE); CONSTANT NUM_OUTPUT_STAGES_A : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_A, C_MUX_PIPELINE_STAGES); CONSTANT NUM_OUTPUT_STAGES_B : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES); CONSTANT WEA0 : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); CONSTANT WEB0 : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ----------------------------------------------------------------------------- -- DEBUG CONTROL -- DEBUG=0 : Debug output OFF -- DEBUG=1 : Some debug info printed ----------------------------------------------------------------------------- CONSTANT DEBUG : INTEGER := 0; -- internal signals ----------------------------------------------- SIGNAL ena_i : STD_LOGIC; SIGNAL enb_i : STD_LOGIC; SIGNAL reseta_i : STD_LOGIC; SIGNAL resetb_i : STD_LOGIC; SIGNAL wea_i : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL web_i : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL rea_i : STD_LOGIC; SIGNAL reb_i : STD_LOGIC; SIGNAL message_complete : BOOLEAN := false; SIGNAL rsta_outp_stage : STD_LOGIC := '0'; SIGNAL rstb_outp_stage : STD_LOGIC := '0'; --********************************************************* --FUNCTION : Collision check --********************************************************* FUNCTION collision_check (addr_a : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); iswrite_a : BOOLEAN; addr_b : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); iswrite_b : BOOLEAN) RETURN BOOLEAN IS VARIABLE c_aw_bw : INTEGER; VARIABLE c_aw_br : INTEGER; VARIABLE c_ar_bw : INTEGER; VARIABLE write_addr_a_width : INTEGER; VARIABLE read_addr_a_width : INTEGER; VARIABLE write_addr_b_width : INTEGER; VARIABLE read_addr_b_width : INTEGER; BEGIN c_aw_bw := 0; c_aw_br := 0; c_ar_bw := 0; -- Determine the effective address widths FOR each of the 4 ports write_addr_a_width := C_ADDRA_WIDTH-log2roundup(WRITE_ADDR_A_DIV); read_addr_a_width := C_ADDRA_WIDTH-log2roundup(READ_ADDR_A_DIV); write_addr_b_width := C_ADDRB_WIDTH-log2roundup(WRITE_ADDR_B_DIV); read_addr_b_width := C_ADDRB_WIDTH-log2roundup(READ_ADDR_B_DIV); --Look FOR a write-write collision. In order FOR a write-write --collision to exist, both ports must have a write transaction. IF (iswrite_a AND iswrite_b) THEN IF (write_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; END IF; --width END IF; --iswrite_a and iswrite_b --If the B port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_a) THEN IF (write_addr_a_width > read_addr_b_width) THEN --read_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_b_width --Once both are scaled to read_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_b_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; END IF; --width END IF; --iswrite_a --If the A port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_b) THEN IF (read_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; ELSE --read_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_a_width --Once both are scaled to read_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_a_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; END IF; --width END IF; --iswrite_b RETURN (c_aw_bw=1 OR c_aw_br=1 OR c_ar_bw=1); END FUNCTION collision_check; BEGIN -- Architecture ----------------------------------------------------------------------------- -- SOFTECC and ECC SBITERR/DBITERR Outputs -- The ECC Behavior is modeled by the behavioral models only for Virtex-6. -- The SOFTECC Behavior is modeled by the behavioral models for Spartan-6. -- For Virtex-5, these outputs will be tied to 0. ----------------------------------------------------------------------------- SBITERR <= sbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_sdp WHEN (((C_FAMILY="virtex7") AND C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); ----------------------------------------------- -- This effectively wires off optional inputs ----------------------------------------------- ena_i <= ENA WHEN (C_HAS_ENA=1) ELSE '1'; enb_i <= ENB WHEN (C_HAS_ENB=1 AND HAS_B_PORT=1) ELSE '1'; -- We are doing an "AND" operation of WEA and ENA and passing to Enbale pin of BRAM when built-in ECC is enabled, -- what this means is that the write operation happens only when both WEA and ENA are high. wea_i <= WEA WHEN (HAS_A_WRITE=1 AND ena_i='1') ELSE WEA0; -- wea_i <= (OTHERS => '1') WHEN (HAS_A_WRITE=1 AND C_MEM_TYPE = 1 AND C_USE_ECC = 1 AND C_HAS_ENA=1 AND ENA = '1') ELSE -- Use_ENA_pin -- WEA WHEN (HAS_A_WRITE=1 AND C_MEM_TYPE = 1 AND C_USE_ECC = 1 AND C_HAS_ENA=0) ELSE -- Always_enabled -- WEA WHEN (HAS_A_WRITE=1 AND ena_i='1' AND C_USE_ECC = 0) ELSE -- WEA0; web_i <= WEB WHEN (HAS_B_WRITE=1 AND enb_i='1') ELSE WEB0; rea_i <= ena_i WHEN (HAS_A_READ=1) ELSE '0'; reb_i <= enb_i WHEN (HAS_B_READ=1) ELSE '0'; -- these signals reset the memory latches -- For the special reset behaviors in some of the families, the C_RSTRAM -- attribute of the corresponding port is used to indicate if the latch is -- reset or not. reseta_i <= RSTA WHEN ((C_HAS_RSTA=1 AND NUM_OUTPUT_STAGES_A=0) OR (C_HAS_RSTA=1 AND C_RSTRAM_A=1)) ELSE '0'; resetb_i <= RSTB WHEN ((C_HAS_RSTB=1 AND NUM_OUTPUT_STAGES_B=0) OR (C_HAS_RSTB=1 AND C_RSTRAM_B=1) ) ELSE '0'; --*************************************************************************** -- This is the main PROCESS which includes the memory VARIABLE and the read -- and write procedures. It also schedules read and write operations --*************************************************************************** PROCESS (CLKA, CLKB,rea_i,reb_i,reseta_i,resetb_i) -- Initialize the init memory array ------------------------------------ VARIABLE memory : mem_array := init_memory(DEFAULT_DATA, C_WRITE_WIDTH_A, MAX_DEPTH, MIN_WIDTH); -- Initialize the mem memory array ------------------------------------ VARIABLE softecc_sbiterr_arr : softecc_err_array; VARIABLE softecc_dbiterr_arr : softecc_err_array; VARIABLE sbiterr_arr : ecc_err_array; VARIABLE dbiterr_arr : ecc_err_array; CONSTANT doublebit_lsb : STD_LOGIC_VECTOR (1 DOWNTO 0):="11"; CONSTANT doublebit_msb : STD_LOGIC_VECTOR (C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 DOWNTO 0):= (OTHERS => '0'); VARIABLE doublebit_error : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0) := doublebit_msb & doublebit_lsb ; VARIABLE current_contents_var : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); --*********************************** -- procedures to access the memory --*********************************** -- write_a ---------- PROCEDURE write_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); inj_sbiterr : IN STD_LOGIC; inj_dbiterr : IN STD_LOGIC) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; VARIABLE message : LINE; VARIABLE errbit_current_contents : STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN -- Block Memory Generator non-cycle-accurate message ASSERT (message_complete) REPORT "Block Memory Generator module is using a behavioral model FOR simulation which will not precisely model memory collision behavior." SEVERITY NOTE; message_complete <= true; -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_A_DIV); IF (address_i >= C_WRITE_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range FOR A Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEA = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_A + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEA_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Insert double bit errors: IF (C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN current_contents(0) := NOT(current_contents(0)); current_contents(1) := NOT(current_contents(1)); --current_contents(0) := NOT(current_contents(30)); --current_contents(1) := NOT(current_contents(62)); END IF; END IF; -- Insert double bit errors: IF (C_USE_SOFTECC=1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 downto 2) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 downto 0); doublebit_error(0) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1); doublebit_error(1) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-2); current_contents := current_contents XOR doublebit_error(C_WRITE_WIDTH_A-1 DOWNTO 0); END IF; END IF; IF(DEBUG=1) THEN current_contents_var := current_contents; --for debugging current END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_A + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; -- Store address at which error is injected: IF ((C_FAMILY = "virtex7") AND C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN sbiterr_arr(address_i) := '1'; ELSE sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN dbiterr_arr(address_i) := '1'; ELSE dbiterr_arr(address_i) := '0'; END IF; END IF; -- Store address at which softecc error is injected: IF (C_USE_SOFTECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN softecc_sbiterr_arr(address_i) := '1'; ELSE softecc_sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN softecc_dbiterr_arr(address_i) := '1'; ELSE softecc_dbiterr_arr(address_i) := '0'; END IF; END IF; END IF; END PROCEDURE; -- write_b ---------- PROCEDURE write_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_B_DIV); IF (address_i >= C_WRITE_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEB = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_B + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEB_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_B + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; END IF; END PROCEDURE; -- read_a ---------- PROCEDURE read_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_A_DIV); IF (address_i >= C_READ_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for A Read" SEVERITY WARNING; END IF; memory_out_a <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_A-1 LOOP memory_out_a(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_A + i) AFTER FLOP_DELAY; END LOOP; END IF; END IF; END PROCEDURE; -- read_b ---------- PROCEDURE read_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_B_DIV); IF (address_i >= C_READ_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Read" SEVERITY WARNING; END IF; memory_out_b <= (OTHERS => 'X') AFTER FLOP_DELAY; sbiterr_in <= 'X' AFTER FLOP_DELAY; dbiterr_in <= 'X' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_B-1 LOOP memory_out_b(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_B + i) AFTER FLOP_DELAY; END LOOP; --assert sbiterr and dbiterr signals IF ((C_FAMILY="virtex7") AND C_USE_ECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; --assert softecc sbiterr and dbiterr signals ELSIF (C_USE_SOFTECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (softecc_sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (softecc_dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; END IF; END IF; END IF; END PROCEDURE; -- reset_a ---------- PROCEDURE reset_a (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; -- reset_b ---------- PROCEDURE reset_b (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; BEGIN -- begin the main PROCESS --*************************************************************************** -- These are the main blocks which schedule read and write operations -- Note that the reset priority feature at the latch stage is only supported -- for Spartan-6. For other families, the default priority at the latch stage -- is "CE" --*************************************************************************** -- Synchronous clocks: schedule port operations with respect to both -- write operating modes IF (C_COMMON_CLK=1) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODES IS WHEN "0000" => -- write_first write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "0100" => -- read_first write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "0001" => -- write_first read_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0101" => --read_first read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0010" => -- write_first no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0110" => -- read_first no_change --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1000" => -- no_change write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "1001" => -- no_change read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1010" => -- no_change no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Synchronous clocks -- Asynchronous clocks: port operation is independent IF (C_COMMON_CLK=0) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODE_A IS WHEN "00" => -- write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; WHEN "01" => -- read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "10" => -- no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; IF (CLKB='1' AND CLKB'EVENT) THEN CASE WRITE_MODE_B IS WHEN "00" => -- write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "01" => -- read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "10" => -- no_change --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Asynchronous clocks -- Assign the memory VARIABLE to the user_visible memory_i SIGNAL IF(DEBUG=1) THEN memory_i <= memory; doublebit_error_i <= doublebit_error; current_contents_i <= current_contents_var; END IF; END PROCESS; --******************************************************************** -- Instantiate the VARIABLE depth output stage --******************************************************************** -- Port A rsta_outp_stage <= RSTA and not sleep; rstb_outp_stage <= RSTB and not sleep; reg_a : blk_mem_gen_v8_3_1_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => "SYNC", C_HAS_RST => C_HAS_RSTA, C_RSTRAM => C_RSTRAM_A, C_RST_PRIORITY => C_RST_PRIORITY_A, init_val => INITA_VAL, C_HAS_EN => C_HAS_ENA, C_HAS_REGCE => C_HAS_REGCEA, C_DATA_WIDTH => C_READ_WIDTH_A, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_A, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_A, C_EN_ECC_PIPE => C_EN_ECC_PIPE, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKA, RST => rsta_outp_stage, --RSTA, EN => ENA, REGCE => REGCEA, DIN_I => memory_out_a, DOUT => DOUTA, SBITERR_IN_I => '0', DBITERR_IN_I => '0', SBITERR => OPEN, DBITERR => OPEN, RDADDRECC_IN_I => (OTHERS => '0'), ECCPIPECE => '0', RDADDRECC => OPEN ); -- Port B reg_b : blk_mem_gen_v8_3_1_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => "SYNC", C_HAS_RST => C_HAS_RSTB, C_RSTRAM => C_RSTRAM_B, C_RST_PRIORITY => C_RST_PRIORITY_B, init_val => INITB_VAL, C_HAS_EN => C_HAS_ENB, C_HAS_REGCE => C_HAS_REGCEB, C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_B, C_EN_ECC_PIPE => C_EN_ECC_PIPE, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKB, RST => rstb_outp_stage,--RSTB, EN => ENB, REGCE => REGCEB, DIN_I => memory_out_b, DOUT => doutb_i, SBITERR_IN_I => sbiterr_in, DBITERR_IN_I => dbiterr_in, SBITERR => sbiterr_i, DBITERR => dbiterr_i, RDADDRECC_IN_I => rdaddrecc_in, ECCPIPECE => ECCPIPECE, RDADDRECC => rdaddrecc_i ); --******************************************************************** -- Instantiate the input / Output Register stages --******************************************************************** output_reg_stage: blk_mem_gen_v8_3_1_softecc_output_reg_stage GENERIC MAP( C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, FLOP_DELAY => FLOP_DELAY ) PORT MAP( CLK => CLKB, DIN => doutb_i, DOUT => DOUTB, SBITERR_IN => sbiterr_i, DBITERR_IN => dbiterr_i, SBITERR => sbiterr_sdp, DBITERR => dbiterr_sdp, RDADDRECC_IN => rdaddrecc_i, RDADDRECC => rdaddrecc_sdp ); --********************************* -- Synchronous collision checks --********************************* sync_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=1) GENERATE PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; -- collision detect VARIABLE is_collision : BOOLEAN; VARIABLE message : LINE; BEGIN IF (CLKA='1' AND CLKA'EVENT) THEN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision := false; END IF; -- If the write port is in READ_FIRST mode, there is no collision IF (C_WRITE_MODE_A="READ_FIRST" AND wea_i/=WEA0 AND web_i=WEB0) THEN is_collision := false; END IF; IF (C_WRITE_MODE_B="READ_FIRST" AND web_i/=WEB0 AND wea_i=WEA0) THEN is_collision := false; END IF; -- Only flag if one of the accesses is a write IF (is_collision AND (wea_i/=WEA0 OR web_i/=WEB0)) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END IF; END PROCESS; END GENERATE; --********************************* -- Asynchronous collision checks --********************************* async_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=0) GENERATE SIGNAL addra_delay : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL wea_delay : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL ena_delay : STD_LOGIC; SIGNAL addrb_delay : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); SIGNAL web_delay : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL enb_delay : STD_LOGIC; BEGIN -- Delay A and B addresses in order to mimic setup/hold times PROCESS (ADDRA, wea_i, ena_i, ADDRB, web_i, enb_i) BEGIN addra_delay <= ADDRA AFTER COLL_DELAY; wea_delay <= wea_i AFTER COLL_DELAY; ena_delay <= ena_i AFTER COLL_DELAY; addrb_delay <= ADDRB AFTER COLL_DELAY; web_delay <= web_i AFTER COLL_DELAY; enb_delay <= enb_i AFTER COLL_DELAY; END PROCESS; -- Do the checks w/rt A PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_a : BOOLEAN; VARIABLE is_collision_delay_a : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_a := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_a := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_delay_a := collision_check(ADDRA, wea_i/=WEA0, addrb_delay, web_delay/=WEB0); ELSE is_collision_delay_a := false; END IF; -- Only flag if B access is a write IF (is_collision_a AND web_i/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_a AND web_delay/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, addrb_delay); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; -- Do the checks w/rt B PROCESS (CLKB) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_b : BOOLEAN; VARIABLE is_collision_delay_b : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA) /= 'X') THEN is_collision_b := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_b := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(addra_delay) /= 'X') THEN is_collision_delay_b := collision_check(addra_delay, wea_delay/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_delay_b := false; END IF; -- Only flag if A access is a write -- Modified condition checking (is_collision_b AND WEA0_i=/WEA0) to fix CR526228 IF (is_collision_b AND wea_i/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_b AND wea_delay/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, addra_delay); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; END GENERATE; END mem_module_behavioral; --****************************************************************************** -- Top module that wraps SoftECC Input register stage and the main memory module -- -- This module is the top-level of behavioral model --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_gen_v8_3_1 IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_3_1"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_ELABORATION_DIR : STRING := ""; C_INTERFACE_TYPE : INTEGER := 0; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_CTRL_ECC_ALGO : STRING := "NONE"; C_AXI_TYPE : INTEGER := 0; C_AXI_SLAVE_TYPE : INTEGER := 0; C_HAS_AXI_ID : INTEGER := 0; C_AXI_ID_WIDTH : INTEGER := 4; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; --C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_EN_ECC_PIPE : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_EN_SLEEP_PIN : INTEGER := 0; C_USE_URAM : integer := 0; C_EN_RDADDRA_CHG : integer := 0; C_EN_RDADDRB_CHG : integer := 0; C_EN_DEEPSLEEP_PIN : integer := 0; C_EN_SHUTDOWN_PIN : integer := 0; C_EN_SAFETY_CKT : integer := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0; C_COUNT_36K_BRAM : string := ""; C_COUNT_18K_BRAM : string := ""; C_EST_POWER_SUMMARY : string := "" ); PORT ( clka : IN STD_LOGIC := '0'; rsta : IN STD_LOGIC := '0'; ena : IN STD_LOGIC := '1'; regcea : IN STD_LOGIC := '1'; wea : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addra : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); dina : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); douta : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); clkb : IN STD_LOGIC := '0'; rstb : IN STD_LOGIC := '0'; enb : IN STD_LOGIC := '1'; regceb : IN STD_LOGIC := '1'; web : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addrb : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); dinb : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); doutb : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); injectsbiterr : IN STD_LOGIC := '0'; injectdbiterr : IN STD_LOGIC := '0'; sbiterr : OUT STD_LOGIC := '0'; dbiterr : OUT STD_LOGIC := '0'; rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); eccpipece : in std_logic := '0'; sleep : in std_logic := '0'; deepsleep : in std_logic := '0'; shutdown : in std_logic := '0'; rsta_busy : out std_logic := '0'; rstb_busy : out std_logic := '0'; -- AXI BMG Input and Output Port Declarations -- AXI Global Signals s_aclk : IN STD_LOGIC := '0'; s_aresetn : IN STD_LOGIC := '0'; -- axi full/lite slave Write (write side) s_axi_awid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid : IN STD_LOGIC := '0'; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast : IN STD_LOGIC := '0'; s_axi_wvalid : IN STD_LOGIC := '0'; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC := '0'; -- axi full/lite slave Read (Write side) s_axi_arid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid : IN STD_LOGIC := '0'; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_rdata : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC := '0'; -- axi full/lite sideband Signals s_axi_injectsbiterr : IN STD_LOGIC := '0'; s_axi_injectdbiterr : IN STD_LOGIC := '0'; s_axi_sbiterr : OUT STD_LOGIC := '0'; s_axi_dbiterr : OUT STD_LOGIC := '0'; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ); END blk_mem_gen_v8_3_1; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE behavioral OF blk_mem_gen_v8_3_1 IS COMPONENT blk_mem_gen_v8_3_1_mem_module GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_3_1"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_EN_ECC_PIPE : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; ECCPIPECE : IN STD_LOGIC; SLEEP : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_3_1_mem_module; COMPONENT blk_mem_axi_regs_fwd_v8_3 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_axi_regs_fwd_v8_3; COMPONENT blk_mem_axi_read_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END COMPONENT blk_mem_axi_read_wrapper_beh; COMPONENT blk_mem_axi_write_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END COMPONENT blk_mem_axi_write_wrapper_beh; CONSTANT FLOP_DELAY : TIME := 100 ps; SIGNAL rsta_in : STD_LOGIC := '1'; SIGNAL ena_in : STD_LOGIC := '1'; SIGNAL regcea_in : STD_LOGIC := '1'; SIGNAL wea_in : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL addra_in : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL dina_in : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL injectsbiterr_in : STD_LOGIC := '0'; SIGNAL injectdbiterr_in : STD_LOGIC := '0'; ----------------------------------------------------------------------------- -- FUNCTION: toLowerCaseChar -- Returns the lower case form of char if char is an upper case letter. -- Otherwise char is returned. ----------------------------------------------------------------------------- FUNCTION toLowerCaseChar( char : character ) RETURN character IS BEGIN -- If char is not an upper case letter then return char IF char<'A' OR char>'Z' THEN RETURN char; END IF; -- Otherwise map char to its corresponding lower case character and -- RETURN that CASE char IS WHEN 'A' => RETURN 'a'; WHEN 'B' => RETURN 'b'; WHEN 'C' => RETURN 'c'; WHEN 'D' => RETURN 'd'; WHEN 'E' => RETURN 'e'; WHEN 'F' => RETURN 'f'; WHEN 'G' => RETURN 'g'; WHEN 'H' => RETURN 'h'; WHEN 'I' => RETURN 'i'; WHEN 'J' => RETURN 'j'; WHEN 'K' => RETURN 'k'; WHEN 'L' => RETURN 'l'; WHEN 'M' => RETURN 'm'; WHEN 'N' => RETURN 'n'; WHEN 'O' => RETURN 'o'; WHEN 'P' => RETURN 'p'; WHEN 'Q' => RETURN 'q'; WHEN 'R' => RETURN 'r'; WHEN 'S' => RETURN 's'; WHEN 'T' => RETURN 't'; WHEN 'U' => RETURN 'u'; WHEN 'V' => RETURN 'v'; WHEN 'W' => RETURN 'w'; WHEN 'X' => RETURN 'x'; WHEN 'Y' => RETURN 'y'; WHEN 'Z' => RETURN 'z'; WHEN OTHERS => RETURN char; END CASE; END toLowerCaseChar; -- Returns true if case insensitive string comparison determines that -- str1 and str2 are equal FUNCTION equalIgnoreCase( str1 : STRING; str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str2'left TO str1'right LOOP IF NOT (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END equalIgnoreCase; ----------------------------------------------------------------------------- -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ---------------------------------------------------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; ---------------------------------------------------------------------------- -- FUNCTION : log2roundup ---------------------------------------------------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; CONSTANT lower_limit : INTEGER := 1; CONSTANT upper_limit : INTEGER := 8; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ----------------------------------------------------------------------------- -- FUNCTION : divroundup -- Returns the ceiling value of the division -- Data_value - the quantity to be divided, dividend -- Divisor - the value to divide the data_value by ----------------------------------------------------------------------------- FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; SIGNAL s_axi_awaddr_out_c : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_araddr_out_c : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_wr_en_c : STD_LOGIC := '0'; SIGNAL s_axi_rd_en_c : STD_LOGIC := '0'; SIGNAL s_aresetn_a_c : STD_LOGIC := '0'; --************************************************************************** -- AXI PARAMETERS CONSTANT AXI_FULL_MEMORY_SLAVE : integer := if_then_else((C_AXI_SLAVE_TYPE = 0 AND C_AXI_TYPE = 1),1,0); CONSTANT C_AXI_ADDR_WIDTH_MSB : integer := C_ADDRA_WIDTH+log2roundup(C_WRITE_WIDTH_A/8); CONSTANT C_AXI_ADDR_WIDTH : integer := C_AXI_ADDR_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT LOWER_BOUND_VAL : integer := if_then_else((log2roundup(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2roundup(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT C_AXI_ADDR_WIDTH_LSB : integer := if_then_else((AXI_FULL_MEMORY_SLAVE = 1),0,LOWER_BOUND_VAL); CONSTANT C_AXI_OS_WR : integer := 2; -- SAFETY LOGIC related Signals SIGNAL RSTA_SHFT_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL POR_A : STD_LOGIC := '0'; SIGNAL RSTB_SHFT_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL POR_B : STD_LOGIC := '0'; SIGNAL ENA_dly : STD_LOGIC := '0'; SIGNAL ENA_dly_D : STD_LOGIC := '0'; SIGNAL ENB_dly : STD_LOGIC := '0'; SIGNAL ENB_dly_D : STD_LOGIC := '0'; SIGNAL RSTA_I_SAFE : STD_LOGIC := '0'; SIGNAL RSTB_I_SAFE : STD_LOGIC := '0'; SIGNAL ENA_I_SAFE : STD_LOGIC := '0'; SIGNAL ENB_I_SAFE : STD_LOGIC := '0'; SIGNAL ram_rstram_a_busy : STD_LOGIC := '0'; SIGNAL ram_rstreg_a_busy : STD_LOGIC := '0'; SIGNAL ram_rstram_b_busy : STD_LOGIC := '0'; SIGNAL ram_rstreg_b_busy : STD_LOGIC := '0'; SIGNAL ENA_dly_reg : STD_LOGIC := '0'; SIGNAL ENB_dly_reg : STD_LOGIC := '0'; SIGNAL ENA_dly_reg_D : STD_LOGIC := '0'; SIGNAL ENB_dly_reg_D : STD_LOGIC := '0'; --************************************************************************** BEGIN -- Architecture --************************************************************************* -- NO INPUT STAGE --************************************************************************* no_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=0) GENERATE rsta_in <= RSTA; ena_in <= ENA; regcea_in <= REGCEA; wea_in <= WEA; addra_in <= ADDRA; dina_in <= DINA; injectsbiterr_in <= INJECTSBITERR; injectdbiterr_in <= INJECTDBITERR; END GENERATE no_input_stage; --************************************************************************** -- WITH INPUT STAGE --************************************************************************** has_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=1) GENERATE PROCESS (CLKA) BEGIN IF (CLKA'EVENT AND CLKA = '1') THEN rsta_in <= RSTA AFTER FLOP_DELAY; ena_in <= ENA AFTER FLOP_DELAY; regcea_in <= REGCEA AFTER FLOP_DELAY; wea_in <= WEA AFTER FLOP_DELAY; addra_in <= ADDRA AFTER FLOP_DELAY; dina_in <= DINA AFTER FLOP_DELAY; injectsbiterr_in <= INJECTSBITERR AFTER FLOP_DELAY; injectdbiterr_in <= INJECTDBITERR AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE has_input_stage; --************************************************************************** -- NO SAFETY LOGIC --************************************************************************** NO_SAFETY_CKT_GEN: IF(C_EN_SAFETY_CKT = 0) GENERATE ENA_I_SAFE <= ena_in; ENB_I_SAFE <= ENB; RSTA_I_SAFE <= rsta_in; RSTB_I_SAFE <= RSTB; END GENERATE NO_SAFETY_CKT_GEN; --************************************************************************** -- SAFETY LOGIC --************************************************************************** SAFETY_CKT_GEN: IF(C_EN_SAFETY_CKT = 1) GENERATE -- RESET SAFETY LOGIC Generation -- POR Generation ------------------------------------------------------------------------------ -- Power-ON Reset Generation ------------------------------------------------------------------------------ RST_SHFT_LOGIC_A : PROCESS(CLKA) BEGIN IF RISING_EDGE(CLKA) THEN RSTA_SHFT_REG(4 DOWNTO 0) <= RSTA_SHFT_REG(3 DOWNTO 0) & '1' AFTER FLOP_DELAY; END IF; END PROCESS RST_SHFT_LOGIC_A; POR_RSTA_GEN : PROCESS(CLKA) BEGIN IF RISING_EDGE(CLKA) THEN POR_A <= RSTA_SHFT_REG(4) xor RSTA_SHFT_REG(0) AFTER FLOP_DELAY; END IF; END PROCESS POR_RSTA_GEN; RST_SHFT_LOGIC_B : PROCESS(CLKB) BEGIN IF RISING_EDGE(CLKB) THEN RSTB_SHFT_REG(4 DOWNTO 0) <= RSTB_SHFT_REG(3 DOWNTO 0) & '1' AFTER FLOP_DELAY; END IF; END PROCESS RST_SHFT_LOGIC_B; POR_RSTB_GEN : PROCESS(CLKB) BEGIN IF RISING_EDGE(CLKB) THEN POR_B <= RSTB_SHFT_REG(4) xor RSTB_SHFT_REG(0) AFTER FLOP_DELAY; END IF; END PROCESS POR_RSTB_GEN; ----------------------------------------------------------------------------- -- Fix for the AR42571 ----------------------------------------------------------------------------- -- Reset Generation ----------------------------------------------------------------------------- RSTA_I_SAFE <= rsta_in OR POR_A; SPRAM_RST: IF ((C_MEM_TYPE = 0) OR (C_MEM_TYPE = 3)) GENERATE BEGIN RSTB_I_SAFE <= '0'; END GENERATE SPRAM_RST; nSPRAM_RST: IF ((C_MEM_TYPE /= 0) AND (C_MEM_TYPE /= 3)) GENERATE BEGIN RSTB_I_SAFE <= RSTB OR POR_B; END GENERATE nSPRAM_RST; ----------------------------------------------------------------------------- -- RSTA/B_BUSY Generation ----------------------------------------------------------------------------- RSTA_BUSY_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=0 OR (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=1)) GENERATE BEGIN ram_rstram_a_busy <= rsta_in OR ENA_dly OR ENA_dly_D; PROC_RSTA_BUSY_GEN : PROCESS(CLKA) BEGIN IF RISING_EDGE (CLKA) THEN RSTA_BUSY <= ram_rstram_a_busy AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE RSTA_BUSY_NO_REG; RSTA_BUSY_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=0) GENERATE BEGIN ram_rstreg_a_busy <= rsta_in OR ENA_dly OR ENA_dly_reg_D; PROC_RSTA_BUSY_GEN : PROCESS(CLKA) BEGIN IF RISING_EDGE (CLKA) THEN RSTA_BUSY <= ram_rstreg_a_busy AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE RSTA_BUSY_WITH_REG; SPRAM_RST_BUSY: IF ((C_MEM_TYPE = 0) OR (C_MEM_TYPE = 3)) GENERATE BEGIN RSTB_BUSY <= '0'; END GENERATE SPRAM_RST_BUSY; nSPRAM_RST_BUSY: IF ((C_MEM_TYPE /= 0) AND (C_MEM_TYPE /= 3)) GENERATE BEGIN RSTB_BUSY_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=0 OR (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=1)) GENERATE BEGIN ram_rstram_b_busy <= RSTB OR ENB_dly OR ENB_dly_D; PROC_RSTB_BUSY_GEN : PROCESS(CLKB) BEGIN IF RISING_EDGE (CLKB) THEN RSTB_BUSY <= ram_rstram_b_busy AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE RSTB_BUSY_NO_REG; RSTB_BUSY_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=0) GENERATE BEGIN ram_rstreg_b_busy <= RSTB OR ENB_dly OR ENB_dly_reg_D; PROC_RSTB_BUSY_GEN : PROCESS(CLKB) BEGIN IF RISING_EDGE (CLKB) THEN RSTB_BUSY <= ram_rstreg_b_busy AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE RSTB_BUSY_WITH_REG; END GENERATE nSPRAM_RST_BUSY; ----------------------------------------------------------------------------- -- ENA/ENB Generation ----------------------------------------------------------------------------- ENA_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=0 OR (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=1)) GENERATE BEGIN PROC_ENA_GEN : PROCESS(CLKA) BEGIN IF RISING_EDGE (CLKA) THEN ENA_dly <= rsta_in AFTER FLOP_DELAY; ENA_dly_D <= ENA_dly AFTER FLOP_DELAY; END IF; END PROCESS; ENA_I_SAFE <= ENA_dly_D OR ena_in; END GENERATE ENA_NO_REG; ENA_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_A=1 AND C_RSTRAM_A=0) GENERATE BEGIN PROC_ENA_GEN : PROCESS(CLKA) BEGIN IF RISING_EDGE (CLKA) THEN ENA_dly_reg <= rsta_in AFTER FLOP_DELAY; ENA_dly_reg_D <= ENA_dly_reg AFTER FLOP_DELAY; END IF; END PROCESS; ENA_I_SAFE <= ENA_dly_reg_D OR ena_in; END GENERATE ENA_WITH_REG; SPRAM_ENB: IF ((C_MEM_TYPE = 0) OR (C_MEM_TYPE = 3)) GENERATE BEGIN ENB_I_SAFE <= '0'; END GENERATE SPRAM_ENB; nSPRAM_ENB: IF ((C_MEM_TYPE /= 0) AND (C_MEM_TYPE /= 3)) GENERATE BEGIN ENB_NO_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=0 OR (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=1)) GENERATE BEGIN PROC_ENB_GEN : PROCESS(CLKB) BEGIN IF RISING_EDGE (CLKB) THEN ENB_dly <= RSTB AFTER FLOP_DELAY; ENB_dly_D <= ENB_dly AFTER FLOP_DELAY; END IF; END PROCESS; ENB_I_SAFE <= ENB_dly_D OR ENB; END GENERATE ENB_NO_REG; ENB_WITH_REG: IF (C_HAS_MEM_OUTPUT_REGS_B=1 AND C_RSTRAM_B=0) GENERATE BEGIN PROC_ENB_GEN : PROCESS(CLKB) BEGIN IF RISING_EDGE (CLKB) THEN ENB_dly_reg <= RSTB AFTER FLOP_DELAY; ENB_dly_reg_D <= ENB_dly_reg AFTER FLOP_DELAY; END IF; END PROCESS; ENB_I_SAFE <= ENB_dly_reg_D OR ENB; END GENERATE ENB_WITH_REG; END GENERATE nSPRAM_ENB; END GENERATE SAFETY_CKT_GEN; --************************************************************************** -- NATIVE MEMORY MODULE INSTANCE --************************************************************************** native_mem_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 0) GENERATE mem_module: blk_mem_gen_v8_3_1_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"KINTEXUPLUS"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQUPLUS"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEXUPLUS"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEXU"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEXU"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => "SYNC", C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_EN_ECC_PIPE => C_EN_ECC_PIPE, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => RSTA_I_SAFE,--rsta_in, ENA => ENA_I_SAFE,--ena_in, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in, DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB_I_SAFE, ENB => ENB_I_SAFE, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB, DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, ECCPIPECE => ECCPIPECE, SLEEP => SLEEP, RDADDRECC => RDADDRECC ); END GENERATE native_mem_module; --************************************************************************** -- NATIVE MEMORY MAPPED MODULE INSTANCE --************************************************************************** native_mem_map_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 1) GENERATE --************************************************************************** -- NATIVE MEMORY MAPPED PARAMETERS CONSTANT C_ADDRA_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_A); CONSTANT C_ADDRB_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_B); CONSTANT C_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_A/8); CONSTANT C_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_B/8); CONSTANT C_MEM_MAP_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_MSB; CONSTANT C_MEM_MAP_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT MEM_MAP_LOWER_BOUND_VAL_A : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT MEM_MAP_LOWER_BOUND_VAL_B : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_B,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_B,8))); CONSTANT C_MEM_MAP_ADDRA_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_A; CONSTANT C_MEM_MAP_ADDRB_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_B; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH_ACTUAL-1 DOWNTO 0) := (OTHERS => '0'); --************************************************************************** BEGIN RDADDRECC(C_ADDRB_WIDTH-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_MSB) <= (OTHERS => '0'); RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB) <= rdaddrecc_i; RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_LSB-1 DOWNTO 0) <= (OTHERS => '0'); mem_map_module: blk_mem_gen_v8_3_1_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => "SYNC", C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH_ACTUAL, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH_ACTUAL, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_EN_ECC_PIPE => C_EN_ECC_PIPE, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => RSTA_I_SAFE, ENA => ENA_I_SAFE, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in(C_MEM_MAP_ADDRA_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRA_WIDTH_LSB), DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB_I_SAFE, ENB => ENB_I_SAFE, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB), DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, ECCPIPECE => ECCPIPECE, SLEEP => SLEEP, RDADDRECC => rdaddrecc_i ); END GENERATE native_mem_map_module; --**************************************************************************** -- AXI MEMORY MODULE INSTANCE --**************************************************************************** axi_mem_module: IF (C_INTERFACE_TYPE = 1) GENERATE SIGNAL s_axi_rid_c : STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rdata_c : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rresp_c : STD_LOGIC_VECTOR(2-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rlast_c : STD_LOGIC := '0'; SIGNAL s_axi_rvalid_c : STD_LOGIC := '0'; SIGNAL s_axi_rready_c : STD_LOGIC := '0'; SIGNAL regceb_c : STD_LOGIC := '0'; BEGIN s_aresetn_a_c <= NOT S_ARESETN; S_AXI_BRESP <= (OTHERS => '0'); s_axi_rresp_c <= (OTHERS => '0'); no_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 0 AND C_HAS_MUX_OUTPUT_REGS_B = 0 ) GENERATE S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RLAST <= s_axi_rlast_c; S_AXI_RVALID <= s_axi_rvalid_c; S_AXI_RID <= s_axi_rid_c; S_AXI_RRESP <= s_axi_rresp_c; s_axi_rready_c <= S_AXI_RREADY; END GENERATE no_regs; has_regs_fwd: IF (C_HAS_MUX_OUTPUT_REGS_B = 1 OR C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE CONSTANT C_AXI_PAYLOAD : INTEGER := if_then_else((C_HAS_MUX_OUTPUT_REGS_B = 1),C_WRITE_WIDTH_B+C_AXI_ID_WIDTH+3,C_AXI_ID_WIDTH+3); SIGNAL s_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL m_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); BEGIN has_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE regceb_c <= s_axi_rvalid_c AND s_axi_rready_c; END GENERATE has_regceb; no_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 0) GENERATE regceb_c <= REGCEB; END GENERATE no_regceb; only_core_op_regs: IF (C_HAS_MUX_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rdata_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RDATA <= m_axi_payload_c(C_AXI_PAYLOAD-C_AXI_ID_WIDTH-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH-C_WRITE_WIDTH_B); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_core_op_regs; only_emb_op_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_emb_op_regs; axi_regs_inst : blk_mem_axi_regs_fwd_v8_3 GENERIC MAP( C_DATA_WIDTH => C_AXI_PAYLOAD ) PORT MAP ( ACLK => S_ACLK, ARESET => s_aresetn_a_c, S_VALID => s_axi_rvalid_c, S_READY => s_axi_rready_c, S_PAYLOAD_DATA => s_axi_payload_c, M_VALID => S_AXI_RVALID, M_READY => S_AXI_RREADY, M_PAYLOAD_DATA => m_axi_payload_c ); END GENERATE has_regs_fwd; axi_wr_fsm : blk_mem_axi_write_wrapper_beh GENERIC MAP( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_AXI_AWADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_WDATA_WIDTH => C_WRITE_WIDTH_A, C_AXI_OS_WR => C_AXI_OS_WR ) PORT MAP( -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Slave Write Interface S_AXI_AWADDR => S_AXI_AWADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_AWLEN => S_AXI_AWLEN, S_AXI_AWID => S_AXI_AWID, S_AXI_AWSIZE => S_AXI_AWSIZE, S_AXI_AWBURST => S_AXI_AWBURST, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BVALID => S_AXI_BVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_BID => S_AXI_BID, -- Signals for BRAM interface S_AXI_AWADDR_OUT =>s_axi_awaddr_out_c, S_AXI_WR_EN =>s_axi_wr_en_c ); mem_module: blk_mem_gen_v8_3_1_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => "SYNC", C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => 1, -- For AXI, Read Enable is always C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => 1, -- For AXI C_USE_BYTE_WEA is always 1, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => 1, -- For AXI, Read Enable is always C_HAS_ENB, C_HAS_REGCEB => C_HAS_MEM_OUTPUT_REGS_B, C_USE_BYTE_WEB => 1, -- For AXI C_USE_BYTE_WEB is always 1, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => 0, --For AXI, Primitive Registers A is not supported C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_EN_ECC_PIPE => 0, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( --Port A: CLKA => S_AClk, RSTA => s_aresetn_a_c, ENA => s_axi_wr_en_c, REGCEA => regcea_in, WEA => S_AXI_WSTRB, ADDRA => s_axi_awaddr_out_c, DINA => S_AXI_WDATA, DOUTA => DOUTA, --Port B: CLKB => S_AClk, RSTB => s_aresetn_a_c, ENB => s_axi_rd_en_c, REGCEB => regceb_c, WEB => (OTHERS => '0'), ADDRB => s_axi_araddr_out_c, DINB => DINB, DOUTB => s_axi_rdata_c, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, ECCPIPECE => '0', SLEEP => '0', RDADDRECC => RDADDRECC ); axi_rd_sm : blk_mem_axi_read_wrapper_beh GENERIC MAP ( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_PIPELINE_STAGES => 1, C_AXI_ARADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( -- AXI Global Signals S_ACLK => S_AClk, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Read Side S_AXI_ARADDR => S_AXI_ARADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARSIZE => S_AXI_ARSIZE, S_AXI_ARBURST => S_AXI_ARBURST, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => s_axi_rlast_c, S_AXI_RVALID => s_axi_rvalid_c, S_AXI_RREADY => s_axi_rready_c, S_AXI_ARID => S_AXI_ARID, S_AXI_RID => s_axi_rid_c, -- AXI Full/Lite Read FSM Outputs S_AXI_ARADDR_OUT => s_axi_araddr_out_c, S_AXI_RD_EN => s_axi_rd_en_c ); END GENERATE axi_mem_module; END behavioral; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_clr is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_clr; architecture beh_ff_clr_arch of beh_ff_clr is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(CLR, C) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then q_o <= D after 100 ps; end if; end process; end beh_ff_clr_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_ce is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_ce; architecture beh_ff_ce_arch of beh_ff_ce is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, CLR) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then if (CE = '1') then q_o <= D after 100 ps; end if; end if; end process; end beh_ff_ce_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_pre is generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end beh_ff_pre; architecture beh_ff_pre_arch of beh_ff_pre is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, PRE) begin if (PRE = '1') then q_o <= '1'; elsif (C' event and C = '1') then q_o <= D after 100 ps; end if; end process; end beh_ff_pre_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_muxf7 is port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end beh_muxf7; architecture beh_muxf7_arch of beh_muxf7 is begin VITALBehavior : process (I0, I1, S) begin if (S = '0') then O <= I0; else O <= I1; end if; end process; end beh_muxf7_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity STATE_LOGIC is generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic := '0'; I0 : in std_logic := '0'; I1 : in std_logic := '0'; I2 : in std_logic := '0'; I3 : in std_logic := '0'; I4 : in std_logic := '0'; I5 : in std_logic := '0' ); end STATE_LOGIC; architecture STATE_LOGIC_arch of STATE_LOGIC is constant INIT_reg : std_logic_vector(63 downto 0) := INIT; begin LUT_beh:process (I0, I1, I2, I3, I4, I5) variable I_reg : std_logic_vector(5 downto 0); begin I_reg := I5 & I4 & I3 & I2 & I1 & I0; O <= INIT_reg(conv_integer(I_reg)); end process; end STATE_LOGIC_arch;
mit
dries007/Basys3
FPGA-Z/FPGA-Z.srcs/sources_1/imports/Downloads/ps2_keyboard.vhd
2
4180
-------------------------------------------------------------------------------- -- -- filename: ps2_keyboard.vhd -- dependencies: debounce.vhd -- design software: quartus ii 32-bit version 12.1 build 177 sj full version -- -- hdl code is provided "as is." digi-key expressly disclaims any -- warranty of any kind, whether express or implied, including but not -- limited to, the implied warranties of merchantability, fitness for a -- particular purpose, or non-infringement. in no event shall digi-key -- be liable for any incidental, special, indirect or consequential -- damages, lost profits or lost data, harm to your equipment, cost of -- procurement of substitute goods, technology or services, any claims -- by third parties (including but not limited to any defense thereof), -- any claims for indemnity or contribution, or other similar costs. -- -- version history -- version 1.0 11/25/2013 scott larson -- initial public release -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ps2_keyboard is generic ( clk_freq : integer := 100_000_000 --system clock frequency in hz ); port( clk : in std_logic; --system clock ps2_clk : in std_logic; --clock signal from ps/2 keyboard ps2_data : in std_logic; --data signal from ps/2 keyboard ps2_code_new : out std_logic; --flag that new ps/2 code is available on ps2_code bus ps2_code : out std_logic_vector(7 downto 0)); --code received from ps/2 end ps2_keyboard; architecture logic of ps2_keyboard is signal ps2_clk_int : std_logic; --debounced clock signal from ps/2 keyboard signal ps2_data_int : std_logic; --debounced data signal from ps/2 keyboard signal ps2_word : std_logic_vector(10 downto 0); --stores the ps2 data word signal error : std_logic; --validate parity, start, and stop bits signal count_idle : integer range 0 to clk_freq/18_000; --counter to determine ps/2 is idle begin --synchronizer flip-flops process(clk) begin if(clk'event and clk = '1') then --rising edge of system clock ps2_clk_int <= ps2_clk; --synchronize ps/2 clock signal ps2_data_int <= ps2_data; --synchronize ps/2 data signal end if; end process; --input ps2 data process(ps2_clk_int) begin if(ps2_clk_int'event and ps2_clk_int = '0') then --falling edge of ps2 clock ps2_word <= ps2_data_int & ps2_word(10 downto 1); --shift in ps2 data bit end if; end process; --verify that parity, start, and stop bits are all correct error <= not (not ps2_word(0) and ps2_word(10) and (ps2_word(9) xor ps2_word(8) xor ps2_word(7) xor ps2_word(6) xor ps2_word(5) xor ps2_word(4) xor ps2_word(3) xor ps2_word(2) xor ps2_word(1))); --determine if ps2 port is idle (i.e. last transaction is finished) and output result process(clk) begin if(clk'event and clk = '1') then --rising edge of system clock if(ps2_clk_int = '0') then --low ps2 clock, ps/2 is active count_idle <= 0; --reset idle counter elsif(count_idle /= clk_freq/18_000) then --ps2 clock has been high less than a half clock period (<55us) count_idle <= count_idle + 1; --continue counting end if; if(count_idle = clk_freq/18_000 and error = '0') then --idle threshold reached and no errors detected ps2_code_new <= '1'; --set flag that new ps/2 code is available ps2_code <= ps2_word(8 downto 1); --output new ps/2 code else --ps/2 port active or error detected ps2_code_new <= '0'; --set flag that ps/2 transaction is in progress end if; end if; end process; end logic;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution_OH/impl/verilog/project.srcs/sources_1/ip/convolve_kernel_ap_fmul_3_max_dsp_32/hdl/xbip_pipe_v3_0_vh_rfs.vhd
16
30077
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oLMxQ/1qvrbxYPVC/PwyL5tU2URv40SXzqSl+pPUGjic7gu1Plz+qIQVVmEcX1Ay5s/yRbdt5ZPK wxYr71ldng== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ET2DOXpwLr32NW//eG1vNBNE+bhicUBuYuc//vrFQYAKGYST041fW3S6MmjfstgNZYLMXjSbvxpC hjo+AEE328TRf4A4Zv+GScq8EPTUi97lzpWXixJaJ8JaZJ33/PvQKfMHHXVeynvoFa9IjQFs4z7K NYqM0eajNBll79+gz1U= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BhKOhzxLviF/SJnJL+pQ737ytznHDmJUFEH5mpC0QFysnXntHYAo5hlNwftgdzBHAnTXpGe30vr/ y6OgRKikFfJ8n9gd29it5f3PFV+0p4b/LkzxEnM6mrRz5SMUF8a18eUrVtw7zQrMnpbHh+5gZ/04 lMlqIA2TGAQD2W1xoSY= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UULVT4dVdy85wL02x+7j5fmY2fLnOJwYgBA8WDaWGywtaHkqkaa13ZzEZX+3gXxQ4wKxk4xH6Atg WYlmy8Nm1anokpjcYPYtIxbKS1fJ6hJ5TU+oMLRxzkji9q8kicuaK8cM7puDayq2RTHl/bvituFC rXkwrS8ArIf5qYbTBhoxf/upO4L+zWutcwHFVcTiQB01ok7R57z6+jJHMtko/kKcmQKGWBCUFk5S YlyvQLQYyi4+nft1fnKSnJRdJzQ+gVc9JI7xsqR9ouq5bNjd9AbpJm6sdjq2BgGA55uusSgTGe8M /WUYI6iAV7tk8PYXwTpid4iIwF7hqcKPYl4Ksg== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I3M3k12VwXNmLHRS5YdqmWaOmj3dDbEFGESqzp5j/9kXGYLmODKyJe+mrR60aM+jHkf/JvwS0vZS M1ukjw8Y0xcx7luEROhjgtxyeq5UUTxFDacevkJxU8/Rby8rvETYhXZ9d0Fca8ZTSiF7N1TYzpRR tOAt/35z6Cdk1NYzun8JAj4tH9cZtkJA9MRXQccpg1UZAzeR0faN6ewMLyMziSZgDYmyxDuHibfp WXzvE4s/GBiN8Jy803m3q7EfZmzfgN+vd/c4hvvvCEsS5FF9UuT1eJvCfHd1c4JiI4f/xUXzwbhd oTeFnK/bk7iK/J4UdxKdDVLt02/lOTxkXLCbew== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kki7dLAignqZuhjmBT6W4JD+gUEbcZlmxAVBOP6A8VU3/ogIq2PQI2WytnUGsVkXq84foMBg/XM2 hL+j98SHnYQTl3R6jLCEadeoaNTlUg4GMa6hjQYZmW0E9olOBia+oNRYiRjHlOmX1oWg21PPfVSY Dxn9sWblh239lcJuWArCfFUFub47Yi3C1MHqh4TIcvro3L1pNaD+R2tNcqwb/gdZc4K8+goDCcye L//np3wlkWb4naiLjKngaQTcnBFB7KD5RujfWM/g7RSrKg04nbpM9/R/44wAhPs63CBnPAQnTjO4 EZT4dfE0W3FYnsLJ3X8gLlXr43MmM2DZBeaAbw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20128) `protect data_block xCFU+YhMkVQY4Y5PuINZyM9ygBYw4psUDR2I0ZlQHksNhLOtOSjMfsikrphsFtwtITtlNsWPFa+U Ud4hBKB26uXvtpl2x33SyWE98ZHsuRQOd/unErv1RyX6ihx3t6DA/TppTz6slhyqkvT7TlutKI2R KAZwBgTR2tCa4IQTTzK5yUFwqt6IrePELZz0J0K1H0fiQyO5zp/ha8ehhJ8vaXlEL7Rkcr/5NbtW sOkIbd9FxsEfCmN0DLvH3hfD1xVQpO6dBKNxQVLLksj+ZUl3SJgqRxiUVUxCB/8MkaBevF+4wwUh iq1yXm0dXTsPV25OEn85XgEfqvsW1UFhIYW4Qai2+mOQHcIkSPXJi6uHnsq+wZDZr22R+MjXdHCV JcwvMCFalHb8L7QXg59Hy1WTrl6Z1KReJJKLte8lFdY1ahN53vjKp2S97uzV634RD4MdlW4Sd6Qk Y1MV5+VgZr9KxwKjf689lgujxsClwpYMzb0itAIU1MgEaDOTWVLmIL2+wl2QMqGpMjvv0zKDwUBD ZFZHbL4XsqaShWUUBvnw3kXm/NsAkM5YShEhvc5+bpq3NhFAvtlr3MqvWcV+8nI0Dm2dd6x8NlhZ YZVTI0KElG1IvrUe+epiuRg6ybXBlF9SJ9V7RS4owUOGWzG0xlogrxIAI7ssksSYsXN5G7RGORTY UmcLsfsnaPCvh50gqzitzFvRUGASX50IdvbFRrIRzcchGaOCXk4RrIFdQjwEwHOF8lSJDc4Hzsa8 /E+gkO6nyyR8gkMnDqTqd2H/Fl9+JEy9RZSaPm7bv3XmyjVCQXPPAPYsEnfPe3cDwe07HQEyqJ2j L9P6r0Lj/rJT9DuGBQitt69CaN8k/Ja3VT07fT1IawtpopQmjdkbotWNeYR5k1pLSTz8Kr7Ep1DL sUxEMbE0a+MIxA5kS/opi7+nQblQp+7lZkZzDljG8WJ0lY+TcW5R3O1T0RaLvFmNAc6mnsUk61lB KOyL/9x7Rylgmie0/vNueIxrTSynIUVYV6YEW6bqfBHJDhIWB7sSAs1D6DpJUpu+uS8qEOH3prHc 5qxlqO4VvEKS9wBq0ugw0VDl+jOZiLqJzWm/hAhiptX0okbFBpHYmQ5ivD1WgrysSeKaU+NlA7NF sXGpTvsdJiIrg2XYqI5/lN0mlgfylcwgR4D3xq09h4MPlxgslf0vnB8vb/Yfkt7hsGUahzmFNhMc semrJOULPbEBVK+14NgUvSzWgh8u1qJuuY/ntuG6hGwz9w/+jhfJO8oSM9zqVCIYIN+YXyPsEurP UVE5n2CH9W8pBLWsJBgYorL2djkR7otkQQplKqjlRxTSo+GiuFBU4E10DEmweqsYySwFstub1JsJ KFtdlaB1DOEdkHZKxV8TJEKvSi5ESskAwTRs9XDyDAYZG4tvlNnKRYiiIiKBy/noKLOAbeul7s8l Fh92hq+2DszC0/PFQTbdvrRJ7eNkHl5p+PDNHwef3Yl9lM9w2MM4ILPZmYyGWoQyQue1ltMXatoF QdNF3YPHO8iBhoHuJ4KCImsBYmhC66oZ1ZIKiDM3J18GkGee/hdZnrb9EhuK44TmiLFKF8oeca+n s7LnQqCZ9dvta1h2pEU6YQ9K13N1Ubq2omjmpCLA+nUbvwW3pHY2UbBEUi1NLud195kJos4CI2ul iPQ6Qj86XWr63kDyg9VeQ5iA0jVi646Nr4tvzZgEFwwyqwSKWbZ+hmNdmAhw56XOileHXoT+utQR lVJRx8evBSBsp+GQlAl41b8Bre8F1ouZ0gD3D4F+er85kUvOrt/0ktvQcqThXhvzWflag+3BxUaA sD6b83BHOZG2gxlCLCIR4u0bC+HodO7KIKYp5PZ+4b0p/2Y5MFbEcfag6MYGgjYnUGRK4TQPTuOH 4oJdSbF6EpqOx30vNG89ViT0AdZ057Bo9QjEu02J/37GQrWSgZRE39/j3fSzjXkuCoGvvo/VGHi1 1u27CpMUJrUPEO4Uu8CHAZg/lgvFCD4lxMIuCxQeF6mgNs6L1O1L+tmxO42uZTDhnqqbmeXni5xr nYdf4p5EMvm014dBnOmK/OApMibTuHUfPxbjA4rH+Ma2V9JEIwYESJdrt4ApJ2w1zCe5xhLyYzsa rvpOplhFj0CC6dHCuYMS9vYTMYtrmCouqPuTvw7UMOEbAUX2FncLGaLX0ubWL9jD3GflX+mNGROT q7Z8woOM5gbV+0TSO9AUyqvOXWGCdKVQLz4LTRycS4ij7t1avk2e8StIDV4JUc6cDyUNTGCDvMPN nH5LT7RXD3ZVrS7I6L6yb4RTHGCz0erLehAPZv36UnTkHPSlFfM1VF9y7CUysQsim10SzOQOdJKr 8RSz1JF1vND2g/3g0JflmdGHPr4/GdR4D0VzPqeSOCUEoSXTErQENSsnxC8kgMu8YEmCNQac1YAt CTl7a9Yay7vIc41hE6goOEQ+dM5x/HE6VvWD0xAr2O3b6yLs0B06f+yOM9vCUhU3cMbeHfdrOBqD lfYtdxQgQvLLQklDmNOL+ci0jRr5LlUH5gBqJGxknqL0rOmsn3bg+brBQJLd3TKNWHNQtuygW/1z NquYv2VOxbgyxh1eCGDHaINmWp36QtUK48kV/yfeDfjmBani+3XKTYuWvbY0DL5hqvdHkahYxL8G +VA59+tKPVwo3eoT0tWu2BNIij2WjH8zTKK0Pw3nnue/s+EXHa4wR6Ba2VRgk+UbRI6rHw2TdmzZ oj/6dn/fecGkYA3Myv5ryYyU9WNfQur8pwNOh6Za7s92MGrusjvoCjrSRd3HYUJUX9X3RhVwgQPV ArFianyME/ghlu/hFOwPaAZYfhYSb+S0nksu30rPBXppTEbuOOR2KtiFypzu56skNA/p15Mpmgsi xi7r+7wOpfDhrBbg8Ff2nc0niim6gklvE9vqVPKpBjIPghTgG0WhD3FluROTIjGEy144n8JyXH7O fnt9wrJT8NZaEVasNuD/Si21F3SY8sED1eUvPHceir8YSuakxzZJkUn/0LpS+aS++ruzJEQMLOon E2df40Vb3FcZFKZ2glTcXhs07d2Q/+Uo6sqrOSuC1BN/e/nq3mig6fF0Et3ZlP8PYq42j4vLLEqu 5c8SD7vvyXdQRe9bxXO97kzgg69fMIRvxGPJxl1s8tZ1coySH1RdOkyaebtZrKtGCEj3dR0MYbAV +nvSXm6B9UCHt7trZzNt/kMb1Nu2TkqLC9DfqO4SUJEEdNHRLrR/1hwrkZXJ8TWRuIjsAOHcDBG7 H/QlB+VO9FyIP+BlYspM88t4VKs9kDD3z/+KD1CfrgvLMz+heTsL3TlH1aEttRJO4e3y1uY1ORs5 gXerw9UBbSq1/ZpKpkfa4JyBqEhdVEcwkoliLwJvYIZk8iH2M37B38p3obycIWPhMth17eK/rhfB ZxZgUejx9VbqDR65wUwjcpVJQJqXztQ204fyRj0aTejpLH9C/B/eXyRsJ+5gd2KSdzE3KkTetjeJ 3GOxGZaO3+P1M2KHPjA51865vkfR6hEpKmOdjtW/zRcd4scJHIWsNsNN9QtXEXVIpHWTTfeKRU1e X5xTJ35fqoSEJozDEtwEJoE//q2zBlVdKFXzUBBccK2zHF+vN+S0AWGtb5ge0EMCX0jpPP+1QhzI S+hwrnxp81JDbQCMasb48tfuMMQGIZRby+G92Id3O8fjsYfCuS/dPPtN4SY0y15GBCT0bMReDW83 BWM5HtDlPzz0N9QwxHrQl5TY/dtXxV2hYvfvDALLtJiM7VBq8KcF4rjjjsj84VY+VHnd94DS6oOd yIp8sFvaD5L5q7+EDzyjORn/rpTAYbI+v1TJOgrmEXs/R5/CX4xk2+UfRyV3AFJs0xvwiD8jsDUK V8U9rCUVBVno3TNYP4ZRQOxn0NfTERZ6w2vHV6JD8Luxsx9xDltP+StzDLqbAvnU7rA0O1gzzrqa 0JMihlpYiSZrcri/WhbnCO+PHEbTM/ysOFGr62bGphOjOW8kTRVIy0zUplEq+0iupWtxeDGOUVLu mXexTotEK1DYko/Gj/51rmFpWSCCjx8tye9Fxw2MkB0HSDZU0JxOahQe7qx1d+aCvOH3ahgA7Ha7 hf41t/QHTbxFfsCYQZEmzXouTxMuNfxOsamnosPtE0sNoBUaMpbbhx7l/v+GA4/ZB6qMl7bTO4Vc bRVXkJ7NNFb8Gj6Urr0becxFsRka67fK+p42D5XtJZz5+R/5f0GcHGtojn64NwTzDqL38XMnHblW q+swaeBuP0XUghcJoUiIb/ZrXbbXolGxI+nYwkJBzkZXZ67ItNE8+dv9cc8A1noxYTafS9qWLbKt tLUtTxHpkEVt3lVEdGKb2QPW1aL+0ebEq/v8sTToQ2LbBtxgeBa89h4tipmzgyn+41Vg03yyjFNl dcWQukhbbCbexfE5unvdSAk4gkelstxGJgNylBo+E1A23IrScV0ZtFxM5ExN/FRVGNmcl8ZHVP8j PpAgcd1IlFk5WVVVXk6Ed5EDj3vmhjBDKyJonVbwMWili0WgNpZ7USWC9WIhUunNTm9rzn5URhJT Trzok2JBi4w5py/2UMAXUiXMm9E1LEGs9DlRnOaNGMpBbc8bVsqmIl5TZ/YeFFuE1WYTpUeqoPeS Sz8PszCOYH1BNQ+colSAgucK1XQAl/kVUE/k2Tucg3lIGI+I2740AMU7opDKR17w3e/gpF66LCXL tnqU9KS1lmGY4zeXDzm4NJe8eZKxMvb6vHRzoGw1fzP5nTsmbijmvxrP+exOZ118lGueOk+STN9d fOHDgVAT2jagE90wjsCY7Anl2hgFf0FcaCRBmtZVknbmWAHsyCnaoA7QvuBIUs8asTpXlE8EGpIf bmMWfh0MDKYfsg2rZpz/vcorVYlyAnSc4L3tUBx2CvJo/DI/KAUxB+0pVGAv/FCHjVyux9qB6qe3 NofCqt4wTZXLt5yqoXylW8ssht1aJeCuUC+JETasp+ns6TzTjeMwh1BAi+4QMiWIO7qYV7LqDtAH 6yuAEFYt2a8mdpWU3QkWt2Z1X83iEOwVsi6H436DSIEm6LYPG9frvuY3C8+zwXOpmAlB6w982xlT 5aTQ2hmddFpFsQ8zHbKlPIdzwUJaBV1G5KlxI6oCKmIbe/h3RGXfiJMDV6PbAvHllz4YYMTSBpTY WRzzl+W8ZtoQV55CZ24CJhPyA5cpwdv4FrN9xwRy45qMJjAmwBNgxArydbKh3leQzKn2HJfVH3M6 MJG2NCWliuejLuQrMNY2za8IgCpF2EzzY+muCyaONKCXlU8BDg99eu4n/BmCG4Nb4wBKKIHePjR8 8x4wUY8QR4IdFusXd9xBYcLkm35whACaQkKJlKo27yhQ35A1qCYMDqV6qAmZ254N6itlHhIThHcN Ig5FZD8+rtVM0H3SP/I3TV3Megcvmb8Du8DHb2aK+rhsOGZQTJ68Fd7qkwAoIDkTxaZOt62+x/Pd XMphqxwLPVgAcFteOs6zfAyCf3qUClgfHqNfTEbEySPxcRb6SQM9ADeMwvobOA8Pq8vBAp3EA9wq 6/yl3QGopET2amG+oNH0IFXejvTQaKJnALWWEiuUwNEbFa5LXrG/LA0kxuRNAHCWgBVw9/FH+L3Z e7PiCagekPhShYekOEyw8Z4cFQu/fIBnR+LnIDUA5/vZsa/RseJOWIRMJCAVg0k0Kt7pA0NoROmJ g16/Xz5eYLI3wT/J+bC76dbi9bua56hdqqFbr1k05mx7wg3UIXaoCNeO6Te7VrkSGkvSB+S72CE6 oPLPDvYNJppFHdnv7QcA4Kx6zyPs+O5HifIg5tZzU3/qIfwQALH0WUmr55fAfy/uBsLaacN6dghs 6KxcikUtTtHPRQQL776wQCQPoAjtpPGUrIt6wFkeholhOAHTCkl2k1I/1mmMx0Ge8riIPDaFiKWt H/UK7tGxWTqf+F4d2wtKV7DzbzhfWkD6r5w2sDfdoYDmau9qO6qtABLYwvU7ByQIAoIgDmTxn5ZI oHGCo9xHExZw8t6/Qt/8b6jp6ic+VPP4YPpKpVOov1d2OsF8lKPpueDX8KXQn/jwRGdNfa5DQVY/ PdJMfQmp6RLRnsb7lKrtXlqAa4hD34Ay5NtWBGe3WLFBBOmca+arEy6sBcdPP1F8xtIJkkWnpoUI nCUkChQHEbdS1BIe9N/hVEPnl5wi8EfszMk+KCMeT0QfMd/ifjcAWFbLcIo1IJxIIuRRI9z1Odzh 1effXfQruVK7QgFDIg9U9Ud20bQFAPGpb+iNiwiYFsg4pGTTWTRIU5U3G15aYDt3wE+aRuh/sKwL uUYMoFZKNLiF5/TLlgdAyi7Wx25AMVqX9SEk1UXgZWRQV9/CyEw3qxCq0iSNHNB0GXM1o9Hxfgwe JjvKB1EuEtoY04Qyh2OONJLHP9JG2x312uyUbnBY7MxwrF6PH7Pjq/Ep3ZYYXErsbsJCYVV15R1S 2mpFwDvxFW/UwaTZWkeQrzxRERbPYVLKgcyngIr0mKgD5hTLXeC4/gpqmcqj7/bfGnU76UCBFsOD +lmhCSo2yMK4VEsuafojo6iyrzhYKDp/sMrq+2lTXqh37sRjLxb6a6SSRl5EeVQCQSIzcKrQlTFO NkyJJtxBk/IYYw9+RnIWHcYb1c8BhoOl3UmQ9IWDhNAQTxBHFnE6OcF67I2tXKO9gVRy9TGX9RAe /bTNpBMy2CbrRK6IwotmE4/Mkd7BERk8Owkdxu8d0F521jFGkD8d5Il43Wk9cDlgUuZmx+k7r5Fj WKtXestcs6ynfUhORzhsXnGKSPdcYtdoRt2c+bwas9UnO+yVf1PyeGgemsaxBf6s1KTr2Tx6Jyvr SxiVA7j6tOQDhoNmrqEdYLWZQ/981dzyJ4ZF6O8TWHwzap+eJIIP3AfsEz9YMic/mhvKFBjb98z5 et/1g9YdSv+g0h5KrrnQ1uE/ZHO6BVt3DeH1wYAxYqh0Yg83Kw4Ndsw0Vonv/7IehKrEKgOLOOxt wkwggfRYVyJL6whltst8acu25dpPrfLS9Twh8qrROALN9zn6SOlKlUbIBHHF/Xydu7EuRmAsu/eH yj3TNPLVqWwO5AWlCyO34/+aMgefZzaByEKmmyjcUosQcREjUV36GahYj2BWKb6Zeu4hKp9whtO9 pLwdvAr1nVnF7V9Iz6abD/rQ+1RAdo5wZvGVQ1OhR/YDABklYg31qdJqKuSfM4T7QdugEXafIqfg p03McEyGDrLbrrjU7DtrcCS8HvlSu40XWvzt81tWeK9ZE3cyaJWpGYMo0fN9j3Fzd7if6KhnxcKA el6Rk4HKrRkLwQ0hFhKvGrdISgCMuEvaaWuWi9/7AanyUXE5PHH27WVvUZbZZVEmvOMJiwsrgZNI +p1zXZXJWB80U8hxfJLusthZ2/tteKbJbnwhzTJ6az8L1po0DSGPazhW3p3ZaKROLwVIdrL0Hpym hXQUbe3SQjTaw6F2El4yi5UEcSRkn+1fImEy2Y1d1K64zWcEloEnals1ODJEBOSp1g64BYyYJje9 T80cqGq6PPe+V3HUrAlFtw93m2Gigm5q6lA7YRt1FwUUDm8rbchhOOT/AC//m1TiRrHbzudItxiF d7+X+hfKIcGoi6mHVcM4DKah7BRx6oU3T0HUNcjGJ98SAiXSYJi76pvXVjDyjGgbha+3p5xYy70t a402W0/drdGt1OYX9p+48cTRhZ4g84+iHe2UWJTd93yzqILlP3ahpfGKeNpsoUPlshS+f00TU3b6 zRy4DzPdXDPs+mCY+5l/I1XXxzoBF/2cB4Qj8q+ahEL+Q8j8j2MBBTJvvSTIn2/p3yx/knJ7tKua lHzsNzMIZ/NCmbL1tdQ/1VAKMVTn9d74PYNTBAdeyv1RYKvzhy2Ar44QlI6Anj9/wcTlRoqXYV0R VdbMMSVdCfDe/84a0pEFVo8mtO+vOIA8bVmhmFR/SORpPTpWcT3nhyiK4rXK2UUl3NzZw3FYyu2N zpnQaBhMvlQ9+DrDShVcn8oVXMjmYvyxPWzwT+5bkxHCgjaZ0ztj3mUfEdhDPcYQoQlDbKsf7A5y 9pEK6wd6IKPR4E+BGbKZOsH5mak8iCPNWVCaf0VmnmL6OUhr8e8l/JWWnmRUbYd7qpmfrL8xuE7g AjuzrcyoctZYPF9wcGsK6b5jJt1XdtXiCQeswmQTJEZoOax86bJfNwljmdZ/ltX/Uiun1PUjZ1+L 9KCDq/4U+H+8H198B+0tFA4cJs2PL644wW3EDQgyypug6PoU3tbcGBEViLNHSiQXRFHYMafweevf AuXKFTROrv0d2ElW2R76PL3OeVftDeE2hMlZ+aHMGr3uJv4vaxvcg8Qd+fNxYQ/V89FXbxbIdl/y rkPCN0MXsjdwyG5kFerNdL+VQPwOHpQgIGx0oft1w0aRnZ8RjnxO2u0pa3J8x8AeBCYFgO/JZfaI U0o94TfLmWT+c+J9YWjKBWCVOSiWCisuMTdubXCqprArWL+kTx05oiv05X+/sAdo7PQufKuq0e75 7A2EmH6l9+CNx5EXHnbrFimcj7+b95dPzsiCUXqlXuYQ2S+xCgVA36bgZg/fxy9WUepaO23gwsMf +kSMzafFFwQHd8V4UEPLXdHuUNhGN06WHglkaMDHv7jp8+BHHBlvh/LdtFKUQNu1t1jhKAHo6bNp /w1zblcdO2YDMwV102cO0SzuIKs1Z+IooghUEE7pu8hoUgSeRhxZcThA/0x8uLOPPGtEj39mtw6I 6vTwhqVgMkKQ4QP1bMBubSiHDb0RLulCJNX9eqAf8XsNTvFfuEMboV/sPF+R2jzp0orAQ1qKtjo0 Iku+gXNo9nEuaNEUTudhyTDO/Q9jdLfZvB13jSp9PkNZdIG/5zbbNlh7JcPLOfNtuuuxf3dRM0Wx fm4jHe9aHNRXIdZp/KWBb1CBEwLqLABOEJO29VTI8ygJKUIsBtqUPZEjI27yN2ldCqvufjqBui+G 4L3RH+ELsENpAhlxPkGzZUiKD1DV5P+yS7rvtpYB6sRMpUaHPBLidbyccqXcc1zQdyPoY86gzZa7 TInujrB80nGroZouwICt3EPYkXnnWFx3Ukeh6W8rz4+oD/KeBw63qOaq4AFmDpMZMZ/SZlvf5rtY HNF5xDtvo7097muBigaGZdyghStKvNohhWxLeBeDJtV68LKpa8sbCx3gQ5bNkEy280APGmTFsOtp 0Slo70Pb3ycuUQB8WfRzMFjxZllhAGwh7brQKpMYqsY0uMMOQ9dItJl6vVCQiibV3cjrWhg2c0Lp eGEMK4Af1xzNijkYEEVqTzkS7EJ8uQSphVAcld/fYWmQ9oX6e+2Zf7PzL5eNiuIMphMgF0xbUDhT cFf5Z1Sa6lIxIaNY5GbpRwQoE4MYd3TwmP70sBs9rNpQ5u7E/m1NfRri8hxwl93AVv333KawoLxN 4Q6BjaHT44jl3qroF3QyaXPbczqqxbLROYb8tDPxEmhytnFv0FgQnR8wv0JGvSQe7xp6OUdNnpri IW+ksxVQfJ7MvHqHRnuLz9SHCjj8HtCOcRo4I444aOAGzM6dW6Cud7qU46RxRkwxCV0myCJ4AcBG Wt1tRaBXRed2aKgEH8JMp+dxTJOhJuf2sc28rmnfuJVKUxnWHrAVuZ3lrXMx+ZGoE2ABRuxPATEP cDfE4fTlP4zflioD3Pg75lXJ8PMCiYqXJxFnXghjI9sPODM1SCgwwbWxFA4Paks8+WStBQ3XSgmh DHtUyWOR5CGFGU03SOHkwCUONHMaYcjORhyLgwTtAesdqq3BfVnRMvM3lcgr95W6k/IackskUF8P SLOm/ZyFqQZChe4GLqkYzA2EQZ/3sssqwHlykTHiqIIqr7rhnyddjzCOkPjW9f5foBSOwqVfHzNY 69Db+xYAI+VOWYX41AwbwTZ9ghnv9hCvAdvzUtjJgsAoD5yp7FCm+ZU4pAtSPKREncs4cwsvdS31 rliKvXjd0uTF0X8+VZVFyBQtQNKdKCPiVzWkget7xcbis7Cz6zGOU3DpFiQXMIvHta31QdUhFqIi oAspBlyBBu+w4UO23RQAszsnG4NUmYjwCEDSUsTQyHeZnbYDgnYnukBZcZJ6AWHEQ3cBOTKxwB9Y IKv7Kz5oeWRT6b9zyqn8ATIVfOR3R/gDqGPnM7odsiy4OqHbIuM0clX/x1jRV2RDfvSwhrPg2Jzo lpSTTFmj7RLGz1nD/udMSPiG7B3vaTyU0Sq+hnHO9hUyZnLvLzxGH9Ftbv5xNhlMT0YdTpYpO7e4 MGrFpa2ZOhmOcNZvpRyoDMtLsPR+NvSOPDiSQo4XvTTOinUFGTZW88iIIL2kipPoxNjuhIAwrulh peEeTU+SWxyfvU+2P+ynNSMnW+T6gPug10BltBvsiAljbKd+EfuYkCILHsjb6qAi6HchC7PS7K+k r72klheqIbW3DJILPFfMbaBMWfQiCrSs07gPNmw2v82IbbrMMhXfRt/z13jfoFiCODTQ9mXLLBRV RCL/nr+2QqWRpdHw3DkRQUlLJw3YQDoUaX4teMvsXRz0NnDFYLpaCQVNMYPc17N2w8VTdhPXutl0 jSqYl5qv4qrD6hzd0q1dZ9EUX/6sO+FL0mwt0gPBrPORl4FNNZglEIzPnNIwMHEnhEYZv/WIl6xh 0Y8+mLjKyTHC75423kWvjnrPXAIugspfOZ/G/aG7mxV7tAPxFP7lstREufbfACOEGimiKlbWK4xy RG/B/Q1yNr9/YcxOQ2OnK/F+mDxLMPoD+rXH5U2y10EmURZpEsPA0I36j78QyHgtXwcFzF+Oax7e Y3aS6zeLkW9DMhE3RKyUckRLHo52MKv9Gc6eitTO05sMA10XHv0ZTspUVd+FgSgu/DkMBEHmKgVO gydzSJvuW9AHgZa94T8quclDM8NNZKgApNtCE77MGMkKB2VGyRql68GLGXDwIupi3JNS3RAELoaP w1OITctQukQ4cC2qQny+HIlu1Yu9RjwThXTDSmxDNdYxOa+JApJ91zO/hUzm+XDpeXPF+ZSTiHGs nwKPdelp38Xbi1Bjz7nDrBW0AKXpPoq1nW3Z8zerplKf7d8SozfiMpPRgnsfJR63estPeg6wa2Bt ltfXQ3Mv14uuqWcuDIydm7SxOd+47fa7E6UgcwGQqPbyluwCVbmcIjLMkzR48JVtclQtskKCKIH6 +DH4tdZUxOF9rXIYwB2K6eOXLfqASfCg1PMf1kZGOfNCERSTj26j76vVpZg7ytQ2A7cKcNM8HJiT gXlsVMZx734gNYTHWRK6xS3S3M4MuJO4y7rQTksXknknCqyDasBVBvn+jzS+DJz/fkPoI5wR0/wi +sIczQIHFnL6IxHEZf6JbOCDhzW6uE+Uhkl5bdTEIPZbyuMR+tQY50y9Lije81RsgzIRoRA/eBy6 8P0HNY55W3jfDkSCmjHmpnUDx49+nOrK+Og4Q0o5mzmhEdi3ntRnBn0vsjv84SCHFLvsfO3ltTg9 7/WWEj9RN/z/UBV2AQyyrcrhL+kETuYqNn53NW6mcCar+Hpwzc2RSO+XYQHHJV9JY0RfkHnXT1nR 28G7zOehADoflSZZ/fvxTkkStx1QfU6hXr8xBapsouL0Dknilmj+GRBnXNAfCrLlvwsWOlrheqLC ycPn/Fx73MC99PpdoDSKryzM+96Pu1RpAC7K2PH+CDYkrCa3HxNP4e4RaySxWjh7DE7XycckPKRi nIi6JgoVTaLvLNF/f77nSsNi6UDJvRetTV+uzYTW4s9imVIVgIuHZgKTQhtpRgRLXZpDAttEijrY bmrrmUghReUS0zyr42BVi6eiD+RBGbe6RobSUtOkzlOCs7sTOaJyExaNGYavzGYJtLP1OIvyaNSa PIohApBDykDuk/LGGuRrxJ62y98D7IFhlK25nMIuDLL3jKnolavO9UglFEezaEodxFuKibcEeOOy 7IFJ1XYjQ82EtDByJICc4uGaznUhwKETJOiX7lJNRlSS66f2z7VH4k2UKjywZtCQzj4BTqUojaXe anN4qF1h4VzEKCDB9n/L+WGgEsgA3sUnSMNE6ZL8F6bjyqFq6sqPOYX2G/by9wjKOOgyuDw/0tmN f4At2B7TqvLF06dqq1Whws10st0BSHHMf1gvg/vZmDM0SQ5JHrZfsnN7o1rTjySMkw1ln5/Obebi VUKeRQRb8fuUjA3AZlZc8/WkH9ogAYBBEvp4+QR1Jd+undCSR5ofnuJPPhd6vtSOnhBLIRAUPjXB /jK2N1ZR35eAxp/bm0yT7dBQZicxEjO6EhLW/DU8IFQkkp4aWb9q9C1hBvhBcJoGASyfq86bqX0l YVKg612tBTtEvJ4OWQ5Dl+9aI//bgmb4P2a/dgPD27weq7VSu3HXkDJB6O8pnfg2h2un6FeY5LB3 wpUgxQIDkJJbLY7ERuV5Mt9wCRYu0gY7D1OZO9TgfX6ym9QKEVAdlaTM4Fw1zevSlCuZOVQhc5OY kJEFKOVUOvGzsShUMKEDea23O7wpRHRZeNT/VNEascNzjYZ4pNVZx0L3hjTAwReeGn/HwqVzyhMR YoHk7b+Cu2wlUoYPluBgh6IITfc4hRt9HOfw0v5v6dyIkYjyW+sOmtrsi8o5ZNqjL9Ho6bWyk0vs EhiHJRxkb67ljFaCGqWYxUD1LWi0DYw4w6egOChRMvLNwE5kxiGfK6lXhextIjw1m1HQqco6+qjf PFa8QLP+x5Y4aqqF6b/sxP7za1ib6Ffb53LJ/vC8KiBkGBmKeX0KwfJToOxpm6xDvKJoe25y55zF A775eW6Dgl0XgE7z7dfdjPxJTYZadDwKTWhCdb8sELGT5FmGuQyKtULT2G/OtzTTGPLlfXZPVr7e dSGVt8+MzgPz8MyvNNYExiZUwipipflR5edsK7e+bgEyjSxANzPN5NPD3sma7/OHEhDZvFAYqYP9 mmmnswLSYSP/dtB1KY9c7QlwkUdnfC5x+hmr8csVZPwxL4+N0xz6cygbp9tU6Dx8V7deS+5pDid/ NM81KjwIL0GfQ6xL76j+6tDxK0+WecbL3oDI/huxE8BpE9ZysQv4YAqluoGsE/68Htp16bMfsND0 1POkgMEOr+o7zpIgRoXm1BsyHqASqLZSnsflxzR/AHb3Gw4vv29l+AcIZDjcTFRV7pIJJ6EReTwP wNHX+mnMzHpDdXbrD9mf797yOZwlrD07TKb9KIYe5L+Xtv8rdtD3FE35GEDN+hROsuymLwUVZiCw 6E/9iFQgtSilJhFA/B89/oPiX5VdErLErVDIYTMbq1A2vN1AYLV5amDEdziABlA8dO7TVDLGpFfl YsJGapLb7oAvJDuCBAXa+NmRC4qLozLvQNIozZzBwNxbqZKLQj4lQ6jDKaLkRtpdes1Ebcxg+sje mpV26NuXlTyKUVAQM1SJfRr60oj8GyIkyLVTcVCeTDsrrBTZlTh2U4ftGUsg4piJXuOtV9tOVdqb 7COC+EfTHh0zPVsN+p9Y2LFJACBEH6VhNYo9g0I0rV0s2VZW6kMxiArgr3Ynjy9Xz76zYFNc/fDM bdZs6hPZnCr0BMExaapVMldOjPusVgc9yyez+g/QVINwobdmXTHCT0ecwPN9TlY9Osk2KBY7WjYp xOM//QfKR4RXjBfM3tYbVSkCE1ZYE5FOvljtVkq2YK79Xpzd+NKiFhFyPzarpXy/pcFbewlJUOQT 4j/gFnOJyt44VdjWJQesc3h/OdT/6qEEpB3mBiDgQI4ePAsIqUPstkHAHXTU6WvP3U00RUS8ZPmk kY8H6Uw2nJ98um1bAtW5wwDPT0+AKYjDBNGfBi0UbLBUKBshopkkdXZ/NdHLdAQN4F9G0B/fnsaF rKzAHMix/nDRS0e9BTyPjiqQlpgEbAy1SMvhRY7im3NUbcYJJD3gtJ0TO1qoKDJPlyEMYNNvkcZJ 9wqiKZnNs7vbXEhAO/7iGeHNYLa+Lt0i2S/zvgXqMMSYNOL5ayKiiqIZD0JZ5TcuQlG8Kw+Zinxf 2q60jvXOZAV8m15KyozRC7KHlici7BedD/3NMeilP8jqzgpCeMrz2SfrOa8LKwEMrbvePpZdredJ ckNqm5Vq9GDKhll6zUax1I3MAEDtkUlNw3PH5naVLEvpv0f0BqRr2PlMhvPt+25W0am64XGPsyO2 EDyIVEiMtBwtnqXnZPONo08AyZ7aZLIZAzPWpvXRs52fM+oKWPab9nDz1G8rylcZ67fWYdKNiozQ /EjTDXOlt4X3WDknqDyL3BrHM90WJMuw50UtLw9sQH1/PmyIao69mzuxCt0fTzz7SLldboWdb3kP p8Wor73DHhLXhddusNcPxgRdkxuxp2BojPK61OZ3LC7lB4wbH4QrotvaS/Ays9tc3pMgRi/9ZBbN qnUiT7YT1+oIJ9RzggVMicyYT8lY/cvAFcKlHpfY3By+YDAjvxKEVmliEhka1Q5g9DSub5wFh7g5 wJk6vNhf2D/RGzhhrjuHUpbgzWBYh4WghXAmaQYdgdQ4AvjNrbtn8fR0C1/TxeSW0ta/+JtK0Hku tHMCRxHreoe6wzo3ICuR79tj1B6tVoOhmVo6SEdiLDOsfE0jmymYm0xhPvV1RA/RZ0EnXC5r6Sdr e7pJQwwVtVhGWob9JjdSBn+WAG+8LLCOo2u5fH1lBI8ZpKaneaucEcjSDFVcdauZvfRS7L+ESEs4 m6IWJRFT6UsnSmKpMLUNUyHMOs2h9/iLooKj5X4Ad7NMr3zApbFY7OSCfQXDflx+Af/W6Sudo0D2 gUUeA56q2S0m8jjEHhzBZnI5Viy0IMMpPX3wK+lUn7clEa6pQoffvV1bXukVB/hIvtPwkvX48Jvs XxpYbzoEFBUn79UeoyHHf+xEFId9BU89+ywmg2xlU8aEIk/8QzKyoixSOy5YI9/Yez6fR9NWwJEi XjzdW2Tc/SThOdnORaKhY6TYYWKSuzTYckaV46moHsxtHmbjp/RXZ0YJDXqbWFSjnGzDNE8nFWIT /k0sARIxpktWmwa5rHM2aVWq9AawIaBMWR4dtzdSHjRWhr68hA/qki+DF/liSdGahwtNKBcAdVem 0F4sRxAcnfQAkNOdtFhNxaXAsQPM7Bnv/2E9ty+8lk4bv//ewgnEswbTkzeGAvfHxl63GFV+N8jl /w/Jw82Ti6Eofypjj0uqzgCys6NFE44OhvaqXpHB8T/CLYANUeiNKiVHBO3OOHdNKrmCBAMTOZ91 47cV3jOKn4bE1fGY9AUSJgIOHpnrc8AwackuA/xcd4CWe4iR7sOnVb0pjCSel2JOa2IBBhM5ggEz r7tV+MxdzVCUQ9VHk0gnA7eke+mB4fAAk5JJ6dzi01DGIckwOyR0lZa+L3i84IJgUtTRyPorUtw9 DQWPyS7qU1pV4APdUELUoWHafU6cgm7SwVKPxBxwg6mhbRPENNCQ+jtgQ+HQeFn88WENROgdE9W2 jeCkqWB8uB+MixaVqPkVR22fY59wej4JeWdRcM8sHoQV6kVKGF4tQqbXIzQReLjjdllE70z+/iId tNiwWkuqk8XvEH7FvfnphbPFu3Vncu2mRsGRTa54yZYVPdZijVasMnl7ruodR0wMoyBzsA22lUq6 rqCxgBPni1FlNyRr3u1AY41Tl8zWmpyD9cCl//0CXEWZy47Ca8X2JQBj7Ga4mMeH/Iv8+cC+zm+j 8+4VA/pMCRbAckHdSuuxMV/4mlo148RZ396YeQtCXVh1R9FzJpHxTFEpgl6tb8AaLuFF2s+rcqzu hDqwPFzSjEz00wfKvrLcyuNWGhkYLdPv9BECvrXAuTFW7DMmBOqcXkNzTAOEnFXOOj0/c7V2cMxC CMV1dSpjtxBGHKTPj/jkfER7nBr5+tUuMod4Hb+rsEoHL6ZnCjV9oMdAGgbRWmpGlqVePhhB+Mrl ndpwwNJnE17JNm4nJhkF8/kEnjAt0xRZyGn6VswNvF+0/uijC6Gp41d2DRe8yyC6hPvTYlgut/gC 746drSOenGtyKgb2IDDPpGCzHZe/beBN8dsFJfO0JtDAukdBjBEJbGV3j0FnVTx1avROJ5d7xPcl XZ+rEVJBTAj51bB9+vCHN85kJ5wX5mC13q7+OIQ0/7UxlT/A5ISKlBV8t9FDWwvAjZQMpP/CplXj gFFiBHicvWqR/+ZV3cB8VEEy44Evw8fNcn42HrWnY3a1Ot+VfFuh2enP3QNEtxRjR/H4e0ueTZXZ 9mmWy7Ym/GRSQ07Kok4hj6lqP/21hwfpwi2WVyjs/VgqfVAq+XOIz3cWixeHVvvWR2aSFrI/7qfi JNpKs62KoLx8r5YD2ih8ye8IbOg1ub2R0NPe4lH/QAytPiGtPZnk/q3RHULjwgzuVEtNWRGFNEYC 9iiH04b0nWkcldYUAQFtSRTnVdonKZFkvXOtGWX9EqdBqlD3z/uoWqx4/GuDR+wUmHuzByUeIu1d 2y94dD77hERhQO3GpDf4VWqWvK5Jqlvdq4EJcchjeOvgp7hCEG4xEUdYFjd+mYbWSO/ZrL22PgW9 a09+IPjELRJQ7RCsYUHugzu0nJ7iJzG2EzIPrGl6f3Z6z072dxlwLy+xNyCWzT3gypIIvfvkh++Y oSfiDrIVWJBXJoe8cBO5czioLFw2xssQ5C7nHMmqOyPeuHatNJqpNNGyT36HGSHgA/Qczu6AlETk 5+HOxWpnDfTnidBtxKLrXHp0lriPiefVEFnjWchsOX9DL+pSObV+f2ko0jtxzXvfjgfvqB7Dgn0U Sk0DjNtWPK0EyEvd22Pr2gRe/kpS2Tfk7MfpcNELx1X9tWKxeUZZ51zk05qf6p4rApu2422r6+X6 BAj1J463fIaVbXh3Deyl+dYn1RLfRNSGLZy0Mj7xGCI9m3MoFY6eY3j8EtrBqkySEqjU/jw+FSqa weDRz2ZT0jb9K4Fa+vxZFGUiM2KyOApmQOyGCU/vcE1/mpf6D+JqjXJaVBo4Tj1KjNeBhMW2CojN 6g8PtRGv4H+a2g3qT+lovpxjQC0P4w+8mkn9kKj0LcLu3S00ciMRcpvinbkbtWbgeDEZdaF1+NoF ygXg59qdL6g/nHndx/KUcM1g344qkyxx+oIN4JVLJE1y2vWuEVYw2FAaCTZKl1p8h7OA4bX9autU Cjs6lsfoPrYt5EbUnx5HCTZhfP1XUyVvfU/gVPP3JleC3vF+yHClalWQGgumZEfRma1slF5d3NKn P6bue+UiTeJyV6/OgmwPWRa6EkYQX83PLnk/Chh0smhalbcsQrC+DXtbiWWbWeQMx1XyavkABQfY +KEcloPQ6aWPrxOI3dj7eizbqUHUigDKa54XEVAJ8qlIsDMllvSd4PJ8InPrzUHujjwag+Teeo54 jN3wk8Wku9lHhc3ZDYzmskxLqYz+sJ7UETpTc/sx0V3bw1POJUYxmz5scHLefC13F88YokG0O6y8 T/uzaEMWntiPSMbFeFV1oHidReH41poHOZdj9Rlsk38Vev8sI5bZdTZKz8pKiquILg0WKP3VNlEh 9Pd39GPotHxmlHqg2X2pWoI8aveyTAxzEgTKFkvi/IlHbT2ffQd5iLAmeaPSJuR3Rq3I6JPn+THk qNWpGv9TjAe46JMvz0Awm2hDPViTannIfGZZ8eSriN+KjPA4BP9rx0RnMvQihIRsGIg3W7K87ltf ss8kOjY7bmfRfWRAb1EmqPY0T4vYzFtFpKXQFZSYvIPbXhzKYdET4brpuP+Zifgo2KF63DVLI9WA 8pyeJXzjD7iPAIXUw2mJFMtJu+I3K75meEKRdLbGaU2oQ6i6ieOH09RdtSpqjTeFw21zMuhJkkAf t96JpnR1d8/iXwsiyXZYm4e8yeqbJY/2Sn8n/DjxLl+TjJinoKOza6fRBmIGxEoCwKkqbXagsLMY 5fPdXzV/+Tl5Ay0ExywAwj7VDIPH+UmYgAQGCChdQxoOW6YFqg2GRyYbRs2jvOHEF4t04jucrhrt gEiNqSTXkmamkmjGifM4UI5j8/XVKRezxVsArJ3h8S+eRhHs9i2uNlj+m7LCGct3kJCcSfBzu62x vSBO/IUlzFK8Diu30h2I9GMRhOfXbAIn8YhXik76PiNdp7LB8j0WPgrvSo3gCteb+OxoClx24E7q xI48AjClsxLmQapvq9Wcja2lXsqR8jfmtzjgwO1jUAy/WELmu6D44+MAALCKGTOA3tsllhAiCpxu ZatHM8YvL2Ortr/3PPLeLDsTO4imn62u6uBaY5cgbOP/xLeVEOmmRZWsixa0OTSPCcbEgxnuzfeF GjCGFFRQp2He1DehF4iDrOM85qaYKchwjSCmGDFuI356Zy244qFgBFIfgnVrI7YS/NUpeKOpkTgC Do2HmZvaso6SD0uJeAbd8SKK6Smb391sYYGOzR2q9aTL8AovevleIZBBdY6TFfC1YSoArWl9Jkp8 a0+tDGBJ0b+eIKrqXcOOC9LOi9A8AUe6Wpf8cyFauIJRj+T5CWld8oyr0ZbjZIEQw98hxGBfCVyN h02TfgVwZui/UgoFzFhaox45/lC2pmEBQEP51nZmTFqn6reUkeaNBcpxsrKETb6aIWJQwdcV4oAX Fb48xfma7bveen2ZeGZEZ7ftGQod2LaI7HECfBKS03DnTxuoF4i8Req0Ldpw1D77+10TAQDoc/Pe 34kR3o9eCREmChNleCKkEl+pBROH1UxN5rNBKL/B93DoKhO+1v6pOIAGAKthW9lJlab231M70U9D 1ov8EW3tNpzwf33IL0XrPrL0W7D6NFNAnmqntt1X/wlVbBqfVtuLDY6VE7PcjURVXwt3NNr8Uj+y //ydl229MR01fmC26202FunOVjKxz/qWOq32cUiYVIwlECZmWcgmthvKcS/8Ai0ATwxqSW3pb8FQ d+y0qy4SU7H8b4sPm7C7qytmHtT/swRF7huRrRfl4lsK0okrFtWJqRWN290kaKnWlFowv4lb/Oak BSJXoUVcYaKRam1hNwOrez+Hvu5w6yi3NEaBhLHcUQR0OpITTP6v80AaYysS/8mtnfFlDELotjOx W2YpdPXo6tpA6SHK5g6QBvwGYivxLY+11SpxQUCmWkoJ1kVm7uuX5ghhTR42SAVFAWKb0jmhKqjv dcJGhBw7reY84oDxGE49sf/waAj4i1Cn3u7hAclJGobvHdB6TaAWLCdtSPhHL8Pj0GH+nxLXEiwA VLzr39+QvE+GyR/aXccBLzaK0asDQUnBS0SpMCowOpAplNIWuNF2eTgxybkpIPl7lpkqUeeECF2j ICVNrpMEUB4Ehf7pOT7NzKPpsAnOU+7gA4Kn0CnqlhqnKLXu38nY046EGmlSoa8gfeSSMDEInFgn O3U0me7cb8qlpsq/qtpUUpzH7SlAhNY3TmibFGR74CK+a5KNVXRM9PqanP1ak1Tx1xEgDZKJLkGl Dsn5u/SkKnbKUmb3+erax6YEw4P/8WEE0Yt5f4Ias7dbyTrsL28LH3vkZOKpj23E3FnYwKW7hM4D 8Pm+SX3QP/C6uFNcFGYBNFnhByfvbWD9FRkOv7cC9D1yXoDtDjJtLmPvyTx+RPyKc9vMV3h2R1o8 QbH/Ldc1v7g428FDuntgMB1+dX227ccMIOA1lcjiZsmK8M6gyQ/mYaOCrYdX9Kwv/5LSRhxRS8vc R9Pgf3Qu7KCcMDNQBu0kS7ouq3xVBedfA/tIruhfJyfR55qsmjDiuWaCxio/GEZ4KFfCroxTKWYB hWZv/w1eHKiYq58+vqm00btz2V0WGqZBWQPN8TrkCwy6j2M/imdS61I3OFiRGNfrLI4E7k2J05+s aVpi2VvqmhQaUb1O8rr/zbbyFCrJHGqwNvYVOF7vfSUAEFpix1GO9OQQ4ut6V1Coxvit2cA0j9QE hmYGNitJcWOZN3F/TaXq0e6h2/7SUOxHLz2q1/bskn6+Xr7YGkUFzEsmwco1LLleWDZcHBGj3ycH Hadypz/8TBOlvZlthqjWliFJmOyMm8OvlzZb39L+hQ+VrTebb6dVi/gnJO/uiYiHMXx+zO7LrpoE gsE7os4cWkAlA81Bvh6SM1XJEDYFrE1Y/CCcjN/8icBjHaNHp0Wx2XbzEhlXe9pLd0oegWK5Zhcf WwKDdrYwp3sD1fDH+APBBIlzdEMM2FsAUOhzDuji74MXChSxGaZYdlBqQo15rfDuncv2BNMitWNo Gdewkv1eIWFG5+IQApXuEDRWQ4w4IfSj2ml2n2jwLbnaZAwPwzFG8Q02a722BkuhkFeBkFtUNykM GkOIOT9099tw9+VfDDil676NWweIl11O3rR1eeaTseoDgVOdz/UWEfDCiTFWh3e7GTAR7bIaifPD lNogzcNmeqMqbBciTTef0Z17gwmVk6jc8tjZEaizZXlk/Ghr5Oc1d3Q/JfbJo92wetOx+Af1+sbt LkRRfKxlvOs8CJ+ANcdngyBC2zMH2LefmT5dcZKUS2D3zjYpQqJskr7NF0hzDZMYc5XU5ZDH6qwR sPjXqmB3jgyFkg/JiszlrGJE2u3IMxOwx1Ac9mprH1uEdwx6Lvd3IR3SP2SqxB9J9PPMTtFm67us ceRd1LnpSJl5qDWUMkC1wEUtqYdFbKBBi937R/YPriJrOvstTlcH/7AmqKQQHt4Va3TxAlU4WuXU SSENqnbBCuev9yM+yjp1NXWmAWkZPBvuPzSJYk39NZECft1cdCte8Ml2VO1jCVwdaDy7bNwoPs1H oWoIf04oiolbVU9H7dyu5VCA2UxYp03x0rPC5eCisGyNXG32SOfvUW/szOcVwnP3oeh+6z55NyTs D0PuX0fdSXyhEdhQ9itastzwhsycsIWHD7Jufy70HpIlTi48E03E87Tmy/Mev0Cm+P9Gxf5e05j9 3RMuFyVE7hnepbh0B5+iGBt8FHLtDbAErMhCllw5U1WGJXWLQP79akGhXPJPL/z0I/WEhqO0QFvq OS+iKriNTochhArnkucE+hHvR+F5sWwGVeVIpLEmV5Pt2tHkXdzb8HFjYd48kkkR4H+KxxIQ55QM q5ZdErFzErlajyk+N3YdhsoXu+EntfmNFvJbGsTYr4Dl/YSmXqo9iqpmzcKGlYKZHZM7CcypdAs7 TGQ4GDYEH6p0wNwnaqPrGhKNolnmIl3umBHGA/o7YqAjYKp4rjblPKNcRehBOmx+GMXCo0yWiUU7 EqKe1GcR4Khct+DWmBXO6ZPNjdg/v5sjFQH1RZvJov004zWlYuBBGK1WXVFaugjrF4kXR8S2rPjQ g+pD1ID2PkcjA1Qbnp9NoFPmgSqpglYGlOJappDYtluvwt54bnl+NtNAh14TS6wJLdFwbc/iQEJa NDVh+IEin08SzPMfdbn5P9stY6XaBkmrDWxL1Y6ouTOnoWkH8gj7h79n41ePPocbusj0CPy+sTLE yH0Du+Xi2SF6aYQDS9R74vYEiwe1U1jvBc06fflldUotxlDQNBO2CdTymdiZJD1JzmG3YoFPHV26 wsY3R+giLZ6Cgu9YFEly6yUa+CSrxfFImSwbM+8Jf0Jv1mrMefeDD8bvU7rXzf2irt6pW8aeaq1e kA6TlKSbqmoe6Kp6X2RxtwMoIZuR0ZZsXA7SepFSX+mi+5vJnflNrBCkS9sJKUa8No3bFmvAJ0yX R72r5GG+5Yl3gdlwwyyH9UvjBUtESh6Ou//RtOmWRBB59ZG+uVSWonTKZbK/mgubU6RmAofW7ba/ pi7REh8HJwrILZDhfmy8qXqomTLMHJ5MdX5hVYdg091BX5DAnlS9+meFHsCTXRGLdOxDk8mSvpN9 JCnmbX47bgVFp8fVEYxSlnwFaXHzlxaUw/gkIyhWfQlNZdRP/6lxY/EUH5sGo4opmNtTuJpELbx5 wpAIqhTBKFzxbqUVgYtIHgVqLeO9MEwYp+i5lzIMxavqeAYeEEka6G92cnTovOTt8pxSgqW2wbTI DEhw5ChVCQiXmeir2MwTgijTCv5nCeAvMJJcMAGXhI4H5U1UkRkiJqW61V6PwF+FO0P5O7l0Ygm4 512qlCEQ748dERqcHCdS+5a3THgVkA16MkxTLjbeKVq2aDR6tyrqg5mlW4Wt2EqlBkPTjbdL8iub 5gdGkBo0C/rpUQqs0mD9rQ8wCA62ssEJDdoVxDCC3xRm015tF8eO7gW2RA20Soz+oWiDvBETkPJ1 Hi7WoEp++dxyZYI1QaMTB8jtAhE9klwQJzvRBUWjCN5ZKzkt9i79ykDssqEabU1X9vJEihommzzo T37Dfh85+YuZK1YWlRVOr1f77ON5VXfP3/LOXcCN3yy07KHcDQved9wd0JZg5VpmXlIxIjylH5vG 8o8gCozge+FoEi2FpvYqiVvPpzhZ44jxyNFNMTIO2ilyauHTsT8/cq14weyfeqDn8gIQO9ZFCH3I mmk8mtiQz3PAst/bXMsYF3KCGvbP8eXig4KoKvTpixGGRQ/Mhqvn1PwU0Eg/lgfRLgk3J8H+NsXf HdxEgm0a+xePYTdkkavuZYp27ijA2xzaiD7wvR1zd4lN1nD9N/N4lw+AZgzWT65l1VPhOMjkv7wF elwwG2Sdn4Hsbw6Zez3FgGWkkaxY1QzEa/q/nswoElhY5ONHv0r2ymA7y06Qb9XaRkoyJG+HmvBT mmi+Y55VIEisXCh2EmzYWmqFBNigFMvwLVwW1VuGae3xLJ6nI6eLF6lH1PH4+WxLtBHwh8tvYXXI WSAABUCOapb69gRhBr6Kj+VsqXtbgKIqnqqXQdlBIwgMaDlLTnSk14SW/wn4z8bLVIELjwK6eQK8 rwCZQ/9tlVod3XRbPMbmBAP6cDkKPjsUS6qrLby9Uf3VSpEmgaEcSuzuGaG+JuWVPYgspxtQTQPK 6DFwKlTRBsSJ3wObab317X/Cuff9oVhxhT58mCMBES2zA/N2kUdt+fA9PprHPQJJc0TBC7FYy9Qd EAzegF0XMG3ClLGi+Ez+GT9uHnFs8ALj2s3HKP0GrOdAxx43DQxb9b27lG2SmxEDa3JMHvfvgSIU YDsPaeyD+fXF47DUso8FmQ/MltTHxeHM0lH9t3pzmSMk5JUElGeLGVky24/OXJsvElsbVFgIbSDP nUITm2KVvy6TES08mSWPJUy+//otKyNGLwkpIIY1l1J70l1bCCiCQ0a7pQUB9BMaOiXYFpuPBkNM 6ZtCINMZ6iNuaQ4a/P4AOuuglgc4Ao16g8WJ8Gp4bw2jdMNj6JoQkF0QG7TPlJIFPD2m9/EBgOkb fIC/rCqD9nr9dql5K6vtas5gLKBtnS5YX4F/DkmpZoAkhq+RIATGjFIveiNtW4HZJ7dCcD0CSJv9 SwLmscPoTmOA/IgCIGEfFV7VFKtasmvNYkv7Cu/qQmkJJ0RP8cXJY0NjriqGlS8xftk95Mj+oBj/ Uyyhw99kAtaNEjiL00tL9XokIiRO0cFJjzFYVT5LYc5xLmysjQqU+fvO0HsU1npapTEs/6G0vdkm ktcXZ6CmoabLMSDQWWnc9ADO5eU+r5CFcaLuZZOW3xqsnHLYEcB0NMC96GAGPwVVW2R5RMVef/1D WdBwvPHN5RF4vsEm0eSLPTpWVlAw9BAYRoIDzV1Bp5Mf6GU/TJ2q03nTNThzx9RnHEdB4gV16J55 CG805ZKIpqxgxbeyb0qZ3K0e+QuJXIyYFl8Ws/OxDSjJlEOnPSlxfDVELrgb2I1xb7B5QdmiZjqG IW2qVrFRFiIgff+HEE1RystN3xiSKwr9U2UULtp04l3cGsCgevGAg4kFuIgNnoTGoiOTft8Cdx16 iYyqTUBOuf5d9Br4OF9usSObCSZ2DgehEZ8BLoQ2w6UemqnRzkvSCt5GW+BMPVYj8OTmj0Agp5U+ ir+v0mYdQ8obZAdNgFLjo4tdfjxGHW9HqyAC1qCmFJ7LOHlzWsCJmTnww6Nqce/AP5QrYSp/JzWd xnRFRVg+iQOnA532H46hplfExfSnuSks256mirwrVkWs3kN6MPMbZNQFOIrHHflm+FnetWkzmDMq SPTBHUyKEzz0RuLLeEKdW1E0CG2rnOlvxSsuSImUkR3qrr+cBolzyUV5twaVEp2HnQJdTtW3k+SY PlGk4EFN+RfDWwWLbosJR4e2Xx+ZQIyUZ+mVfhU+yM+5jWFv5Sf3xW1ExlN00e+rSLsztjgMijuY tDRE2lSMr+sv6PT18MxJFKdhM5//bW4lTigyJVd0hbhYa11pbreB7UniiwGw48EwkszF8feRybPx OObnWG8DrOJcnHC85iu2gtEg1EB/cs1vho9qug+MPYwEGYkFPBzHb2llnPVe6EHi/HN7LpvzcZee s+/Wn3hjIWnEhlIWeRejNOhXsn5JlfA7Cw/gqUtyyx3/JRghc1Q8bsZG5cm/6oeV0Q25/VxAhhPK L9Fn5BBptx+rGM+kA0DCowbdoZ3KvjtVFoaCywWu+Wc0OY2wvYHOcoWwLlzrPSPNRPmFqSck1igk hAaVK/RYBMbSVgNs6JssXEYVXk3VUVdESatzH+DU0xEKftwLhlg/5iJGSDMSdVfTUDSgTGSRQFQR 7+2db3VxbxlHjlQiAbK4Z9BLMvu5XQCXKvKpXppATqT2OSK5GsbZnmKw0uySOJFwlnTEc0NcFagw KI0YZW/bvY+R09FNa9/umn8EAM/kV0k83nJ0XbppEZ7hYpyHyx0Rz5UaTBZC9Z10Tpn75TPhyYMi YJwlsG5G5isKRJR6lH06CVC4EvM1ruGJPhu6z6VXvXyrOmTI2ksmqarXOr35+cglFvEP3G2/7VLb WgPbau42oghdY+xCS8O1QdFvN1T0Qor5SNNasVL7KsdkLpCLkuxhht0a83ro40vgQlWI1ncL3lVQ 48f7QOIBAqVCDbUPKZmOxR7Jm4+mQBoYIvNwWLeDzxRc9Cdo/c4zii200A9zVhanAgmIA0C5sMRJ LfEKBNTjFlWkQ++QJuMnfVtQR565rv3c8Z8KJmEChjQgaEVEV03Q9pAvTAXhI1No1mUlvhrQloXy 5812Hjp8PGAaontCZfLnde2Cfo6TwtxpW/N352e+C0cHohB2acm+W4nj7dbS9H6h/nFDEgAf7zaD E33XYDn24GWeBAk26n3v7QCuT9a3+hDEKIiepuDeT9oNGWXYitLVBbvL4R2AWQ/AZUWJg0BV/OO4 jbyaAG5umtKpDx7gaORrf52XCqBpPIBQ8X18gb75i6DdCqfOg1vpR9ODZrXk9umGjaCWV1CgYW9H oEntaxRl/Q4X4vh/pfYmY8yDOJl8I4YxQYna4030U/1PCpnYC4aNtXoCPYzSRcNbxkv5eRwd9ywr tiumbTiaJa0QrTrx4SkiJlQ8HXWn+qF3idssXtOfcj7Jij0g9QTH4WtjPNHdWZ8xaF+46ih6jnyu YEWgs2NgtnfR8o1t9XnVfa0xGwD+GIuZj8ZA8PDljTvq+cjpQcdJ6TtDp6/ZBLmIUy/+OAb85prr /zojzH881JJ3azXeQ/OVpFE5TX+ye63ECcz/sCP67MacPSdh6YhJ9+KmU5BJmC9wBmes8kD8jmHS MqmBzgwgm9vedQc9t56i7VuH26G2SCSt7D8YIOWeGH/8YQydrrcdqiucYEuaukowr4YbwoyP6+TV bzono0nWzf40QcvVO8M23mwuktQyQUy8tvMEJmLdKIJwuwqr0vg9higyoV6mbEUJMHOAlXOyvQcD 0251faOjXvyd1mkvujP4BsRsgt5fII+v1/YwVuZc1D+mG5ABrdeGiS2jkH04OTYF67XBcOdJnhM0 jtzRG1l9SAQB4Mh/h9/v471i+3/GK0zDhXX4Ly6l3iIdceTgd5MSwGrOPDoExxDFirK+5+I3Txev DKJAQYPnuaiOysfVLQSnY5BahlSps+rZtY24HuPRyZhqS4AowHhU7jTotI40RSfaYmavE1yCwOzy q7FZdSfyuqGvsrmNlEj2e7O+hTor5jyTtKTkkZbVOcUwrVcUQLETAXG4rYPALdbNWVil4LZCVdLP 5OjAnNreVMaGpg4TKAdDZ+k6LHSleY7lTlFVWgKMApW0r7amEKJQ0EaSp19+G48/JFkF6Gq31q6r 7kZc+8X2KZXy/YzfVj2XhJb/a2X2Z9JDs+3Dl5plr3c4Fz1r+QnxPIxmoQ5BJuqFrX+zO7Z8FI4h wBYTEMbWAZvUygOsqHFDQ+ds5Pjp38ZZ2hwBOJc5dOhdG+SyfEceIXuuaM9XqRpGmDAjkFzqZlpB ENYCD2WbZfIW9vWir0Cp2fZtg6xoDDXNNzZF/1ELv+KPMapYdm73ERlj0qozKkkQNGy8ek0SY50S DlOit+cTqccWWHHU2rlrgHWy1JsvGNc3sj0EOl/OdPff73ol2gZfqTdC8W2zfGwe9Vphg8EY1wbW VB3vHm03vfa5ePwguqGLLFp+CBplYlGo3rHMp6sme7AdMBqbyKK9xYg/CFK1GMGZA7VfAvtxVSzM bZMhAzGvO59MVeq1jmj9Jm8skiqfxZtN+WwFpgDT5W4+AR4w8jzG0ds0BRC5DMoACJ1iqw3yKAFO t0A3dy1CZDeSwF5NAosQchP4X/d9vBWYRf1szybyjkwayQ8yjJwYYasVhKGd8fVw7TNVylZyZ5rX CJvUaUPEv9b3shP/UdBUs1UEwHRetqol15OxQIxriMlRW8RVriNKMAui4TzFAGV/lS2v86dy7K+4 TUl0eeK2hW5vMdM8ssk03fY13wbmprb+Ouj47IRaTW5bUsK9ozTfDuIozKDh0e6/EapuIwRUY0IE XQ6gSzxz1oP/NIVFeD3lEHuHk3mBnL7cLZAOeiMNDKI3XxnTupnlbVhhbchcHaXsItJQwkP1MFFX p0CWHR5b9HV8OY+2PGQUlokhLXYIf49Mc5CGc4KXnChi4ZS3Km9anKJwVK5oUJgkTBVIj0kRZYXc Agc/TD0ssQ== `protect end_protected
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_nco_0_0/ip_design_nco_0_0_stub.vhdl
1
2595
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017 -- Date : Tue Oct 17 19:49:27 2017 -- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS -- Command : write_vhdl -force -mode synth_stub -- /home/mark/Documents/Repos/FPGA_Sandbox/RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_nco_0_0/ip_design_nco_0_0_stub.vhdl -- Design : ip_design_nco_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ip_design_nco_0_0 is Port ( s_axi_AXILiteS_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_AXILiteS_AWVALID : in STD_LOGIC; s_axi_AXILiteS_AWREADY : out STD_LOGIC; s_axi_AXILiteS_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_AXILiteS_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_AXILiteS_WVALID : in STD_LOGIC; s_axi_AXILiteS_WREADY : out STD_LOGIC; s_axi_AXILiteS_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_AXILiteS_BVALID : out STD_LOGIC; s_axi_AXILiteS_BREADY : in STD_LOGIC; s_axi_AXILiteS_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_AXILiteS_ARVALID : in STD_LOGIC; s_axi_AXILiteS_ARREADY : out STD_LOGIC; s_axi_AXILiteS_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_AXILiteS_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_AXILiteS_RVALID : out STD_LOGIC; s_axi_AXILiteS_RREADY : in STD_LOGIC; ap_clk : in STD_LOGIC; ap_rst_n : in STD_LOGIC ); end ip_design_nco_0_0; architecture stub of ip_design_nco_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "s_axi_AXILiteS_AWADDR[5:0],s_axi_AXILiteS_AWVALID,s_axi_AXILiteS_AWREADY,s_axi_AXILiteS_WDATA[31:0],s_axi_AXILiteS_WSTRB[3:0],s_axi_AXILiteS_WVALID,s_axi_AXILiteS_WREADY,s_axi_AXILiteS_BRESP[1:0],s_axi_AXILiteS_BVALID,s_axi_AXILiteS_BREADY,s_axi_AXILiteS_ARADDR[5:0],s_axi_AXILiteS_ARVALID,s_axi_AXILiteS_ARREADY,s_axi_AXILiteS_RDATA[31:0],s_axi_AXILiteS_RRESP[1:0],s_axi_AXILiteS_RVALID,s_axi_AXILiteS_RREADY,ap_clk,ap_rst_n"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "nco,Vivado 2017.3"; begin end;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_6/impl/vhdl/project.srcs/sources_1/ip/convolve_kernel_ap_fmul_6_max_dsp_32/hdl/xbip_utils_v3_0_vh_rfs.vhd
16
168945
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZYOr92Gq9ECZuY70EjBXygq5nDSjp4+zC2Y5a6yqzQeRCO7H8anrBdU7aQydVRvTwhnQGwrIAFoF 2t2SQbzU+g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vs7yxitOXg5+ZTiyQ8kjvddK/VYkW4Fx6qEWlX3T+U6Ay5Ft1hXhf3YCJoRdSW8aE5PRV+viJiIy 0xh9b9JVnUpUZdS1FR5PcZZMz79HFTcmk6IqmtzVfEE73Wxgs7h/EKCrEmJdoWZaNmWoZPoQ3i/3 1+s5bh5+euQWJkDxi3w= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nipWPMb/eTjvLfd9KLpZt/DDW0G+xaO0DYUj6snF4DETt3xIJe3sWvSK50iOhD6Zim9XyhO0s1lH 350uEhcNoPHH8WwC+KvhBRm8tQBKKc5bfxVXS40AHIiWGcdYLrGMUagWCMvTGXVmm+VcyMUdiIZW 2kZoYQySogX8aw6Mc9U= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block uXQ4V4PLJs2toPHv2Eo/G7oRjXjJU4P+Lm56f/50svghwMYFSxK4L9/M99VvmICMWMPzpXtSsGdh 6FGXh/iro71XVf/Ahk8U46Yu4/mJDO6gkd8miRf/PiKd/rwgHtMMLk26djT63Ki1OuU5o9NbKIlW iL2aRlrg4qkSOAcfss0I4DaFQeoumBumdFHwm3zMRcO8JMjv0pHziQrRYsIj8bibO8eqBe50c8F1 H44px8Ap8c+WaNy3G94pRHlieAE2xV/FRXlTdcZAsPtLmQR1vWAjgqvQDd1mCYyfStEz8xO6eqqL UEldaCdQM+8YVaY6HOgB+DvSN9ybub51zLgFdQ== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a6OGA02SNN4JWHn+1O3SGnaCrC4oN2/Qt7lfv5WRDWRk9JvBl4dwVuGewwIYXMLN2tJti5XgOk9c 6tfklhlESB1HljvSoE/y/DkztAx6YIcWU/J2dfk84bDbxcGRtkMwgAP6LVPOaUBZcHh5M2GR1kQO NxYAsbzFejsgqUQMNqlO1sX6cmgkcGy4AcZ4vCVYhkzZWAIT3Q4sLhimiQyEl31piUyk0f/6kzY6 QaxtjGsWR8LlAhMXzTh8WWNb00A/DBh+bdE3gPvEPmeOP1uN7GuamFt2Tx73R94QZrb6D2d8vD28 i4bg25a+49CSqDTqUxZFRYXz+ht5YxdQLuQLoQ== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MiNuJqySr4DBk5dXHxJmrCfBEmcsuGTZxtlSKJJkcuq6Hptr6AoGog+m+AvfyodH1I3hD+pRuGEz 2Olohkd+VAQyhPpmAvfO/TxfZ1cMliE6zkxfzW/cQ18mLKpZ7AsM2HgpX0dGInBflcbe+3x7yiey S/37YPw8Rfxbs9GXUJEp4yWvzAyt3H/KaUth4GQfjoR3c13v9J2bMg6RD1VS5twPb6sFAp5xvMtw FvNyaxqXn41iwRq/OLx+/5TeXHVV+ESdAO9XJlFbaef3qGWWzGQAerTYySDcKcr8rw1qgg4mGLv8 VqA/5Na7fHxJO/XyUqRdNpWkUmdr40dkw48ALw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 122928) `protect data_block 9TZ46BxVWUfdRYemo0VggghAYI1bY8CQW5OZ3cdsU9X5X4H1FVgJpewB6QWPbOKg2rVT9WU2AfrI hIlTTdfKQipF4CdRTytnTQ6yxlIy82PVh464bFpQ0atBq2vtPyoPxOYfDNzJRoO+x1TMANHkDO3B 78snvyTxQPL3dL6bGZvMzBGe8iCqQzvFONJri/jDSIcCt78bMR2rqyhSAywjTvF6QAe5HAyubuKi vn1zM1VoDVqMi7BalPDRsfXKolakFS8uqU70yjUBn/liDqhiq/ZDJCZLjo1i/Zx73AAT244f0qbK 9sKyY3ERoU5cLHgQoqORxjxt9NrhaUALqYtn87WI4mJFjpKDKmu7fljtwEkGvBITQ12OFijpSS1D YdEU9YZfGjf2hT6CoUcevu4r7BT0aSF3fjrhO8b0vcEB1T5rQzXyKIbFzd9GZzWKOSrvx5cMVVzq pvtRU056JueNZFFY5h93T1x7uw1jAMoDc0AIvcl30OkLVtUl15R6WSyEMQfc38Z6+pU1le0N7Vby mKUgLyTlEBYfwHMW7IIrni8IYsT8uM2oZ1X9KCeiKkwTuIf0JQJE1da8maSKsSnwV5T0xj6QYGzX FCK6Ip+rYlnbvN7oHRHbnWYoU3D4hQQI7MXoJmQN7BmE/4XyZDmjlYxUROAT4Z3Kq8FbRrcIhAl4 yZehpEBmXk3ktLUoWsQ/5OoyPttf6CqjG4ciDllGCbNihVYXMCAs8sjgqK3rBaaBQ9+X3bS49psr VVOR8qNxwMdnrlLnZMa0aHxK4WoLcUHPIx59cDCE5168kUG8WMmimvSntpZsYRaFQyrRi4D43rHA s5RDKyoNGN3b/KWWFrT8iH0pYU6OZRrJ32DdD7YKr7qTEY8dFIE0fhvVYk3xRsIbRR0RCLbH7ubq z0xmyj61WCZq4eN71th9Tz9+az52hvcpdOab8IP+pu43JmJ17Adv7XsOH7nmA8moKADZJ0Mze7aO OejXwEoQ2hEZCw+HPnsKcycyuamo3s31F7iy7X/32RVgj6SSslFWKDdsXR+o8XSpoPHjzAtRRgzo RFgronLSEiYJ7G/oJ04qcjQR3gyRUfQEKf7PMZutlY1rBoOi6pkVHEfffIKPtq6Z5Jy/t1ozpmlj g9ArhIDrk181u3iK/0Xz2kP6Z36HtPRz8ozqDIKbc4zWkYQBtaiS/z+Fg78Rc/VL4tzh+K6Xleb2 LV6iu09SsbYpePwybhfO+bB4bHXyCRIeSzvEtmcmdQmfztErCR550Uv0mytb8XbQE0GQ/2/SFPEF Gitp74wepUI06mQoy9YL6Yyf0thJURIdpEr9AlennzDq0Y+vH3qkBb4jwgDMmJwPXs6obw8TZHBx fCj7GehBMteS5GHqMaimCYb/2rr3OmgVGZhx6un9R/4h9ro1hat2GudJx7XrxHeM/luAfubAVtWK xwu1GZgYz/rA3Rus1fgGyUs3X1nH3qtOaE/TnX286/kK8ESDlsxEtMSr9V+0JSO5q+cuvyyxr4cu bFZof7LXRvJpApHfRvjl/g9r4gPuAeO00AFWJoS87S0i/jnVBxcyKAUTyaR/pO8cTYEo1MI6fAKN intOZv+YTqh5K9b21lwXaPx/40tMsJuV/8Z/ZORKpAl8aAonmGBKo0gmHgAmwsBm1d6hC3YegP8k SBPXgNgePcp+0XX2xQ+/MEydlnsql1AV2bDrRwYoTKIkGLFqkbEiNjO+33JmCiWBNWyqKBTBt5F1 RWKznWZOoiJtDQh3DpbSCW0VMZs2LEqq4vmS9C9atfn0kfPjUI04Seyn6D9kmO+Ng5NNWuMQrVyt CgSjZsNjMzYHH0YjL33HxPZlt8UDWegzXLK3bs00UQnsas9m98N+i8UxCylKvWGWGr2l3lJ2tFQa py/dw4xJtwIKhTkMbVNDFr8duCySXiEx8VCcMIH8ecHpKejAGF9Hxmo7euzwidIEVr8XETZBV73F o85lJB3ye8XdQwLxgD8fZ0zxhkuR1Qe2kiZi8IgJ3BvGH5VTq8CnosNw9hZ2/RzeVVWvnMSt6ChV BloBlfaK6RlpBZQKt4l3sSd3XwKLN30SwAZPnfQjlPv9cHGd11Op+EKZ7LszdJEeK9O62Ft19Crn d+VcW1da1ChJv2d8T+zE+96tnrlYAZSoIbyqk6OpPRKR7rajV5I6uyrj99arKpdTFBDVd8+Jf1i1 yjcV+wzP+F+leoV/fe7bRpMLWcb+2SLEEQsrGc342lEesRzKBvgd/TPTMKHMRb3IaLqZ4ARjYAWz qSxeaYEZEtKJxCXEJhmAeOPpwd/FVhzvSzVXeBb1rizChHgOUujLDQKb6mwgGgIVhkycr8ubwY45 TS0VasQLr4g9CjbCIOktssCEo2Gf4wIy7vSRTrHqrmPHkMxEvzyMB/JVS6xSfqdlfPKRAxSTh9lD rShx+DeQVtx0Yw7ekUZxXVty+088p/pzlrWurum6RIvZ5JEIUaTvgXnM5eiHgCF7HTvbuZNuxDzm fDCUo6Gymt8l01Da35xJ7fhxvQhNe0rY/C9zjDdhhuVUZoEZXtUhrr3IRKqJAwn6aWfcst7Av/zq nrhEu8WGxInSjGYIQFs8qlym0SK3h9vbZsjsu7XKTUsP2r+0oEyuwiAVEhOPr8W3xGlNUj84Qb4g p6dHy9RoyYF9StckBTF3iOQ+4v74p8FnOEhZCnYtTE0ztx97gKLTjvrFgCJBe4wB7TGr4tr5tkYB iZJ835vDSkzK+pMCyJPRTHyoeufOZpduBOixEvGl5BJ9OwyMAzHG6fX2FXkqV3y6K1luYAHC+/tw ORBTFN1WyjRFV9KIflVCsSw66xqR8Wn6rziy1Z6wYzdnTvuzJsFvE7UJaDQSYQl0AHNeeMT+DnTm k8s28e01pSkzNae6rPT/yicD6VxWbp3NO6qp2ricoE2CQu7xd/RyA5dCbVTesIBEuPmIWP9UTiQb 4jTeYwK7Gfz4VpzaenPMCwBJIOI+P/WFLaXevhKx3WMGQjcsSZTEKr3pRaAMXGPWAm2w3VFZwRT1 zyf/wbqQMPXSxjDqHAFqtvhmTTxAqHESm/eTmme6gSjF5wXcBVuErDu3foyImpjrLKVX4aDjMl92 QeLXNmdCl7nC2gRvydzAgGF9DqAQMCqqQGHTQK9o8X4YqW5z8st5y8nqHKyaQItM0aNw015yQ1oN 5fb9L4c+QWZar3rsBwCpNlmHfh3P0Xtor2gxQzj/V5MIikoL8dIKvJYe+OiWV1igSnngF2+HABFD lZgCI2HJxwDDQqbdAnJqq4daue2Haxa15C1bJ0Kg7N9vk/srFeTNKGlCrGx4Nb145iCmMuXZipvd kOcdkR+FzhWV7+0tPYh1GhIy4yV1808hUS5UJf/B9uQd64y/zuQYLr7J3Od9gggV2fYQ6LTQVct6 qWR3KPL2TRsgwDbendEqwxBWru4iTfwjJwIy19Wrlrhug9tkj3tbLRI+pimqwD4mIpjEzEogBZrb +3/n2+yruc7b8ML1QjChbgYDT+LcK821iyCrrlP6kYE5628yfxqbkondYi1rDfPihKw7bNAJxmCc s6ElOxrMSmNu9BMUF1uXMNbrqyCud26cEDvNczNba3FtAibe+0VUQh72eBJm6aUjhWgycMnuIrTI XKuldfjyzvT7pKF/QWTkI/p+Ny4ica/NFZUUT1E2GBtdZwBxswVAt+gouDFNHt8/aHFl31m1V/zv EHmDduFaS8va1JUnDa87HN241W4g9gu4YESm4oIbf7v8yCN2AfL2jweegwGXB3lN/X9AyV+brEAK tWlDFd0JapwiBVjXbiUurk2CQ2MdW+T1e7zRgTPbEkhIZHgOyrd9iE3Rq12TRqSMI4A/ugqCK8mZ 1mRiTB2jjRBIiGwkUO66sSdoenwGbi8+FDSy65h2F+/pI49atVKb4SFDu49tEj5f+8XSNi3tmWED WhU68TxR9PyZEj5MwGJaVVHFrc/neigaVLFY/38+DpFi+/HZpeeLpjm3R5KjHqcewUSirDvfZBnW +mcGep+nylJ3ak3Wvuyi6Oqfuj7+hDt/16Xlh08WhzYzjsvkEmh67dlzsfSC95f/6iP2y5rg2lPC 8TGPByzBASVRRDh16gT+2iZuzNkGDD3Ou+RGNVoM6/55aGrjvUCs6LAq+kXbotNwD1+oBeFvJVcG 1+sEH8KzEo/9o0E1hmvEBqsXbH9gwt1RuHNsJ/86pbwmQMBTtMz6Qa/KlwazsA6ZHusxZ9kYtcA8 ae1+Ch0xkVoBvnTMr1mRFacWKTxTpHOG+y7Vr7mFJA4WF7v6cu30xywYNurh4eJHvJ4g/Chmak+a 4Yw/f9b8+NDc8f+Y7SlELU6MlWA+0MivbJ4u55h9MhhkR4Ryz+YFmV69boTp0ZyZ/ywpGkuSeeWx 3IuL/ThZFQxmGub7QUl85BGS/MhGTNg4WfuLDBI/lzcJgpzqmTbVTRZhfPokzbUxr8YQsEeOq1OB iRrWu4NnXhqRYQPLyIt41C8YXfGqHCksegiIXbPOj5YdhI1aRaOVGm47ehRlpwL/8Wh/tMhn+eps ELG+G+b/pKW8ec5x05N8jxmcOmm0MUFZvdbKNQfHJ3r2bk03wtTc9L80w4QS5S2/+7T7cG2rX+L/ lIlEk3gllQJrixghXi86FysnoaLCIL4W9ZZhIUU7gecvY2KYlCTIUREdLzD2fAoHHlL6UnbX+l3S 9YT6WSrVa6ilxhtyQ6dbguNBkI9wGJVVVer+tl5zTQ0zUq5DQhv6moW3PuonPVmPtc5cqSCFBLvr bwSUitzxG+7FFNL6ciBJow0R9KtCoF/fSjZ8tcn+g1beZxfI0pLwD8gAHnYkdFMNqWEg8gbTB4/C TET9bDIT/v+tpOMt6mvrpdrYVMyg3l3OUsp8dYB4/RQIdc1jLe0PbeCXLPZ+572WyYC24HMCYQ0Z C0wYVF7Miay4u/5P6g4xv0rYG2ZSo/ONXZKehKgzFf1ajMWa63VdajB4x9boG0zCibbX4qrmnekM 33ZcJsUzzi47IOBJeiLBgXk69gJhGZQHpt6TVZDaUpFRKZbKxHXhF+xZbRqAH1u6DVMhA6tyIDWv S4V2rkiS44PzQl5Egf6Im9/O3EzXA9Ie6sCRQuxCXYq2l/SKxT9NIz9k7BB6/0rVxrG8guUgLobC 3YnjAhfXvpjVsHBeifCGA4Y45+0Y7yAn4Z6KjuEPaBMpsraQqJlq61//MRzK+aVJGyXTfyjlmS6B ClEoJmWkCmoRfi2CrkGS0ScHexWbeNuSUkR7hQLq0gboMpVUJVKS7EwZsuKFdoFeuUbWqejQ5ZbD hDBBVLujAbS/3aUf+x5Agg/d9mR3CMsgED6+o/wQhMINOAupDPTGqa6MG3R9pw+NEcDi+9L4gAH0 i9Oth8Ydylq6SEXciRgFvt4M+yBu7r/57CxCTdunTvQtXUV7iyEYplUOdEfMzs+835AflxGmBOGZ dt6+2oYT2zxSbmWMCyml7ZdIlbkJGti+EVNVVgThn5fevU/6WTS+9HcJmfW5hsQiS+/s2LyLJY+D iMSxXz35CW197OkRCpihhtQcL0tePwjd7MfmVjhcWGvUQfmEi9PH/aFPfKR4wkjcjMw3jLmcKVCY NBk0euq2Rvpdxd3PLo8RFdAVSD7NMOTpgD9HsdcF0xr5xe3wncweWDFZxFOJnIcromWYKfVRI/Nd s1QYv1UfHdOP0NvhufeDFQ/cVNdhGwHbXMhU7VCbOlIQf6gvRRkeG9JBm96AYz3ImrDauiiELvsn Q2khuzP7+lA1tlmQ5GyDZ2UAkZFuQHEt5wrnGpSTRUEf2l6cPrX0DWbEata8nzSCO9aOcpi2l7uf ZsMZQL4fRPNilpkcQ9Nnf3mQBwu/yjnvNv/wdLjCLeT8YYW9qZ6IveBn1DUxqJqROfJk+Do9O5h5 2kY0F3rbdGTMvfh5J2X0e6VROjh6ePG3FKGeczQI13LSTBKCKBsoxYynADPTv2qqkDMzjk6c+dBM Z5pmBiwEWbbQt01agKh5FLupZkU9zhM5DVMUwLr7IkKOCpdycBRHht1lHe7mUxw0Jp8/Ooi9txIs eSSf1jVrQJXqA9ecIHihusb6Vc9CfILILHIohkohWDNu28NkrOscY+ZPw5TmBaCCt5LH5K7w3GeB HwCJf+lf/KN9uzgB8Xtytw9hDQOG/UbcT3zqPE06nD/DUACusvanptlVwyRxRDL0QSFhEVgvVZIS oOoQuaUyuMsGy/m1e8fOQup7ds8Xjbkkc2eq4s7HawTg5YCXgl+Ea77GRQV+O5XOwtaW5CpINVQM Qzjbt/uUmyr7YJAv0sixZX4Qo8apFXbRlv6C6f4UutRcZOejOuMCws1Cznrd8UXYAT9m+7/29yhG JnrhpQRqxa/dv/LOdL+f5zlYwv6T/ShPgWxvyIvLNd0idqaNW0WQekaBItfqDv5nNOa+fyKO8FO5 SXD9oWwhOkE4seuGjWx/5+qQtkCEstRgvD3bWxmzgcaXCRUIRD7GLbDQUUQBxedyioHR8Q4uFaD8 EdJ0wiIWkpppFu+74wAyeAtuAI3poeLz1jsyAtC4EYdDYIDtqVTjIzh2YBafix7Dwl6jqNvtYgOM CQkHY8QYUSvAjAJD6tfa+PbVEeQwhMc6Y11QcMq2k/V+h31B0mJFTnt1qivy9/ck6UF2A4WaHXH8 09e28UlKw7dOOt9E1R4TOVAnmiG2yojBZuS12vrqRxgN10xpAPWEh3yKr+HLmM8N/RwNDFX4JvUY e0f5l0Cn8LzC7XoXtVck7HUqF7/+OxaPhnsl/ISHUsB7CoHr7qhkjMCpCvN4xwHYRXi8Zln/ogw4 55dBydlRjtJ+bNyiIHzdlJ/fa4JUjzI2WXHyH1ahydpScuqbFLlYY5xz2ActVN1QynOOdHlxZ8Yz jx9AcPG4Xo3hphSY4e/hFJzjU8etSBfkycrYZiD+fS6AUbAMeT5vt70uqHeeBD/0uGnUASvOxcxt KtWmzB/TcWkHKjyvwukhefme9W22/RGJMkFqPbIbZtwi9rjzYJ9J6NC/3WO5+nEzzZ4BCPFR6dMl izFhFaH7mney+cWBFJcRR6cUlEYi+nAkF/v9Sc70opcL+DEUWKxiQykodm8V62RNlDUpaly3ajJp kcDlOe6M8H3+apYY9rXdM9ci2gnGPRSC+I9BYMjRKGP5sfVjY9KdPqOY5PJLB0wb1qQ6aPh228mb WB5x4465wylaqxQj6aYj1v3p2F5Ajvrl4JtjHYZspeWFTuemKNEZZMLJKoqAvC3kVFaQfRk7aTUO XEYz4AzXK3/5bFCBUuSvkxnrI4C5xX+2Q67N9vYDBUSismK7SRzaA7OLcFhYoSnDWyVgRalqL5JX V50MR3sRMSp4TM+P7RmlFyTJj5MikmLIiCA8bUM9mikN409gz8pd6iGYwpCQP4tacEx8Del+VZ6E lPWxncFDKpZQZGamd4ytPQlG5ohv3znFMwduszQ8I3YuZF74x/PJSfg1BeZjEwBoGqGtKY7BFxDw 7ms1T79TCWL9/8O7KGEr9gr4z0AVUMfxmagK2/eRyyJQwNWzjuMZ2eohM2/k8ktc2B7u8VDuOUZm zm6xYzyeEd82FF4QAVdmFGjz8WhDNQQbU3RSQF9X94EGwMNeosj21Gf6aENTptYTwf43uuoNc0BA b1cxgGFG+p9ZpzY8m91esCE3YqLMztnPMQx3gYYYBicQrssEZ+9MP2cBdMOLhSvD2wPJpeZrvn2C P3BHMjy80HsC2hTaMBWDEIL1/0fCkrhGNwNQ65/kVO3V5mK3aeOsLZqi4IkSo62ul2ePuJ8cp+we UVv86PbOoW0VUuoVAvnyWlVYmbAb9i7M0dWS2Ovs9ftP2tDTUl09CBuoNcAaReHAY4kVtAVUy05q a/NVwflXA5GHzIoklKgOU3IIk1iiO2xQGmZc+ipDnqKdx6vEcNGUfq32/jbRORR6HUlU3/D1kyjb ikvbkzstJm0Q+38D7fZ+JpynTvM0+n0mMxt5yElnbFe66LCbkfDMYAbm68vCYj8RfIq4XwtBMtXo SLoJPbU0PhKI46OLgllkvDNcBYEXIhXiUF+JSH+eFxMhkt3j/JcaNLV/rKrAqUgY/31zapmfTjSt UomfXDPraU4ciwcqkfnf+RxgIeY6bG5IoK02Ljsm3WsjPTGJjtPVKtu99oAuPt4B//QB1T/w+dff NPtE6iEzaLfyVam9B8nT4n4lm3hcS+Sbhs/S+cX/sQOeTv00hGx8iyq/ocZ1h93McReYiIQLYbRr yHzbVOGoP8lNZKFXS94oSvDnqa1npa3ir0CKnwFzHRuPWL95pRkaZDwllI8S9Y2IUm322VZNfKJt Q9B8gKIbk3ytljVwi7utElTrCOrba6RDmvTOrtwwdvYES4uTNQpg9RC3h/Srxcbomm9MbQtSNhFA zM41+iTXDTtbRC0+m7FPDo0FPgPomH07ZFZBSHz7sUnuAC2aw3T3avYGGy5nj2uPwOtb7Ac3ZXtQ TIhxWDwJjxJBxtqPl1D2JvsNWI6HUle77/BOQuXUCDCnf59S+lprayzeF8atx5yM2FSMkP00fDXu hVA15flMz5+wuyaUqu9aqTS/V3UzrgskCq3iQ8/cklz4VtGr19jgY+QDeoZudC78vgSpEepmomzk Jxi9VW0zq0YXam0IehtsZg0XmWG3lBRBDpl7WkBOJ4FCVyq6v1yUe/7oI4KhsEaRmMTgPIpY1rxe wQDGurX4IaVSFmkHaUqA8OmCu9EpsoKGjEGB8WKh7vknHmXL6lEkE13/J0M5eUJjuoTVqLxEwWX6 1CL4LDipXs+uRweaayVgvD1IXZRudNl4pRm9W76D6dFwa5JcUw9MdKUWVnjcHIUFnxorASi9GG+q ifDzDxWVOfDP0oNaWgQQzGiCk2QEOIw09wtWMglKyTFufE8gqEu5gkDZo3u+JdBz9XBQXDFYXb0n fSfoyuCTBe1HKG6eotDOwI+rKMZXZudlcu6DiXYOuflSyHbYSIunCShOKglQEaaqUD/edPipi/H6 Tr/CSnBxRDslaEQ8z2oJgCjadkRdvEU3H6EpHmCgdefKUMB7xixHqUT6LYnVRLuN1gMFerltv7Gq qPajEOvIRKnL1CGgxnD30l/ywR3twVsNNDfQrT/mEZg8vVeTmwaVwLoZzBuDSMWKy52c7Du0QT/2 vGjuLmuk/YhVa4PgW+eKrc5NOAtOh7IqPCaBeYn0YsfJPpCLF17TS5MyKwCcTvmgCc8JkQZPBq/t uMLkrLJaHtbHiAe0P9b4NVXuXucYWg9ag1lc8Mk5RTlWqb/TZGm1g46hDbbf8BnfGPxn9xpnpUmO 7rCv1HdukVl8vSgF8goN4BLFmfGyRkuFsLrz1ly0HHtbHLG2ljWXo7V7kS+mRI+pum5jAtja7z8W CDLP7mQX5uu7m5tCW2Eq734E4KsQ5NM8sG8NtF3yn5mkKLBQMldUett/dVCYR67dOxpe1s7wnuWM IACZmRvipnWu/Ir539c/8BFvmioxh935OyUY5rE+WY7I27WdG3oWoU6cJmn/his0OUgdZAIRS7ys mjN4pUoR/DLZnQMgGSpahMlTdrp0lCeQgBw7qBhMAulVOnJTK43D81cdhFjaXuom+P5bUUef0UIU bnDIuyZbxeJMRY3nUa3OB2jQS25FHVcmDH9jA+ekRSfd1uXkD3949bCodx3rSHT851vLkVK+WhV6 qgUJ7YmWNVWEm9Ci4EfUa1J0cav0KhRt7hPdpGE0ErYEwSwXZWUZ8z0MKel3fQBrF/ItOu1TIZE/ o3Yn5QDOq3H+XAxwfpiVwjYM0npiDDa42Mwn1N/94uG3GGSTzwi8jXXy1XVTk6yjjLMbBbNyJ+kM 3VOfKGXLQsOggbCI2qiPF9T6uEP6jnODgPHCMGkCtXc7DdH83aGlU83aqs/vs1kNRROwWMozIosu YeKOgwC5ZMkWvsPWY5mOilA6ZcBkFHcTjLxs0U7rtGXzsB0WVx/FsRM2cEzlyIxc8hbLlha4yq4M ZNFyo7IX15s9iOjkYnsjVUsQVcapN0Ub26woT4FsmqvHUi8zDUxfOfoORhYm86oAp84SLjwbhSh5 sVR0Jg70t1I+h2fqSHw9buCi0DW/Ed+f2s94Z6qPgsylB7CrSyLDLl6wZWLWC4+tG1SOWfm045S8 VQnL0FKghQzOr7dMKpsFyMYcFLdsUw453ETXOmXt9EQhmgaM/syjUL9bHGtyTnX+B3Ao0TzBxsbP 0pMx3Bq9ekiBvKkveXcvzOUzHvYzjg1EcU0WZiUvTXv02OAAXAv++YHxHaK65lcnHpLFkbxj5pwT zXu4IAmaQJGBvKG/A9Y3CPa8+fwRMu0b9puLqKQYtXeYOekthYilR5/M5zCK0rGUT5mem8W4mtaU 8g9YQtT1gg3K6usFshfjpqCI+Dd+BceNcmSocvPf29EkGRZtHa1JZtve4k53iZU4sroqmfeGEBqQ 8VPx4Cyjp7oZO/0j+G5P4+93YAApG/ms0qLEKvHUzi9AtNmReDiAZTQAkkG9XfaTXC0dSMUm7/lr gVUGsCcXfMbnacwwzZsqjBcaou3CdC9bg2lTj1Zz9io5AbJTXLIS6wve3/X6OacG7o9l+EcYn+AX NDW8zbGAtmq+j070SbVubg5/zQ/6xsOws3Zz1pHaTFwgxnoIZK8UfM+z2YCwlZSfaX0f32BqfmPp sUr3uhIa5zFfk4+HkLJLKpFdHYukqul1weycp0RXTj2exuMniT+DXHHiNSHGM0TKG7nBDcz6uCZB 1vCiWb/N9CpsC+IzQ7i64YIA22iKDJH5YveYueZYWCHY7XEQWxS9ZvhbknzfYjVHEhJ4ecnSE+ik xK6mAW92oV45WC7Myh20qluao30YSCE+gHH3FIq694gvJCJ5azObmUaMZYOVRwcXVAq408X5/ulE YmkV9DkcocZv5uTVdFQ4lndKI03ZPTiBS7OKfWSm3S6hWhV8mIk4/3cr3goO8+A0er+A6UHd7BlG Rq7zfe1ZKyemeEpAfqfv7fFZ031C6X95Dl/cbgKVfDcujM1HyanwwN7A1Qps3zO69eALAuWRyp1p XXlnM645ZKrwcUTxnHyPThtiT7vGcQ0CHzg8YdCQpGbtKzy/wp3ClkhnzLeiKfS7S7wLg5I/WSlY 88P3V1Utf1YKdHxYclktIwvjvuBxI/3yvfC33aBgGbfiJfRG0b1tLqCTsJW+fUViF6J0ndCSWkx9 tcMVsca/oWqp+H5sxyVch3IKRpQGOrJSzAcYt/RppAVSbJB3tyYCxlixgZS1l8IwaZN4YJKNAyiw ImPTQ+fi0sVQyA2v/l2XJoYjj5/H8cTSQv8rPTlJJynWBXhMi+HCe2SUu9jEmmxbRNVnqu+PwMQb GYK4LvVQ+lXPVjbbYaL92SH5GFnkC9p/bm0zoI8tGjAq5veGNbscznPRAJAocPmjna4hnoPLTbEQ lpOpTmd1lcXGXNOLH/Z8B8j4jimV2HcjV3CfAfMTktikhFYjp0IhW5KfPDWVJBsAkzZbm4or7tQY buLiOkasJ5MH5yaox6tvibh5yJ42Nt7kcDbcwKAQywW0qyFECz9k49Ie4/D1arlkHf4zO5gC4oLg erv4EA0De4f+LB1Xn1k6SFs1TSWQxQ3y/u/6KjkXKZzYzqKgJ1Xx6GIoxvtSpzX7DWK75+ugpLm/ Jt3Bi41WrBRXyxOLChl4yUOioRF9nOw0qCxW3eWGr5PH35kSXYae+3NqJqBH/O2Ehg0CeLrQsFBl LV067JMEHpYstWBbWzS2hr19a2mg7DGhrttNOEzNQA3zVlQGrP3Mma34arzDB0G6nkf9aQzjlZp2 RS8OKmzj7iuvt4Nwe9h2kzE0PichoZP6TznBlN7E1uSyMVybOz0H+prWSyKN4fEGobkfonqo8NGQ atsxrk9PMo4EBFKOLCeLavhgJvqgRNVxZNXHZ6qPoJIzoDTNJSCRdRB4Bo25Yy4q7h7yEaHJPjml n6889QRj+v2rcTht5PnSsI5nYBDYLX85tfZ2b3RRoYiM0My0Ov7JIaoaY2WVvFx0UTy3lBDtuTUr yZ3mLUuyMGT0J1hRMgNx5MFnMNyLMFex9jLKMAnTodXToKGrm2F8i/DBVYhavCG/Gb7oatJC0UUV qXr2U2Kd0Ub13nBO8/QIyPNjpNN8XL8PEwFZA7lVwkuyKdJjvBsLiP9b2CwkrbibEMkAwb/eZtAr 0IiWWl4gnfZWi5UYdFzaD+3C3PpD3y6xgXSpjrived/B0voG1KxnPcqyjYj03hZZkbAk+zluw5O7 rQJXiB6RKBWo3y2j8QoH/lEFlgFEPPn+kU3C44kBhq659YeI6/LIegGyZd9N0TKDQdBswguXHppb zz+t64cX6hKwaNA32wbkL2aU1kdZfIhpNsjUHN80VPcQbe438R1sev+9d7d0qklR+ewdoF/cDXzS ArnxDIRCETNjzUwr33D/RqiNG2jadqt1/ZESpE4SAL3+WscApB5Co7vlp0mSbvO7UBDMONVoEnKR W5lcZVshQWKiaIrGYqjktDOOFZRrBc9Vua5gSsR29LcKCp91RLLWNbY/nZX3IhpT/pPc5hdFRMuv c4s2Qo6TOqLxgdewSHbcM21QuxqlwcDhZPyD7O0lavd/I08NqQHci5i/qLbBYxghDMMkDLO9mx9G bnhyAO/YccVzLVrXaeplPYu6Rct4bdV0NM3ciednsHO7U3S4x5gmCiSbci0yv9l+8FwYLveNgw7d DQ7xakvuxdimZh8iDD+qh+5UZo0rlv/58zo973PJ76STQNqd/euDRyzP4EfdosWC/Giv8j3iZLbV naR3srJ14e3mDVfkmermy1ECrk0ipCctteIF/+Vk2DM97bUvzd2hGEKT0QZ0lAZygUA9oGs+I0NL O+4pl3DFxlRpRJFjQdVteMZgY8OT2aXC6rGQabpXv0CskGXGR1PixZaIe+cDVp9FbHBxj6fwBPkU Lb7ZPDGmzGK0xcim2floLYPglcBZxRXL2QwGpI7n+ZFztrQa5TaEtraOQwOZGWYi2AY0+tUWtx4r SJOU1i3vWRJxok7IAtnfJGasN7eEXGcPj3zAx7hdd6e23EH3gnpb1cciz9uYmmyfcJ/bHkId0hKV mJUcFm5QTrwpM6PUXuXh35yAiH+O4Mwri2Y6U0NxHNmj9yKhG4dVa9tSNDKyaYAbF8TmhHyBnMjo hKo8uUGx3T4xqJ+fvioZFL0bzBmbamH02Y0u3UJgtbl5VC+6OYqSNddYo78IJw5eJSGEStZUTdx+ rJzx9RD1pHDWXRktNX/XDfG6menp3cXBNe/3YhbZuNnH/0nb/qP4jrI3k8MNXP3RHV5g025gFnkt HMdUeP3i9Hinx6dezA5PHPy+lmFXBUCpmM/EgGBGuoEXnmXiMGEmZtOtjc0QcQohazm3/tKYK6KN TK6bq1C+xtapRBq79T9U2H/1Tl6d1gUatjMbAoE0/v9he7RskPdA2ubBayRVbrHnzuKeZpxv4/yx YgHQEmXhgGV9AKVPlI26TufhFGaZutWQoQstf2z3cBlGom5xEaNytnqFC87L68ij8GWf7T0rSKWG g4b9f3GsGcGaOZrEoHnHd4TvCbI3+fHP79+1+CxK2ES8aYqiJq2xxlU1viMDZu6weuemRqi9FVP5 rH00zy59ZmiPy8nJ+2RJd04obldmKdrpJ4UBNGnTKL9YC6JGzwzDMQYfn+HTNuz98SFxq5vvVLen 5kxi9TBgVl/Twly0Q0CyvUD4aniPIUrTprzzWSjoDi5nbnrfoXh0qjPqo1GjBBhqggj8bEo5cO3T 6lHcqMpzvcwMG/6TzCkL1zpsHSvZHKSW0BEJWMqgnMB6tlK/58KPUkwCkhLVkFTJ813o4ntZ+X/u BpU2IiDrp9bXoXnWU2Fe0JrA+L4zszBxcj4AVRQq6310qm71TUxJmQrcbHn5PSibg72wL1ost9XY MqVJ78gO5n+UN+L2uTkdgQ8Llycb0l8PiQHEFTZnmj8SQxw3CRfpo1jp7GVsAwL+LhcqGQl3IPJ2 0THuT1e5L/6R7XKVAC/zmumKVNjTQtoV+TqMAUI4zIskFDszTX4tUChBqPkzCcp2IDAnub+hYUxP JERh60daJcEK1gU7XFWuZ2c4vp2jv0lmvKREgHMLkkiY0s/VFhQaLz65KSp9bW9Fid3knh07V87k oLpVG4Z4I1WIHuKQqHa8hz4H0MYLXNHJh5ZlHo9o3iZiCuVc3XkR5cEgn5Oh0PUCvNwNcdsMTpUD AiN0SF7o7y5U3qGgJaexbjL6Yt4KMFWqwDAUXisu/T3QxEpv+LHao1vQOLK1zm5ylJchA+4kR6Kx WIu5CFliWs7I3sQI8PNSfej/tMWq5QFq1a5t/BL+4oGPEYO/OYRY9wAMUzUdcKFvDIQNr4wKS0FJ dlCB8xR0iSkQhNwslgwdAUr0VDa67XDronanKd+ENYmytvzZs3xRL2deVSXqp1Z/zKvoLsh/YGRi oiKNvKiM1ty3CORxl2oZ5H2M7aAex4GJiJeZ9BadKuviV0/CcuLosTTe1rMhpcb3/ManMYD3NZoa uMIYC5E42qrkPoUrDS/0WT/MdUnSzSA3yfqnoZvg6irhlGui2KKrK28F6VNxJCWw1EFWXRXf2MH+ 8WlbTc8Fq+OWr2AlOTUXge1aQ240yS8MZOFn52IOp31psn9nIJ6rVExU5Ia8jT/TJc7RMaj4tZ4T VZBftgouEgpNrEthgvlUjI4pnrE+rtnzDXgPYx0OCCaYbSBIPElmZ/a3DNf9ZTqrvBve9QCnxjCt RttBipdNYoLoLx9qR1Ial3T0+3sDnGcFp4dN5BrV6EBtRSZSBB0VMdbWPXjNDW4fiJ7eP/uu6L/c JApYvM0Iv9Icn4hitTBZTaeohN4ANOzWaeoOzPUJPMN39Gdtiru7sd/pZANsj2Zdixq8F2h4nVKg xUwNaqFAGs9NnNfc0YPUa7D6NT64OypKzBoGEzYnaq/xBDA1hwjmPkpVsBJKbXykLZYCoimvOlfw 4UwufYyCis1smHH1PGk7DFaw3xpD2TPjY/nXhzF8mbB70JI97IHGbM8gTOVp88FJyR8DjmmvUZpf au/J5zBY8YfwuRYzFDSvLD22HpQhWox6Zr1kopYIfiQQnSIUfoOF4Jrx5MhI1w3RlR+1zzinI6bf DulmucjaXq6W3EbOvoeXO1jTSaAl/L7nRvMSSZcOTPYTKErb8bfr02Aw7QMNtVntbfObvaWCNiYq 4kIRPMOMZf+LZwn3VF/Qv3fXrV0jqLGyBmPj7V28fEFsLUDdjDAkclRH7GgPji6IeWfI7qM3SPpX pex4OUHw5/5tLONy9l1KK1DCdsBsNFisw194jfS8XgFDQVpqr8YHzoQDmq98hfuqYqzNX8bAL9mx nJgU9cDENnyBlHYiBqusiP6JTw1EhVss8YvkvEgACeuzHq3yYicHGY8Qg6e3kBvQcXl2f5OF3K4P rdmd7cvsjo8a8taELOqF/VnRFCxHsZnyQlxLV79Od3vBS4Cxz8O3MG7TQSmrKLMN52eLOBy6oF/9 z4zfntfYyUEHmsN2fDFZOugDUK2mEn9jRkbxwWwgJAfHgwp9Br/41ut1eJnknJcRnzv226O4+v9J U2OizSrDZhpLJz1JiF0zfkWAyRfVLys5DaCTx0tqk/CQMmIBGHyNI34Dly8gv2Uo4S7XSIuEFbvz 6Lf4NqfQ5iWDdSAQOZHWIhIVcMrrsrCu5RlrIyTDErmVuybzE+6xVPrvd2Uy/eSb8kkKY5IYETTV kEHH+012g5fwGpssfMhrlS1YgAbNdKrhMj9ANV2XhOLY43DXWX5ipSUnpS7CAJnVLuUciY2XO2BF hO1PSfWvSSH1GRPNUrjwXf7nNzDwQENQ90YvF8qxcmA4ar7C8KoL8/WufziR1eK9mc7vqdSnFmRy r4JoDuNJs/tFEHNdKJar/BvpTrDdu3ZbNpT4Qzn+W6JmtJv6+AB2RpWJsGWNMZJ68dQTfXcAE7EE L+JPYd1oBUI9Jd2jmqfvhZjA124CwWHU/zPHYOFYLP2UFdBxgLtDuDVRu+DKS3GXMQRiGrV+cEwn +XB8P5BCOKhG+Wx13Lzt3xdjLWu+AK5ksz26WRxsZr3PZVAQIw4Sfm2m+lqIfL9udJIVzqEpyhxi DUmgvLrcUW7bJ8zK74foNoBFNo3f5AziphOzir2YWxDOsCpEAQlkjCg+IvGS3+mWVg3mJAINlsK4 u5l7XRXVJqCcSOds1CZmV/lojid2B9EiNDfxK9+tuftHyi3DJQZ84sDrThICcOf7xbicV9CiOhrd LtM65NLmRjRZIkjVrMqBZrgrTkLM3s/Qrigd9pcc1aJVluY1X/LrQrBF6pGF3qit4Qy/HjXpYUcm KVxWt54zk70ztS2ysxkm7v3jnP9a7WaJ5MYFlBGDlgHWEM7h3u/kC5sXopFzbWVtWoCDsnwQAkHP 7j/4KZIOEaC3H6GYZxjyD0YWwydkpvp5kmAR5W66a+j2xAR8pQRI1BsQhH9gpjT1Pmg7gqOAHzOk 94sXiVMTbymi6hu8y/awvl/FFFahhCZkF2A2Gl7ei0gBb6L5qanzqMEM6q780xq29T1gPnA6w0EH Rza86//NACV486o0mLEGdQqXnoAepefOEJwq5E6O19F4lsEUVRSv38fP9Xk5FYTgmzYGa+37XvLu BYMh84+Ve20UFOBhWyJZhU+fr74pzCkLT964Trj+CzR7NxKdURs931Bb3CviggT7f8fXPLf6dxGD DPnt5yqUiCFXS1DGw+ICJZw4Ig2Rerym/AQ5tfZF37FKvRwKRcb6hA/MuMvOq9rtf/RSzG/n/Xoo EfMwFOPNTISzfiiqv84oUkfruaIyABoF6R73rRzpyaT6fLWyiY0wDFcWrW73Af9M+zzWgIec/FZv zX48APcmogas5MvOgfWIQsHZI9ny4eBs2xpJBxehZ4uRGpOODhR2rn79GHX1tC250DcXDaeWMKZe IHAMV9bMNHzaREwwkd2HaIQ6jsxFadI/f1aIcz3Ts4F206AjqAWSgnS9L1ko0sgtp29G/4m9hGr1 mblyroqmJCQOFun+Te8mzpJHfmO2WxIiQZN7CqJMCsh7VJFSG+0JND9cM8bgwJgb2LNw47H4YvQD VNiuUB80PK+1lATh0LkRTWrdkNP7D1ZG3AmF+FttTlrpgk2gy5F/B3htMTFLIMQrSSFIJJdZpzNF BwgqyR2rnLIsSjcPd0VPWj+FPM9L2uklOdgtc2WtOjN32g62g9YuqOAdtro2mdWZG80L4GUqz3hV vUccS2LQwc0Bz5W1EQ7UFzxz+wg+w6SUhxDan8HMhgEsVydsjegS4RkKMwhKhjqFKtm5BJaR8TjA zkK2dcRqkVIyYfa9PEiGHpJP/bRIj/o6jpgubWd29ZwId8CB+uecWx+m/MZncs2ohNNEiVGvRncs /knt7qhYw+s4pTvMpiDG4NTYO2PIFypRLiXxnlopZ6asvyQmooczeb0kOZOXNhlmgPTKy09B6a/p 87S46CzYgkp9PJ5tkZyfxCh8qP+TzBlBjq3NaD+7pNlhj4sWdR9bvd4ZaYaEjSG8XMscgKCFrLjI gXS3XpBo9a97JgRNQN9PE7u1DsDEYSybQcg7Yir4GhYSJB9zaQEO195iWcSCbaKU4/68GIC+Ytny jxFnVe7ZxgUwzOfsGqDrJrBVzwxkN9NIazXLEHOlGEWx0Uchk3Yoo1SR5uwpb376NsgEmpDdJ+2l 7EBjs8DHykWUkksu6ipaDBJS1eWsZFjV2K+IXEzFTuDwORrmgXM0llrDzSX3EZL2X3MSXFUZqqMk x2113+sYbLjraljALgLxR7P8WNgYzlA3NPG526nHgaErQVqe+RXN9NRuNGJxSYRDyUi+DWJoGdf7 bJiBw1f0UCdScYLdVdqyJnyBitoCoxRCexxSpIMb8hnkXP3QbgCnRSgndQbGnkrXE1FFOzXengLv N0I0Q0D0VLGtyQ74JuiEezKS9ue3zOOyvV1P1C6q0lSPh8eBateq+VVOJPAE7q19rKn5Re2yuudj PRwsw2fL08Yrcyfyr8SX3j2nUVilnmWGdwbrSQqfChVVkE7Kxmpo7CMx0K+hm1bXNkFzdBeseMCk kU1ZxVmyHPFm3h2rTK/moC1gyShyiny1ajfl36uo/cb5HOmUtvowrQtR1i7Y72Vxvb+DPQvyVMZQ 5NTMHuf57bSwt7KZen+G4mmk/x9CaIVID3BfMgueDOjjG2toSbGayEC3+PTWG3IH59ZcBQ7oUpEz xeqQJl6+3mU8VoDYFJoAzzXTr2KYZFJDVwCG/PWKu7LfUEZ5XI3sh26joHwGyI/QWSl6N2CNlFNh LaRg2zfMuJsjonv2e21rkM8XrxlMyaLI5BeYIEsYN67dJRyPRuD52EY31Ct613hQ6IkyvrzSvHNy anZLTmPsVPbcgIjTRGQFEAfpHTuUScex/HqNKu4Yp/kPqf3lnn50tg2B2BKGpaatKHsEdr0HJ1sn DYba3IvE6FLjM9VvvTFk0atwHK9z2RaReYkToGtx2EZPBfKYYwEil2jiv17HMoAd+5thtKY4D3vl 3mBmCfCATJ1jB5ZYaMEsCDiVgq3vD0F4lPAJjuoj+H0M+uSWZtPJU/8eHLIHF8nEi5FSK3qW3hrw rmObWog7zn1/Qxqw7Fj0PNISi5fQ8SFWfD+GfWKN1YEgEbFFFUhUdZDGhErKBPL6swkNLDt1gEzc VZYlw2dy3+ho9fWT3KlDATayNYzivCH/eqtM4/uGpCX60v9rk5uIdj8A60cnitaEKtp5GoLpOXKO hdTMbU8Y62qGhJ9v/KOKuPb3CUPrgx0CU3vn1Vl27QXD9dP6AZ0zkkM3q6yjm7AtHWXshpJupo0g DvELRKM75rKkINBGw+2y6iAKJ+NdBqtm3qGB35YMGacmrQiAmd5kA5sVuIyRhHVG8rfzo3nAWaG7 EVUpZCbXeagZ9HvguAbMK1e1Lf0ExVYb5qIBiRiIqTED4fvvte2l25h9Xh/U4wXQW0Puj21xEAYU DFSvPpgQxJoiqptVbHs22jYeG0ONTd+olJJFx4gDRjrHN91YfWA/a4fxuh6xXxJsaKHrCymKo8oe ylN/BsBDb0PsgCJbtgxI16c7wtyL4n8WU3GN4QpU/O1MmL9HSOsz9vnDLgjzTFA+9aim+3/PnNfx Z3l17O/wIAKFmuT3cnAPFw8c/EdeYdH6pBJImJhPgrXb26KeZRXr0W2//OOZ3Ew6rX2pr6xxs3a8 VVji8yMzwGjBKzs+OnLoq7qGq5iGGAC90FEoFCKqajw1XXGmeF4AbkLXy73svUC8eN/UvfSZcAiH yRQoy+f+b5FtD49/M88btOYnmMAXbFxvk0uegALmujMk3vrNMLo4Nq2tny0P3MNSYk7fo3cotGBV 6CEffKy/JPPkRUEhpccMEpxzKpqjrdPcZQxwdx5nYtMBAlsI5Q2RwoHv/Yzqy8gPrZq8S+HDViQI lewbdVk7BczEDswW7SGqs+42Ra38tZMKsF+Zf2fQPCWcRcvTfT9TLA6WjlWJbhWt+Xmo1qFGATG5 Ct7XOsUOqkLM6Z2nL/q4/eljZ1Vk5+SZkX09cj1yNuvKAGIsACg+Z6e0dwxo9bkAZt/NUqSTYJwS rlCi8qGubbj0/PhsejaPZcQC3TIUtL4PlW6zUkddjx3WybmpduaDgJ9gILW/N2URxxn2e6sy59xd VK57tIm1NufvvitQA0eA4K0Ab2v1JDsosiZ2q/1/CvM/7/REfL2qvqHI2I/48XNsIYUgPa+PpVK9 +7bEamMNp5WzLxanul0VJ+XqVAK87tDrqPDQU2cNYefGlHzZveHBE+IuM1D7UU/oXZHohA2vgAQL 0Kk//vthKHktwMSR6meRBGodILfHdFVzExh82fb1F2nga3l43+dV+VCqa5gfX930cyFWXDgAgB+p bXVnW3dt4NmH/icXggzQVQnLY1f7y7PP55eXTYLublOdL8qH2cPNkHi0bchC7lYStkP6smZ62Yo+ LiAw9eiewBgB63PQjhkFsEhssIxW8bZrpGjfIK4OBh1rxXl707W8fTzl5fgVBARTcI2BeEtWNB4c eCHjEioJfvejhNMfRxMbMsNU1/T3uf1EyJz7XEPo2swfA7WD6NioPFwzVN5Sw1UBJazGyMrcnqG3 4kuEFZOGPYyX8dmaRHof5mGyJJpVrR22Ym/LkxJRhHnnATMjVaDQlADihpoCSNlsSkooV0XZcmcT 7edc3fXgInTT6NAkUN5elbwWcsiYVQNg09wwVfcuengKU/rBWdCD1TaMucUBe3i0p2OwqTn3hOAO CKtVBbRvMebguK+Cp0T5Xdowo2NjVb4lsXjiI+pavE0kpLpRbWuTjjjwlp446Qrinn3+01Xal0Xc 3kcjrEzdPZlOkGmyY06DJpftFxmCf7RGdQiIAKNz0ChwoBGL2quAW2NnxYHVYd2CEYxgmvBJwxBE 6o+gd1d3JztVMvXni223lTHGPIOqRIf3pNxy/dvCveDWEKL38e1LNjp62hTrBmpkgjcF6gj/0TZJ vMDYQt4NIjH4LpE3pibW9476tUkpPw4XzrhG1uiAFNf3bCQ+tr7/ZMtpBj7pQY0sGcp8QPPuPTxW LCLPMOYd1qgj1d02lhX8/bEBbDf9sOaHtqhVDKT2ol+bj8xa2mXui1fPk6eLd1b9bB2Euqvcp0tL 0l9itzxbEDedX+rTqcl9giesTC6oxzqcp44dMe4gkcPjUYKTp/zQIohMaCmGhB9m33BA1m/tRoKQ +TP28o70+x1lZ7mAmpcjElzA9U/2eAZzNfKmBqdzCD41jsiRjErxmzDJTXQE3uo9ciiLHMGJSJfa zmQ1Oa1/DGGC9VVvKZmLrj0nwihJ89CqV0ee3wYuCbpZPAWaiCGwsfwXM9Dl2DDDh+WSbE9JBEO+ 9NFMLqauAJimsP4vgd9nw+y7vGLouKmpd67npXLIf3rhcdR6Pl6PsoQZGB672PWH0P38hi03J/jh 42fxPboykSaICZ8tjFNpeletr3DODYqOys4RLs7jYTCIzddza20FodtAqXUzObqvxevKs5d7ZihI DrVq5vM/AnvthTYOFhriSwnqukFJX0uYjT7dcDZ9TvK12gXeCC7f6yiTkUy8ADry+pwFAB1RlPQ5 Zx6SpbXw09hlf4Qg9ILXkFJMm4mMMfOtm9o9USi7cBSYnQUYmx07w3SMK0KkvGn2nzYNGF4VgAdM kZpRFtUspq9PoYon0xgiB/ZI4nJFO4n9dAMgiQVYDzKAhZxrEPD9YHxACkpYmSOVdmIwwfl4zVrw N0URrZPRYqalG1CUCvaan0aWDgKoi7ZpZdBPFnFx3W+I4SWMI40DJWxqfpL61xBM7lgZjoyWSSSo OMy/0bsYAIPEjsg2qhGAvvPkdpKm2d6FnpRcoJrtx3/yz1hO1Vos626qJ+85cRmR9hatquZoAW+9 ZaVU9iO3y0lIR9SNC5NOkWoQYqzBIEnMWCbqeKDcvsJW3w0HczmFJkp+so6RjOevfjS6Vr5JSke/ rBhNpNSaDSCHJs0ry1NOiXP9CWD5NINcTEPGyC88olHE5bCKkaL1N9mQP3Dc46YOQ1rSKVjVV+sD kqtsTCIaKwcp0LSEglgsrHaxINLJEbqeBrdoLk0jI6mlKhojL+8g634iJ9ty6SQ3PN8bJsTAH5I4 6MA8i4iSQ2U2PwKdVZKAnGZVzazUGOMFYf3/lqxkARmm95WVLJBE83Ym8EBBJlsQGR0h3pIiIxh+ vgEvFiySD6IL3Ufvqfv4bIGP9PLOGSf2ydl95nPDWLxZHUabW7HNT7+c+oYs/jMG6t6eFSngbZLb bp5FMBq7OUBwwUH3qliIAHDXpjZyhNxu3FWXrciTP4KZXFKViCTZSeEws3IdiLr4vJ+aI0J/rlus dzU7BP7trmelYDAYwd28Aee+zZOb9tYTVXC2VRlgowAJRGVezkG+INKhpstcvchG6oFG2fmqT5cd nuxiaK6II5b0j10aTJloKTOP6h66dvdXP4H7tD8Cn7CjEO4qbhXQO9/noX7DLh1/CfCkBWK4nRjL lLcouaXM3Uyoa+knAG4RAY3GZtp6uG3sZvXG4rCfq022vxZOQ19NjKqxFEUB8c1H7OupT3RrThhU s0UQD4RnE51zT3TrO/2bmYqUp3IhceeZABUKvEp0GttvOHEIbYrh0lxdPGsO4qCH+DL5K/OW20G2 hsLRK/7cuFHfd8nXM3mxjEaFR3ykWDEnb0mCF3CWVPKjkEv9rjgklVhFpTqdvo53hCJdmy0SdYZM cfK4N2VzFvSwHrgQtQ1iv8baqwYYCwe2kgI0pFpvaGwJ0oFMNoTzUZQDXOlj/cUZlFhUXkpjKCSS Ao+6Ut0F5+CmOLIFElzmME6SM0KdjfReHuNtljWG3bPF3FNnZimr2hXKvLNwKCET3TyLyq3TrDs8 cfFUbBYthsG7MmR2Q+NF4NRDd+WJTplYCcvQ3lBjvuX2C5UHY6BeCIBgHXq0sD2RPyhVFt1tr1pv QFYIbnKZXM1taecKfZcgbJp0K7trGoD05/m1ExSnUj1hv9SyybOwKdURUXlE+DSsAxZSfnp2m8dC uadVtaellXuI0pmekauBNUcFfGjWtFCLQjnTYPpPL422C3jBLu4gwIvUvl8Vsk9+PfIQXr1M9B/p T3jVO+LDjJf5J/Sc/72pog8uL8BipGbDs+8hbz5X37p/NlCccUYXFprCG9/U+hxxQolV9xue5p0j t0/QN6x/XLwsR6FOj7EsKd4M2pklaIj/FyClBKpvbBoRrEhqC5AVxLF4DAvw+OR7oqz0Xjqjv12D 1Lip9jids0LdNxTFaSqv2EhedNucVVd9T5nzB/MmuWP7Xf/JKe3w6pAtuaUyS28apgoOP5YZtwbo i70cKWog9HyrJgQSfesGX04uNtFN6eaCRYZwogpIMzQ+KLuHJgY8WYzHSruOD5NppOciM3ar5iZD Su6bBGupCsQ3dNkz2w32q/nBNXBt9RWGSkvKPwekC89tgXWgk8B0rlJNQHWAmCAMzIbvdQE3b9YA +TsU31sv81BIL05ODXnuVoO/baP9+gORpl3VuTblEdbee4QbgddQFGT4Fe9JpGIogirqLBFlwDRO YioWw9SiGGxD9FkDtqzhch18/XUbVWLDJ3KlBqhHpKN1xIN8sMgZ4SCdV6EOp3zN/EXNrKBznhLP IYP1D1on1eRl7PFXAnFElyCAAv3G8CR7bc8kwqQrh2aMYt+bFJXRJhF1974SwRGjhnSNS9DFoEsP 9bEBjvsJI0iowYLjnOfCxW9bRvHsWlsXjrR83nj78QdUKFwSdutamdYZRTY1cYI4F/K5i6tL9R/u eu4xoT+1H9iY0Gu0489iZGPPHXYyULjcan1hRgc53kTw7DVT5DvpIpzIGuNnw0Ha+3+/8G3BMSKa TaMQZ414o5c+HMBBwe2HYMgnnjrdoiQ6hYRq3BQ810ZfvK0NH+q87fl0lziwcwbyiASrxwvK2p86 6aNGbstrOD3yiqwpem0KKwWgfMEIoNRuvuXKnWJzNKAZAf4yq0Y4fud/bNeqwS04OKu+xwS910E1 4SfsBwa5VF4vMA0A0oFRxEfoIEtKw9EKbTnnzWsHze/FsukA7O1hrDjOidgf7NLXVfzwqwBRtfXY XkoWEwXdB+b6/3QF0EROZC3HEbufqYvcxX8h/Cgk5NAZa9Ap9TuOuv4ElqXY4/Gamb19thypvsb/ FbMawNGgr1h5ZAz7EJojnEMaIfNbS0laDKAFoGX6fKDqZ6qpSxWSvY37GgDKQn3ZH9+RxDbyOypx y/nmlGbj7e0YYV9FijJjgrVo/ARyqz/QN4eXnzIiMp6cgxTLzZkJmI24COdh9LIVSoz3uyoSTX6K oslAL52J375756j5QsL0JepnFCyM7zBNg0wTcImmZWZTyN7ues2V1CkRxctt3wyAnD7deWoqMNhN RvryS07zqVEPi+Sa1ymJ97r2dx8zs6xnuSXcP3zWChzOpSBm15DkemRGCKJWJ37OyUGQXJtZT9/Y bA7ytw3g5WMVIhMBbotIkSFcgjlFXrn/V6yH92gtI+EjPXGs8cyJ0F3GFYZ+9ISWWg2qqFDps6Ma bslAFsbWRHH7HS22VtshAomXEQKXwb3QY935v69OJiFi2y8wODOt4761oEStKIBFaY40c24uypag TNb9K1RnDEMlbgnA5Os06gg7VXpLiSY6gm7kz3N7G6oAZaNwi/zMupSKaoIzyUxn9hW49GGZYO9t /ovlzQy6K8q03znqADaFUZMRjoLaAjEnyaDqz0h5Lrx7RNHbGxj16ob90e2FKHsoKAsP+2bGxkZp 4yYE5jJifj4K+Q4ApctH789mspcJ84i8orcFlDNUd0vKz5cVLR+d55fR6MgiBp/7vqqUa0I6wXPY ZS2yiquzz0CW27w9spvbneofzTnrPTLCGUqm1KwG6Cc3eoftTKlhv31TQ76ysI4XtAMgfMj7CE7W EdSGqWJ9l3fyYtduKiXbsDRWaLS5vndVgSuzknbuMltwSjI7RHeMB9etuIoh8SJI8D2qDZq/WFsN wE8RuwF+1fWtPi1ci0H7cIbGb5cwHG34Wa3nbcWJfFcfNbCNSJ9rep1OvC5ihyxf2IDmlS0qudrn Lhy2JigbVb6XU+EkoIfy8KfXuO2izw6FtBzaNSYieJzVOA1QGg9idGt6Geku2H8bpRXoXBS29GBP qur4sydPt+oeKILGiLfR37cbaGQlGhJvef3OXrv++TKJhWEOfPgUPs6w8P4pZH0T0MfqZKSaPQq0 feZN894JfJikr9A1XsbHRX0GKQj/Fx6LLjWUEOQeyK/6rLD4AANL8pXmquHRHpPEFIQcHgzNkQfo zD1mcauDJyN/eM35OJjS+8jD86je3M+91hLLPMEBJDNUB8EOOhmASWb88Z93LDiaPCuBTMNQMCa6 FvPiNMOIGIEHw89KyoSN/8MR1uhpwQGcqOJKAIvKZo08m8m5LP9L9a7cHK9GhNFEj7vY3sgiyq3w VSXwbZ+CzZzK7dHEwI8+1RcXH5ELbGD2aCEv968eVNzDGbCqMrTVVo7h0Msjdm2MrPhCZ6BnQLJu uD/eMpoV+AnT1oZVQpMZEj11WQ2grtoejEt71Q8wWziUEiv1vigk5Sr3kj9YLq4wOhHXxSVSdi+E dbMfAhKSNqWdmwbxpV/i2ZeYkVK8HOM1JJbEoFFLS6BifBAvnDRdfPy2mbUlcRW8KVzz667UEMST i2rVbK9mX2muCTR2iajzNLEtfd2R/GXN+FSuD/KWeDmvScslnFxI6MsA8+sartkJx5qMR54Y4xhi 4vGJA7sb90VNZIpS1Am2NPmxo+tHe1CYNPD5DtBpjXuloydX2oapoJ2tKBuGEh/uHTq1+YnWCI4n kipKHQfNScVhbl94wxMigHWlKTERtPhMDxZg+/lAf6d+xDabLnfOJcruJTU5+U1/tNFTfC11TQ5/ BWj0rc/EqWad1J8LipsyiMK8otHMxiNFnRowoOvKkyknivhkhBZ1Ud+8V8xuTb8PP060AfY2BAkQ ZD6MTqhvjFAfN4x5rv5HnNLkafC+CK7MXSnGSODfZ2Xva0JUURFOY9jN5JF/cADM/jQeuKtSa1wQ hpHh3opbw9/ABKeMnkbJXZTF4IJ/ohaLWyp7Cekra7H8IaU+kqXuwLA6IQGSY2OYKQjGKTLWz+Ts fAUgKDMvTh5riEBF88OTayLg8sbX7pXUUERNOc6QZ6SRQqQctbcBQcQwvqBABWICkHkygui7AM/3 em4GI+SoUGButmGJT+xPxnEfc+NAIhqepgJ057cDBxJDSuYD5lD+05cOCD8gz2AKUgLIKXOsz9J1 q76rBffdMc2YkuAk6+vSP56C2hQ5MB6f4xNnvy8n2avgoRVd5CI3ECODVIAOrNM3tJYgRitLwNOF BihvaumHF3MDv80g9waKlHzRA6hIxcySyenKVsndh3aw+OmZhAceCTQPM8hCDKJ725X7FW8uuSE6 Uw1wDv5ZldLPeWp9DTUSumchIFeJcnd7wc1HPmV/MRPtRGLWKHp9kJ2EbR7yT+/N3E75ha57G60Q Ty53blvxQMqbBuQK/wZmyErH86rtCgGRGcYGyQBuYR0O95FoCw4HM+3EZx1+vu5JCk6XPrDMpWc7 hO29ovHlX47WZn0w4Jdh54rnl5BBP8Bqk0j6pPL67DR3W4TuhSUbYIs9p6FCYeE58ZI2a7wKGOe+ 9Ku4hbia4YSXRYcLWVzOR4+4ROIgOUzpDnP0zTB9QE9k9/VfjV5SDSIKa76ZMM1C4wIboyvdWcGD w/RbuCGaFIOvvY5V+rBQbiib17oLQz/r5iQ7NcQ3vzWM3sFcmirgrlKA0EoH94OmrQRwI1tyVEFr aEj0vAzhgpNAhNErHxvNaG8pgdA/Xlgq4APImI46CY2BPorW0fTbp2KFPTkibOjBB/ICZaLVJzU1 O7ekWOLwvWyhuv+36rcy7ANEznYeVlxO6IAop/WIw9wQgm3TumTz5VlLH/jZIELAL/NTuu0D9dBK UZ9awlKBIuqt6+aTZxde9oCshWmqkT1vTMZF9DkMPSQ59vKlBV2ftn1J3Tq5L3aGF4CiUMFLfC1j yLA01hi/u2TlbC5thB/aO5GedfsvyUUIcmYv1JLPhGw+5mZ7MlkS/yDiiR3W4r428qw+AeRYsycS k+VDdd6nbVC1NJyB55nJSHDNdrHUalAtiDosQ/U9L3YV1oQCPkbESXeZqzkBFj5nhJ/bvblB01Jq sk4H/6BCbVmtn2G7D50yzfkDRBtJiWD/8aHKTYhelRX94ZS8XH4ONK7mK2rXjlog9oirVozG2+O0 Mnpsr2Sn1JysafpPrqAuAIw6LsvUG4wRbkLqzEJz2AlE8pXz6BmEQfu6KVAgwpMd7k8JRIl7IQql vXTFk8GkIA4r+WPQ3di9V25/XzDtwkbMY7+AhYpjN42iRZZ4mpgu1XLdo6h0UkFf05JmUhsvwlTE 3IxZZZDxEBpnX2kM+WpLWiYU0ghcaoiu8tpwx1xiQ/p8MvT0ylVsbaG942uMOWPW7v5uOTS92Jfq aks2JidUWqBA2zk4NzM9Qj62a0HfMx8O6Sbp5K5wDjqxk9OuJXGjVvdLqAaqOS+kFQABOSohgi+y ytdCv1nDyFhNwCjQyWJa9AqYc14ZFeTT2RXmQRiXVzl8B1qt8DZ3pjDJzO7/klx0VKITKGhbCqqw HgywOEdBhwggWuWuqDro6nEkTXoKwsFZ4WCl5BbaC1m8HkRWBoCJPN3Md+ofSJy0/fTzYEYiv00H xvCHhVfDgY0VMm1sIgV8h/6NrxdX0FQVa9dQ8/26DJ6urK511W460dArFv9C4hbrnBKZkIPjWKr3 EaLpLJ104rOOr7GfMON3eOQ4LiPMQCQHkf+KfgfvtuNQrzl4FN3Tpw01YiS77Dz+yRugS00XHuWh l9mW9Q7XPDDLS4aab2Q6Ccbxp9Yc2T9YsoZXO9L34yE6oawqbAhlAhnqgp2WODm6NVemwOgqGP70 paT5hjSUWnQj4RJDOUNVVH6YHDgL39tKqRWoTUwHXgvFB0MQD5r3yZjKKJMU41Yt4JShQ5/006Mu El+Nd5P6t+eOdZKJRm3usHAP5Gf1GY+PKuRxq7lWR9ZoqGxtxY/smndpXWIsbTJpzYGEramSsJ5y BSxOb9MMTtSX4AmE7gbh3qr/pG9hQELx0qAFhSicVP/CvcOGHpoMdYq1Ty7mbLXPytJneupr7Fkg BmEh8gT03TWQ7iFQNfQHY9+SFKQyXzJj4bOfCFZPe75IzUJXb8m5uGxzxoyMlWO4gWYxrKVySdX9 DV7/E41KOI/kL8ApCckW7ztYuYaZcly6qoUqZz3zV2eKTyemkUXXzuZM3fec/exvjmWK9uvANxWi goXaGqpDRGcqnSNVeFLSRllTeO7ryq3Bq+jvOg6WJznkBSqp1GfXnXQmYx6mhlqyA9QIoTU9CC6N +butKcSR9hTrFxReOV26XCSrUVPUvuwzWs00wShCx+Ny7Uhimonsdi8+VbmFJBKJQXpOkgNRTqLP rnPkmbeGusMzk2g2SiYGnANqL1D0HOOiWosexDiktLl/+ixsistBcP8y8IkDAw9WIbWotQKPtRhR AY0c+tFV4G2poZR6fRmIjc+Gglznic9BVdrFwch1oS1iodl1wlbP7poCNm0TZaNnH26Ei89zvT6+ /qpSVRP2kOxUvoA6atZA1yGaLuCRM0QqiVzBWUtrJQ9xvEUtaXb6Ij2azuCh387DA2/7UUtXdnOL Y4qNgfoWqQpu8JjTSWT3NgNzVxi6gVHdxkiHeQXA/OaK7GIPCondozRVJInF0IwLiMjtDUUFUU2n 55SB5EqHLIJU6HsC/FHU55LYtCqHkANWG8LK1FnG6iR2yv8SOATK1o5ScPD1A+czOpWfR4DL8fNY 5Gbp0lnt5b0GcCw1ZLyJszsT/Aa7RpkMzLp1RTPDYsqW8xuHtKIbSn9hAQTf1ZVK3pUCPxyKy95l pnVyW0iXqCxIa2K1Dcixv09wcGv4C9zUXtDv5En8rDhPiLIa1uEW5NP44Ro/ceHZoAT/Mh+50+TG rgvkGXXhWCQGqunFYZeXF6XNixSRabo53K2WsmKLnWRn2OyojQ7e/x0utYeVNbwM42XSwITjWL+w M24edjbn0PT590OMxhCgsZMFCHEQF2Tcv+hZGyrYlMGkIL3P38in3PIk/HlgD0dr3MNrz54zE1I/ ZQkOE+m4o5x+NktvD3Y7yknRAZiHE/ipgio8pPdp08WCEIJcB49hh3PLCK2OXCyUOilTjDjz63kw jmOkwfij7sXIKjPfpGClk5qCfhaZNF0swAfv1OIQfLked4WxBq/DehPHE8yH9y+w3Av4JXpLM+Ai Hbs2+XZGVLjMw6tL0Y41wOdSdCkHbxiJd9kLksNfoZrJhC5z4hGPXUoqy/5oJgzJab769RcwJE8w oPtry6dV5TXsT6mUTi1vgSRdi6RfPeHEhodl6c2o0qYDGnp6g1SiLi3awqANXc3BnGaQbI8i+CbK 1IJhtEsgGdt6uqqKgv7xHDQvxhFW2XBX+WMgKee/M2u9Wax4AiZTMCXwszK+ewetYA5olJO/VbBx zGk412jqvWMsr1d4t9hvwLpK15bcrSjg+DqvmLCzKX3o+eozOFKBaJuyUocIEkCqysfU3/vlebUu ZvIzLG742cFptS1AG/oBzMUfZnPgYgVqYSQiqQZMjlV91pWYs0NxRHlLOH5G4Hfcivg7kcJIql62 LEIzJ0MCwdO8OVI3mu2wY5J3+7QzyVFoqcsKUR0PLIx4kwQi6hu26AvUBq9M+bHRcGhwDtuOONUc LpNOX+4Bic91wSqD200g9HjPu/DDenevfCmHHfZd5DZDYVi9HeYFVirgfqoei0Tm4pY25EDJgjEH Kys1JVAwtYJu+zcPNzWSKp25iXk8TjM44PYImoA/eqsOWjtSsQdloIyMc+9OUIrwgdFrln2DYosL O55hoI/+0hNs/3elix8bOLuPh7YHLWZjYtqreUuXk/CiUKC0BmuoPhKKKG45mysANfQDQ99y51Es 54o8ieMsxZenYvRC0+Y6SK+RHKlkXcu2Gkjm18Dsfmok8TXQcGRk5Z1uLxevqHGAoM5WXLCOoVHQ qWiuBAVSZ+Sb+MKChmWFCn3/tlpVUAmbip365qAzAgnpauvj51FEMh4Xp3u4pl4Gi/RIqgJNCmVH vLb+sJD5b5I/qiBVAMNYqN/vjjO2BLqjIlKxbtQC4Wjz9UYai2wv/3U2CXzXF2QT1xqabiBjXcrz UdJ6xrwt9p3oZ/hSRFfiXZiGPo8nwWD0qUEu4G3YJ/kKN/ZCttXe8tUyx/mGIzqXXVN2kJiYI8W1 MY7CouLFN3WsPPAyoJkfLsnfskRcVjqKL75dgS+bx8fjVPlNsXOOl2OO6LCyCvqjQSM5olRS6+5G BE8y+B3ayjDyEEvKly0olBL/BeOZlXrLz7ZNqM0g1Q2p0wNRW/pk53luaO+JMfNmNGtsevQLm086 ymmzTw8QF2MowF1/GS1jkPjwAlNnX3bwzs4LRwGUEGVYqKRim8O/CDJlgjJR577+BQ3QdnAe3SC7 yDHvRElqP1hX5xpMFsWpkcyGGkq8v68k+C2zS2wgGFeVH6Q0A+oT5of+kyCjApfLCIj00YZdJrKr tuZywY2znpzfd9GCDVTRD4Z6eQCNgYAfvO4GYZWbCNcFpUj7uSPyzRentjmZwfQTxEzdWufBTZtZ IZPqrkF5ENFrfWnAsplyhEwHaMSjoPwWHKes9SCYg3yZaisntdwWUFvMqj5n63fH4fJncmqrhGLJ 0dWlNmU+7VdHO2KX4dRBCj6049nc/WzmbbU2KgRGRZARlJ4XHZvlHWwlRa6/Ew96MmdfkOFLGrVo V9GLzLY8WUwqPwf3a9N8oLwU3Eli3k2Gd8Vu/m9xvK/OzW97s3pNpQr4UErMBflQlOnVfYGclGB6 3VG3BUY24l4mPWgIlZmMgsLY9zCOBmbi88vnJlNOKH8evCkGrCdp4Wo3He03xK/yPVjPBv7YceKt GV68dTcBRsbcr+3i6ZScIF7Pysp6bZL4Wny+wN5LDphvjhGYBrFIVMCtpxDPxdZ0Wqz5a+aODaPb k23hczkQfNSq0/UyUaEKVOdWrYqAMqhU6E9NUldh2Q5ynRbMhh67dg74PKTtHtYb2fDgNUPcSfwP WlEz28fd6pwHqvpyes1I9BpmNpd07SxnbOIB21F0LY1NEv8xVtxTF9pLJLNLymH9v7phxMTnF7ny iOxMYT1c4ZeQ4kcqJ/9qKS0pjuKhOdJy9TGoyLZZtt3tYvCDQF+Jl5YYOHou2ynN+v8WWGhtYJGQ 0UlowM7i4+EUibQXpsvm/YH55Hw9IbTU0wXkbxNNfP+yYmfOwumRXczrN4My6LloZiwsgr/a3pcg us3YXmi2N0IAzMEZO17VkOHylR5t36PkjzNT+vHRn2MoQFo9OOQZ6jndv4Gb00JGR4bzsGXgF5Py p/qryQ3LF8gm8FTnkG+L6Ws8UxowHZGrDpUbLiAdihD5e81Um6daELpBAT5tzbjm0q/Y/kUF+gUQ MH6AWz8zdVINMsj3dfBbaoPjUkyeUloQBBdz3Nl41ltCHpLDmwk/EWBlGpd1pIj1H6OG2aU0iB6E Bizxi7j7+GfVvg+nDEY6ZGCxOGEwJOsiDhbcyhONV1lDlj+r2CoSZqP2uSF/kIDBLCPJKonc3C2N vD7Dd4J5hot1hG8OQG2HIOJctSKLQVERnvhxURMvnzuB0FuGAUbhJChWJiZlsbUBeLuBvSytXySF vtL1sfUdPh6NEpLCMjZrhFSoYIDnsfY+rO+nm9zFNADbhnbFh4/tZAn3XnA+lEKyj9en6pV4UGYb OyshToKAIsaroU34MEecB+guwUpyY3ms16AgJhZKzd9KcKH0zx+6QvVBYPOULFO1pnmHy25ik4zb 2NwWpW9TTO5RydVWDbRtqElNBTfomhdqGivXO+lAvw0YDcICebm4ESyNeP7snhOrA3DyfpQYUxfE eOVx7AGMyCfM08L/CjbT3kb01m3R0fcjQwWmxgX5FitlW/Lg1ocwxRruD9OSoUbywXa/7FIrntz7 AYWZyNahvRHNDsn9dQApaOosiO8EUMYQLxH8j9UcYpSfG0rsAIQYGfN/xaBJjEwc5UwDf+U8mYIo vLy1BRmUn0xdcuvkYRNm7Gm5Q1fSDsFBmrcfnUw/U9evqJvO+js9q+Hf8QDQ1MTrr9di8nnhgmMV osAN2jhS/lgsqhMLOr6PWlNklP7EhMzF5mTGfg7eHEUgy1pEucrkx+3uSX694eEE++P6+eZgxM9j qBvBj81NBdMGbnKapxx6zxg99uj2/Re1/VmG+CuGfmnNfv4UQIgNfLAdMWi7yIfAzq6GdzAOWhfC fD4URJW7jtMbnoGKQ1qb7e959oiTbmh9MKHcxVDgC61He/yNGUYf/R1odTk3yZ4dDEVep1r75y8A CK6gNcfnFLZKhl8bYjT8HfU7wMKu98Fb3JINZY89x5FujBrMLbz3jUhK9VkQWoQbXOwU31iJ35Vf FIbn9aWCEyrnCKxqS/xF6tBVDic8nXlg2t9NsqSJK2mj242MMrA4qc/dw/PkciVZfNOt/8hfSqbP X08FVxjc1t2nVLz9QpbLliNA5RkeBGEfSp+qUbuC+XLHXnVxHH2NDPEAScXKcfPwYnjcywkrsvt7 3WjJfAg9sJkAVE3B99bWoQxtKBCI3ZdjpDcFPxOHOBiZD+tHm4W+sOudX1j6gGQrF1lAzzrtzJQ/ Yre1SnMnaiTmKZyOoaBxxskJuyxGmwg7Zb9CY/wxnjnuzdnuKE6vXtLF9nmnTMn5jqMHYBydE5xe CzZKr9JXlDKpiW5dexePpl8AswEXGBzWISsvSwAzXAaqHJVj1eGRZAiEFVIfBuZB1eX9PwzuPyBJ y3QeVoWgXRNZxTsDgi15/xynFICIRjpS0GW5OplKSQy+nrNFZ/Q9/K4vCcAr0bJRqOIkC72HReCH DK0esJNHx4fqKjMphEY2Fzvq1SRHkgdAuiY7LgU+kkOPUiiRVkyrK7yaEWO6N9ene3DWa5bXlkgt gQ4nekXpJjrySawR89L/bywbjnf4ycL0VK6DUgf4eekNCv4IvN3bfOITmCUjXE2jVzqv9QpXxnYm sTyC5CPhhC0qqZ3pKusi0W3cdGaay0YHAAw2tlKfws4tfuJumTY03DpeLIuU03EPjFHRxdMebkJy pqVlq/crkNRcRggCjKb41Bfw6bIaKaD8FrNem0rQwRDFinejVatxu6ASt2zE3vU22/qwvhKnvSoH nHNvKLOXU0CT0hatMppCPqnkba8ZoU7lyok4zYUl3Z9czxPmx3FFyWq6uymnyes7VFJFQ60D31d9 MOd/ZGUATIATyEoS1ku/ltqrEvjlSobIvqCTkfw0Fbeay3K43CpjXCenK2L5TbB7ZJ2Ri44oIYND n8SB29mhKS4Tc6f5+8wsGs7CS8P74BArFSvH6jqf3VQnjCRwGc/2wjSYJCcAfh9ksWrUJyE+Iewi usRb5YK5/Oekt37u8E5QddrwVjpfds+V0KFwWe+mlUG/Me6SxaMblPDofD8SnjlrbcJEmYCGFB4X F1XWCakmenyZywj9HPsLygxmpTVlNNPw73GcwrHVu0+jw2qN049QPbLeM/60pHPvWliiaqkS4QYk Q62gGN/fAI/Sx2ftFNx4glnNUccAjcesX036jBz0bgK7Xn/HNJtkD+j5M8xem8FDL5h88fpfjgnu Oprz0fSrzmwNpa2HIpPkAXgQwbt2ydRXg6ZXNaX8wlXAdezTqDiRGRi5intYBvEb/PkUOmHV7Skd jlnJqu3Ou+muRLwTGihMNMxw0fcj84Oyxmk/D/DPZrBRipxLcP/0HsEYE8WXwSJhoTbwzgYvSDHG DNGU2zivbRyg8h5CSLNUfQddDr0mmIyJEMaMwVvXdyvG3aSMweRWxwCf7nL8o7+Gq2c5+qI4sOKE 9uIpILwc/SAH1hQxL3Yy7ccgxcifZU5GkZoyRfazAUCT4mAPSBU/5WsTuZUFq0w3KtLYuQJD3DQ4 HXi9PoIsaTYBDIakXXlwuT8SYPj3D4nopL7uSU4+peniO8ViENf1EnJ2E9VR9bB2PMUZo3e33qqs uWWwgZ2AGh1ool2xyQ662CwR2nr8VcNV6S4fchn1AlF0Y4aYHeaA+ezWWXhnnCauUFczyyJeLyHF qeuaTcplyBnUa5Wl7iHX0ZYXWhN5Qki2wfOZfFGvPDhzq0c+VTu6yVqlQQhCQ+HZUcHV+DXgf3VG V9fByWtRmH1Fae8KPTYrYcP/BoEIXqcXcJzzSMc8blqTnYnndhS5bAAkLB6jEy4LSWqt7WE7nGwT xSNf29HsPeTINs9aqYIpSkwaLXR+ZPjtTUiLyMxwf7IUHc2pMolR4c3yoZU/4T6SP/VbhHkiueQj w8YJcVEbHwx+oR93CI80mYhkGXGHGzlQLsILWTO0+6iB7WbAloww4LBXec6euimR4hC2x+KMbcS/ P0OQRuxkwd2fGZrTzPSlh/bsXvw15zLU5ca+YNWueDebljqMIVMDCqfZCiKLICWMwQN+9YzL4TJ/ 2xFQ+iPaHtMRBcYtXd26xQOLsWL5S0PyF5QSwlOqMOCbDWDsTUimPzcKHJsDY5Ce7MYHDc0RaJqD bKWAnsQdun9rl4LkgCxxbN/2qZQW9AXCTOO4ZQJrG7TF+GmP8t7TPaG2WX4iK9say3Q2s+5SF1kt GAVVInicWmwFcG2e3P1g7qLWUSRJ+L8H00AWT8xbGO++Iy8iu8bhv121yFw2kOzGFuIOHOox7uo4 j59dYfMktOI9OMBcNmDa4Nmu7H5lLeqnBlBb65yRqMI551FO1cTbcdfUhoNJxI6ZzwZTkcgQ0xjx UghYfAoEeNxWmvRgZAfZmHDJ5kijp1Hq5wByNg/Sk7sT6yiGyJc4CfYwRE+FqCw1Ikti1v/VM0o7 rCXk+xxdw0HGOo/ba5enfCWiimaomewM8zT2nH1WcCmnOrEJ632XLo2b10aGHI4z3Ieee3oeRmtP MiK9oaCprqBOeycI3i7PvNUyB6p8uEMIKgw9fM9SHT1RuEpG5JUPwFjcsZv3ABjW8JV68x28Jesc NfTlxd0DAYaIZRF35iqBPTcr35mwkD1rf2qqviFrNsT2u+KRdIlnqwO/cQFbp6sP8ZMea6lUV2St w4gTZV63UL6wsBvycSfAK6ygkQ3VJYBTdeUmhHaipzvA2gIHGNwVngz2kMfH2UOfsJB19PZx6il2 DSzUZyI7lBUQ4TYwpqZB3VVRmPbJj7VBoKCksvA59Tsure45wATmIgv102EXWA2T7V4bVVKcyo4Z y0uDpzb2g0s1sJQmug+H6HLkvZxMLWvQee2scWC0B9xt1QNcUPPw/+OkTdhdRvHiCctKri7oZ4wB 2cmgQh3iTs4zXmDIuBNaPt67dslDVG0u6YRyMSnPzAzhqkne9i6GqASL1qiyN5usYMJwwMcS4pNk b+TncXmCXxVgzaKnW3dBL/xf0FFUnoKugvAbm4QOGir39wVVRGUwtF6V/nh1ieWAdytX/i4Vl3v9 g+wydVYu9t7kmZpi3MP/eN3IFTi9YL0LxKMwOBGdd8N7uVJZPu9Fok+rN9pbt9n21t5xOJ3v1Uyt g549s5H/4ePS6k6WMQgs/Pto0rvg3a3bt0TDOLRP+Bq/RqnOpStjfJ062/4ADOmpKge8UxLFlcJS BYeEPjv45ernv4csM8HXZGKA4yCrcNPBPaaBBDVlcYI7fYQArK4fFIzTlF/iEmP4igCBwTYXrXxw 8sYWyH8JPGCMo/UQxEaMgTcrMmPvsERGk5VjPOAcXbzk1lx17DtZA7HEnLyZGQTY1JbtEuz9FMBg wgW+jv+wX59J2zG6a1RJ8k0bpYxO8+2eL4H9curP0o4jl1OyCgvOZTJq+blbEOCvKHL28B5SIobZ vCJmnmIYea+eM1afo8a1XP71CYSmTAneLuvKAsG4yeauDikEzjvd5VKYaBgsoyxCMAmLPKrakdMo moOYvT6ae1prrcVEfE/RLsMSrYXgzpOF78HzAculHPXOonCKoUm+5A9uZvC7vurkbr8nGIEXQnv8 wuanmxFDwR5EZQYmjGpP9zkURooV5WP3nbSVIrXfBXuq/tg9EJi7LVROV1eLyNc5ADJtaFrh8dOU zEoE07Y3yVbrdJB7/qw/tain8BICw1nSt2EctyWowwnUWn793VEkW5o2bRcPpZSefdC4Xpmj1JA4 1fWsfoKczjl5t6vNj8r7QADgI49hnUaqsgpPHD2a/Zbf73dctLNz0sR+VdFvqXLe9bNRnFfPRzgK /FsdymgaBOLYTOr29ZTMuL/LGhC/500OEJOmyfryyFHDbgh6QPNK5yzJOydZGAkXmF2mqR8dHDeg T8q5NAYiZGiwu2lMsdYTIG71gPgk8oesDSheWEDkRicCk2DnjrGOdYLNU1UKI53owAw/2W7FTz7c xmp37qWneSb+WQ7w16dTS0kcuNrs2cRl77UZxx2J+f9kAgyfo0s19TYYvAZwT9F7UBohWhcEbeCr 5e5LDgnAUUjAJVhtk7kUuCy4dIq656ma9+ptJg3Ios2NCtmHaay3ScWJQ28aOk3UFSZc6CoVKcT7 NVGrzPmFjU3ktrZQ6hY+L0FKe7z+EQKG16c885ihM0UIou2W65jCS1cOz9Cp4ZWOTWVFmiT/sKFQ wmAMzJ6vlyfC9zdu15s70PSrACo9ieWuHIHHP2WfuFwOiG25L2cCSWQhiwmPQAGNIZ0WVDnlUwnH rjBxxUkZ8TroBpFgVUqzu2lsp0dh5y5O0a0BcZk4254Vf8HdaR/LUl6VtmyGbwU61b2jg3BVkvOU KUz4wP9LO3C1EdXo0ThKoWYdBb6aPgJJ1iAk5Mav3XWFy3sdkgBcrOWHJQlqy/YebBzt7RTjYFGL jgp/nroFhLwLZO7RSZk9rSbTGTWSKb0bgZzOrRHu/8YhT0RbW4nqhlvZ/Cgdc1uskmcObS99PrXC t9bG84jJZy8VzbAmggpbpUirXCK3dusQfnh64OwldlIE2HnokjZzTFWN9CkJizZ/QKTxk9LPfxzd XyYyoHjIa4ehchgCqWUWnptVddw7HIQrT7bRpNxXVGDi7OiHiPpFU2bR2k3NEJFZjPzkAa8VjsLD pG4se5ZYhkdURFIBCfZK/VFRUgd6vLrxENSgQ6HPd/fcQzja2UwLBLmMeA1CcMyBmJTyi0U9k4QH jYC7eB2pWhGbWUpAGnNWY2hOWhiVPnZ2dsvxLhCoK7BBDbwrRrju7TqVOrsP7mrs/inO+CzFbahP 1yqoA51ri3pmu45lfwD9ys3+5MM0j4r/b1NCivL6ulqCccz2o2hoyRlvSR84LHdAfMsqfeYM3Zrn OcGknsABeVDKr+X1FVTwTuzpWb3fmXte+CHPBV8zC8SyRmGsqt5cBKyk+T01E8bJcdaxN/tbmoo8 CgzqRbOili/KqVsoaaB6Y/KA+dcxVsKE2RU482UBndI4uIxV5ZE2cTcenxVaeb416zTRNV+CgWn2 DYaW17NICOgVP1QGUnR63jI+F/0loRZA/rCWwScreJhmxbAvqQA/7tU3ABTaHBBJAGgDW/SOXkxF koQ2763MiggbnRBmmAaRiHJ+PbvS/5+rvTYBEo3u44d2y+JvabhfNHOW9ThWeiCWqFPlhXumlwXK 0EJsM/DmXxPb1tK3JPoqfQciJvT6dFA4jtW/QAgr71bdVPd5hc08cla3NXLqZXZrTpthxFS5UubM G34/8jG/374vzOTGoWngc9iruWzyW387gGmOBtMXe9rhbkfyFSGRmolO5xm0mUMgYVZv1NNXSxHt O7PVxIU++ZlNGalDQnEZ6bmnochpsarozzTkcDADZ6ZMnwMsH57zWYyKse0lb95/LdXXVOth0C7p h7XbUB9ZOroVKJkum/YyQE9oW3VOii/ujetbHW9oOWPl5InUkQGPmD/oBGi1DSWyxEuCZt/43d63 r7aP7LqM65nqSLHmVQ54aRehS5B97B0NGf2pfMx+UyK/RL8WsZgCVYulwmpYRCw82geJEz8jdrIX J7Zwfu4NfEsNRDTlXWDbtQ0C/J9i2HYiR0AL1hbj4OqfrHyM7od2CYDsLCuJptH657/ekuWzizCL Z27jE6oUFM9x21qC/AZ5snAi1CM3ifg3Q6SRMkPA5Ed2GVE9FZ0Tj1EOOD1slxiJUoEiamKdoQSE 9X6xtSl5eHszIFPNOE9csk4luA+1F4+kXxn/lU58dJYk8TMesxa3uDQA4k33qkz4/aHzTJJnJpgU bk6id3BvoeWSDpouO0WaMmIaMpZ7jsm/awY1lBtUBMUTvUbaLiXCpgUkizbMQ9+jROvjSKQm9S29 dUt1umQo7mUpBiFqLp0a8fKZNvlnVqWiZNRnA++sSy7atCdpYAqmGO3I9cenqaKI3cDVHpOckjpT qeBCrfYriB/dJlQptEKs/X+kAeGzCzfyCMRWM67lmxTQh57PZwHG/jMVNPYvocuUItnMRswaIOIC n4+Ql3Axz38/HV4dM4uL2CFLxTvHji2WsB3qePt3kn4sFg/TTkvfL+eQnBjDIfNd0DWCDFsvxy0T 6SsytVfE/LJr/Dv2a+GzwQBUImsQuvHeJsZshOF5srsUDPzVThBEfHEBtpd7O1oiwo5xHF+kmmij 9fJo14X8fEKkXUyGaQuWj4uQgr/EEjqqImUH8jaJ8BP9IkyO4F0qXQFK8n10ghe5/QXq34wgAjp9 wWdsodog5o22VTWusdYSJu2RMaqZywGvcvRcgN8g54G7/gt7ooLMP4Kqtbx7R4j7cuatiBrQi45N nJP+h6iUZk7Fmec9QWKSvl8j3Ul9x9a2yl5CAQylnbuCQaEI4R5Bcml+TzdjoRVNkCck55Cpp3GX E6ubK4s2j81cxJmgFSS2LNXNLBp1clU+RvbZGGlmHmcipdVS+JwLPwssIcXyZc0go6NrmRncfqzx 0MXGHxOFyl0bSoIKAALI9vr2lb2aEKz1+rpAdYUimcHGZI4CwE/jYRm8ZfwYnAvrCvmdwQk3hAG+ D7kobtejSpYoCnN3OSl6K4VI0E3kjqQsSqAlKlRHm+R6aA0H/WlxuPDViaijxAKw78qHguhBjUmb 5BR+nF9Ix7VNNoW14CLCf13y0X/3ktgfg8M1+IHrTZgAI3x8xtZNkG9Fta0VVcUAZbq0jsdUM9Er vMbbEEb/go8mI9/hItIrIY8bopxQW8F+bVHYcWPoGPosEWUo9HTiSZAlXKS7P8kmkX/CrAiFmTJp 4CYHH5p1ellfYswghDP0YOH0zR3Y6ZQq/ZK/tajSvpQlq9pOG2mBUm75PINiDxsmZjqBgxmIMD0o AcF4sZb+Agzi/34iDBfXIlN2N+QFFAqXhgIZi3kmtXm+8MNwOp81Ti/Lw7rS0flc7+pfSaPDBr/9 gK4ULCfjPfeEsIfsMnGY02Gw4Uzr4Ia6KdmMllmN5LLSticOJnqvSpTkYbJnqRsDkAKtRhT7aQOw prtxyrii+p14AlQYA9btUzeJHbpnTbUjAoXrJjMQj7XAQli6cdckyH2KeMlzx7s8x9JZ7drmUTw2 2JVSrotzn4khzHk7Li71ak5WQ1E5cOCvlpQikRqa1Qg08Yf1wL14OpeXsZZ78LT9lxzJtfMazmJz y8TX3LOU4MJzUYgeZjDkaNiBc/C1grm+eDFa9yglgnihsmgUQycR1OMqRmBDcx8ps0hEltdDLc8e 5C6WsQt+IyBcp4ljkA29gmue1gYnVWLMn3dkV7NtB1S1xXeNx2toM6ouUSl2ZR/xB0p3IBqjdga8 8svJN7D3YGplYgFuo6jDwgaF1H9v0R/bwgoWwCpNohNt6au//62iYYVnNEzpN2uoIZmFmIktt2Dl aVSZq3kRYPlZNr7rZGpBXCP4phrspbvdIJzQhL8bd6wBtkGmzGqfSBQsQ3LkhELtCfS+HGvy9fNT 5o4AXO2B4odkf3b47LbIq98WgFJUGNboyqTlQkpo9xTsQxDesXuSeaMiVPkT5IY7/POq4VyAxITb IPluiJ4zaJX0f3s6AEnLySgzrelvBTqB8FzgHbnRdyA7kmi7MRNVKY/x93S5xKYBPClJWIWfnHIG 7pgryCpmJYX6alGSkChig13CpUOmo2f93uxW4bxKeFLKdHuHS7wmhk0sFHqeBdVrnO6eCAEBrbkr KeoF1zCh8s8xgNUgW+JBpNAIPWyY9TS7bk92hWZWDtkhvVp9yokWPjaicqRsyNrHXDNWEzBO7keS HBjsVUp4n0lr3De/iFp2RCeal1XdU5WebQXjVhxI7wlpZC9yHo1Q5ZpZ7KX8WGbJbLCYQemmaOtO 9bI616SXvvUW6y/6s3mDcVSSxlTEGXxBGXM2h5jcFpyah8AaOhWo7oJ8D8c52rdpfX6Ok+GnG7Ej SAsEiIq5Imx1Uka55ohjgB1NKkwugB0WMx1QNncInSklzG+oYq8mWku+OKZQX2MkOXOJ6+zNgu5E 86yj+tltkh1DI8VaWLiRc/02oiNscKzK3k8vjqT52lJjM2vzzTqVxFiZYzAsAA23a9LmuDv+gkfD AI8QUaHMpmCYTo/NUYUUFpO5JgO5JkSkb59CcyQ1FMWe3YVA63MZr/odLuxgtR3XOHwZxim3Lrdy d56jw9GyOwDKn8fJEybjYcj7tFQtVwZelfK5WHMk4WEUbAfZkFj15Ru7RUHV1CWaD7BckQiLT67O BXsXcomqNUKn688qZ1WU/0uwnql9yud4PkXna6NB8u61t/EZrgGjXwVuOzuKksK9lMRh6vy+H9BO 8MoPgDX2PebNjZqiIeymYjCDX/HmVWm3uZhSr8xcRv7LG0pG+zqTCGpYLg6fXXHpL+qwdxG4+bP1 pleb86xuBWmRS2uSCbbxSP4SM2d7b66HhdJ4zT4ChSKH7PBrtzulmmFTsBVFZ9EZbb3FELnRRuGm lzqKHH1OUqO/acxd/TLyzRErbT8B9qe81ZXV+5Wiz0rW8EUXTqEh/0sfEmINy/ynV2h6rXcaqTId atbIl3SP1aACV974id71sIYcBtaRWqYq4Qgrq0IN5RH2yWVXVYGVzFAoDUv1HvaieirXUOfteGru UCwzg8AS63ZktMFoQh7tuZA+bdSG8+T+c0dPsmnB8jaCcvEkwbBTBLqxoVHbmr9W7Oij3OiHFJoT insbAD9ku6E4FCNr45ltsNxUBL9YbwbZz2W24oEustlQ+ILmmAEcMb6yM2aaiXS4nOIP2Bd1hhJ2 hA+GUkhKUN5+ewmj/GplUC111Wk3DiW0L3FgQZ3/NSI/5NaGBhg1ZKYz8/8+TEIB/5Hx7xtL1c7B eEtELwA18YYJhFo6zBwM1hBD/sUWOty7ggnevnyDsY7Kh62xggGrQO4rXjfwfOZicdYWsP6vW/f0 k9muT0iqAOvgDiTZjisv0yuBOPtp4GGvVb/nkc/tPQAtPAsu1imkGsB+UIPS5cuMWRXURoPjB4TT QZp/BfaLv5wGvDH5DDP137hN6bSqqE5Oldz2GpwzQjFETKBOU37rcl1XrzJNGzAVcRR8GJTV06Jk dhanF19c2QtUpI6OmlW3YbPJISmwoKc4m64ftaH8X06HKH0QEUNT8tUvjgshaT9FchS3Cx04NI2j 9ogbzf+P3RHFkDVMZqfbqGb/u6MxWVL4Opgzm45pAeTiXGmPBxJFQfaPOrMpglmJPu65LRQljKnk STcraTu/3EwCI90VRr5G6pySzkLf20wL5b3DFUD0xYld3zJGixBKLw3iAFiELjKer7bG2XYswkJr Y03FcHCrvPAcrBccLoeu8cqQvLCnBdi5AMxfOtSP7w7xmJrs4h3kDwQL7slwmup777X3cD5qEEp2 XFgxvch35qFQwFVUEX3G610r322WC56qZTHaZKARqYiPLA0dlsVhuW+naLfsWjcKn9mVrkHqJ3vz 0HA+c6ayttt0tL6zJlbS5uUJmRJUrGKaXQytQ65Q93HSDccFrEvAGkF22FoJLLCtyHImnFCewRmA 7+Zsf96nnBmpP8z2P6EcboasprNGvxF5WjVksYTqe9MK9EiFOXCXNoq3o6HQGdS4gZcBbDaj6veB 1rX+xLWeYQBQ1UBgSanjmAwkE8JWa4GwQdJ6E+j6FLrX1oYmfeBqkcsGdGTvLWPqN8D7EtevR0Q4 k1he1IMGFUgaEZufse2ojie1Li6vIu6F/cbpclkAKNFu8eEpcAswB0dSI8I7Qu/a7iXQv68n9pfn WNdQlOphpCbsozPG6SWeBCDm6xe+F0f5M7bijxA3HUHkvnbgmK38PlYuVm2KIOiqij6PvnVHYdeB xsrZSchUbhVLHR2f5TmDUpapfGQt7y+LX2oeIq3ww+FIFQiAjdmDRTjbVpeBJc0r19/Kv6qhmLTh b83jvScfEG/y0lyQ88s3gtSl/6VhnertotYpDrRbFowZN9W0qXBucNjp7nWFpQ0ytPLnR4YdMyAZ 3Scs9KNdLQYSgVbA3bk7V8b9Dc9C0ILxJcldDiVaEqwW9UOViqTUjRsnZTuPRhwfliANp1ImMwjG jfjTiDDcvB8gCyZZ7OI4rylj8fI1lA0hFGSTIA0ObDo0BVCEXWl83aEqOxRYmH6WpxDynm0QjrLo cUBlhPLN8ZbC4+jezUN1nRNJMm2Dvr/ia1N+rbDbxLfZSrirctcpl5reInLnVbrHR9lgvmhHCNJd /dlEh8CeA2kFLp+aQPzz9bMvEr6zF8Ojc6ZzzKdso5nbi+j+qBpkVrSgNu/LrZE9515SaApufT// 6j8CTNnN38fQjyHs5Uc96QOdnad5Yk1O8tLGfgtmeEEHlQsFhL3yD2OOm4fEhGpb/U7+Vk76Q97R D0f5IRe6Wmd0jBDFAXhaxDIqMMMy8bPUVou2IlpMwYsGf4PmSt5ltkaEC/aAtdQcctVV2zrNUe5c UhNjDJ/I8c+jufcqkyRV9hJtSBiLSr//cwzGAOmEHxWQY7VpKPGWUC9gWVu2n7RZAWgvucegZ/kH KGOihTXN95bO41M7tCLruQnuPLyx/AsWmFp9HJQjYVJ3T0pYmZSlhT05tdFpTGLcuNy8XkSPjrrk 7IzD1wVnVu1Jq/zqlR/WzJHPHp2dJl6ApANbPnVGDcbLXGGUx1r81kEm9mIishmAh1uoQ4H1MSsu 8yiQB5MJ8xgnNRxIGbjRTI4xlNSmIhDGfqljov6DFO0ixv6PPISfxMne1nnabMKYw3J22fdvovHm 6RtKqcwhmwOem/fzK5MmBYe0qNJVPVapv8ztUix3Dle9KkNYb8f7nvAJ6zxXo2y65ffx0O+2DWW5 dWI9rVj/YSYIP3OUMUyrhO3r0OVD3sjgIKUe/UcsY9A+r4oXSvmneaBcv+EYCWhALYOeVwO5GXTc SmXHwyaVJ1otQeXSZB7UEAlsJLwNmOpHh3Qs1uagxwTp8saPHd7YPdDnosnCoHKLQQLKXrRNZbwe hlN+UZAMLFZ+boj3r9US4jOY2RqZbnPzhmZeR/RrC1cvRLcJ92g/Itai9SBItmE3GSbFPqyapExE OVUKF+Va1+bdZGsku9iOtfIatS7yG4vlS47K6LG5SkSaxqMkpK9B07g3YVA3zN2L7IhDKgAKS3dl t1T0gWVeIXYb3+1kIFujaqS/2vbSDCsNbWo5njuFLMx/poiL05RCs7tWYBcBjhPwtcHft1EebqxK SAl/0MXg4ImXrvIOsEV+2WfJXj16spSLH4nfVHI+WlnqSTBqs0DVBu2tAGLU0HzCxIBStUmwJK6l n0OcdSAkROLlCNT4qcPyYwz307bjVIyhX3C0N+XuvAIhA5UxG2qRoofXhzOseTeoRlECeHdSgahT viZ7TNqz4WRKSfyARobRFy8uNzrmpXTdabukiu4KwgZ7CJV5oDFRThTFOw3a8T1EzpCFcqZbs49e OFl6ZKnSZ0jlZzKstCpAfk8evuuMjDxIxXC+stzPQfoqNSdAnavrdH7zx0w1P/LfFMjA5HDWoAcA ZW7zvwQ1g/9qY3OiTcWaGkSi90UAzDMqaarVRCs5+gulomTMIDlyyLH9AYHWcQzxa+P99oHO26cI p4JHiizDToAca4y/Xy2ifCeHiy3Tm/UT8k98hSnZPy7jBXFskYfAefEMS3eT33gRo7qJRRC9LdDk dB0Q4j9GY9N2vtk47AZyXJDnDVZU5PI9v0qrw6BEKwGzd566PuEWTgNCOwiCTG+CQledcL4KCI59 WKh0kcg017JIkz+3KTbammvX7yNFO5D53BuYWXTQaxtiqQKduMEjLjDv9gC0skvMB4ZmlFmMuDZ/ fBEORaXSfkx0N9abT0FQmG9tD31sxHOo0xeava9i3vdKEQ+nTr9EcEuRGBJKKWVnSlrBdHc1XJw8 rf9ST8Lgf3fDGMAEYOZF7x3lRVQiu1EoA9YwD4ByvFVqc6PHz96AYgwUUmrv7ZI2p7JJzDScBUKp Y96EJYUlqh0aQRxdNHbrjlTMnrkVU2UG9XbpsZV4Ef4MUN/4OblskTYPq/5CUyPHblFFsfDqs3xV wrXSGjUwknVA+29TEQ27R5qkuaWM2Zu1OjHpvcqYCUQNT2p/UgKaDn9EoVpxcrsXMmCPYcSQzfE+ tHfW/XwW02BrEMKXu3NW0R4W/888EMr2z5pdeRctDdpeinKhQ7QeuNyQelwVUKgIROsqi1DLwAOb zDXPnLw3mc8MdHmcShELOvk6fTO9ORvt+oJY/KhJ9ZaR1qpG9x6IY5piUWL0cYou39HnB++aXdIn GBdb1Ee/rqdmyDRAR5MjBiOMFzZ/ARdq+J8EXO9T8Zj98Ir9E8DBeXleCIPP2VFYt69DknLZ6GpD lmelPJFZkiLJgpLinN3AwkMNBRpGHEgnkCHG4ejsrct24K6/QUCQw5dE7HwSYjPALr9k3F2fhxwD 2+og3bXKxVLv6FI3YAV/ZG9DepD7y7wnyHsvqvZqYyxnIFEMC1HKjlwHSsIpF3yPB5oUrK3oZYVv v1oVA0ecWMhp12V/x89+vARUSSr4dDQTYYRfZKY+KypuHpS79STI3LGQZgpwnb7/A6twcs6YeYlG GmWX3NtG3Qw2SFnuuLiyUFjYdYw/wjsyz6D5xREgOsy6Y8pv7zwSctHLEZQIm2Pg9ZgyYIN4EoFb or9bpJwoosIQzcobVw8O643gTf4MhJwZDMA3B2w0yeMeopLig6K9zPQC+Y6CctRDB+P2LUjNJQBw E+U0P0nMsLylLZF68N9hgiaSf6LkG5ELp28Yx09apiV+N1zHkVHXfYAPlVxtklciZKTXd46n6o7O 4NnWshLjwWsSi8RkhWUNIBB+dv28CH21+E5NMoKdwPXJIctJRtaGz1/vfpMNpRrdAldNJTNZwo0a xtQoNAvVic7wEdBUXHxjCpE7zhuV7thpDXQI9GShgXLGOfwPgeLX7LMRYHD+F8svlOPprrei74eJ oDCSuHT6a04RvC8qVwWTNargqRwi/MPcAQdXfWgm4Nx+w3UapAIkzgT/T+BdX6NkMhXN4ELGlTyB qwQnHnxfMfD+ggeOZJp0+B24xQ4tZPIagRGqBA38HQZZjBIFkyHM8dXNvSRdCbQBt1C/vPgOf1Zs 1dMxKDCaljzovY6QL7eQZK+P/Nnd1bwzA1efYNenTqsMCMs2dgKOQMJIr32oSCGdIaX3/ajA3W27 eGInvBRJ85LVRJoH8jfF4wtYhebAEuuD7LZHQL0MYhK4Cb2ILyOTE+e0r8l0/xdTg3MIj+96HqTM BWgygxhM6t9zCWwX2hwPHe4TtlgOmJO7UTqa/8mhfpfFyzqukvOvme/jgEDARB4izoGtWoKPXZhU C75Yv11YJg2kOTob9l57SzEh5k8M4qVtWvUwCN0GUfLAtpX6VzYCzZ+1uc3zvPcsxVOf2+0qehd1 F6AKz8N+1EVFoYFF01oazuXuteAWfnOb+0t5Ycscipc8J1bgO5aJUYlW8qwP1WI/rPiij3cW0RVi TiXUa/YKxRE8YUxhbj7IF2cr70Pui+QK/AD0XZg98RNixqTsD3cXBeXkUazose01u4DVFSdWkepz xKZk2WKeLs+8HTC7IiVqkelqQcCcfqrKvfz98c/fhavOONiWeZRVnp0QyOZZ7g/f34nyEKD/hFJE 36vg+kKNwE4Z6FoFrH2vDVegB4hiH8z42j3EfK2COUltIGCEP1IqWo3iLNL9cNtW/QdU4DEC8uJZ 3fK/SJeezMHK+YAjZlNhyeHnN5gEVVPLb+GtT7VKsGiQtDnsbBR5TzBz3jEj3A/6bLFw8lN3IdnJ dxz1sNIso2KH0a8MFFLxfDVPrMI4asQchqYl9ct7LOfgz9EW6kpV/VNP/8wlqedzaOMzsMyaMFiu pPzP05bbN+cD/1B5yxTlP0oZdXaOoRS5iiXjFpDLTRRlxqxFkvxT9MzSIbCu+IXssP5jlP25ILm+ Igx2sN9jIjG9KxN/gVao+M9B+U+qV6jfIMyfVtlmG9xohnQJEdbPcmQdbPe3qF/6relb13Ib7xCB 41tkhU6cEqLepc0Ha0XU0ceyvAa5QIF1fAbBqZ4PAXiQczvYbMPdXCifG4e1VN/rsfD8ePxR47zh Xaq1cstDjBiB7KAGaT2GQv8gKN2IqDvSF7Y5KWjgTo3WVlBom6ArcFW0cTF4smKKg1yuNn70P3ER bXbdRq4pQkWly0B8BK41LmFQC2LmqBIKMcoV6ByUNdYF7BqN25/4nJ/CsJIVDqoFcIxc7b1rsQNb rkVHh6/Cyl0CMYhtTBzy89EjEF97fuVD4QXqihTv9pY93gGcKT16u/l8WV0E6UKm9Kjbg5kHTsBl 5/AU2BJwM1ocGy4b1e/0fBXE3tuKAj+CCueKYJoR7VosuptcWtc6sbC2Y96oM89QhcDh4MEJx03R WVYLr+wZeZDUu8+2nHQIFfkd98V9h/U97wMwksI3eJwIN/22gKKKTMTfwu8cTpd017V76rOsq84V Ls9vpdbhjtXvwUhttIIPEVeeJ1aRQj2g3GsA5kmVDe3+3jqBTIh9NCZtIivDRx0yO+j3wHG+iN4e 23Qlp0PyvYvvNiy/meA3HZynP6sd4EibuSwrUM4zaxtTA5OzHwcAaswbAQuiKg6p8faUrwDonmdI UiJW2kMlUN2Xxc01KqTDw66W3hgCwJm6rtymT6QEVyelmsCarODpjz8dHiNxJdAmsi7R8Es/fKBE O3qxPhcn1fq1kWa1eK9IFFSMw+H2dqQobkveJhUlaubuMBvhKVyIKvgKItrPJQ2Qbpze8HrKlRu5 d6trMZUYkYHxHceLBe2S1udA+GFNmf1EqcAjZUlaat7NV5zOkfJeE70ZfJN1UEBBLD+kEgi9hlrQ tuDGf7dprgiYmtRBe1Gxe3h3veXWJoImnsHH20SjjEG0S2JkuZTSAkenM+t45le2RuI7ACKLYY7g 6U1SWdbpNUKz9vP8fNQIpFW24CskP90Q84SLnw4h/0sW6ikFDftHehaQj3hmxQib9qwJJFZW4Zfy eU2Ox9UtQiyuclmgJU49ue2V/5fb4N9O9ZthDKl+OI+lReL7aW4dB7fIbLbJ0O+BVVTXWdEeW11z ojpibw3uVt1fOFLK11keuDf4Z17r/5kTN38mArpvtRy3+EiuQ4E74neRSeHXJCcVAS0Yzu0Cwvdk ilvb3JdccPHNbQk6pYLqalyNoa1og4gQ95WSaA6oFGdNBwD62f2bujbDb15+ZUhbmiG41cmDHgdF Uo/E/zaZCzLAaSCT19116IIzVPqXyuAFS7wuAYZ6dqdkvnvZbkXGVWSIfF/FmQzV8hVQAvafyGSr iCbI8bOBF5Ydf0/Lkahie6rAzYuppgkmgtoI7SudgkjK10A6qvKTKa/+h7J9aUmDPwWriLROyYHc 4QM81XsMKKlSDMWfM+Vukk8fEzYMCuGmscpzSZPnqLdjJ89QFkbWaMzDdPYOtY1IhsWjbBgd2Z0g e293ekTKx+awIyyUGD08sBoNLdsQy3lsda/aZ5viHuNTK+zWUVtEmNGWe1M9cnr8EbRUBomt8hmM Uxmr5VLOO3h8E9uoIX1P2xlIa810i65F+xbtqJ36N8sB6+3kB/kQv1r4/b74YnRYowWawI6m5aB/ hsQIlWJU3m2/3k/DNE1PxN/Lrh0cMssZYz431FSQ5aqR3Btit5NfQPyti2ticWSh8Pkgd2GZsnE7 mbUf0SutSx79CLep9v9oApCQnb97t5payxzDduSLSHYxOrHDG59nO3mSb3bUO5foadYBVJ8fIbJM 6o3rSJe1MxlfCEAGO9qODXHswUEmg0ts9qgHnBiKZTUWTeA8JowfU61SrnQWJnB72frZ//yQu1qQ vrBBTLcAnxyBYG78HSIFElWlAmyz+4e0JByYT0Jh6bzM0M9Ha9rCmscIF1USNX4E4/pVjCA6UT0F aPEniTPhlpgNXD1I18TeVDtkeA9jqVSLw2/lNbwoUFuxIPLWjEjlcnGp0YblxcE8cJ8A+cTjyMFa r6DOIDWwCsHIAdKbeR2CiRhBGfIpYyP0Hzb3WIbWVMKazYT00G3seaj2nsJUyGBh3/aEOPKCpz+H 5j9aPgSILdrnwPavtGm7oZEG+3pIVDmS/UEYyw2RB8NchCooL4fsFOYm4JXwB68Co9l2WWt2ewW+ sLveheJR4Z4hgLONm+kBDBm0DJidhNftHyWI0WUuFAmu47uOP0RhYtzDSoamLI2WqtIomrVKGB3H zSYMqgACaiI6CtAmDwRrduE2o19ybEteSP1HNXEtOjRhk78BdkL7mQio9G3kp1XxWf44GL9Tjsub 0QZhKUckID31RTK02haXTSX0C/j3MmuXj47G38NT1RL3cORYqR1ujrUWjPC82cVGRyjAE2ps30Ic 8PUa07x0NuMFX1O7B1O+Klh7EBVVH6pXpkEZM5n2ENkZMOD/yg1bEAuzfVMNd+S1YEQKfpJbL9PU MEbinXtvnoLtwMzryt3aKro05UI9CSJ+EgZsJEYy4jH5L+fIAYF6PJStB9Ew9Hj+j4SEB5a1bZTr 3L2G2BDgy21D8j147rIZdGO5lzjukMCFGOMo5xM7BothFzbFMLd7VBzXLGHRGOL95vFmi/ab0aTE 2sgghumWAtFa9MHiyk51sWNyoRPUd9gjyay7Gqn4nkweWTV0MdiRQBg/ghqDDlONSAhD3BbvV1w/ GM9+e2DIslwT5X9hrj1b+s7e6sLAbgoMsFCTKgJr7MHiiB61JMTntUiPctNIsEpQNY5u5lbGk0AJ kisX+TL6hxK/WEvuG+nZBb6GGIk4BTtAlJkamLGYvegbhhK7ZMyr46EOlLZ+zmdtKNfWLkrEXtFz YPfEle9lwjVL3O6iUYU2+LGuPEaCCH2sM/eUNKpYKPTP7ePeKvx3k9OhtDKLWx1h8STCezMS0FSs 9wbpBbxeWm4hZ6a2UlMXfLN05f56UumzSv7I6Ab0kAAh/r6HoqSMXgoELX0PGxBaGqKVoDKKZ5WO SGoJXAfCRzd97/Axf7vX3emaVffHL6PMXd80W2IyNyn6EStvlAinhds3QxPWJXvUC8Lc4ob+cmZF yft63JBg7lotQQJ4r9a1R+JfMYDzQArsnbjSgYHLjOgCK0LmkXCmRj5K9lcOUR0tIq4saMbe6l42 gPS8tQIml+9x1JGIUqR5+HCXtyMP/FuFnL2UYaKnpXbBLBwClKTsewDjrHUDsy4RnEP7Et43mffG t2fwgGh9cXrpVMdpCZ7D9B4um/mnrnxT/gKemxllKiJZMgEOxos4A+x+4GiCIpw+pMAiw1GJnYXs Z/9QL05nezfuuEnF2/PrI7xVvJctCQk75LXdwrlbh05nFaliRPN61crqqdC618oW1vbJ1bNnwVUg xypKyZ9e5cp2gE7pRW1PjJrpN864aK0I7MLS5M0wMNg9zZXoUZ06qr68NFTc26YPR8gZsWVHDbgS YCXpI2thJr/DrnSp3LXGpJ9e2wAEMAHUe/s0oLHUZcObop5QQQyEbxphdAPC34K39ybXnl/hNCVU qJETa+mpLjMpPTZPPakLyNiqg1bIVEB2izr6kdmIqWeBGHvd8KlRXdsf/9WdKSxWvqhMd1znEGnR dCHjm12UqqvY7wJlw3CgG93B68wk+p1A1BWGLP7FVTRMlCp5S3rOGtwXABHfAmbThItHzqB3x1pn Fy8h5IFuDrYHyBsDj/IXzgXyDByHLUtd0peAtscFMBGOKLQ/meTa4qY43BIaKvzIeBJR8A1TmpBI 0fovYYFglY1ee22ga8vgVuUtNvQwER0CBsAc9+EBEpBQ9Qk/p2PYxRglTEgQeg5KlGf9vO06ymov ErffSK565jj+L02/spniUoJcxWM8b0MmRh4rAIez9Q0S2BiMuNHXUvWaIXoWGWs7iODEyKRMoT9C lOtquazZa8JC0HGdz/o5yymXnyzm8rytg8uijoVabHGGcEtO+1Eo0lfBRVwxXDZXqpMskEsq7Gfw 5Fkv25cl55LrR3bOvNBKrpYGq1n3i1a7Hy2NZg3d3dcKTF5EBARWSY/NIUpzZ0VFa/1Vyc9zvvk2 dQcTjPOucHvoW/i16STelW1bRM2qGtvVn7ga/09LNpdaYHya8w2Ujf7WMnc9JAVUll3Fk8uGjKAe w4NwSrF2rEGmyiQ7NJGObNX8dvvQ67h5eBABPe6I7tQjUez5joQVgoc2OqPELE/1D2vwEhqWJNf6 +Jtxxe+BdNi5ZCXLOe7YAO5Z3/mtCBly2eDdKSOpPBzIca6k4ByVoQHsQdnhPwRyGTiFAT5KqRcM x1LdoxK52wG4p29GFGGZntJtT2G8n2Rhoe1erHopLs2e0T2oeha01cQO+RwdozdcmQzBkUHtBgM2 6ObZ5UN1RmqRNAzvtdd5RVx2u+m5c89k2hwAhTwoEPAvuYUigi4EsjRR2a58LIic8+27R0MMbfXB cXf0IA92MrNe7e3ShxYXCg+EPIFz5HVBPRenwALmb3/3v2djsHAbuEAgXF7XRpOBQa9WiR6rkirw H6wFlSap86/q9b5+ykZgM41xYRDMd8dnJ7t/HZiaL1/G1JG1cCU7kTJUQGEpWKpAZoUfOFwZDiNB 8ldnZ5NtmfBZbRFTX4zx5UjXSj13N4MIw4nP6ktV5ajayNCchp6evPeJKOX/RV2NibTQa40L2AHR o7hMnx9Tp67mzg/4m9SbOsol/G2i0JpogQrMOXuuW+JKhrdNiVxTz6Fn8durvCad8Z+r4SzXIbsO gzhvD78w7ARsllfyM5F21ePDxPzEzh/ilGTMi+45Gy9inVkb8R2p5pzcaBS4NRA2zaHGNoIqLkCI 4iGQwS0snSJm5C8cYHiVd/CMW+4wUwvY96pxjJP/eB+nc8NXu2WodNEzdlc3ps/1AdD8n+VdIznC oO+dYxfI2fmBAf8qmVLerzdJJqLFU3RkY3TTdz/dgCXijgt0CiLyew1XxqT1WgCDoAoQMdoowxjM 5KaQOHpIriPgPvF1ZTs2vdsGPaG2MNt4HgCB7Ii90OGu7wjgeITxOl9lbbKhWxo85BWRyxseJk6p 0F9sDcoGTw6PZeXEaU7eC3o4TqDBXP6w3INi39FHFurAh9a/ch4md652fAn8nh5i82f+FFkv4T9V qdSFAHk6SedozItbrtyhLEA1pvVC6spnKpwDfvcjMgqRreJvKkoHPap+sERgjPqTTWOR0CRxHMYI cORtjp5F/Gyqa2O5mElTxoNk73RAlhYeIiV0iazFmROgOgCgW2bAYaO718nJTylk7sby/cPRQalY prwjLHXFw41gpWPnxuvFdgiQh3nUNS0P55pb2ZLd+IEzVMgP2+e6FzKXAdFay89WSEKQFX9GNc6Z zozvUYMOLs1QeJ4C/sqa0Za/q2WeoXvv3vH68OtFMyHNqgF+jOHhJkTG3PWCoozsMooHMwacPPmZ VOHx56kxlaIAoKHAdsZbvNacgZwKneKlGesFx1Ct3xSNMLa5mhLUzmwwBu96/FmssFtQHLINwFuT 4BbHBVbTPpLXYYGWQn7NEvUy2mPNIJtYjVB3cAceJz9I+Va4fRVZW2J87AAgmecGB64gqHcDKDw6 t3qMZTu1jWV/wFnL3pWhn6gbAArMcSxd5wwbDwBcQ2mdAAQNpzAAZ74Pqw2l3MyTmNvaZbWHOyIm KnzmhDci1AAPcLb2GQ5NPVJ3INb/BcnLAX+Iy4AEYuNFrr+xPSVIjr3SIJ3nuTsizw05DkTiiFeu Yi3kL7yea9qYfo4Opv23O4YUfokTe88unocbhY62sXNE5lqWKquWSMovK+9NU3fDpPt+Y96rzBp7 j0UZYhSbPcrGxUtS5r0lhIEI432QuFRQ5ZOmZ9spVHGN6RsIjjYpMh1E6d/M9vvATvbx7X5riAif Y3DAyX6rc90qbybJH4ge1KZk2324o5n5lJpPT7tLCG79dpDB8EBZsZ3jSzxuoLx8ot/pXOkeLcas duJk7ZIByR4/l9yeo0LS+r+R3lpzeuTiF86fDjYEL+AknD6O8MB9Mp8JUhz9JxfqBA73ojNM/AU7 zESkE9jcoHBC/1QVnIAYPKtopPaex+SFxSH00Ym+PZC0NLRQQOTpMLHuc/oHo1YSn7MeDzL0JO7V s0UKP336W6LVpd3wBVGW5TXmwktb25jZetdIqJUF989tARK0rV38nZSA5XqQ/lgi6CGCmMWHKlH6 V3i/ymSkm74noOroHKhGOjIl/MQdXEW7vtK8K5QQ52YWVzLyrBx3EemAQJbyoJL/v7Wi75+39wzd Bl2gcMZeniQpuvqeBFcdOB9aFvAZsl+3w2RvBej8ohpG08X3t0Ssrnopc2MApRvMD4z2FQEVroP3 QUcFWr4k/40m6G+OmbbO9p3b0GYxqM+FmCC56XmVT61qABFm1I0JcTz6MJvkZ82LWrhUK43LH6Vt UbkG3xe1maNLBTkRyWbccA8q/urx9Q21SQykG2en76dBVv9xWukyroXnNQwsoSA85JhdPriwS/Sq WnrI2BGHU/SgnMWObIchZN/Nybpfpjkrlh0VESK+iI2IeH7PIt9FTsveZmMeJZeTLlVP5HKkxS1c tfoKFOiVrZsVl9np8ryQHzXbVrpiX9U1cJDqp1+hnPdwmPNoywyDWTiHpoGs2635E8KqQQrDMmD6 x1FJf4E2QQXAnER1nGknf+al5AXM8GD5/y1/kg0JFjEb3icYaLLQTL+P37gpkGJTKsK/9BbpIe3r 81SVWN5n95HEf2VBSNea7605YaINkp8e8zPGwS7BHHBzCsz8bdPKoM5wCiW2raL+W0ihm+4pMyy0 AK46cj5/CclqNXYIwnn8ccATfE+Ks3jiWOXWQfjH9sdvZxufgwAUEtJe6VTrm85Qgo2BqLzKvZ1I S4kCf4UMBF/U1mX7U1wNd/Wbc3XB3FmLwqK9rk0jG3rN4CPzMgDlZWUp/CZf1PDu7nvMpW59Kr35 RTMJjmGJyRFueAckdytUiiCstcO2NjwVWClHEQSX6Z3CRSvl1vWq4kzl6xA+mtgZuSc2lXe6bbEW voETrfYyxSXfwXPyMXAGDlVT6bxFt8r0i3MXQwkXUHBZ8Ft57r6kBRTxx/d7NB1EKMgSE/dFANXE gkVhMRKKhQAibjOmEf/TCLM6ZAfgpmFOqbQRcmsTGRNrsSQSmFmNst8pnlV3id7yESVloMeaKbS0 DJUK/t3RztmH5SH8bz/+j3Mkb99NSTXX173+p3fMj2S5hnDIr89IP4Zx1A662+7MNnOV6bzvYnV2 lfyxo+WcNS8V7KIdFD3FuKmvFgM3P7tgdLUsOp+J0SceG+NeJWTjHdqmVorI3olIlIU9s2dOsN8M 8fNvHn/uAEai19vPnseGbbAt/x+ZQ6iCMvmxtB7+nbRH86wKmQxM5H/T9QCzn5iOs6iWc4S3g8y8 +naPYNvSM6eThUpkHmkhrTrBRceAZlcHGd3oA02Ov8MH3VXG8qP+p7dQB+O/IUSBIfGXv88zHYe2 PVfH8skxNjZa6zBfhfTA8oqOcvDuqCc0efPilImA9VXa5SuB74DKl+PwW0uPT62g0vHzef/WjLR4 ifqeaVzDb6UAoiRRXUCXwXGPvo9u7HgI42NQreAwvU84Ds0f+WCPeQD0whjTHLgdt3YwUKFySmdo hZOYqfYsyix6uClxZtS5xoooU1s284nxUeN7mjWLQ7ZFq3KF0w94VV51vpPqsTzCflBqK5t5BlWr T5jYZARTlgkcqDPXUecnn5TPCQeCoT0+dnutgmzkQu5A5uoc+ZaWFq8J/jI8sLuHdmmLJe7A3in4 13f6wTOX3sI+XSgLypp3EYbBydrQmn0oPmI7ZEhsqDy/4OlTZHmfMfyhIYc61BebnjDEh+ykaWge 8BcJqsc1hUj/O76fyLRwKSM62hBwJVkwDNQQG8B7g8/J30SIvFtFUvPTVsnv8nGysZAiGqjFUdep hub7so4HAo9Kdmh3OKbo9ejJkmUyFHOlK6G4ziYj78W1VL52oDRfX/n/sg+4hk/9wK+ZPKub4h+e QXjUbqSJMmpsmGUmQG4ndbi+N9v8t6QcKg+EwCzP8kyx2R9cVkJk5S/z5lcJVRXUFO3Lv5rvSPsj atE4mBUaWakFICrteEys22ifiegbliyW2574oYere+F5mHO6V4iYq8nju32t9Fq/jM0AcaKVcjv7 8gH2QZQ7agye7qe6Cgkwc/D3fhP2RIBK5PHf/Iof8msNEkRoNpZViWT+4v1Z/zRD1IqorhwoMXfy Opa8tzmCzl0Fb8U3/JaR15kIfxjXkaDO6wauFDB7GQT5OKwu3XP8RohPbxqxnu249RaKkL8V00BF /w2DZKr5QKfA8mk768k/+UUwS5/sd+R+Z11U5ZTHJOAbaCSlHYXyWuxRh8RxiwGvaw6GsZWuJkXU pk9K+vEjlBfym3OW6tOXT3/dEREdn0rQgnxX+jYQsMEef1VMQTaeE1xbkoQpgUHn5ordX7YBEIFD yDoRv4Q1O68I5aN4UMu6gcxHnC/srGtVFR33sUj2nzd2JYofahK1RlLf0twCE/bgYWeO/VkMo8N3 KFowN1mr2kGl/NRX+nco7rs0g8ARMBiZXmVS6q524xmj3i2OyDUhRMRMxeAd8RDTi2Y6EX99dxvw OYzayKpSwgSKAIAbJx++vQGyVJmWqYrY7keXKV7nCBL3w6hKEeKksDy2sCta/I9MvNtqn9JxO7n/ 6o/ep9FYXTTKJ77gpqIw3Aheqx3FU6glgUTF8aSIGQDlWsceXbFD2sGwvsa/8iwqFcZanT7YCL8u G+0eU1FTJ1LvsAc7dLvcNtD71a72PAzRj6ayjBU9Nzq/FWQZSJgwHCurW0E0mfG9kjkpEDKX+IPG XS93zsOYzKUeJZJ850hof7iRce2EGIOxIB6LRR0r/KxHlkypQcnpMobulHqiVPvnswvvIIjb/7hT WyroBWURTGdoPhBOtdvMNNVxVhwjDWDQ8tIqWQV9Rgczaf1Rs/oqyrbPCbVB1LHurtMY0fMzugnM VriSxIwM8MIrtwgtl1fxHZy/nxb10tSilr21c7RUQEFfkXyJZTNiBBEPd91nWQJUUheYaG8ymajn GrWzoJZebk7CrAVsLFbBcYycUEgk1Sb1uT0JMFWpmKO1g3UYwkDV4wBuGRTLOF02NZDv9wWQQgmp MW63Uz1YlXEbN+8n8QfwKJ3aVhlwqy3P2vQA3w9HQEqy7NJ3hiOCS8LTW1VWKE1dz7PtyPay11ML 1fgJEwEukT8QuOgh0EvW5RzMcaILYBG/wAZiCNKmbq2zPGDDiiF8p+dElAyDiwxcPc4KNo6W5bm7 lvqqTzFKVR1njPq8+QEA3mh7PAnf5AnM9M4eo1BZoSo3tPg1excDSH5dtmdm3kDk1vuL+9xlt/yd eCQ19y7WEQPcb9vRggBUMB3d/Ik41AalFftjq9DT3rO/m7+Ar2gP3dEpRRNHqhcaA2SadC6i+sTZ 9N+4Rru6UyCnpQ+EAnVjFsQMIBJ0+MLd52jzhtVT4afZ+DtHLTZ25hw2loQHNpigZ4M+M5Pj+Mce aCfjrGVEvXNgObi13edTfkbKK+UOG8B3C5ATnYdo0qHc1c7eKX87KV668TrW7txPKO/gOWAwSqFr eu6YelGPbFYcodGqD4cDixV1W3Hqx4c+wsU5DriKwFI8WuGHbYjfWJO/TZx+44++l2ucQXY9Q0ex HJZxT06QMOHqgghVo2qWPnK7iA6ICxfNYbhBa8rETF4wHtpFoTopa7wt7G7mb12iyvegC6B9MuZM IcFpiBkDdo5qN6604S3YhdBVj39y0Gm4f1wJPV0rzxNOnTGCJ+ylAp487b1jHt8hwPAzmoyoZZz3 5jaT19kQMZvwW3YXC4I5wAAsh/rt100EtoShxhUVKZcHGN64foJr1Yx5T8QepuzWtEnFtQyAKMUY vkvSUmp1Fe0uHd3utAabDj9eRTTFdr6vic5QWLkqF8gzSoWQlZaW6ImZLdqHBhQFNLfCQDXC+YJ2 e9yXsoKHX7O8s0ImYznRPRjX9LwkpknbSVu4szNH+trK++Pa1QBwbG3QdITRspkS48BTL7MvraWS xBxE5UOrF76vk476T7YLNgDHHlBzSc//QWXh4Np4XQRMiLzHTj+w8C3r++prq91o+7kmDDljra7T l95juFAP2nukVH2SBzPqfm3OxyO0yzsdb7xiZ/QxQ9SqJkAvGsr1rwFEZA2Unv+tlrtXwh23XAvK Ho68GtKjUGCik3Ood9S9Kg6NNqUtI+i+aGfP1FlrU+4sJuF099tJboQ7/qFtaHMbyBvfnwObuX5Z BdAcValS3z+tTlTe6e2i89dWR6vHQ0gwg15Pn6z0Ha0vV+TLXInkTlTY2+UzhmH6FiRYaTmYhgvz SgvXsEK74R73gfj+Bq645NKKoYIJNgtpq5upm+x6uMV9fAiB+8qGUCR2KO7tBtekOf6fdUAVZeqf O8gP8Z4DVPWLPgawzeJ9+cVFKd9jd5CBCtphRj9RZn2Aynb3Q7XjYU2vGqy419cYJ3Cm67RWfOc0 0o3Bem7PnuyqHeNcLiH6hT6o2ptTK9KwIfT1M9+jvf9qb6Rsz1bT+BxI2Ngp6q4k/rOJ/gOBmT9K 2DDnD1W49+G+WmLJ83o+OfRtjdpJkG8UbDAcdlqzKShyY4VtRSRGrxG6WN/ynKRBHyMabawWjA79 wG4n4LR0i92KzKjtQkylZmqn6Szy6wGWFNaNOmoJKIjqGghRPia0GlJNSHPi5xbleGSs4Xtsi94Q trUU5fBVUBwI7+b4sdOipof6b/1BWsi62utfyb3qYE5hSwyubq8UIQ2Uw8N+D61fWTrc78wK9TsN SJwwgQjf7Pr7f+GujT83UtRp6aymLGTz5O7gsv0JtQ47hV98M8hKlc7ThYh0Dmr0TSSpqXWcsPO0 AmDXeHwWR/meJAKN+uDrSvNyZlnoDH9pWPrMqpsw5rdgZTZvbXTM+iY+FHPPQN+ADgNLbA5zmTyf ruw8fe7oi8UBrAcyvftXm9Bd2Z6ngw2/XeOernhUGGzdE2xIf62RKjfNSGvC+B0DloDh04827Mwn tVC6yWPNUBAPjIALn5rC7f9a0hkz7GBhwKH6grBsZuczpXPqn2dlGnPfQH8jXaG0NPAUH7ESJNw/ M9ZjZsWvLM8hpfvQZsyTU1qHOHlaAcyb2jmVT1h0+KoYqRH4RK8nVV34MJjNFZZxG0j9Srmc1Xoh x37yJFiwZgZuExSxWTQB49YB5wZtSh/kAwEJFa13niRNS4ieli7UrzxY9R/Kj0uJ7Sp8NX4Jk9fA HWo9YsqUm7VpZifvt1QIgoFC7Qwi/pgUsVAdl41TsbJKopmhVFJC3+AskqRN3S4oDpLiV3MkWl28 0HpOTyFeGRMYzyqMn9A6FB4as2/1XWXu1Rb55YyPEG3fIc8gmyAJDvttI3F83mscnPE2UqLmsRUR GWrqal/XxYb9ULSi2rUfbQJm3yZRB0Cy5xBZR9P/iYM6T3QY+jXC7C5XBaAwqsSi2jzB/Wk69gqE jqbvgkSFunJO/xOccYLlMSnknmCNV1mfaZXpDFJZdw9wtIW+67uLO5NZujclj0oVy6tuli2BXOet TmzJGHa6aOUZlJWofO7NknN4VGVS3294MuFQkmZQfRX7DSKoojDqk/tNyGFi3M6kQ5si/eQWoEl+ jRR0Pk5qXoI6blebMDUUo9IlJtSH/9FXGYUF7MOpFpEMLbl7QySP76Cdv/wmel/4omiB3cAFresE JfnwAt5JHWWe5oZPYPQCtudRjupigHHBeaBRaftos5LhxLRfayDodpZ49PWHvbJR/t6sgY0OAD9g wIDh+AxFpaOCPnKSbwqiRAihNQoJSsRw1O+W1NsqQrNsrgLuM7AIa/H48pA/igc6zZ4pp4dxf+Au j32N0MioX7YiZHU2TgUdQUO0dGC974IRyI3wWOU9IIPDR2I+EO7Cyc14BuoJ29JxROzGFmzaIGFC 5tJX+vB/stZLr0+RjRj/oUfV/nAQ0Zwqw/foFqw0ZD7cE3ctpe7vBQzamzH0nMROxy6DdD0u2O8D +vk91wDWXN7trkYxRClXNGK6g4Rn0nfKOiaosby8DouIblZ0KwCW7Jjl4+rkDpcIKZwL8G88jU5r m4uH8Wg8W2rdo7zbULuVXDzD6zFBjG9AUb6kVG719fw712FyNOtBcG6LtTY3xaFjS9OURHY8YiOw EgjKldVnHPDevj9U3l/AVyyw5WtF93NFWm4mup1mnZdfWEm9jx6u8b2ZrTM3oIGeBfLNRqKK5ful gYg122Fec3wjo2T0m3NMrfjiduhhm7CUBy51z6fmkr2GSKqeod0+V/ZX4f21R6ZLVGpyvE4Weqm9 WtXjlqC5CLTYAK2PbOH+yolfLF56BHVX55U677EPFDpWp5kN7Vxb4ya4XKjdfK08DpFwW4sxlZuC 7oSK5hMkwWm6OgGfiJYjVDXTO0aVaS4f5y5LsOydmQbcaCmzXBFjmdqJ8gFit9EgYtqitFmWDqeh eePpBuSQFkpWUMNQ6TP1kKNth7lwVK903zLswziel/0bfDC5XEKCiVBLKw+3tajJMNcwPb4cSmHU iuQzlWtI6B3zRP8k0o2p61DoAd92yTQb8OsKrBUA5AbzZWntPjlGvbY8UDstiHPn6f0ghdQL3YDN H50q8O37zooHvTWfaHCZ38Rit7BzYqEY9L1vbDzsFXeRd040WTmPqbJZxkswKR0IjIRIexieiIw6 Js1X0/q4HmuUxLZdYhSbzLq2rgrtXpmUJL/eVsUHHT7dRmuShyiiVAL7H8GKWMm3+4FJc3zNq37j IxB1kDIYRUFmDqoXaWEfkGPlx6nDs1vxAiQgRo8lZyJGPhUnYvMB0AeaXW354alLL5jM3CjABGVB 98p9cxB7TCaZx1cRSb5yUze4LKvMa6MymkGmTnHdKswza8cZvMKJJoQKgvEvqaM6Y3FBKfsd25hO BqhBvBfgJZplK/VSSjm0yk2L1H9hVk165pnEZnBWJCmQQ1zPR1Lk5DOCheUUEu+9fyL7dl0jkvYb 82V9wSPT/Sdp+UnvMz1OOsvNaZfPmf6d8dQhHOsV0Uu+5dRlLsuLXBrQtzIr03ZH9PMFQEy1Bm9V oKBLE7mGnGpaCI1NIg5nDoVlHXRexlMD336z1+m20ybE1kgZg6yboFd2ER1wUc8MORsONtgERt8M yjzXHgaV9Ldqk2bjPNKAyqnNTxCGZvEKKf+WfwWrc6UJpHWQdfmu1BIrT/sNSr6dgVvvHQZ2X/eA GPfx0r5IxGjCi4mr63wHbELIEu49SoRsqas5titvhvM3KPa8ezE2LUrfCwoXqP98bx2KgMjJE+u+ a11K95QhzYJ61hsZ6p5uJQegK08asz7+WWZsc1GLqw78qSoYVVgrar22ZSEsxiDGlSX16L1grSpz xBtPL4QxuL8B5B44kAVgPAU9rzoQ9xZURPnhXPDKWpEKnsi8vwqkI8YXSHdGxTON4SWJl6GdaAYX XxBluW6zWtfyxynbfXlVDEy6Yig/WbzYG15BXjiOY2vcomPOHyInBpl6f+V61WoHUVjTzztSAGYb mHMCRm3lkiWpbEHcvsc/G+s1Er9uCAQ7GYPrp0kYGLxjkXx1UqWbFCvFrBbIjAa5OE0AJpNEIMVf wF1up3iR2UGizbLnkQ3SgI1zDSascbSw6rZP+F4HV/Ax591e0+BfPF6hHk2BmnMQnq2opPDLFbsq Ob1RFlkseBK0ZxriQ+AUMzwwwevSG2gLJWVwyXERbXa9Vqz2nTmEGuOK5hJdGMfqzsB2QNEytB+/ cKF1v7fGyFKRQuHPOCPvZfYDQwclB5eshbhIu0W2VTT2e38KQxiRrY+n0nodNJSgKBX9/Th+8ARF LwwI5rABgCdSCXkLtnCaBStyg0HPaFKECik8rrq1nlmGgXT+4kKY9hdE41V4ELrTlIcwPqPK8g0O AW6gEF0e41Yh8pLXyGgRU607bk01qx9GcygTcNBTj58OiSuGDXuANtk1PQdwedSs9zTYmQKBGoO2 ITW9RpIhPtR5Hnai9ID/hyGK5jtihQeCu9bAg8bva55LkTzrL2PPPufecdJ/9ChsqLmNhB7NF9+o lK5I9GsB2zFISeNAwabZptR9NGKnSq6rVEyim3PDRJBz/76H3qzqO+T1KLlFTb0CrglLyQU+1jRE lgsG8cDt85JfH/kKYuWWMBnkEBF3LkNxGFYPL6V8J60hOKluSwJvxHNA2jszfNUWzyvA3SloHhpY GlYJOaKROGzi93zA4wfGVWMjHnVsK6IrYlSbfpiYDDmyjG9c5afgN5AVN0u8FTdlFPQEGEs/8Pbz wG531WB9FwD6yCIJx5Xl0vVAFPOmFign0EjQ+LweqZST6Y/d9jIoDGW+V9nxMKCKtMnyEUXtLSJf C4r4MnUFu+8L4fPUv/rFpx1fxCUG11w4C34Mn3Hti0vJAEO+7SQwDsvo3JyCkR14ISWN7UFAdU/f E5Ma2GB19VqWTzEisx56ThaWyyjd6Ctct9PZzi7ulpNR2jxUYsAiVr7+OXKsVLcBWRrnb9hcz5V0 19j95ll0S1t+LPWLz88hJEoMqI05uF+ZtAeDoUS5BYOm3wx4I25WLySw4rYU3yC4nrRFpJg4pPUd 9O05KqsaRgT10NT4da6wnEyYEiMO+OKfSH0czu/br9SPgcdCIklwjnYvCegkwmHx4CTJulkhzeKC JmMgR1QxZvB1SPWs4y4qQ608J9yHmQCw3MvYvKi0fS43iPxM8zGX25pj0Ree7ICFh9HAwU5RLF69 Oxu4jGI2NyfwircMdK6saRBsRJW8skkLLOLcEVg5bLqs0mC3mJM7205zbPsOacUbgIVtNJ3Yox5p AZrDubWFq9Cruc0QpVM2qfDjwo02wAHsqMd/YqueXcuvp3DtcVUHp73xwIT+WfiCl26f1phVSyF7 82vwbc5txsC9qyLdTllnG1JJ7mBN7yR0gBTOIuuJwry5U4oBDACqlQ5byQy5pucwyQXqgnYdUOw5 VXz771HizT0iOoJVpxxfMqsHNYeZuTsuTvPKWXrwtYjmuxH2sDAWnhlAQNaR8/biBXUOGjLSFgKp eVZk15AFsgosoKH/LTVlWgKXj3M+Nc0RXw1N83hKObtNUrks/tZwHV94J0ZdJx/0RJNIiqil9UP/ zDjanShQcHdEYJEw46WS3drGmST1t1T2xnerAREHOem15naPYZUcO/u9umWsw557V7BgKPd3mb6T 9X70DSw1Ea2/mXRiv8oMOe/G5ZpvvJlMiz/0jn4wpmJL0kKvocTQlUqkkXAZD22ZWcrii5w4MaKu vjhrwlx+sWNPw0f8IscoeAmKx6q96b5wqTvpiYG602ROR8jjIFHYUpxXnAoShCdaAlsHIjjGqK5J jGCa4kn0mRmbkOc2U9AKs9MOvYu3qPVhRlwGJCyViqWGawILBl1z8y4eHv/c2yydGbIB7IQlwG3H TukKNzz+sS0HiC9OwUu7AzBgy7iiA94PU0iZuPi1ZkdziVsMBA6PY8iF9L1g3WVTKPyrf1EBTnb+ 6s49IHbE3r5G3NkXbapklU2xqNFLRIG0S3//rJpyOd2n9O4Gh/5ic2ygxXny7Umb3KgFT7BKJnqh MDCy6i+WtEXhxkh/BJAv1jmP8XMuw+myPS3Pnn1+Dd2z8LSFtigrIKoIGIVAU+087npDi5FJPP2G RRzhHgCnC2MW8yw6EW4YgQbuLksof4ha/rryt5lUjVm8hdttk060q451D8KR8ONvhOON6OXDq/5i uOlDWrGmSA5fmwOasP55cCzblJNg6d80ZIvDHOGnJP5Zii+j45N+MP9bBGugurPJg1U1CuLBwR5z OXPGVDYMguHYwB3KSQh4eqJB+JnhEBHSQ4q0ZGd6zZWuybjP5otmqPpZXzGObu9VaZx3kjIgTTIy 8mgkttaX2mogjCzAFvHP+BXxT8rtrjCvC1E+qp0TY92YaWWSRRrXGr+KSTacIPkWWQmOtmAuo5c9 AiOBVpP5TVtvBcq1DNN8XL0DIF/2gfsQkdDPDBUTvMiF9dbnyDqnUjxTj5gzRt+XuP9puf4ktouJ 46XvuZ4rFUo34Q6hCsnPIsXATj4aU+NCYZVB+9x5D6IfowOfzV3hIzmohUb4ZhUusmf2+WWWcCOs basqMXWPJAywq9EzAw0ENBVzQgXLPJsD+d/ZpK0EfqCs4gOE40T8aWvYVue9kWtARKEaED2Y3ctO 4mZoP5Ah+B4Wz4G/T5NVSMkAOiBNfII2K3rDCY2G9m/U03UXlXfmYStZkRdni4dOvADXz0T8SoEd UQtIdtFKkz7BLOG4Hi4FOSiJNjVk0aK9+laTgGdcHm+gBbAd9Nc4rDKvccWjN6AOB5ZxfLTCag7w Wp/3Iqllki0DGQtYrIsoVbKwOpcHiIcfhMYBH3eiYShEJR6/MJBuKzUzRQeYeym4nTxm4RF1YKQx 9OAloswXm9txjf0YMeHgMYq5iFZneprON8yyJ4rwhDfUZxErsGC06/mlOiEnp/FuofeugZdF9Xw2 sYMzLLm5NsMd7BLXOoeEL6NiLI3K6FolNiOWB39l/EdX0zlOw5iGstoxenM0yb65fB6yz6cWL2r2 pEP/43FeUDjAhUtUTbVhLljl2tJiCvIZP1TW2k7uTfdODYuU6KDG1PH85E8XuCx3nMU+Kg6XrIDs WhY0H+zwecUZkfRtmQnKeinvbz+1VVdac4Y/RjDc6SRdln8XbakFVV4hALIEVoCfmV7osUwtJMzu 9alIhoFIqE4vbJPYCVEXf5mFXPHxX7Vvmouyqt18h/skWqt0BJwvNKf7j45J+v/UIvNVS+5jrjYW rVMcpfSip+xyCatw5rnpsZVkC7Ef8rjXZEFa9VFftXhg40FEddIDXQlbaD4vOnML296ZsBTge4bW yc9zVPjcqxZehCjLrdL9t0izH0Fru9PRaLLvwT4fUzpNVTkkhNLAIuWBR9ZkVhfz3wP7q4EWQfDl TTJqrEQdAMmwpByCydTmoT/6iJDPsuJ3H/qfvPe+b+i7cmScjOmHYpzG2TUczXvK5cW8y6NkKEal hzke0JASHYUnC+yGlSkEfcrphYudhTNXu4xV87iZZUnEEDOu3e4Tj4aKPNzxPbJEiBbhG7o/Qd2E HVKMlCWmLrD3HTtgkvuViWys2wSCuQe5qgViuq5kI0GxLFXRTb25xyiCy3wmin0ePbQzo452kN2O NI4TTPo/wEfzMFUD+cPouyCfvy3f7myu9ayPoZChQ1tfdlN7SL9Wn+Ri3IkXhLzl8oacZQqrns9x e51ekTHO5vgNjNAyRgcDhQhEeCrUNWEs01LM0dacKYWHFTaIXiSiPUO3A2bF1vAZgq9p9MDfzgGJ B6z7+/+6xtruGTU/Vr7KF7o/yEJgVfAzNpnjOXcBC44zFrIA2WYtJVhIic/HoHzSV2tJLQesp9St Vg2RL/bwJPpKX5ygFjoDAVriGCE5xQqBQKUENwB/7pYAnGEwg0I7m+d5llazNaz8dt0b5u6Rgp/1 lN8O9CCRxai518nHdhT77ffh5C7wGjwZ0VcOpxX/RKfw0XWNPLZ10Zt9ksPKbHqi6U0Z5hEGRX6c +JDc2Vt0dfP6BDNkDkyc0osUmHn1CIq0Q2TtKGL/8b5OKNhbW/BSfflyy2eBur1W9EDUX07yCInG pRSiDJeLCCej2z3L1LblzTin74MgGDPtU+aDYetDRoOKtHuOVLGl7FBxOmF5YvEv0KZuGjA4lIVD RCGJGNZ4131jiJzPdOLT3vysF2Ux8qAi1c6J26zE8GLrxXOcuqVYs34LoardmPhvzni4m8S+jRaX SMF9VW1FA5OZoFZ499raoaUjtIZMl62pEQ+Z48hvLYK0p/xX/OgHjxTwLiICi/fJupIHzyfsJuDl oalNa5SWTFjFttGoeNk7d4j3+N4/7Z6A3eiyaVWb38XpYdL4My03eFkMY+EWSNpISc1N2aCPqAfr RDFn7fqbOLwajEqfyQff8oKv6PLjYyDmn5UyCNM1G4d6X8s4zIESbLhfh/VoSLlXT62PJDehJvUH zpbBmS4fsqdyysGoxrojnTgkcy8f51fjdFO8baDxKaZ9xw9pxmdV5tTebZu2l+OixAD0eUtpfzkU YdFXMJ1tsJsdmDnc/66sPr+ksEuERQy4bnOrGI7F1w82UaXU6uW0W2yina9POza77qiJMYfQ3vWo cEQy812buwjwQCLbGnVzMwlRuGwzKO9K2pdZ9S8WD/90svTeVdglnm8MZ7trg2G0fK9XSv3k7taO AC0JwAm4zaNsqkICkiaaBN7AowlEh8HyqEE/gjC5J/IENlw9A3gElAjtwMM5aic4ewEBU7Gyttle 4CqF9GUepMYS52lVjAw6hHsL81fmUyJX+lYmnrJKLPzbUIndEPI6PMUdawCE3TAsa89f3VjMNpqO hhb5Py5qXaRHeYo2r2T/kCnlnTzY1Wc8W2AbDmGzwTkmc5TgaSFyF5fIx650ha7/X91S8ptWcNPQ 1n2a2fHW+VJdt406gyIWnKUF8U9w3YwvLY7SQH3NjS9RvE1EAoSoM0mGQoO0t8Vyt9B0mMTaPPmg pSNAI4cuKFVBmGmtLOalcVNg9SKIS29LwpseU0YXq+YiN1i3KKcGFCJeJUKvpkwKcuFzneO0sYrx l6FL4Ot6krv8p98YVFfc6ihlGG4khQFXCFD/NXNlRglLrbjg9bqeDRA89cEmiQDDi9AeSJ8ByhbP Xo9AuZhxakO5G+WM53t4zykPBDYKXENiLGOL4VfS8K1WggzvufY6SpBUr1RAVmB4bJfCujeHzIMV 4UIZ5VvWl2OJKVniKnhPE9EHQKAvyx3v2NzuunfB5FjeRtoEMoRC4KlW+zud2zYoLqn3GK/TYqCW niOXX6Lgcre+vQ7zPJvorOoJaSXzQucZIIOZpJnOTP52TC746+IB1Wc3s/A3yqvzNcCnif9SLPvC zDqYOQJ8qu3KOtSIlF1FGtHn/2wHSYzF3vKuwNcb2EyIQTjfplJxcxVM72bXk4LyUTCLW5LDIhAB VpZvnxvdF+utAeFgSNka6Kgx4YeGk2NGSrJNUVOq4fqz3gTTZMsK8LTgIUXM59ZY7PfJTRGHIqGB 2b9LriVjN1RbGi01ol3aotZ+Dx8LRBM/FhPJdLkP+GmxEvE7bvTGfJgrsLamqwqTyHnXCCR0/xgM buFkjbxpzP0OReDmF7CKQ5gebR2CejpvdmY1D3X7eaqspTpRxRgKRZqHbTzRWuyej2X7R3vFiRG/ PH50kmSTajNMntpsA2/93s6eA2SPMvINrEpx3D/BHlwALUZZWAQTFsYG2/abTSkWZ17aIl8IsgjZ JBBUqg74RI/THKzVFXIhNsynpXJ7rIWRRxWFWoedf/1y+KCiWUtC1Y9g/XQMpmuxhpu/mP3+RJ79 ePDufgCSuSKvtzr8parvHp7TRC8lwKA1tnPvJDFAHSk2Vt5rpsAbaeWopVq3titA9fO5iQpLHEJV yOUqBcT1aIA3yqGHxFlMPVECe/mwf3WWMleTW+rIHNRNBJ3A+BkIzH+QYMx4YSPnPCbkK6Fzrlyk St24SRunV8PO86tF0RQouXJeYyXhyi3JwGDL5Qo2zMADU2m+mFAdrq3zSdpOOtcxtEIHBaPQTdcE W+v56N9yaIUb05qX6ia0hscmXCy9Sc3LiIAqcxwNIBrh6yPL9ZqzzMrFbcLblv+Lkr9sweNdkEPj d9lNAxZ/nWD1MKrHjpzHKLY6G3SVm8Gppu873mCKrsheKTaLi1sYBE4bSXtuD5l0twfrYJdrokvs /Hya0X+Ar52D45Wbjw9yNM0v4NSpu/7PcVnuvD3E5nA7PnvvdK0DAEM3rwte/y9UA4o1HHqk4VzG d0a8aPRuE4xHvNNeiZqIud7LUC0F3uzlGaCu502SE5wkV6gvemiTwpB3AZFVmqLy3VAB3G1queft 4qeRD5EC2PQWRU0P0qUdZ7DMA8AD+oqlEVA65VqUC9AYxQD9/lP2FFBRzUlBM+fMn7iHX0qoPPv9 FqM9vObvwh/BuFzClPkFCilrnLMx1mIHkfZclsrfVmw7aXuFWD1IasYoiigijLOgWP6/5aGD/bLl M4v76bfE8rrE/QY69ac9AeumeuwY2d5ooiyEn55iDZZ5aihAOOho16eCkkV8y0wkf2yBia1slG/6 IFSyPo4Ya8WBOQ00WwkR5Lkfvhhow1w3vo/md331T/wLnZf4qgJnSRGZx/YPNEl8BOgmUi9gJe8U 8pGTmun09G+9mvbyakD1hoPd5CywywHqtT6Ggo21UNEivVJmjDV+y0WSZLiIDKkuJC3i7UKBLZ8m FIWMxSv85BvtDrsUutuFVXzLHFgtxzhv4+j53WRHEGtEwCnWTFuJaTA6ITKe6cfRhfRpR0OKjT95 mwfs12WwDiweTZayceW2nkEVcZCOckNuC24HIt6DBF/KSj3BVfEa8JHYusu5HEGqPlvbD+SxcLf1 WwoHlIcaY4iwTX0S0CcRX0JEjVCtiFftftUJYuaD7sdgSaxNDn9wzaVBSvwA56hupLBKw36OzqEh /2ckrW2rAEj3CHp4INChQVedd+5uPBB2I49eZY9tS21YSISLCzPtWjoPkkcu1hFSOtVnkYBd3LvQ HHozj6v6miSE98YnMsy6Bxsaj7wgIh5m8+yv5vdNS4J2tGW8PgT5DEYI2OQiCT5U/pbOwwVDyYPg Ydx2D2xUbO+VHqRAXPN84icVr2dDtAFPTU9EKQxh2CARruh4znTqEKqMd6OM1j27Uk3E51FDzwfj dy3vmSW+Ek0odLNSnc5eiVdFK75kRC7DeXUH3YomOXoIxEBhzkOKd3hvJuQAVQ06JYW+AtUdxWCx jBtWKhOwg29S5AgZmTFGg+1qtpa4csJy5TM6mdYyL/NAnPoa71QJ4cMJbwSHufsqAKUZyOUZmp2N A2DJIRcJO9IQ/4oKf0GdRrsiGpEhatYeAVoPlySdbIB70ek4IseQjQet3BsDP3d7u3QqiGdBDhPm vdMyGHMzKN36hV+HTTYWvhjVm/RrV7sJ2McCj/SH9RBcMkSChZNKelL12Pg91oT5qNeYoU4t99Iz XfWk2Y+O/IQtNMtyU9tuMYPiPZsQGUpzN5bsN245LI27AtQ5Pv9ttCyM5lVL3+JBEQwQzsSU+M5J RWNA2qDS5nopZ39tWq/FEO0ebIiS0bJrH8GHCOdt810wycDBK6uXuVOZRHUlyw2yZeaPAEykYu26 W0v34WTjCXD6tZuGvR18+I6wxxhzxQMQdozo2alirbk3qijeqk1xbWd22h6Pl+ucoSr5HhY0ODV+ RGGxRfukb+SGcv5n7/9wDNy+e7PDzUJ+Ma19Jli+mKM1jS35tWtfjtH7CaEpejjKG8tYWsythJSo OhhZlAXxk2TFQEx15+3FhkxCn8nctnuCK4/gMhMIyCX49JCXs2io/pAr2mEGJFsjPKVem84tqdEc f62HoVu+3Rsae8GXpRXRLSQLoh+r6ufxJuFnzcroFQ/GG+LGGQpEF4St6Beeki2gWsbjkInxHtZe YFLOcb/lZvCosKP2cS2nRIw2vO/w/u+sZyL+8s6DuLbRbSAzKiq4RmGcxIqqsEBiofiBe4v98Emd RWCwBN4Oc1sq9zAXP4DMyejamheac5zy0j+wom3kJS0QlcePtrvr5jr5leWUgTbj47rpaTzTqgfS vzBqDJI8Jo/otG7a3ch0VsGfPHB0XjkQByG5lpFakYOCa9cXMRBa1uG/4B9xxkewtug27FfVZfgR L+VI9PEeRktjzgpnX+V/+AESp1sxF9lIjCDGGtMjWLdGHtdDAkcef907a+18/8zO71sMNgEU02Ha Q7Hy4R8MSPNwrFiu5QlPJXSF+fMlmH9RCi6z00Wun2MsiWOQNyt2hQnRkIDLZeO+vqAeFkoI0x90 SeWJSJYanf45ppL0s+8+bZkB2SvV419+cjRyd9/HQxOp9V9vu3tyyinR7xVEomdjMo78rX+x+FZ1 KPJ8PO8YaRX23NMOaMMyVOLawv01lyOKDCS3BNYt+9ahiNisiM95JKQ7Ce38pX1AOSete4Li45L6 8lg4AZxiCv8wXad36wm4SUpW0qKOWUOA105bQx4m6cMN2A/GPFNGckOZju6FkT/urFK8B+SUkA6v KNN3vS0zgCTwdc5ZZqc9LZv/1cWG6ISDsVE4qipYmHgvXvxydA/d9z1KQntCEOzOtnirf8l0pY/N z3u8msu7W1BT5XqgCzgnfrbBZJm2FdpZEgXLy3qTO3cdf40OInthGwpydmpYP74X+k1svJx1PrVU g/Ft7tD3BfCOOQUv+ctiKwH5B5FtAqV5ESTNpAB06SCVPSNesNLsY3R4VqEUh/cWKMhLTqq4QoXI uhau8nRW7uJ/dWRS1Rht79AGv4tilm2Luye0zaYD4qt2BsSLi+YPaT1VKW80qY55APxQGQVm0iId sMdDGG4L82oJYbzAsaWweVE0dOrvCVAQpp6Bq9zC+S6NAK1nW4yHC/UrEBJV8+DGhVieZMnfzmDY v6yb5GecIQC8ZIfM4wefPuGuDUx9/OL4S+wZApTlTvgoB4VnbpIfiBPcBbuvoBaxLBdjvOqf08Ve 0hKIJQ7INx8XPCOlsT87tpPqdhlkFbZZ0b2H8FBzlfz1dYXyk1pErgCwCrW4YRJpP5JAXGHf3lK1 rnP+2sBvjmjgNwPZK+Wqf+jQ0+5/xzDoMV4ZE3CFNo7oXEnjDZxHFu6eT2eGIJkiwzXuuoSI1T3t PWvLqP1AycvgH7XWW+6sTz+ezuuJCXdRLovjGoGfVUgmKgDWISvXM0sb5LPpLWiOtghkjsD9IpRI yaolaIhXEEfVo2V5WhxKL3HO9JC8fANDANAIvaggCRowB0GEtIkYQ2DEUBtlooB7uwEjXsdOmZw8 P9CczfUv0fNthKwZJJlYhu87Buhd+baNfCxM12433LnY5EJfSj/xQw1/N0Cf1CWIe7R7Xau42V1I VQYn7Bhkm/BF2sWxM4+0Fk+FPEZE5PrNsDarnMpfsOoKXcIgaCPdu9o7mLAAoyJh5rQShwX57Y/S 67Cv3idNOeQIAgM19E1V1QzU6IBZtGunagArdLOQyf1h5dTqtqwqjn2q00R1MtRpcr5GAyuJnaXI OmROStVnzz8Fb3KMWdl3zLh99MsvTjMz/JlVfKsRNCiD2lybfxxcbcSpQDy/iMhIQXKZ+6Z0x4HL VHu1RKxKlUHZurpSSd0EXjaYasROK61VMbRmv4SDXbrctOD2roUmPJS3VYEHN8OyNuknMP8fF6x0 D6AubiQf8jU9hj1qruH1uMe25G1DjyPzZcYysGlEMDMsj1K1AFggAtyY+K7aa7+DDJiLjaVhLnDM EL5zy8QnpYE8onpwTCa3rk+ITS8x5sdcDj5xiIeAjIEF7lyfjT4godLWD8S/DgDeZwpTpkF3JYUF l2XTtpAP1XAjAgIl/70i48WqEp4ye2HVqlJB3osHemuwCqqS+4PrZXHqzcYLIpIY/3b/CKx9JkqZ 53aDt/jjxHlG8HH5Z7kEeFiA1jy5/zLoQ1/UYOwIftqmB99VdeanzaBQ7S6GhHW79wXgYCkgETNp GyDy7yn1dtTCDxS3HzAtne2MSIa0zn5imGUlGvucRV6HofUyZPsPJAC5lqrpyIbb2eRfNEkz7ucU jtRV6hvNlygO0YYNiUDte9VzfN0GQilFvX2wY2nDv/w1roV9E7S+OkCjEsOaolAPuorCmjsG4N+c M5D/WQJuHat8SSdQDWhJemfgeYDC1v7F0Hmv2I3RqFdxwYq5VfH3AYhXNgKmSG8hMV450/WHuya8 +vFHqnp44NH387xSsjDvu2EUk8sji7OjTeuCbVJkvzyTF2bxBiakl7yTfbcznHXllJb0IV4IimJg duRUIm+9nqCrXgiQ2O5BCdO/OnkdZU9W13UFcFHx3Ti9mu/6x6/8M7EwiV9KmfJe1hj0YkFAYMgt qFO52wpWZA/0B90mi3UE2tbtb5gNAZYIegGOmfhlHir9aYAcv7PUIFte5TNpitUUfgSxLRmckKns SRfmySRoJFHyw9I+bvXDrnB+zLwKkyqT0jW7yot5J5QJjckOd2/0HuEumr0IgND0WMzT7vU+RGAr r6QZflST2//98ZvS+IaCR6fh4L8Hal00LnNzlqqe+t071D+oGmwY4IHWpyrYMUg/Zg9tIR3dXafV 7TuZXt9sNEVV4xb7myY2BhhiycwemKaso30uynzKmPQ+SgrAeXZfLVeeue+tE+lIN346BdHYNEeV gmQfcR7rDCp7kRbVv+W5ySGndeKxvnaYbG3b6Ft0RelZbYJQZsQt8ENggsL20fdoO2nHtNvvmdvz olBJLuu//Qd9X36T+7ghLhU3lb6V4QLBSn0xbCi2/IgOskbRdb1G2mViN+n8j0wn9/YgvNEsUEXU 8D1CIQqRRQBFCS9gqEUgGdtWZ2HzsVqmBKleatQPYHu77b8fNhK+v6shk2TF8LmkaxkKkfd5VPjh q7Xlc5ls8Ae3xitFWG1YsGIz8/mbAIJ+eV4fzp8sz+VyuxXdlJ7oMrEnlvI2WxyW0MZ6LQ/pkJmA D+ztaPyEdZD3Q2w/4bFIs5zS+jQ7iT+GC8nz/C9SubKEhzbSNaD+iVLRliAPhg2mtwM0We1La46V CQf4LrjJ6NoEumn6uCl4uZEhzUMaO9dwXP9pKU0iml+5TjbohY1GIa7AwNDIWWzYVoEpiQD3Pr1N XupQgVUN4KnccFX0wlqUtRQx9jEKEeDcXfAeqP+bmrey4azSh/FCWyZ83dTEmdHIExNyXt34a487 gECdyXn4rWzXaTiOjROqF/uX8/9uBXshWnMIs+pXZVohPCC3DgNdECAMqdeLSu5mUw7rvlN60ZvL JysWSHHTPiPQsMuzKg/6HlDxczBsPgWj+uO/twzFmms0DPwIRiBOLXAsy4uMw6/vb90zEG9iJ+Y0 eT/gezGr6WorQbJJYM0fdQqxWIwNhp/LSIMt5qjZIpaDRhV6VoxMF6wKo+dpx/SzwV4kp20+fVFS r9VBn2eA6sXTXSwdj1U4k7h5rnF0X68hsX2bIzxPdHiM4uZXS6q4ke/Bf6meWPIfeSxhy4mEanHC zdjAer/w4CKt+yXLPZp7j/WuFIWnKmmOXKJOF3+yelQ4jOzuMAI2os/IgPjrs6PEnZhp5AzMUrjz qRphLvkGTobOvMAPoWHfEY28HmBsJBKRS/cTuqWIwBttml/KtkZQKVuR7FhG8rZdRG1sL8wNimzS 6MDrqdaNJHC23ls507pjJLIR0OYTwbgYhpObtUGS6V+8yhZPIuyGEArsa6Tp//UCEOtjMm4umpGD RDMF7ON5S07n4KKVaDB4M0AiK2/sX6QtUr9gyJdk/O8OStw9uNfwexA+qybPC5ifryJ5qJzM2HAM CY4r84lYKEy3vfx7O6R27G2L7kqaGqurCzMZEiPHWg6rdBXILB7vJreFV0YjxD5yeetoPik7Gmn4 CqLAuVrT6kQh100R6d2/cj68+zAWuy360LJwWJX6wims0rSxUih7J1M/EzMsr1i34ZpQ78nqpmni 1HowsjdAv1Vdtc8S80OWhQd8xaIP8kSb+AcM52/wHWi8c8p+esYsqW6HmOWPFccfCxDsHmmLLCPl 9t+gUWeaXMhC/jAKqj2xOVmLSlupNPIF+5lHFSEZM2WYAsqH8xiR5LuZEdokZbHoemxC8PIOR6Ds tCK9OiQMZLrJp+AwR2JKnuo4ERBvRM7pLxKDea46Gq9LEvIDhEfDMo5vNEYX5f018AtBbX4NdzET 1vNDT/bGfYaXouWJws6FXENYf1kk6dEJhMFoLbH1JRVWk0tZbt5//JkRidBGA6yB3cs6FHy7KcwX TMu5A+ItRmf8jJLCMQOIF+ISh5bp5pplnKobmWOiMZcq+rBSZDF6K2zWvvxxIxumS44I0wc0ICzn LDzsE11XEDs+qD9HmZaYBsFRj+VOPEAxIJlSK2tbQtYw0nxn74lFSfD0+hTZrXq4VDzUg5j/3ZDN UUC0IezWcdzILr4CBQ4ozwmTIT/PEtpvyooIGL1+rNEPAG6OWuL5KGQG4XMSpsBum8cRRA8lGAIv Xp1MPPHMQfkJ6Y7saUyYOI0MoAMS9N3ENtKbCXV3TbhUjvl33LfkSeJeop50uhe0n31kTmtmnVXY 5eWysrSDHqMy0lAFc3aGs5Uyz490XZIQmR+zQC2eIb2I10mPB6qxLLv/bOVpfz4gmPYCEzrXmSr0 rJYb4H2fAPr1CVI4xMqHPWsCOxx/0PdVJQRtfUROTO7PBtoJ1qJYWx9bHU2At5Vo9PbQjfF/Llod DUt2iJYSSmgNZ3IPURgM5tnfUX3GZ14mt26vWputKbZmecDRFhGkHo+vt0XAK/AeDLLJdN8Foxfx igjD9XuA35w3qsEgtbo3AHR0ud9Jy5O4oxPAiKw070f2z8j+Y5y8jwD/hGwrB1e8ojnBWZGVcB+B La22DSUl5R+F/nQu+GnC01QHJksEeauN8YXFKgy+LdNUzwIROH/OY5/+sK0rA5SaRoVAxLjzxGAq N55+dY5dV/QlEW9th8hZQQBepnUqcwx3D2LbTD4jjbVZZ67YMbR34mUJrtiryj7Bfcssk0fQqdIc uB3mrwxXQ8mmqzCFvBiFOJKefJ4OhkoqJnYT6KOBhYUO8Ni1Ouf0ZQozYD/vOFAageq6wsDcw6Q8 UFwpKLfac2O31borj/GFF3jg3UFb+mnjNQjhSpt+B6yOylZM+9Qg3YJrTPhGiee0mknlrg2lE/Tc ATxrwNSjwcZ184X+IJxU5/MX7aULKygYxJibFEmD18ahxmuxBU1WJY3zKvYH7EDV6UrSWZxept8f jslFgIkdMnZ0akCy9HQudCrYMbU6YudkgoLW3/F7QYfKKZ0P0nJlG3YMSynz8osf6nHTZeuldUOz 29Hzwjx7HOClolEVLRhhfe46aB6yQIoFpSddRe/xOSjmTUoxShZRHkGXy+phpe33NZwUYbnFstrH S1DJFpkqARbFJq32HlnaLVZMOorEQ9yudSVNoUDyNgaDHqyvah/oPZINhLI5okbP6rl/OvaKAfQF lFeBodxf14FnzHmBMguktIJIy/0qi+1JcB+AZGAGY7aHUBbaBHVfoZwNYkxLGstaIcru47edHVdz KpV3zqsa8SLvYsW+3WTCl1rGEe83y2ZjhC8Bek7I5E+FhuR6b/GOjeKk6XeWpO1uXocZ/ma/CLXx 3zTX26bHJsY5p2X5/uM6Bch6IdwVMICtW1J94uTnzjG0M23rXscAFGG46zSgbh0rOjaRwYu3/SwZ mYgjvCQkn0vT0SWAGMjsHOBNQt5Br/GIjr9bYPLV0iMytEBmLSom7FMjK/tWDJJcUu5it8RqHO8z TK0SdGIegEt9kIgTZ2DNIJdSxkE3OvR7g6NNgPb8stRftML3vL0vTqX/VwA2Qcna1T1A/vJCHd9V QNRiLeX3erqR0MvZ1YHemglGrEkxhgbnk6oi00uh8+/bgdBf0tYwgMZdTYIfCZYQ0sCzouVIAgRL dwZ1lyJNYY5cJ9ruWkibj0i8EGiDavLdRkxslKWm3i6Gz0lpiGiIDei3nD7zAk8OJmzZdO6NcbVJ FIWQUhNM5zdlRFHK/i+k7tCuQxcOD18e/yB7IMggRN8o3Q0bQbC8C62O2wBGL6A9PM25sV+Okend Wf+u3ho2puBRy/eaI46E4k4UaqUgloOzJv0JfpZKZaq4662hH7a1fv1ySNmIZYA6eTSQbwjPV0qQ 2pUO0VFW4m/S3ewDvNrXkNJb5T2v1GYo/N4yo67b0gSZOFM0YYezefhXx9uMoTi38QEw4TM2W3LS yCgkz8XH9FVDccIMa6MEuLopyOxA8eO3fu8jrTFTecwmajo8GpNwK84cGmykOg+s3VSRu27zur/7 PIAsBHxToDibOFRgZK4Ue8bfQ2UaVZYBR8B2aVJTNA3IVfFQoGRFaEjGupSP+3XIX+2j2ApDI1Pt Q2yEDoPUJJBSwkk7IGvr1/QmddQFDkinTsG+erJJpHFPNRXU+sCR4msTQ/OQyEEWMnJrMFGUTnLj 8zg/hJFuWUuNQB2V1SFyYM1isS2EnArWnBVDTyjS5HNVMGDh19+g9l054vxb1Le1/fibsv9U4EXt oSv9wUAYa4S3wrAbU90XC0H8dblykN+89BGdyaAYWT3Tr9JMHxiQr0ISwZlhPU5RBvINE3v5gd+Q Tekfp1pcDswUkfw2PUmSHgM7JRTf4koMJP4FaSJwXBE/T0yow0JuGqeWlJ+95ntij4biajDfUEvS TFC0pzC8vaqesBpMi9lTfbxcr2vW+Rkn0abO0Va9uee/M1iX0f1CWxXSvQSHm7vQwrSFKkqxfly0 iR2bG3BGmS0lL9ffcMjQIcfxvUa0+bRfRLfe4nu14LVm59UvTzaQDIomDkQaBY9t5ZZuXgW2HbIb lSKZJWyQm+kYdsJBhHR/fphCtW5f/tRIA7hEUfDLcT/Wc+lS1scA3xoN97zPxp611nURm+FdIaWX ZUc2YvHO97IhEupdypTLlj30UrrEGNByXptG23mnWOxfhhmdro0t+sFm3K9cr3YG9Iq9tfFh0VZG N76ipPp2nknOqqu1adsjJqYgBAMxVfi2O41uZ3YRf0vGHydAxzXokdZz3CE19gsSgCcMVA+h20Zm McHdKpzaH1iJHaDyRxMpSM6TZvby5naRFBEhS7zpWjTSGEDCfpIkulYT/tIv5f4pH/6RcRxunBgL fvHh7Znsih0IBNE0Shg7zOE3bc5gbONeky0GIQ2fUnBFb8yao8YH6gEdsSbLy/a/8XrvnML6pDjO Zxo0oJx/xb/jVJNeVMu0m9Od2nxD6W/o1grl10Me6+TcpZMLJBteRbO1YqAWulWH4tYQ2Kb14QyZ kBfjkjqwz/KM36rqJBybrZU76HSNHE2Pao6pehAdRpFDHZkCZjVknp4hcHWmwUWHl7VHKIY72oO1 ILgXDdzFY7fXul9akGLLNNEY10g/DuWmitIYRTikqTgU+7EwjCtZnOgmdJnQ079tw00gp621MEVp ZyPTMMQnG3IUBChdWcRAvg6uHhyt6dfgLnfjt/iXF5XR1fqY8USqYCPnaoecHHBkQcCQOXCdZnke ivQ8oah3bgMLMZmpHI43SMXRU0Fmcu5EOvaANFcGZETVwdGeyO1fa3bbKCcsahMTFd5RlYf18FuC uynuKaXBXKitzOxptcYu3IH4h3jJTPM7ZuLr1NxydAKRq3JUPXKNn6ih/dbFHFBRJoalkQFu0Q3o CV9lafb97Rert1wieMSJ8dsybTP4xhvdpVgb4v97nqBeS5bdenn9CzM1lV6hCIoA4kviE/kFl6wk NT1e1g+ojEgGdT1yPp0y0uTrMkCV3sSPMg2/BRoOv37NTVLDkhIATh+Thwhp7R2TymgPolu4J3Fo QziBDYySFhLrwN9ps1hbHRt8TUYdEYj7Fz3Kgb0RSBO1qFEJ10gnjfAMcnDTicPigETdoCEOJU17 PBPgP8umMhGSzxZhij2Y4SjkqovVjqVKCIgdMKQfSOt7BFL7cQyJSxupOQs4WAzg4xDbUWCfCeC0 X4owMhJYvgDTTkIjMB4+Ow16oP+D1lGTn/odvvs6pMPg1X/7fB24mbwPQ+luVrId2m487eVjRJbR oZxZuIdNLiYEAYDb2nI5S+WeK1YHLjGDlPvYXJ293qkSk2I0VTZdMR5PIk7PsM8lL3HuSZAB9F1Z sbDulD9vBrrH4KpxKDoJ1+52LfzEfdaoMC6dc8Jxp/au0zGi/XiH/QjdhecGGueICNqfWL8a/hZ5 IKvBCGWYOzXw508GxxgH0sQTuZqbWW85zuBbd1h3LrE+RRVUW6BV0CxYjZak1w/Pw+eNnw17ZdFe F3KVsdnZhKkKbKXhJXt/8TJrlpKOebyevaPSWnaBWAXRPG7kTQpb0BoFVSP8SJt/CnlkhGAEdc1s e/cUmYFGyiwy7HEyFQczhC52+qzZSrrZ3us9I8SuFSeKSc7oWUUKVPqEWtAs5i6JjdjoawlITFL7 +GshDAPRJTxbyibcKJF0yqvGGeCrkVSXwt1wyb1kEvEEJ0l9EG5xSpdlrzmBjWGK0o7AvgSNqKfE +Pdv9AUiF+Wcx7wods6+cNOzIKFnthDjKT1Sp1TdHo7AN59k3n2pMQz7LzAQsjfyuO4Pes0sgcYJ cH+ZIJ+M7/bPa2Bz4XKBI9D7nhE8G6qEsgRj6yTByr4Z0q3GrdDlLlETuaST9QN4u3c6mmlxk0KF 6ArthatKWxu6QADQ15U0PVOS7kVvhlcULb4Lsb+WYx6ve/i2iauLPuD+zIY7L+GTKBBkUtupZEjN SecnoZe0KIzuUvHMm2LsVhyA7408XZIPjnZHi6tiU/3IdvosSEHSzZecAD05zLiti03p3ymm+O79 3f2nUWz9AZiNEkvojUcy0VIuW5D3FBWzdOV48oV+RFhZRQseqZD7bcBSSpLBK2Ia5XkaIw1ajWsS FL+ONruvlLZEWBy4el3xmq75OtIBcBlzTYDnLX3sz0P08SbuwUR3HUgV511Pwp/ZzJ9PaUoXc5DF hAzn2Ty3otBoGM/SISLz61lDVWdCqroLwYlCtnPaYJQuxow8+yhzJ/9Y4PsBdfSxeVYpVUh0/mDj zv44H5+hZsIO1zOhABAnmJv7mtaZy7TyOP3Y3nuLJMSRJPunKCX4drwUrd38/b/FJKVslDtQUL22 2cq9wEOQA2sSWSpdvO7Wg/E4mNiiokGy7LCectvV4WUEHQ+Fp7f/+FcwVD0fpjGnJXEye4Jgohkt o1Shwjg79LWyMo2qQEOtojPW6wa9izQOKBtL5Hrkw+dMudgf5JCyw5xEj9/sv9yEyBPLDLMFe/zJ ALr/O5kJFPTP5YzLbl1nr560HQaQK0ZeCCLk9rY7dTrTYGIxxhSozU2aJnXpRxROvFDiWRaqUh0p DrKfeVYcGRHki7eSktCD2SYDVMKd/79KAnEgOL/cHks39h2P+FrgkOGv/a2ItlVax8sXXZqZ/2se g0kw++/5QRcOxmSo5XUL5OaVSOjQaWOUOm6URjTTVj0h0Md9JsO/7Ft7Uz61+V19S06Y+71BSJqG 8QnHCClSMmOg/nEfcxnP8q6iMnInVKnF1c7FTZw8aNg7Dox2GuxE3K1XzzxkdUAsTX6k3LP31ib4 8N+AhhFWbe0TDJr8R9fut55BW8q7oW5ubFJXHcNJ9lXHs7yp92cB/TZvtXpBZuf/SkYphBVFp8Zz vgjOZEXXJPRIbwcskoS7/cB3M5HHjrvJ/cW92WjhgPfx77MPV/ETIpxuREEI0rGt/uqeUOWO60+T E33Ywob9iQBTWLU/06Q0b63/5IwNdcIz3XGQ4j5tGKcnsyAlNG5VkXRwhhJd2++64JXaSQlSMSEh nV+BCHcH6nVOzqRG7byle67K+rsKZtbmpuy4piM5E3wSEkXLcOIeurHMXet5u4qShm4cLO3FhyZq os/w6SyzmRiCo2ziplxFDAjIv0x6dxXh5ih6cd1vcC8X51w3p4JjQHwOFUVI9dofxFzzVaadA+Nv xd5LI8JREfQ5i05EIE6vPgIFiVcQx2mfHDP75Vx3esTIFq0IM5iGS+Q2QKmQoVYR1XcGPpoSMiAW 0OpW1zWxJPUo5JIythrjY1yUHtMFEnDEn8TKExQ7pEYwrxgzO9SFjvLDlRLKPpXjPzJZrbsflFIT 1E2YNKEm7HCzjFoTWaS96JOtBf1fhnrLruOrrVwz1ia6c/Tf6MczQCgrXabaPCnUuuLBgPsRTRzg 5WlZHMu7KXX801K2NuKDCoWldpgVZcz90zpHxRAu27R39qizRZcg9w+qDFq9SmPY+4kPRLB3wGrJ IiO2xvxDkIIaXnGpLv36ukqYvQdBFeXIlQFqEtWUI99xFvieTDUl102RARqohqbWl2lDwWmQnlzK Jd2mnDDyE6fOwbugIqF1JHOlycc8waENbs/ln7uJHS6H/oDuaqyua0kLHj/7ZUEXRZWv2yjMUHyu 96PvdZS8qWfqb/mHNRZqvwQ+4hHTIdfi/oq3WlXTm9ZvKlObNFyqau2JfmA0DfRde0cgi86n7HxM aHyjS2Zvx7B/cCCofaaTQTyZXD7/MkkPXa6w5/3wjUUiznvNeRadTlPO5tkFlZVTAY/E1ulDguqh 16lJeiqdA/yiw1NbQEgYiE/tK850WOr/tqZyr0F5DZ/MqkwtCzpVeom3psaz3UPrVWU1SqMb8cdX 2Pg7MeMKWIHSn1Yt5fmDSN7/jDkuZhPLYC5TdZrz4b27o37GQmFFTCraCE9Wf/sGk6QYxXYV8STQ CgRmgqIOWyWrgntfPxbfEBlNpQYQc24AcoVlJrEbnXBStn4WszXmN4mTHEvvGqbdNodavgYFZVWL RBm8MXMOuWLe2WwPcwSnwdRLaNoBPKmbQxmR0qLCAj5MAZjBCBwCizvdGel+17VfBM7Rx9lwrDSn pr1qzzjOVLAiVuXyF0599JBtg7uXS5pwNXhKfMKC5duhNMbU7GJWqYTxLoEazvjNDPJ6HIf+dr+5 qt12BKGKvPa5nGUQFa+3r0uClswwGMP5gRfKDr2c5JzqOhlmOIZSqzd3IjIKrqWCTIDY39bqvb+5 XUTCkvKp+gowtvmgm6wsD1dAqqdhVHbr+lhl7SkBICGJ5Vslypfs02ZfWL42ETbU4pmbDeDnDdaS N5TDK4j0MwFXzgVjrng9yFnas6rGE5ECV3kTYkgXb0T8h9Y81C8uQAx/r4YXupOWfkBCKDH271lD 8AGrWbDdagX7uM/DA6TK2fBaTna+8KxMBHU14Hu97QlLSY+ctJ7yFszKoJuRVQmUxiViXzff+YYY zMGDdMUT9U4cd5tWxLQbGcVt7GcrUloyMV/Lec1PuhTxy0s9DM6yvvRGxHH7nhxPzaBHf+QpFV6B 42Q/LIRi39MyF+cAdHtBDHUeAUgBzw2hj6xmyG7KoxDO2zJm9aeny80ANPj3vtLgHRjmp31v6SmL sQeyTW+IsMDcivob242ijiAOV4M8JIu0Y/2celZOOj/tA25DisKZkCtyex2EeXvMkW+rxAJ0obTH IzybxmpjxZUzP4hn/Ni7R3II2llyXv+GSarTR9XI7rgkMbCGmXPMYOi7Apt/GOy1svNX9yWMT8AM znMcrM9L1Q42JB3H9F+P7p9NWPv0YGNw/7DUxMZzivJ6GIp2mXF8j51cgtjPeb9Ebyn5JykdyqSh 2ZVLiCrNTL82qHs6V5q0kNoAmnNl2wV3wyEK5je2g5SPrJRsyB4JoqKQRAnbE1LhRRwhwi1Ds5Hr xcZBCpq3sTngEaLGyM7PM3RgPXEkFICLLBevhuT+yItqc65AXeZuLkInIW2POpCnRpr2ukPCkiQd Ad3RoPSbuQF0nT+/+o7a7FYYc0kctGa+54V4driTHRtGX68wywv6ElCkBCHIeCv01iTUASb2y7tp 6H33d4gCBGJx8OzxO4WTPAzApzFZTIsAPMsdyLJkTio6rzWGZHCP5UoeAT9Hpz+O6xqkrjmPmrhr AuIhvcTyWp6yfkYXcC0Wpp3nSQM1dpEJDGTKfIRb+sKzq1MNk92wgHy1iPNHBGYzeYai8fBlb/lN NeSSOFKYTyOT+/x0xfChDqg83J6/J6RJcfegf1JKDSZLu/h2UY77/LLtmkcHPHmLjUa21eii44sk dQoy82m1NGnMwGsz0RECnPiKAFU4wCKAU7YL1sGdn7VqmVp5AyXaVDUf1nlOvw5PIpQ0txwFC8nr YWQSKHNkrq+ULK2jzPQ1vKS9WF4BX6CX8TP04B7eRw+kOmuiByWgF9gjTpkVl0NRzlsOT0Dfz8qw gZ/jgNRxUf97IgGtc2DmyWEr4WTEQLqQAEZddMLVFPacTHhW6mJa2/eswZ6JceiKeBj7iFsD1vEP MyPAhIJO2zUAi9FciBjIz2ojmp7y/AsFTyBk6nvLv/pi7n505v0dKlIav9cSJYyjNQfJb5Ga2eEC UgWjacl4E8NQXAAY5dZQ57dulBIMRTUm4oOHhAEdjLOmyuEZNlxjw8hZOarTRPNewS6Q9LVBbPTk c1aGz9vsP5ZZgLDMtuc7k1YixR1Vzuh37qlAIHLFj4oovvmDfGIYPj87jPMeBSmZfJwtMeHCYrso 3G4KjKC9gQXIU2xaMs+H7Uh6tBPiuMzF/MbIIdcaDPOTon+hqH6XyjgQ9FC2AsObyR3dRtYwFTc4 3FVJAJw+bPIY4Zrfq/IkD7v82tRwWDE14RrUlDQ5Jf6foD5VKNHgj4I1MWHkZs5321QkySuFRS2q zTd6viZ5K+U4dgTtqdSVJkGHz3icpOVrioGBJC8YXur3TPNamN2oAsFMm6rFXT2M5rHGY7IZlrW9 HK7ZHnzrC0+FQ866cV7Yk3M7n7+gNLWl31SOQ6vVOzLzEHFSrqoloKPo3cfDQxnINMyVpuJD1+Gw tLoM8zfKTp0avVJFVxU20NvzKapjbiGnQJl/CzDW334O03UsYO1Bv1aZNpC1mlPSiMLiHLCFHmuY EfxuHq53UYNjcPDbUzqfxKC2ML/5zs252nZ4hy6N4ma3TvFFm5Suxrzu1ICHRpPxYRv8DEy1xjgq /bm78T9eFtuqWj9oDqJlmgViG3tJKeYLUGStMfLloeUgL2wXfz0/HtTpibgyzw/qdgPs5YLJcjsl xTSAW2VKhCS5SUVaX2IoSo1BvTMdieSZU1BvTO9A7TtoDOKXSMmTkrvRYyscCimk3uAA886kZKG3 YMqD450p6790knydd5a1earieUU94p5/clB8bRVO0MiT2zB68Wsw99j0D38v2dazjSt+euQ2tsxe W5Ij7L1KTSM/w3k+2saiXitj9SkwH+drbLqYyxssjVeTxzK8X3E4jJ2A60jNapNZIr81bGvnviVo +00pfklGxPlQD4Cx22KNYyGMRJ+19cL7EWZjdNFE/C8S3iF41B1F2/XRoMqdAehjXG6ecf4T+pBa VIpcXO25PFLos/mqTa22qYvmXU4MhfEUzgyp9tPzj3vG7CZ+Hr848ItPrQbBuu01Xg43+k2NdHgJ RMUONI4IpssxitFGMDqhtBwtG4lETitbUzg4vulArc04he3l+E+yRY4FHbZQTD/pNkxVqgBlkHYS AAzwC+AANr081uxJ0b476uh225DLkwyBUxwVOERT1m1HFACj4iynES7/KVvQBXQ4Y/hpi17/PsFJ gu11mZ5jvCb/wKvs+5xVdQymzIB+L6W7Waq19KlJDa/lGSRI5xaCV6taR5QgGHsyANtKm30yywC6 OhKgjciLhU5VyZd8G4b2ujaRvke2/LAz0sAYFc7SSp7y0V7oP6w0HYNBXUy/u5eFKYvh7wRO0tuo Dr0Upn5MvkJtRuX5Bg3Lgtnwv+QzCxzoHGISrz58e3g5oC1GWzBs+wJN2uDDjWASPuucV3vT5+29 8yFQsttGTHcEbV16j0Lz0gMeqqxsGQ0aHZEa8UAxAAqQyUraXG5ZnlVJ9F3I61xlfh2lAa84V0YW 4lVnWCIkWIpXQTW9mBBjeJfcPJmWKF4pnpqEKs+1W+Ro8l29u4ZvV/2fzQruOxty7G3R/YpaF+sr wONf6uzu7s8dTb8tW71Gf/WANOeVnN5I/brKFD30AiAsDGHcBJZ7lc9XvIkswC2s8O1aOattmuU4 WUhIAk3r1QF1U3y6CO784aCVhDEylU+m2EfAFNONG4BMQ1D13w6AjHj0ttszYI5nOx1dlL7ZUZu3 mco4C3uAKmKCH2FEBkLcoceBLA8J6EBZnxApMNjMk7KpGKrGNAXxia1630wn7TZua6D2cifuIsJC sd+ch/CCsKpqKz1ee7qmy0jm7HWVvNShL5BhdVuFrjD3MQAu950fA0sSNR5dS88wyj+Z1Udf5bKG HjOs0T1I4qPYlLBJufXckV/R+wOqVCH67JMXFgflN9Fk9ANwYlJRp1K8ZQtEBtsxS9oUm8Pn+DgV ZhQLsl6ewo5ZAxPddBK5xau4NUiYQGf8tQ8+gZunxhZvUbA+yHoZ2YdTiJzd3FORsBgrFX2RXAcb WeXmL+a/PF1ZOoj+/HAOD/2vFEAfMefZDQss48RLUdd0S4wIX7LRQjl1zCrekhlKrPowQx7J6nWh jba7avqkjKBcdiDq7nBSO4CYhqN+ipThTV7FbcOcF2gGO+623xdERmaPxlWCZZ4e3NmiQdy3lIYw RQWqDwMve++7j8B+MO0CWcKt+SfBzeQO+qveQMxf9fmcUXEblwHrqAgoybF8/nFW82Sk8ifbj4SA vB0jbROyCuGgJJhzllqGYr7/NGwXYxQO5nkvnoPjp3EEOvKwl2pm8E2A+kgIoi9gSKP/98MY8G6C oDnO6QRqE7pQhGkZjdR17otN7uKTwisufpmqFcuaDKig+kZKPQxXloJwBh4/h2fT17bnMN03ogk0 keAbIPRDYs7Z1J/5hIoP2fh7RQLCrHsYA/sl1drGtFM3jozKydEyqs6AJJKVsYnsMFEiSZd5Nc/u b9BlYZ8c+5rPPV2dHmy9QNznuSJfKkyzOeTfsgBhLdieh9KqRlSyvyCgPagxs4UwhTz1yUqJDp6D pp5gaGGMp/oNPt05lkXxMR6bLULYx+av0tPkvPs8UbntR8c5q0ZxUzLAWaz3icbl2+YXSv+SUexB DTm6YrbigYmNiaC3XyyrTGyGWrzwUDZyQqNQcXnOvP2Qfi+qqlwmxFLUPn7wAJcAHODIXz8JigHi R49B1RUEg+G7pw7b4jd4ts3W1rmvNHw7A5SbN02kM5ujzUfuGqwJNI9WUjdhBKAqqdpSpLbR4VaV p7kTOu8tCyJ+zVIrj67W76CZMwu0ihLai3qDaHAd63IQfHkOr2LYoxmk0S1dyuTJICl0ouPzmoTL 9Eiwrqc3VRVlY8KSbor0TJq8Cr67mjcHHFAZDoCNMhDF1HB9RQ3go922ai/K3uQrc4lL7YQ25kU0 KtHuaFOFd5A3owGME9mzomTV4+PK8gjKLNPlXrPbn44YPQpSY4nH7h6DX7JG/VBK9wlvP+Rjw9w8 3I5Ar/w+e28gEF4pH8BrupCOCVJLDG3R9bHv9vdO8tsD85nashDLPm2ux0ZLLoDCrpzdHjg4WaS5 SVk0etH5tAgVYgMit4/c/YJtpXP7UWGDHhkokSX9PMDMImZvld96NMIZQKz1T3QcBVHP4htF5Qww WUAc5d80EecLjztwbjtJFBq+95lUsSeDHgMkDYRFmJlQz1Igi3SWUlu6olfRo1tzCj2ngA4GoPm8 PP1yzVu/LTyCA4twNPEj2I54wfPdGmEpbIoeO4gwyY0zv36rP1T/BnIC6InW4Vq81uxKqSQJ8ET7 Al9XSpNkUxkJSoXSu5PSTzntL/MlCjpaN5X+7RUAhZl7pIx1FWHmpHVyRC2s/jS0YoxMjY5smlYr jvhGbvfV2wzpP6127ZrQY5pcjLQqPyLd6hTknqxXVdBcLvUG85veyG7f7YKLVIJ2ypL0vGJhY3/1 mD6tX8tEBAcC+h5fLIoU3p5uO+qRFbszdFR5IWLoJd+DpaYpgRBQLyIKndfU+266asXgW589OqNY E4se9v6+cGwall45beMMgferRb+A3aHwB3CptuVqb9iGR8x5ZVOPez0XqZ5ZJfLXsByvihbgiE1h LwjlUUCdfXcW8urDU/WjMSnnshu/hah4+NXqWE0tKvFGlKloh7Bcma4C2i9Ff6Jlqvc/lh2ovlCt Jrzw19KXNl37Ifqt5iViVWqEcjODAsT9nEcbMRQRh3xqHFhdjbTVFEUStCynB3GU4Epu3ycNDsp1 KmegSwOAmfl2dyp5geiA561VGYU6KYi0UPaZnjq0R28ZsIiuJyOvk19hOqU+59JiOVs7Aq6YQgPr SttG+06rxElyvRf61x6dKiF5DqO2EsthE1ltnZA8rhPSiGjlX4a1T36535jOit1HKWAOfzaCYnPm T/PMgk8aicY4I3iKc/b+sVTHP4+Et5+FyErfL1/lkw9jMzZ4dZA+XAsHOsNxvEXlSTYvrj1Qiojc l6CRWNjLB+FKP4ZoL8e/nc5iKk0Bjlj9P00Yx7PP7/GBLkBfVS/6a6lbpjxD4VQeV3rEhCCIbIBE PnjxJg64KeZGn/+aTZ+TBdHg574czCvFCbZteRFD+LHNcy6uQDe1Y/KQ+2bvL8xTybnRBKoR1Y9m BxW+3UyI/N/EdnDJBK2QpnjEWU1rkee0ZYGnzyj/4dRpW9wg2dyuUqaalQF25M/aenkT4Or/4+Js sfYd0VDEMF5gpX+71+GZVxAGZYfsFM+DTqvK3c1cAyYyNGfDaS7663hCwXi7tyDwxq6Ly+jZOklI VyO5GLKYdCR0g+bRNq9Ft6lwn4tRdkG2bxftp+NKzoeFBj1rnYFibvK1O8LvXzSltujEAe+UxEcD 6LS92i143K5uMlakeJJ6Xou3HnrVYJAYqGIA2xn2xVoPHtgb0Lxz/kEMJmKFOW5KMakbDq5TrUVE lJ5Wp9uj5pUHjZ48jYToJX6HpxxD//hvsKUl6H0QknhkbcUPJXn+E9wJfsFE6la0QasVpJGO6Vjv i+hP2ba3BpYQqkEDIdqP/VsPRFeY4+7j+WeeydDsouq4EabmEMV/01fTSUABYmhbpm4K+nuyDKe9 H2FhAJeKyk+RvWVhedtSMY0OuszU+nAbx1W4YZg6wRoCRbBM6MRXz7XabaDb/FlENVVuBMeMsx6z OQeDQhHAyJVNiAvrV8ec5mPsE6gQVzc441ATJPgUSI+vqdUHVKKxHtk8lm+oGkH3jxIYUljjBTr4 gLPws3y5HXbFPwoWGTY8gATyHko2UW4MAyv1wwc6BoEFN+BHt6VU6j/HX1WgOvo9hy3/F+kNDqjK uVtdDm54sXFUoCoR9rcmI3NXs5bVZ7ilaK41ErvqtoVi87fh7tT+Rue5puIZwpqtB0tlsdS/m58w UpQ9jDbyVZtasc3YIifilyt9RR2YKcmIMuxf5+EIWE0IrcVf8btSHnI0kIFHh81vT3U7hXyMXuCD kVFn2w7a1c0Btdmz5j3Y1pt3TRn3QM7/A+XpUKspscvrZTaY0dlUlQBnXbJPfK7CxWJoBllcbyTx DuDXPq2vzMkRnHVyFT3tMFnJqfsIV3S+g3+0nEfUKwPQjt2f77T/jCUdoK7/Z5sznQjgJqgznjep wkCbapRooqVJWh9UEc1QAfMEHQfa5W0vjsTZCBAkcgo/mivexEoG6E7+udipaddeQc55zm9NnbHv 8KRGWpJ8TrObY5G3b5lKretertJwGn5BdxkW+pTMdo0nO5mNwAh0/0Gx9QvJHUokybMP94ir0PGW Pr+ja8bFgLAnPPGyykUnuOjPrTOB9+o+Fmzxr7x+9djoe8GKtcw614jIG57jnUwvfybyxQ9KI60z 7u4/L4iqgFyI7sy9Sarwc6+orAJE/Ac471lVk66dir2jZcKrGHbs43QWqgju+MXIAVfb0ZPTO6U+ pYdvu+CxLa2zLrOkDuIXUQQ8hO21GzhKWQjEioosgObtgujAeGHLJts13vNLbaZDUvM4xe+ipoUu SIPigBs4MtRWKY05MwrJoKsKfcAhk43AUifWUG/QrbCBMIIR5WNCuGwLgbizhj765vIXqsOoy4pg 0bf7orlwMOoSiQpzD19+suNgIx5355nKZeBn3/WxwMMUFzD8rSXZE/nwc3UJ3ffPg6HB1ggL6nmI 8nL8IeogdkhB5WJiec7OkQ7tYjYezgVZiMsFBbamWz89wTUK2/nneqvjQ8To1AkBxeViIjJlZqTO t6Olc7ZcweB6j5wHWjkbnAXKl+OwCBXCxlNvDeW6jTqS+lJq2goso03tYdyxt9jJdvDWRHnc2h43 ugsRRWtalE3CbXPqqHRiq+7CED9X1+dDOlWE2frKpLDJe2BfdeD66dbbq9d1olSdPR8cL3KDHD/E WKfpGakNjpHLrrlZDdCLImX3fk7pAV2OToMELm9bM8j7nCWKWNT6LsJ8m9B1Hw6DaXP9FLAyPXjV yMYbiP3Q3hCbRtrwLZ+Yc10nvumFDTVmSUpz5kWzqyzA6zCZIVIF7MkQKw/KE36ZYq9VbC88WCHb SxnYvOBnBiYHZ4NrOfw9wA1o8OB1awWrZ5FtZJeGCLNnPHCizWkqIgd3Wx+GJWi4t8woS118PaKn c6AMOOlwIwB8mOAqAMCdEOqdxe8+VHAN5MTEy8nQn7SStpYNVpXdrRjUrqDQdpphSvAU/LdYlYxW i4C/KY0tQBBOsrMfvPLyZkVIkpcHZAqpDjdJsjpMqp45An6ellQqzghLE7PCBoGx08lMlTeMwAk2 Q8VhMPTXAsx1RjK7beVb+sxdZ3xer/wWn15aSCYWOTjLelhaMPT3ckeON1hHcTZgLt0ndZNxwiTk gNcarcH4inKY1tfA5v9jhe5dKbjSyYdzOF99Wv9+9xT1oJL1ZHQZndMbfntEUK7scozIexg1eqJo LY7kLp1s6yZ2RveTfN8UowsdpcbgJpYNRR26r0ALKdeXSGiGqwzmug4EO+S6kjHATngNc1lQbqsg j2uOedJ5Xr9kphsCKGLtLSbbFFV7BzaJf8sAO8RzdQSNnidJbFJP1GPK9Qe1QoFOrMs3iV0VK5nA AHzc5PC3YpaIbvfUvnqjo+PMYfdnEG3xKQH+hPI0NM4D1kIh6znUO1Jv9hWMc7G45qSciQkmtooK UFHVnuogt6O5Fj9d33fxbZnbr8pfaw6kUCpAOS71gC+jxpELVNg/bcji+TNVh9F8qI8ZpnhzYO6+ F+ciQ+Wu60jsrJsATSCcEUraM4Mxo4XrQxk3Ura/S6GeJ9jlz048uMAyOsaiAUKbeA2eY99TBuS7 9zs3LP+3owe6JnnNx0UI8W5NX3X9Y0t1NFQ7DRmB4xP9hunL1QYuAao6WckIUPF+tito01/lt79e VrMv75icDNA4um4VPYW3UQHuMmLKyM472o2epBOAUil12CbRGmlC3WwF/uMcH6AnIaIv2QH+UaG8 khRWP+6HLeKFtFG2CTI4N+GMi2WwL3Bdw+JytHWv+FxSMq/abcOWf9E/ptGLxjT7oZDV+YtsjaD8 RxH1AYg8QMCvhrLlKWJIoGo5UxTJymBJekpxHsC9sseRFLDhQcqj9/r3D03elmcuTc/x8khviRS4 5velRvL0StL9KlT/SZeOnXt8doL6KJRnLg8lpGoXiukCjXWTHfcRI+wBhdVLpKWTPwXx/2E8o7Rr QdyiHbYxs31vNR4DcBol45g/I4pOfxN8ci0wT+xfYb9J3+7AUpTQA6AB39UdkpvAW4YGGGzxe2QS AG0oVpwT6pr/sK3vJZuTfCpWiN0isbfFGEJRLjbwr0f5EHNuiC72D5pMyTX/BzkUy1AVFZPkwQdW HiNEryfp90uUcPcTUChA8NV7RCulrQJmW7D7sq3EXMp5dP2JBa1FJjc9DUuIx3zFLcH4Uqo0UZ7e pypw8QieWkddUXpHecPBAoqgYpGSRztgRIVqHnEAGwT7tWOxh9JaOJj37nw1yy627NjiKdajhrEZ w3Q+0iLLPZUPv3LOXBaWAbgxHALgege831kVy/RWxj70lAYaOVf5aVplu3K0PpWL5M5sxQ0QAD8M I3zmUWMQ/Kg0EAyN9wLhACvqdzbdCF6v0GS0CizkRp1aqalHzE7iSmhankt8a/4a3Djd1qE8RVcL rs2DOS02b50WMdhpUQ0a0H3iLUhCCN65+ciX1SoT1GVlRea+lr65IClm2xSb0r0tegrwMur6Hjv/ XB46HPrRV0aS91RAppGw3Lvw7328fplxPj6f4MoBaVlc2jP/Bd/SpbJQPIDHQS9Nburb9BY083Z0 pZby+SdSE7qUKZ8mGw/vUHyWW0/8By/g71hHOWbaldqrnRlfaVlf9qpBclYj31wQAcuNYc3tbjRY NYd/cPf3Ff/g2fRPkOrexdbEaWDV4QMtpj3gNBj/VojVPOgeoiHxaqTQ+2SnEO0evz479Jtc9FzJ rWZQ9d2hbGuiCmEi6ikPwZDRdl9EeY+RtXZByC1QWOQB6kBea1c+leyE67u7RP/g/VqodgIE1xOe n3PMc8CN5bOCM+eGn2gJGzWwU+5K3FjaCUmZDkeiXmw1W4dYCNumHDrVkwoMqRU0wHgN2l2aDe1x c6i9ZNp9mk2wiyjyxgDizAht1g+1Je5YZ991UPlXxcTsZmspsgX1T9KlkGBDkM8hAOy9Jp3Y1RLk mh7LavRYrBOm7mSx7sFoaWgCMA/ljrwDXQUEepRRegy2YW6BxU770n4YHuq4AVDdrHQpDjZUtzeJ yYtq5zhWsevTBF6WEoon19euM+gyNc/ZvijaSBDm1XN7Tq5G0a8K/JyJoi5Dor3q424kwVZ8lu3H sr723lFg1H7bp2EFWstyz8i1izOP1d7jAWTs0YH+uRW0Yw/+m9LOcW2XjnXdseNqa30jp9mhLtG0 ucnpza2o0+2yHUNy6/7zKcnTgL+wfUK0Rl5KTy+J1d9wsPsIvNcvD/bLMK4as+74Pr3PHQKvfSXm 6+VljOpuIfhYCS/PEcK4uhIXTzaVU9WW1In4Ia0sRpBXJYLG1ofwvERslmkzkTgc/w9q4qLr2k6m slxy1mLfb7KNX3yQ1hI7tNQd4PUHdELlnBcOqmxsh+vFSApbA4HRE5ohd9uK/JePyHBFff0plciL Co7gxAAGBw0JndAr/cnNN7TPljVPW8w6FgGGcEUvYzqz3UzKFCGNC75/S4D8odAuaeNXEgtX95DN 0L2jJPJadW0L1MP4Unw2wlIshOf8LWUhj8PdAAt3gY3xBfssXDD6QJRZ8OJkbMP/b4kZZ8d8kwNt X0Sg43dZOwpzNbDaVkL9sU8nahtleUQn+A1MiyeBdDv8MBwltSj20484Nkoh/XjEAV7IqD5PvjAw iy0mSw7dKdsvW416DlhyN40IlVMZZMSzymqqJZ8AfjccY/p2SjIllGaelgtVjeULBF7A4KJ7GvjN Ehvr4YihrvkIiVPgqamvVu4Rb+UbUV6B2+gv0X6T3v93ROp3K3ggTW799wGW8X7HHJyc9oGQmrBg iUoQdE+4ITFxskOU66nMGBAOluWslUmqJULSx9jtAjlgb5JwfbAwmoO6rKdbM9bBbBHYMLkVvsXH 6mqfh+qRNwI9gNQFgEZwXkQFp0K9E414BQhBg4dILg+9cSW8HTreBU8wnifSIzJxR6FFAvWaJjTg mgpKBRkuhZZWMa8ZMsMvVgBzMMUmIRgGBqxhdJd/miQkLmK1fjLZB0IUR/CfCEMX2HRu4YSvaEgR vmhfilwZmqpCXym/onengp8dQ1t253/Klm5zvCBTnfbxsLZrvqxKKbRqj1ANZT13hiGbS0h0Qz9b 5PLLA0YUuhJPNm8e676PLj1YgOuzqtcVdMXloG8MtHxUoW1vG5l/2LRx0tnJoKxGhmlTZ3FSZKIq mtwjfLEW5mAiBzkq2d5Vz9rgd5KS2QOKpXSB0MrL3qDmohfLkxSSdSzdSN4yhefYTLAkgPvjnmvn G6i1F7q2C4sLYlYXqHym9L1XbHWeeCi7c9myiLFfcOG+1BqgOc4yO1NDNwqyWFfCYT2+gze1AApo GCCjZZ69D9QRPb0nNd8+rpVhwzX4dFtWOngnqJyfR8lumDZCUyBsIpjsljItgQXtWFKT1A6fFO2s /P9R1g9/eGpn56xPV8gwnrdAVfU+t6NcZw4quVOYr8TPLpSqohFqKcG0QBPS+DGJJOsQqY6jw/Ly rc7FVtEy6gH6SUTgfz3dj3hgnm37QhyTHlLDmhYNQtEaYmEgRoVoXVgvUwi/p3KTS68bMMmDM3lT s3Kd75Eor5d6Uicc3YjPZAqTcAIr9SL57QyXSXWQbwRbQP/Ogkzx4qu7yRfLp5gPayaF4bqivH66 lxvAdaJHfCbbYMY8SYsMKW/yozVal2Tw+3ah2bij6MZmOGmH9rS4ot4oTkFzDcpn016ZIf/O54Qx xy2psSyMvvxwlJTw89dtUgusDd1+4b7/PieY6drkdCO47mXH3r3XVIgbgDrax23OQs6FL7+wKMw0 jr6O/XzKz8sCYxKi6f6aq3t4dYv6cJ2Ko4H7UyVELMr4N/mPMTWDZke013HCIlGmbNeqEjyXwkv3 z53rCsSVb0E2ahrUUuVDCWOvQ1nT0OMX7iQbOwEQBsxHk3nkjaTKq2UWGJuQDatuEdtNcwqwvwO6 pmW9Hz5VQmigd/y/oHVY7O7shxy/HKExN0jP/DSig8TqUvqolpPZ/a6eV5x1TZ4gO+Mw/sl0UPw8 tpVU+gW7nDZXtN/dQoymjS5pNyYIoBMQv48SlUlzxFc6YYA6fziNweE6QBb2NIIdh82pYNRlEqdY VRwjtEILO6ZRaheeaLDztFJ0EUMPKIt7bYwRgrsVivonQwHyNVCaBpqQVnrvfdRyyxcCLElCaCXr V5wfk0LthQG9UeHv5h4/t/bAoKqjOEdo1fqDg9LR6yBGi9Exo3aQQDOCni16EYzt54U0qqjHXjB0 fmdCJEdrbKhzAScFf3FDuYe6VTmqmYyFHX9cU8LaLUAPNaUL9TOb5u79Lu1mkj/aHFBHApVM+SO1 65R23USIxepZJOuCFOA8H/K7YjgUidyXksF3gurUCi920zI66Q2TBU8zeiFUG8GpdoAxCSCbu9Cr 0lq+k4bdrL/tVL9bmeYcav2gvs4h2PYe1AuJP8A4LcNug2z1BWK+nvfw/VNmOekNdcDe1jc2KHSM XzW1KHeeFwe+f4NvxVqY8QHW7esSBYnCCWAi5qHQBpd+z8jCKg1FWw4zSu2RTJ6FlsN4dIxRjEf5 uqWCVqmcWzKcbM62FP9UgYCJFN9S9veUIn3mEGx2AbxjE9eeF5NZvidU3b7uRqWFKOkDxKUfmvq8 y3ddHF4FZ6qQygUn6e5iNqBv8pPbjJlsbfgKTnUyFaGYrPYp0Zeo5/K+bTkqr64bq6PbrTOOdk9D 1fq2Z74OJySKAXDsm/Q7IVsaIqLBrBpbosaAVfVXD31KWrOvYaNbFaJczB85KM1psGk0qOtPQfRf HSwU83g4NhfZmk+jysUHLJ6H+g93cDsdSx9v68Nz5nHmEqby+8eLrKzDCj2wLCsVefM8TsXQastM /7QUkDCKk1vc0TKau9inhVXJB1VGooRPLKO9Mw+7lYoTfSl2rXsq1E2gC6CLZKJBZ6QKAieyjPjo f1SfPf062Ft1Zx57MQ3MVz4HgzesSfxIRWt7/Q5JSU4fUvPU1YyHEIoZ3Gy0620Du0sO4LNGgNnK oH63F04Fsswy2s/qBINSvFPOx1ztywuN+pUVinqLejzWxl4UPwACHygMV3b+5CVxW00Q8nlsByCY Nl6i2COXmKDS+I2MOYlfm8x8/xNFQ8SQCzHQcKOxFflazK6YoVpvbiD9UspXMiLbuXT9vwNDODLs IJUryNN6jDTM5GbphI3HGuhR+ZiXIMkKZNZOrdkzsG+vnuGD6nl5QewlX8lsvSsFHySZnJE170P0 ZQh36Kg6L2vU7deqy6vqXpc0t3yK2BBrKOFgEiaRyBgtqyBZIzSCEEbMF6rhlJDCO2C9KuV7b0WR OEu6gvXUQ05XAzdVGK0+hL7FBowPyuwmv4uWWCqbxv+RKijKtlowAVRtRQMbgR37tL3WigL4EQZv VpvumM9XW1thEi8SvP4LvUPcysRdBd6JGhi8FQKGvmUlBkOnbyQ8yrPp7tgWPskg0vgtzNYSY9kL AMS7AOev9CbpUfnk+GRx6MJauQsfm2Ap0/xG/hmoZpDCaByfZIH2mdtJY91o/1dBK5/x0llrgtRr Cs9UDZGLM9saIWzIj5DwvjEzG76DTcBQ85oktp7ZT5FHTpCUNxTxpk8dItsvT7bcRZwFHTHDsPVY amMzvEP8fz6qjfGlxoMdxFIvG0zMTiiRx0YOJLpb0E967Gu+VOIjdEByLWB0N+KdOe1kjUj5jxfe lAa47MbJtAuG6LllAyOYsbdG4lJBXaVpr5XJ4jFifnLXQ0P3EdbtblbJRoGs9tzJEYe9j4kh51F4 Xs4P4A8sHz7p5s5NhWm8EQ4vjiYMatJLCKQt8m3aFCXVzQKINI6dlBha9v8BGncDugOcT4VExrTO Bk1YgRNXHv/6iI5NjY1edCU6AHiiCELaHDw1zkbxeCF9B6VD7UahbU+2B8FbLZWN4SdGex7VSsIa p0iCvflqhaYehOo3FQd8ew/9IR247HsJ9kgmX/dM8KAUNPqkB3RJykmV2Xu3hhvtiME3I1R6QINm F7bzqSshEcFrctfGLisqf478Ycn+6anFurweuh71jBG2UKEhk23Sd+Y19k7CAJ3EXrBQO8fR/BA0 IOw2K2PN3equ/6oazIWD1vaAmsLHDmJViDhznyTm0KmXbHzZKPnEU4zU8LgBp/RknWifYqop9tD6 ++Is34uzx38yrwqDBWYEpbTU3eq6//GVSgw2oHmDZ5/8nphP1mURIPmJKI+pbR81xGZaAbFkcxYt lphh4E7nz48ZA+uhMJe4rxDj/lR8MQw0i2TrR819yBoAJYSHbvPSg0JGc0spfbI8YprvWQRN7zwQ L3NVBBtjsJYjVX5ep0g8kjdx175Uq4Lj2eU8dekGP6OjjnFGeqrxrvwAJ/QmkCtCthRMYUIDfuP6 WwZzkUyOeJCvOnZIwnCvZMzUvsosrKNv99St7xap6kP54UZ9jAYfnz5FGI67HfZt+GpRFm07Zlgh o3ea5HTLdbH8iltbf5cSotuyLFaRQTWL1Nia7ObKyCytJGcpbl0qbTC+YNJ4bsifiWPTyuVyReG5 T/kXcbNqE7H7QVzaOFXzt0HTlPvEqcDgaONOOu333RrpZ+0V/LtHqFqYMrnjHd2vN+CYoQI7E/Pu +mOEOEPumqHrYgn1VsW4WO/ZOeDx4iQGG8YU07nbe1mRSn16YkYepiVtnc1sqXOtRBn6Wa/W703e xkTMzmbWPvdKLIAFrI4WQVF9BiwqVl6PJdgIBnIWwoKQFsTFhtQC2ABA+fq8RT5fw3AfoUProZtW b6KkV85PWd1X+iE/NFHkRbMmpbpapCM9crHEC5iY9pxG7xB0d2QTiBnp8XRz7VR0s99cfr0cmkbl sY01Ruq29PSpERWicUcP9XFEa1UvO13IK6/NABSvZbWBmOsMVFHddzi2LL8RgbXlUS6vYEEXdVZm 0hsnyNDdAzFGflSwOxTxhnQ6pqOA4ArNb3wWN8WpKkzQUnTNOcq6xZu5U/B94sXV/g5fPzDCC+pU TmfjYfvqZwdqoyVz/mv9q+ig0hEX+s1xQuuAzN6JHjB+c1GrktPo66bjQdX6yzLD3x2y8bAUomeZ jOT6F8nF4ioB6O36wXzeNhhY6LYxhqTQmJM4Hb03VYrcFvKu5+DcYnwtV8ggVP+FmEuFfVzdhOje fUeRLlnJ57pX8PVl2nwTdC/VG6/8TXphEEkPLV4msyxdM5+nQA0sDHBj2v5ej8rVAwRwJcOBpNzn YFfph8v1Rm9nreZwwMx0WuQPu4rtcwfuypz/XipK4ANJNXXugOkXGm41FmjAImBNMuOlp6BAfILj K8/9XoAzVlSZZg7sFgRDKyAFdkJ3J4IoG72SifT0rDne8TgYctaqyI+aMIWxCZuWZN/wYwzWYBSH JUjSlDMfFxP6HhVoYaeSbAhTvWpqRDfAUUUEoQIFNxX+9ZC9zXWj3DEctvP1gz+Wh/GJpmGANERw MqAVevIgCXUNSJuZkcuDzGYGtyxgLYsVa1E8GZkW4hE8h0CLnfD834xu0hU9rNvJxs0/uf7QYNP+ CqII1S2+u7018ycETJFLd/bCFzSDMmgBlzNg8Z++BFOkIkkmXVaozYxiv57/n5kXVgfAhACGJi1O F6au+oL5a4L/n5OGvTWO4B/LPh9lXa+2wY65+jYrcijOOlpe5cjilyf2oQevEpk3ptRvN0wfNc1s bEhSrRO+M63rWYTQCY3q9kGssjfyV5vhq2yMHLUwZo6mPhAVIErhTo/wDti2CVaH3ZEnNWIJlJWR 6wfJyUE9qfoIISdN0eP/H3/STQwBftXi/+msGe7wqB+VkPbRFY0S7bG2YKlmvpnH8k4BqM4usHS6 L0M/5Qb+jVl185XhInqUbew8KM+W0ZDW2CEhDn8h/3FwnJyny6LKvLjyZvoRI5cNzSRywgaORnsm soLG+UaHmYmLH5JOAOYMofFfusR37/TACh5ds7a4WDZzq0/TO92t18m+ZELmlBJqFfJyDJF4YwRp AtUD3K8ICNfDbeSvAGF6wSEqVUoO+qO1iN2K0MCpID3f0MWU8MMSttPeibWVj1od2o902T/ySFUt 4t3xtwqCatM+/FFF0GJjhA6qoPBmtUbSD/TJlqOI0LNn44+EOXGjAbkVi+qLZi/YjBUDkJBHkBJd TFzG+eMC56nj8K0zgEU4iYQAAYnJZ5c8NqvBsLp7PzYYCb7W0j3c/YoFyVBAYIQIrFYKwVpC5UiL hCz7952PxyZ+Ts/g+c+G9h1VQca7Ax78M2qa68JU5AyKpx80l0P1CLDAUvgIu7fe0V2NcZYFJocf BiKWDZXNS+RRk2Ui7C3bpWuGgPYvJvZE53c4BC/K2ZdmWXMcx/J8vxQvcsIOJwrXL66NQdaL3m+X BZcshPmXUQSbMyjMuNIrAB9sARqaJXkjnPGyW2uUebW7/WyB0v7bZTZlUkle0SX5O2OCcUIW6sLV k4bsEY1Jxc1dKWgusyVSMP+eoP1CDFBamXQXXd938GednZiHNKPjsZWwciqmX69fYtVEyz10jDVw qZLE2er5fAVP1rfoi6xrMU8i1fE4LupLXBeMI5Uxl8ALhqMpTNIPjAixYJ8ifn6f3MSqj6wpQake LNxSyAy8Z4uVtFsO5sy/sb4eOR5E34npJ/QlBS9EsJ0mlWkpeQHpu4XuQx4q7TNibe5I700XMeYp zkS5OT9MGiuh/PxASkMUYm57f26EUva8/Mmt4hhb0LHpvb0IFFUnEVoIu2Ln59PT3wGzmKloegMa IDXp54/18ElHBHAv201MwhRXmY/ymcINTnZDTYFiqfvt/QKVTqXgGOk74WYpgpdKxppX/nuA/G8G zOZqsyrKpU8S5DBoD1e5KGR8+LIJ2ePBE0MFbVKYX7dvf/zCKOrhkOF8fuAdBcSi1jpK3cwI3fTG yMUAJmjE3pXY0VSRGfLj2s5I3AelWm3Kv/LLbYXx0YywTYTnl1q1kaEcmMhEaxnVTRyI/x+Qm6fj R5XZ6imMOa5VbWXAlwZEbUxaDj8R23lMFgZCbY+rdhLj+ArwAWM/9+knwQyOQNcId4EKvrpz4dIY EeepJCu7LCcNdqxHysSkmiXw8RgulvUyEM9yaHGpzx2h7/hvKmTESW6WIKdv4aL/Gtc6lK2aMtgG dhmu/HlLvnjS7mbZu72PzAmc3tzPG0vDKGjxuAMNfq2H+Sai37/ibpZ87UTR9jYg7mSp+JdWIPQM wawva7uMWMhqqEMRvu/stqjbtEn3vRMQVTguyK89im2lJ0uUDqTtugcph9eR0Bsair7Y3mfydRM6 qScw0NSG1wxcgfRa0stkGVUcyG0lDN1WPcqJ3ko1sW6j3pCZQW/2NTP3gHwOCJ+35PDpYHbQeNwj 64oP9Z9wNt2ibvj3Ac2Ly7jTlX7Yb3tx8MI6/WxwWlLC3T2tjLZsDFaaGJSIUDvKEs/NEMP6jLu7 utBBlMKs7l5AsIFEGSqrTly6+BlKd4aVxVme7C1ohmS59+JAGTlP1ILHaaRKANke/aOwyHQyH5wi GUSfv6BUeFIAflzOGLoA3p/nNZiklR50G9rCox5EuJ0N5GRgn8ZuNKh5p/jhrh7rHOna65pLIrpi FiWT/WeOw0/vhcSYhXAEB4KfOTCQoKg6T6EINGLjZtJtFnb5T+wCG6HCEzwSwCIDUdlnT6Cfdz1m sMmyHl4aunyjzh6h0tjX3229qdopTP2DCJCjiyTpEiql+uKEACj2wDqUVkTyT/svh5g6ZIdqnBfH G/wa53aRUKMmKvtxvbF3crdWWmWlTJrYTPnDFs7d9UU0Qo1p/Q8BQmawvWc8rbisCzi6A4Qf4nLe /b44KCbRoVQ1zJAYd7PJSEbT8F+T48Os2lmrdxX3ZjSCmNvRgeWB17cIFimj0hkwZHAs0tzgtjrD ss3UZkY0YYvleyAVmqk66YkrR2HIYTMNcawow2XDDNi91Qb3Q/0GdwwqjKtREJ/nLevpa4jgojgS Nq/ZkCP/RLERO+cdLVpjmUEO0Fco9TuYTMU65v7zzST/WqO3PBt7DyrORNyBh8Mcf6F2d+mhv1yI J4wF5VCgdmOFRilwe/dJ7tdamBhmc5Y+23Efao7PLRYu2/Tw8nsBTh41L1IoQ9DOlWfswT95oZ+j +f2e/cxp/i8EAddBI95fy5jT6ZL69CLxus0RvpWF0jx4N9+KOhyNv0YXWkyxWR0klV+SfBaCemHI sGKlRjLhN44yci3jUzaxi0mIVusVgUU7R4Pu7B55mBrpQ2bL/8k8Q0HBlFsIAyZjsDAXHM4oIF6j ww18Eoa1Jh9dPuIFh13nhmQodDKr59SRPHP07c+CDPIlgJswYng8d7NynJnPMcEDWV2suVXZ6uti QpwE3JtLKQvTiQyOElrdqtgG2l+4YaeS7bsewEEUCSXPLH6ErUhVGEUZaFr2CRGV3BWUobC4YNx4 YLLYbE6SWoBctVqsUyyC34b7FOY824+ZcS9o3DRh8Q+8LkMuQSDgs0nrBSjx8eLUOF10RLsaxCtU 5yaH4r7otQ2sLUD+dSZ56Vojzu1rtMDyqd5xq36U4FFXtITeut7UBuPOUEOCRscUZl9F9aC9NKOB J8KW0/te1pygR6fGv41K8AAznJ9IXGu2lndQ401iO8f08N2X5dr/7SX9wgJKBb+aDK2zIy76c6Xl 1lPrrUpnhmXHIrVkKc8WzTQXOoiR/us8Dxog33clC3Eb2hk06Iy6swUJr/1RlXQXDN/YDe8tyKuX DqDKvMPWIHQr3ib9x2f05T9SvArrXDyD+Uuo5DttWhOAXG/7eL//4nSbEHVzLVuyfYzUw+UVWpvk 3rcYO2RJw9rpc98Ft17NccC53oiwRmPDxL5Qqgp6Qa5ko0C+7zUKRpQjd30tzTi1zXlcztApQL0O f2e8T7+wLcoiGherTIjtHDYULnYIezwQdbW65LSdp/FBlV9Y6a+fhliNMa0mgcQVrh4B8+2Sikn9 tN8lkfDZI5fCvuvbTF/DH3n2tLocWEwSNR/05Tb4MMVdYl+Cqw4KNSgVNSvCViZABx3ILS7+JSfP PROvZ1+zBtsgdX2SkL/i6te5c3y42eNrRcXOCBGe2yQgvc7AGmmDghNzAXQFIKCXApDy1AM2m3cr 00lD0B6hYibQoUAtTIsrqNDuJR+3DP1J5vMO/Owu5m5/uI0KbJTs543FUtpsMxJ9rYLn2pvCBGKX TN9JmeVq4lNmDpkpx1ktHQPXHvtvkZaauu9X5/l8fDjwH9yG8i2KncJLytfAG54bO6C0v/2igumO alXVV3leQmCucr/XIJuVYr/WXSqKMg52jmw5miprWI8MJcMJo0xLmXj9HbzLemmMnbdLNU0glAvp X8WPjOaj9JL+lhLKaDxG8nER7wTkaj1iN3y/Eze5Ec58kEZ9hL9c3XfI2BEPZVQ5UpAWlrGeguDn SsTeHEYkSf7u1xxc1CaheEWYEF3SE+ZdDpSTIUWxc/QN5GSI0e1Q3ZLdoCm9TxiLSNtgOYKMaKNN gZSE1CJufKdsZ10NdtKpIOwv9edmbiQBN5FPi8I3EbcirPEOBQWIL2/mQJif3JtjHYPYKSbamHLA 8ZKLJzR0M9/PcP0cH+P/3DOz+T7xbvxLh/4lM9iwOO5TMJt+tLwcUeruUm6anaQrR+nA4eFYHMeW Z7qpQ2vwbcjjDFchno3i6csr+27XilKGJVw8zWYj8+mZ03n/wnNTP1LXMAd5s1AZsTathTEF3qP/ qTq8SVuZXuwGWkriasDYyKpYRjWrWSPn/akBVdjMe2yd9dupjZmuYiui+aoFcgz1HybxV50SDcqV bxmQWPOiXTgkcp8TvpHAH83fJt7RYaylP/ja29RpiwDoOgvJiZxXAeNP9TQ+TZ2Kjk//ubpB/IFS 5sGC5uFtaXDrvIH4vGntY2IH3CAPkxaAjU92ELs8DRGrH9l364zQnyDF3XjNLpG6zDQO+ulnEWdJ diSRjPrTqm/O2i5+XLcfX94e0p1nrcgueWd2JK6ppC29ijNua58Yb/hj3NBSCazlJEStm5TD4VOM jndGksZJMnHHHtEXbWhEJ0oschJWDXwuf8w6neQ0Xq3D3Y0KSFSgQRA5/cwYgbELIKGG9IXiEx8I 4Owbq9svIF9Pi6OQ6ztlfQwhK/ESPvyPUbeTTWwwHgcqkiDiD5Ao/+14kxO5w4ma0YydSAbUAs+c o37/YHJslQAKcXSComfdZXcKm9r8s9LTNYmfM6xGPVZM98TM6hKUugU/qzNvguhL433dUYHrd1Qa 138Pot/VaY47ADWhe9UpI8dk7LBI4SoK0SGs7uczxfxZY+pDef87NDaVFqJ5NQcAHFKVEEIQJagv UEVYfKpVBRkPyhr1pqVFDaZXiszFs7rqKvdjvIvsLzZIDCyr85cebcgUeoDnJtDKsxoyqAX/fawh kDkAkdEL831ARJe+Dd69Rx/TaOYQlSpm0vwYTwLRu9CGVpPw6Igqzogm89Bx2cDvDgWT2kNPXrxR Zi8ughoGM+sdk1SHlKL4YsduC206uQdgslossxOS7PJDOrGcUZ5vFp+UHrDor4HmhMekw0ITQJ1y 1x3LBaVt2Cv0lVehPcr1U5vhXbWEd73ivmFdkEdOPVg+fZnMITpjKu7NL3VZdi/nVODb1FFTtHKm OO2FPmlCY5wGDDgOciLFBfNSWPkP+QNf3RUVSYB3EVuxa88aKZWRiMBK1u18pzGha3AEYBZspOkp 8vslMxosVuzcmNHrQ2hfjc647rLNsOQMHzXlpwxj6diR369dkrDYHJ4w/C6S2C/p3AJte2TrDi+K zK7MBrAXhEMa0mOI7yCodo7cJIj1+qF8wtFoeNx/Q87zvnF02MjKj7RHDJFQlrFrZE1G8/87+iwu LBGeFG9LDpqUOclqBreGh2RO3zAxlKlLJvvUTSzVnqPe3zqk914YJM33QqVRSO7iv/MyXyAIybEE YI2D2zT1xs0hgr/DAPxPHw7KVDZmvwtPg1JwrAE59RhsQ8iox5U3KPltDL40+hHUYC8X17n7NMqg RRb3VAY8mVK1jbJr5tmQZJGe6Qc0Z4DwSUFI5fTWMq3+9YV2VEAXZJ1VkivfFkvaBUS+MNRyoPn+ P1dD+GhUoB/9Zz0lD9dXrEkfLi27m8d8KGjeD0tIat1nHv8gq7QySMuQZEwp/y4zi5gPlHkdAmAX OwNKteCBdx0zqFV+ezzzRt5RcMqAUD97Ua+qkm+DEftEiQH6dM/JXb/kZaWRLfmOziSQV3YiepRc FoY0d9B6wpEVR4umOyhHSbnd7rIWRlvyRpscYeYw+P+TC8gbvHYCyZfGYIy40vUVyQjJ1Vi8MOq/ G+PIMO+NcPgGFMG9LqNeGlK8icRMF4VIvO1GGtAI9KlkDlIioyWEwzKwlQ0cqtmsJNPDmDOzBEC+ KEox3XcPZ3IAlDOCyL28IAdDlyBrgF9mnlbD/KwXqYFziclW4I5pqtM+N52RLNTwgvNCCf8wG1XA HCAwuvS7IWYhZgT328TjsmA+rCTNAQ0QuGVlbuQLrx22BXuPpDC7KvjMxm6rNxUjUV0P22GBGIk3 faVzmZxWQSJffFfAZko2iIpkp60LgYDPbH67NAZu3Qmos9iA6oQdPnSqvr7KkbHD/UlnNKq9ZJCh e1TQ57atCL1ATzEv6uFuvMRKNayUUqGL3zn4TmovBVtsALnM5H2wNunN6PCCOixv+zQxG0fKxlWO +3whQ9T7RWHraCyvzkFEMnut/tdpUNIzDj9JjfZmp006cmnWQcJ++w7HhIfHSdpS6p0naOfgOiHq En5hwbLDebYeDJ5F4qiiGk4FpA3+i2CNILXycrnpiEiNR4NV02mqUtMOjWKGMV7mzGZBZbjH7xGA wCjJq71hwzW2zXZcpUf+T3z3g/rH4htwZckaJz8zXlp7zkZa7XldquQAJ+7e+TElNLN4+Wwz36GG NOXdS/pF3y1TGJ2idEOLYZOY0KCQMNcDHOKnLmsdL3vJrxjQACZJZMzCWWAisU/qcWfwB28bFRDP 6k1FgubHvEDSU5wNw+kpago/f8UphwFZSvPMsiM7o0ZdOKfULPOlJTiDV3UxuZIoTzXS8RHd44lv VV2CXQ/cytPIYG2X1vqjvBnmBY0dpTXL5SCFpVnejQwb2kVWHbcGT5azRFdETPE0mj6myvU3urjy +6W+Xjc5ymhXFJSQTmFKCPz0lhgv3NocwTuX19pmF/WAkLd/CAGWjKTq8uwEcULq6klAoa0ffUxf SdUy3PSg+87s0fNQbqu/cwgsUZz2d6LXgoqbQFRwwCjPlJtvtzn32hmBr5awiwQQvx6oaA8b8iAx 5uXwO4lMDLVGU/Ti/5PcV7TzAgJmEUaj7VYoWwew/zVpJfpBehsEUhePa45AXPPrvV5wENMGkW27 k0Yk7V2ZxVzvLuwgkQcc/MLvA6gBJrvjAjVJzC9qAvpO/iaG+aS7WLQ0RmTCJg8GuYBYTztBtQUw +kDitX4DFB8W9m4iU9W2vb+0qLftJDHKG8/i9hGg76usFqnGktPHIHPBZWKdz0/YWCN1XNLw3CGD xSULjU4ScR325NGsF1rOf+PVvIBdyD7vp1aBdf8NiQ/2G0/zKwx4BbZltvpBM2+bFeQTxiUvWSGg ELIfKzKD6xGo+ziaJRbHAwicDj5abfwqMXavz9TMRY1caqEx5fOVaMduCXfWJ+MvnXu+GEOPlM55 0eK9/5jGXBmYnDOcYG5Z1qGFhJQYLATE+euNKCTL/ILKaL2pfRab6gVe2QuGa82QZU8lcRzxb63Y vR0ZoCqw4jM4wTGTk43uGcqdtMmss36l1QYtmyaB4E1ruYEGOESGQ8x/xMdjBcckHljaKDoRPgd0 4jankwwMeEmN9l6jT/1mb01cyAq26AwDd1DEqZ3Ng6SWXARq/MgOk1kd+dWJwbnFKDYK/BiFbuUF 2aKIzt9AO0TRXKBn8HwcE6WzbDP/D1iQ75MnP7JwZVXoS1/7T87RiKeuvLd4PjXXGR9SnMeOh0Fm Y7FW/0cbrcKuTFwDuEnaVNOFuiMphIGa5ltkkGQMdVabDZ4ExNNKLHf1zuJkXL0HrxPVbngMbKVI UiiSdEhuvgVcmMya1fPvQ0OuqGZi6wcmPJeo7iH7X8Ilu366R/onrZH6qn9IxTZxM8tF9ZwOf3P+ CnY0ln2d1RbSy1EHX0+0V9ETpacU4A/ar4zD3ubKEt33kQgox0uTpZoMbksxKUP3l9aV73ZcSl3t 4y329WOVMh8Nq7ANQrDXAKB+eeSb7rtrN4TiiIOrboPHzaUeVi4vJ4qxkS1+4d4NSLn2AV3l6b/F ecS2inIhfOFaNOr4ioUCzDcQ0PvBTfK+IGxA4A+9GI3OIbekEmIUO1YpmqpZmdJwlDdif854KGmI oQwp+Yr7LuAdCzZfPxeXjkM2qKDV8hVPYG+VaWOz9MUoQV2Nun4pEwieXDnRG7sOtmS8f7S9flqx N5h0ZKaCpsSKS6z5DIcUcD/MgHjf2GpygdCApeTVhq9WGm+ZpUe8AAL5bmUI2SW26W9nJ87WZ/rA u9OzUMoq4Nzkulhf1u3AYtwgwfiNe3taYZr4umPCDmn+FWu/z7k+RhnzdyJBnHzqgyo3I8lMfXxJ VzXMpWV/bSkOndKIOrVkN+Ib8XeEBOlB2adIUcrxBPWnmPomUBdqc1P8nNbIr2N7FbhQG9BRnVWR 8O79as+hoUoHUmYIstwZJ4uoUCtITyxrdIJi2tKVvBE0zjygYut9r1N+zjcLRt2ZkKJYv9gwbtwg Hc5mswCA+rnh/W47mJCNZDPpjJN+f3/qPsqwbVyaJyfqIGb69yKTj7jJ9J5h0ja9rKYOG8ggu+ZU Hp+DvjDCnRu958GtmKSsncJ/FF2vkapzHjdhC6Te9/cTxrk+uNXAfK9wHiynUxq3nffOeiN+U7AI W6EE1p8zCchpLMvUq7C6Atu2VMuRgTZP7Fhd8/51cxbqgDwZul3uR9BgGh33raaUolbySObo6uzn /3DPVBqfXeATczROxOfMRs4Xmx+hK3c1qH5QNKXFsKRsdeNgzcPNaLLxL5j4WemwUg1QIbTxg2pU WGc/DrvosY34EFZWmJhqDyRgKetB0oq/FVXZQCCcJmvRA5X7Nq6hs0jdhwq8B/LuyM/XLNfn8rib ZQd1m2XRxDisnPHY+pXqOqkPb7F4y6UvnjRJJ0bvGGuP1D0HU4qntDOjsGbbd+Yy+7UKfsN4KBKs JxjXnS/5CeY/XOXXIdvPRXBhXHGg3tpuiZXpxn9a1jx5SkFz7maD1xxbhhyR+LjE5uYCM1qsJ32j DaDGuw3zJwu8YHrlELFsBEELHn7g7bOg17I9su4e6ORSglObUxM6SPRSfBdeoMfebes26fVjkLz4 Oz9nlcUS7szesESjsHBT3fj+G/2pidZA4eytIz2nvF8bSLzpomKOMiI2NIH3FYXZRgaNrdP6AhNe wFz+gSvdvwHJP1EPFb3FFf3bPWFSkx77n4OyuFaqYRt7dVRcmViJx/wSleC7ci604WYgU8CGtF9U agZ6gHqYptSYQmwgjjfcZIAxyKGhpAEgCbCkzQN3E/NRRY7Z0kdCWhgLHuaFo7ByoAItAqDt/teM jTmd+BOfYpjVvXrLWPoc4UIdehsEyASMEGj6WQunBG+JNjrVdF36UF1v8gEI3Treb/PpDCmpdpZz MgSOQH1zNjeBwEHQhnc86Lix0SckMvr5sPxdVpGBmAJiwC1pTmUFbfjGdDwVKliRbiNXYireqOas za5+di6mk1zkM0l4eX8PYcyrclqW85KoMQiAKc2Lw4v2dhE8yQMjWK051GYk5ad4o7eFjDvvS1OM aW/uky1q/lzHligMCKEYXCBUndMsgKhtom20/Q9fwRqmfeeZUO0zzay92fHykUSpPc8EFmO7z7O5 hP5DCdHjeycbhYfhCnPHeH1/tU/zPIqlEj2kQG/PrdLsrj1B8tfoUVejYCIcl9MMC4k+xpmCo/BD kWO/CxjUcfY8InPJUdQGJdB4aAfKhL2lC9kRK9cIVVPXbhlnEmZ0FIOlHbZ3yqnh9qu4QVl/PcN6 rVZJRgQjF/t+kaaKiwkrtQDD1U4qASEiJQFoHzOHexRCw6E8y7kWqy0KQ18CR0GeGtf+OtQS5QhI 4AIvUlAHp6sUp/229JTSPskiwSk1sQqVSjLFcfr/U8dgAHBvQiNOpLWJiCTS6/b9Lh4khIyoJQKL qJFz6N6DF0Z2ELx/1Z9Jyov2oqV9F1d4UgHHVbfE+YtvUK0nZ+NN0HtWY/YYHQtKSN3BnybTIk9A DKhzdnCxnw8L1gakZX9EMkD++rYRE6EJrGrdJfzAGOnCzNt2Z5HY5SiGCVdTwj1jprS+2qT+4V3Y ttDTjoumtydowvpcCOQkWnBMxYlMxsU+kim7oMqOdh+BaVrwXDkyMqtysrDnwqSiAAfE/FdaswoI wmtgY0UFt0LMidVTcSb5k8QrjkTyknqvNOaSRv27u+NPGiKpZjmwBg+ZsruFHKJ9ZsqF20UoMQVW Z2n3hH4Y51lTH0H8zlPAMmw/ZSo7bVE+rcV/QySFJ70P08z6KtZioqC2DoL6OkN6AYP7geBu25e3 qw8imuMveY1+BuUo/aWxwHzM7GeZCaKa8qN1mwXxtIvKSJHUN3ElhHdC6ALtbsT89slFEF8QYuOg qF95rkyYtcuMgMcB5ffcMXFTtoav4tXbpBPo6zrjXClH2L6a8Cyg0fP9fTucCKded+B+uwW5tQnr 7oM6E9hMzdB0TDjVwR4IS7xPHNSWm6tewcYrZWCX90t8Uaf3dR5I/+PVu3EoYpRI4WDPaTPx4Qx+ RfCKMJ+BFc0boOpesO5/KW9Nf+htdyusBpE+iPy13nFP3kAObyDKsn6CJ9PVdUtrlxn5d8qh4leW IrNgbuzJG9ucPgspZjb15VeN6uM2DYijJPyEVFtdEEbVH07Ct7FuPT12DU/qIcW73mPxy6bNyOwz gJJErNE5xf+Po8L3PKLbXR+MqLWLVUwgYohzb4PoRqaFLnscuMUTAnTTxE5zpCbtuslFc29+4DGO b9FO8wsMXDHK4y3sDf+O+0e3LdP0SJxsRF9nwNDLazsSZJtGsySUKaFOstLCD0cYa9+x40NT+b04 Uj7tdIIEpeJOpaRDMa87oaFdkJPiPXQGiDEWhdIrvVRYwa/sS1FWQ2V15yuVX2EgP/GzNNxW0ER5 lnzQk/lldcKeMA2qcYArDF87OYS5iPK+JdLV/cuyyuJ6SJSqP556BRQUMWD5gnTItdeF2AuJF/PS WFMa/LBmKOMauRBBmKhNZKNrqJ2ma5wfzUlWwi47Cxs/vbt/snpwGoxtnu+gq8Qvn3T5KNwVNcCL CHF8SQWdSZ4aenAv+xnfO8IL6/UCy18/5ipl558MIe6hP1YdFhonVtQU8YodsMmkd63u+ccXyvoE QVXBPn9LBpmKYi/OKyGHBRz1Na0mpT2yvE6BTujCsMw1QNmreaXvSOkueIoBIXqMtNh3aKFgRXM3 SGYeFgAIzGXRumEDIbIMKP60BsUX7wYd/+Kack685wNxQf67EdgyaZO+fraDgZnEKEDDK0nc1K1c 0Qvf5S8Ax3t4FEw0Kypn+1/CtuwiOpTBMX4DBlCbEZw9N4nMaeq3LQZYYpl743x878hP36kV+HKm 07pKeEzI200ovn3NwBxgMJemSgRbjlyzW8XLK/gjWlr6AIptTdrD4juqQnbh3Gfeh36AWf1dUA+r dFW4WJujFde6VqK06njDlcSkWMMGNXslaV+QbWK/epAXAnzzwE1YA18TPcvi5RhAHFZifAx11/jp tin2Q1GvACp7PZvc8CDad0GmD7l4FEIqWJNqpPSm65ArFX8PEq+uSlYRRDWFwJQfBwnN0SKgE6LV GSD8cKhi6MKnxNhfOVj8zYcANaaKyhJvzcGQKySbpKD6XR/Q8vfFqMw+4isqwOSsKQhs0GCRiJJg /ggdgQqad8RZ+vAgO5L/FS3gvaZnKaw8k/rVZLWmIot/mhaSxVpLM9E4Wtuo8VAhKYSFx4FLnewj oLAz+EMYIhjrY4NLjnnbnOzVHGmj6BFXDTr0noup2f1mzvAH4ocMCcp1917d58xKHQGS37s95jzt zEcp4IAmUkPVw40Oks2G8Pl8rr9gLGczUE22mZSu8jLbFuBeDE9CM9CRhlC/vXWULCNP9RR7O2cH g/12GOjTywA0RJeZGrNvjRFfsv5PDmYL8s4IaQrktQ3sEkBGfxFKxjl6v6MHQ3OCK5Vp6pNHLlP+ HYyqZQbi+wYGVfxiXKHntykG3cTk2eWdqBR0eY1I7FKxt2MGtX61xhFlH+Gk8ZX+HwD8jSyXlMXo 20BGK3EWbvA2ZI83R9kvrA9acS1ILG5kV1mqsuDAjesSB6bXxwzA/JTaE54HSvXrTeh9u4X4c4FT OqvNJeXeIPfwvyt+93nalcudqzkrKHScLJuyezbZM0yogFlUPkR4yypJMZWiAEgqIsnG7nA0Z9vO 5DMQUHs9Zmap57s+gcKP2iD3wEP+xx0zabPDxfb7wHRMRWyloMbTb/njl9odawkGxrPorTFjPRVs qgYwN1dPvxGK/myvtPbOiTHRpo03qlMYFkn9PPtjN5FX7mbWIQuuICwh+9bgA0HmLqekp0/F57gv cnEImxY1FhBlWyt5RtZVrXcZl56dWU2WlFPvPNwXovkR7rchtrOvh+TgYYHcss+1Prdr0g9rDClg a0ayX3PClrGwmss+8wXSJE781i+jVlNJAVoPzqkuUPpLQkgEB/5TAuOo6qK6c9XON8IhzupDf3Kc Mz8cQjEEYlfg65hRuSdq/iEdj66gNH62/qrEu6YF74TMlWhjTvepiJrOIAVvbDkMJE48fTwENeAz tLOZhZOx53n8qJFyxGmjfznhc7Y7SbYbhL4yrcdhhUxttVHcSZHYJ9s27O+Tht99mF8QMB1w61LS 3rU1Oj0tyFS8NnFS2V4W2c+djZhkhdntl91CkZh1TtxW6KW0YxBlm4/vqJ2pO7Md5qSeqkiSeHiz BoRQ5gkQRixQiI0byH5M0Y0lNeM1kdYlAXBc829/lfwX7Dk9k3CY0pHBjjrfsIvmS5AjxvZ/OGYC ZEeFUhQBq1Bg3CmR6uL3AJ2xGYUKtdzCzZKUP2LlAhYuvhYTBlDDPYw+OtQSAB7U8j9EA43vj5ww CBhqEfzJiEVc21n6Kmg+6W3sFhJlQBeTxLHGfHBd/WVeUUYI3DLE8pZ3zHx2PX10ahlXysqn5xbX 5WqWlfFDvrINiCvxGV0L8JBBlovOpS8q+O2uy3iq9JbOVT/oOjWSzzeAziZQwnqm43sFtorDEvX/ WnDe4Kb4UOMceG/yp7LnnqTfIhU6YVeuU8YUgKQtSlSag7TSf44mkGL9bVPVcpKiqqZXeSUuS2gF ez35DU4Qy1r5us8DBF1C9AqsX2NFEFAkhwTwmUHtJzwCY6KfgwmEpbdZZGPq0m2qz9aK1b2GDnv0 0Wn7Qpqn5rimn/zx+yLSsX8+D7fK0lSgReA0FWdn+6Zn/2ENRUnk9vs9DqZDk1Z59gbbXrqZm2+n SHO+1EkqLHZUYuIEYIJD+3xnBJwXf7RAWnGEB6+oNf13Mf3UoKgy7Be25Oe6IgUJPeDZPaPiFKKN Put2iVjRXKFYuEGKT6fK3Ni0i+pnM5JSwgTb53/P+D82ZT87iV9IqeXhuMLOlkMwY+9b4l44UwJp QnVPztbwBOgdAQx9HO9XlfB94HZaqxxK0lUC28ol4rlWjVF8cVjJh3Vf8nbX8cVqKHkUXd29I5eO 3oZKiBY+o3LxO2+k/rZNX14Xrf2W00HNzcNpA2MwWkt+DZOV6cs0tbxxpSX2yuLEJiaVs78u5OVD FjQ5Ew5fxSr1Wq8wO1RdS2lMv8ObW3I24xsdDvIbu+wm93wFOjSmzSTZm8d/xSGl7KYQ+BctE31R /oAgwve41nHbj4Yn2fTMY9dCnS2MdCMfA9l2VM5qNgm150mMSoWIHnuVz4OQF501da2dct/UkPyH +QiUhzlvb/3xDwtSgF5uLGi22We0oUWkAVAVLL0jtPL0GnnrN7N6+z8iHsJZwUt0MHOuToInDW7E mpeSy5iZYBkijP9K+aQC63n2pKpKhCsPpFpVGBIOYM6Swmr9/ZvlkUjhK6lGkhSnV5tV1vuUWgnd WsTEgMjidP6XOB2u/GHVRRsGxN8yjQbdP1x08E+pKl0FSbaZH4Xbhv1a2G5YBnQG1fEA6VW6Ok1B 81nWtI/a+tOLz6dCCKbfSYj2S1ERplTaOTdBpNYtyMAvfw4F3TWSHb/Ss2nvM6iWnTkooYsD7HnR zm8rVNkM+3Q+sZf2PJsr9IIjbZfFq93GRlK5sJSL3AzoHhiSSGOHEH8qQozZ4UuT2LNCaV0OxVQe zUxkKfRgjU/UcOFkhqAqhw4zgfpO84ahKjKL5eh2b3Yjqf1QuWPK9VNysCviYvFRMLn/j4EA4Xj8 b48WMPh9nJmaeoxH74vu6dowsDBVuoFAM9vDqxcMQ9wEQrg25oLzU2/MX5gqbUmliRoVMK8GSrF8 hj/DHc3nbsK54z8yhKkwca4rzzF/CP7Hdqe32fjer9rDOMHt2QV7+mAJ9eU2wX3fwbz6hPgMkSn/ +vtEy4vI/UT2hDOuRXTqTh3bYfPk19IScVsYm2ySRmjHOcqEi0wYMGFlry9Kxxg+0QyaNTO+GbD3 Wo6xIc87DmlKQxfTWru5rGfohWI4HwRDGoCFS+oUnTsNHNPcEBTdHFnPY0Kyb1eyS1rFlFYZoBpD mzkRaTPbn5MYG0JOu0HIWRstGkrir4MDmlmeFsIhgFkB0kMS5UVd2yDfX4S7SaKdQeoyWfGP3Zss M9z0QqFRs702flPVaLI7e08fDKzNSCp+ni/IiQYPXCWbxxwsmnin9xRqqR8KseMwxvlOR9jV2EmC dTA7T5NFh2UdajCT4xl6b1Yete8/xDSRL7O7sAudHzOkKaIDloEhXYA7kvRNE5tyvQ6hcXNK96RE GapcFeuteMoQ8FAW30md6ot9jB7Yvisf8y7ukqivBc9Z0ZqCu/QTm8p9kPy4j3KCccONc/S6RQoh sADdg+9p2mvGEufJ+mAtmIfsNM//q/t77ARxCJHunssKT/vm2HKcQ5MSSDurZ2yHtCM+AqXYiBpv wnvSOSqQuwKo8VPgXKd9CxzN975nndwB2mYCSPtvtanzxbFEl4gcinClMclM3Ct47ZzkP8gcem+K okpXNoQREByO9PsxkDXOPZWpMeM58IeOKaB3c14un+mWd3uYHWKV2Ucy3saanmIcjjwlvbYboL8V D1Pd/wc1265/Y2ET/KtWSRGfr9iaJ0/rPp3Z6Fvua5XboC/5DskMxGnwvOLkTCaL+2aR5gapFSFl T3g8d1gIja6mnFhme3Xhw4cQ8UcgaOznzUG4fppr42o9IdWtnmdIp7XmcNBKi4cTe1j6jn2szVdl vMKl4AT/Mt4EXWAYuIxt7vKSviJ6VcoMjtsUZKefz8H/jVNdQ5DFjwBNQTHhna2ykkCBFC1EvyY2 lsd7lgNn8MfUjiX2KPhluDeVa7SsdXmUwzZCMZazg9J18u4vvfM1KmueP8UzzeHs93SPrXfTuwT7 IR6lBMDlcszETXkbOzF+8iaJuQs6ke/aEXXyKyb382l9KW69cQD2gxhB65zmZUsVv4EZ2UnjGXvY OMmDXI1SkvwpcHTFoXVom6FWS3HkJQ9by5gkxtrLYrYHH9GfnMW0SGtu4TPuHIuk1PLefdf5P/1T xHGq2bBi4ibCSbYw7rTSWwwPR+CUDEI56E0ZihQUtLzxNv4t5aijUiUqg2I49SLodJfksoJbddX1 3zpNHJfBX73+7ppKy8OIArtIw6hctQxDIU48tTI62zNAgpR47isiQslkaP5Z5cubZNgFtVNqXOY8 hcH1LPHFHsEEeQnm5n6exCP1eXFwQ67uV/9hMY/ae/LENF8K9nHP8PrwAyod6hDEf2BpQmt5GWLM YCs0jCB3GA12A44UG1LbeRH75AnUOP8W8KWFin19lEifMo11B0bT3/sfDN0DbgCHER8diyzNZqLO BUVeonOdL2fE8zwr9TdRIvLs72eSSSkqWhq1osOrrNQeXZC4UFs2tLH8t/ruNlIywPqZ5i5Jd+Ez RDMas7XXvrb/TQtQdu2EP3HfE+ZKvYNzARxshlhQiIvLsesl1Nk7m+S6kbRmz3w+rwGUfYuGyibE qM+3m6/S4qLZPPu+JTx/kcir6S2gCgQrkbE0QKrknUO/+o1HWjgtG92xZ8F5w/Sis2ak44hSUJRA Nmb2nTJ7CuXkdlafQfnsu+duC9TwJCxdweyW01nb4fSelo60S9b7VVMkA/4oY+8BYSwhd+efCJwW Zwl5d/B/7rGDHSMqdVrVfjwjAozrDqbqlalIrNKhNRpnbbF6mPfwYlIAxGOG2FIj/urOMfkzpE8V qGaAUt81Jj476tfqVj50HeIMIpZiCgYyVV4+vYT5B4qvNrasHhhPRNpQmfOmw3pHlQY1mzGb1FER Ia1aSyffxGfuwna/M5iM3EuwrCZBviE/fBSoRqTtBv+z+8aW7dq0n5mrMqrWHMhLb+8wLZFRAtsp QGQlzIXvDiY8Tg9pNm9jMvwPi8VoU+tz8qV7OrJJHQCTqmAxEUufbvMpcwgFweBuvUxKvQ9VLTQl Nt5QSBrD66I9P41vwpeBxMxUESF9m6N0nZxhJXK3XJjukmpQRmVRXSQkTIJe0gT8G0s3CoiRBzGp YWgBdrEnHISMZsSKiqgWzY46lo3tD6XYjuwdUGw8ni17513szleoF6O2JarWWXMYNbQnGg6nr3A5 8ESXYt4ONQdKf0Jf+vNQMPpmeEAFy8UMf2652YoDIOBhceNK4JOszb4v4ByqbnmZiBGRd2/p+hWl ezBe1W3cTITS59rvaou2TW0N8EHf1uXtmle2lvk/Kcd0bME4SvEgzXRZZ6RTGJptmUcKDNx4bptN WwE1a2bhvUVTEQW5nmnqq97uHH6RavFL4JQp1N7mvQvVXVRsbaIkMZecrKw25GzO/OskeJB4BDR+ FjpfJTC8mIL5Q8TjNkq5yYsNIDok1g1mbE82C09vOoUO1pHGuMqxnBFTHxhqHjhZUPcmu5vH/bJD 0SVE8KSg6T5WRfJCHYllYLs5x78MIe+QJCqTZTDzKzeOWYTF+CP3eqgKxWHibkqMHV9sLDLT/F3z yzmp2/1CBKtgORVtocNoKfxQK+bNQaOQSkSEbP9bNS4LRbRT59j6xLP2HfkeE8WaU5HvDvEG3tce 90Jsg4hBSvk+8/XCd3jXIBGXlqMA4XSHMpIWcE+4hsHrniCmpbRhBIFWO9Q1YXunNeRZgo5peOuL OqbLtg+P4XXbMCk7CBNBvZF0SFXaCMVNrc8o2c+lj3tUff0110yLzQngL7A2Ljt1N5RYvw4IJ0ab 92ukihZM6R9EHFZSpymOoVDPKT+g4s/7Jow+PJyUMilyg/I3Tup03weqqK3yLNsp1v1kqM6TadpG 3Okt5qwtcKfSnL+SmJiVAvOZEYrW74rwCV6hoOX3cYw5Ko7M8Fc/JiUiE1AsL3PWf1k94qiwO+Qj Ucz99NyI41TxIDSJ4hBruVEsLF73PNDZ/uM3Pq1VubX5eoR6W12MoZa/3jgMpG+I3N0GFBk1dISF en4CPu0UMIWSzPYly89IhrqCrqMEN2FBT9lXkfMVo5uyas1c0hjqwThZnvo8RkvhO0mDBQ/bfjNv nwjKPPTygbIrHplYujVSOWqR1xVYvAWWaHAUflsdc8KSlTiIqxP7w5rdygEzawYael61prsCr545 ZNXwRNcSAOzIgAmcJnz92XxslZ3kg7xNkXicEtAtWavb/o1iE7/b2cMaF1VmIz3mBTatwQ9bu2e5 myiYCQjrWTjTGnMDb3Q52lLwqSYmJAsrWuB/Sdw24g2W1uoTt0XyS/8KUQSikuCyFBHW0P/RwOnr UIO/JLt5kOHX0smiWpeUEz4iziDCQPLIU+zbynDpcVt61U3CBZbT6wr/geTvGFf2GTDMPwuibxqs YelcxRJKa1FtqpxbOanDIvaBRT2ZeRmcA3oss2RRJfQrXHc9zAMdi1gPRE6SCVkSBZhIOKEQTnJv fkIZkENvHLOn3a1R18vhSmekQ+iEx25tepWcsPKUiv4y5ct+kogYiiDxBqfBiA6mkw3HO3tYf5fZ QgC6qL+IWYLVfVgjbUaw3n7q5ZVkU3qg0NV/4Vr2aexR27dyijH07AzLhXQ6QISH70KgZNW82dEV 2jpe1wlVpRLcoOkuC/wOD73zYirkoCtUb1bLU9imqC9/dwwpAvwf5+feZ1PEavP8DcC8HKFAtEAL QPm4L3ScgNcHOnhjozyMZswQpJuV+y8GyfSp+7z7tC7jDhxUZg69oNxShVZRlRPjo3VHjE0JROVs 7We+PkbmJP0n7MWoqciGA3TmPS768kshjku6FhbF9Ln60/A8oJHWAYjfSfjGQMSLTF0HQwrymrV+ SPXsMw2FIylDuWfEJxUxDO/Hx07+IJgDRPaJrRnHPfpWNdv8TcnD3313T8aT7+3RksKej5sIy5oP yB9LE5md+cCvKoODx1BR09VPncpPtAcyISgy3Es8nmKF5zxwPeLxTUaJcPopTIWgvR1iWG8vDbvF FNC2nWK2u7496Dq15KIoulD6KaiVD53NzE1Rt8TxDF84a1C4YhaKxzaHjGkKFnb12T0QJyhCJeq7 vtgDNrmrO95cOrPrtkPpGD+44H6UCP3oCOclsYC0PcwD7Ixi+cMpoSYS+WwKpSjai+GE2TsiKE1X s2bYH4gb6y0SU5Y3V7vKuiyaaQrFzEtffcOFeQHTtg8NW9DBvFwrDkFn9KA6ayg6yLazDvB35zxQ vR4wcs+/NHLx23CJEI07dHKao6+bT7Tvncci665lFym93g8726qMGrGRE5a8iVTvX1WXw3V/WHFj R2IPOi7j+OKjdWVycfwXLinPe3yATfLZ1NixFXsow/Mfzg/eAEmy5oLLD4UVwCVTRmufr5JWUq9n MdFpYIF06NWwtmCf2LGvnRHyyviIpK0u11MyAGrXK2f8Jv78RuMsjqbNFHJoD1ZJDjIoV1pL/9gU U7VmnoJ/p+OWIvt1NcO2id+nPV/tEHgTdyn2CkUWKfGvDC3xyqsrKy39Iq5pl7ZFOi5Mlt7yYbtp TgbVfxqzL8QeFOVxSDGgnSGHFUyCkClRr+tmH6CqVY0XMWrq74RtfDsY+zD/Y9qCyuusk9lKLOGr h2JvpFEePO3shIUVWrSU/h/y6z9vkZx31VT00+PGZCrvFFhjBiwR2BfO/4n8vMXuOBX+1giACANs 8wjn7MZmaTGZX62tKavsNnWv+rnGeJ30pLH0M5PXxoj3RW/YCgTYWJHuFo4RYXqoN29qzUiCdfTg mcGJifA6IG22pBERFFi0JxqAWwbbZp+/9ROUF7LvA7Rf7AIQ3c9oWdqWGmvllep0yHufwpsSJVEg CGeLDPsfcFNmaZFqwu4kEbgfww+puytEOAV8eylPvEzOgBANS33G5FgZNuHzsC5g+oiIyzjUz8cs u/zOSAcVOArvZk1w/+lyhp76QRSDjS9WKkkG2cUCjxwjMnmwv/b8cqIqtHWWRiss+wdDPbGMLwGP Y5f/8Gv+TCQ8HqCGuJUTAf0e2ogNlYvlXUbubZVE2RHvgz9k7TU1ANcgYskXpoCEEZTXjJqH0ENn VJuMr3+29qQSt2qtrMtFZDqiZpRejHHb9a6pDESAQI4tyO/DERusKXZdW/9qIHVNVquEkaIizfM5 iPY5z73z0lSO/H2uCRN1Yy5bJ0i5zVzJh65HvMoteoWpesfQYn3a3NTrWyM+gThvxi1vvC+pOByr LvYOhYSOXJtfrRwuOc6BKR/O9dwZ+ONSjTc4wyZHATDXtLjYPz2mU8uJhDGfkpxAW6g6verv0U81 6TAUuSmBkQIrxO3NC48iJLaDpteWRrSCP8l8JH5a7w+jRfwu5HEY4yhRK6PUAXpgp2sOXYXhNU/N HwR1fKVYHh5znmz/g1oI0E8uIgpMU53PRXyjmKcc1MySuDbqugR09pte8/KZTNzgWsuwLGLUVChA biuc7XSOgYIvJut1pL7bU3bTFvPio2e1yv0w+jAsFy444nuWuv7Ux1yKYF4azBhz1N2hi1J2QeEv Zkts7lW9ibN5LbAjaFl9pcwAJkxKJj3g0x87Dad4TC+SN2x4JT/QEPsKMyiQzJuNkEvnjfbdUtti x8B7MrosnbOu/Vyngp/8B2AT77QhSOh+vQ42xl6QpyuGOrJY2Mg2Lycfkzpxpgb328Pm6FMqUAn5 kcnEeUetsMOJp66i6zlNc20lNiw/hkKtYxzIEOxEZ6mT4MmPGfgXOUqihNrQpy1UIgHGCJmY/2nc 2rRVFnuU/tH8KzTQ5MQb3BzlLb0Cr304EDmWhWaw5+vp0Bn0KolYtuPB0o69anHu8PbU8H3Mt4ry bqD5AQqKCOQrFI9siCPvC4Ti9JcJEp5BfLB0NLGYXMI1/Dwxc+PDrOA/z/Q64InQhChU/k6rRUuN ZLsaJvYJYAB1CkPc9kT1PU+lhK+0MJSEMCXM/gKRfq+8CPsq/pjW6VsFDl8LXgOTLTwi74wrPv7B FXhbYWf1Y9SvdpjJy1PKcr4rydB8P8zDqTK1+ok0vkUapUN1oZBeuYCGFXnAAECARIEm8MZm5X+u 0N7DyMxGCIoWERmN43AXYMcxeZd6VhOQvyOjVE1sOtSlkUr2fkXZeaib843OoKK7cOc1gH+z+XoY VcbsmBOE0Zy/yZyjbDGFkej6wq2EYT6AsQ8jyYPcuIwiZbMf0QmU+kq50ErbJ/5NKhehnapcC++Y l33QwifkQHVE9A51WYJza5842U1iq2Z9HnP3H4gCSifXaN4Nv9PrbsyEnsHeIgV7FqK5knSBv3Y+ iXb5Yp0A8bPBeTKWcizcSO6P3AzmG6CWwEiBtmmEICm0cpotqwyuyHKoJWafLhWd2pwjXLqBDxZt yseTaTonHBZppvWOVeSNjtgJKfpD6/Jmt9oXgL1wk477WkYi/8h1heAughVsqPDGId3eXkm/vMAz tLjA6DiTIkPlrePAKl5LGHcOaZ212NFIbd1wkizOXpBj+bbhN8RsBcPi79F9Wo6z2i/RPp1qA2tf 6kjkfbanvLKAgQXczXt/iYfIt1d3Jj5PzILzWmSoJq1uV+OyDZNdM0O3rjHoVFKORS54i6DySw7P JFyPyJe7V5Y3XwOC7LWRsm8FSjQpNZ93+9jR87149Pp2KJgGLRy+N6w7yu1QQxymb+lGf/MToAUR YY8ixliSrZ828IFIU+ajztiNT/6UVn4xK81z2pMtBnKkI03aK7i+NrlIMMR6Dqq7y4PjbiD1QoX1 rT0hEU3Lr0TjimTF68sEpcLFM/1fYVS5rmF/geUcXnDCjNZMH6/j5TQZIplLEcfi42Gkx6updWYE G4mxx2hSIU34RH08VV0orSpR5Qj/A/oF/xuhHrGgu3T1MA48a5hhmAOov6Qbg7sU/WWH8etUou7p oq7IuCJY5xarYIF3U0CQcuFl5pPgwXDUFJt/VqJeatmHAlf7hUriM6ShCar5jqarorS4SGWKeTOy dXjXV168CV0jcRLEcr/l5JbNelqtR2dRncF9Hz+hdbAyWXQg2+VJpKSggUSTE45LpM8BhWv1EDEQ jNFsVi/JXKYeS6a09v7HbnehgA9i+lC7APmh35pH9rv5eQOJGKKJ6m9t+jzutSc8s4QIDz7kaQFS 5GvXknihgBNwuwnHGCUFFHffaesyDT6EmpM8bfQb7CULjqtSdqOO7qjSjLYXgs3DIT1xgqHODVZA C9/w3db+5BJ3Q9x1jrH8BkLe1oM/PL7+HmGKJf9GKys8R3y/oIszmTe4YqaO9ntqC/KDpbX1cTt+ mEwEg8E23F+SGrCPIUqnWT32D4kWDMyjwji1sX+wCHW/JCDzYQgztp2pyEpQXulJJUZcZTOdroGe SEPeRJSzRfJZPYNcjerGvtf5XImkkkZzFoUeMqjTXzT8ZlEmG1gtKasT7S/5xJPvkLHj1HOoBaWM jWJgK+mPOIWo82wYUDH2qTEb15ueIZ9MokG9uEh2GvZphJAeTneHQ410B76TaYD63TiHdBf6Koqo 2RvT2quFR5FplzkFoZolScEDUpUUt5L5uS9k3KJp0hYFSsSVa5PVqlToP71R/Vlf6+/XW3stP0dd Pskj3TvuTv1l4nJlSd0psIGfQ+T86+gjGf0YBN5Ln/JTSXjDjXNCqHJPLB3Gnug6otC5ghL0ikzV U0G7690U5CZmcdWGPETZEX9/rSMO8oo+sP4Hh2OVVYyzFH8H3iuRnEZDOSJ2zyeZN5HZqAbVHrf1 K47o39U0LEEiw6HV5w3oKdj+ICdhDA/ArDNG6ze0kFWJNIMEZIAcVyX2niuXTmTq8YCxGHl9ehQ1 xvAMOKvmFJt/EhURaAU3n+4PKnTGgU05ITFwL7xXtEmFFhwIV6V1e1/wPmopTw1vUeq88QpVu4Bp 36toi6YY3qQ7dKcI3uEDP0c6JMdefFx99vk2YLXb3OvKJTERBllCYKHPESM55iyRWHzrbrkGW2VK agcRSMW50vTK3grVYzMY45NiEV7CYLT+9d5y+psCUe9e8Nuzcc6x05JQY6aZ3Tsz7L6SegpvQQgX izhjsrvr/UOGENb5/aCImkyEvG33gkJEiKcEO25EJCTzxdhi+7f7EmwF+YgUdN29HWEDNuYtofQI oedmuHaKBlnpug0mV8lQv4mtEeBqv8SLSRIMhr7hfLmRt9TWDmnHmyOEMK+sWEdoBfwtkCw7K0+O ipxMjc9JqHDxS5TUKD8K7PcwkqBpPjl/IPRfgvBLpsnwwbEgKOgKZ0Jvfl6IEGkjXLxBrBSjWzG/ f8Xpm6J2YelMUGQifp2Wq/jUg7yy4YajSw5uPkpxAciuyPPsOSlEwTTkc2AFAeV8eK7WrTtU/aSM Vn21t003R31LYG9fGNbSz2rhhOR4FXtGjkOaxelp/HuAIurF6BblRSkyN5gMwaR/8zABKlM9iP1D maQtPtVWCh4oKHVi4TkBHumLJ2q0cgyn1+o3ei62BGmm9hxVM292NSJ9DWlPjDWtIsGbD5IsJ9ed 6ZZOrwHuwEVSq1xekdwVM7bZwYb/yf6AlNRP8Flr/SVI7PZGB7is1Twy2arRm18BBEm0gj51igTL ONA8EQuhhchA0+3Ta06WGkxV1yolYovB8AyhiaVvYJqKMB/weSIaI6dC8M24+WwBwfiSzqOCvXLe 3Z8HKks936Np/2LheOK9mc6Ui+hMqu9p6Nu4n4kjngDsvvhM2NMFD3TneKmC50Jn2qOfjFWFJ3Qa IQE6bYHqSr7CEvSTnOaSf5mutstSSD6hLsAhiJZx2SRxpLyblYAFgNqgUl+XHSxiuiFtxvJu9/el vSOkBLQegBh/8ESE4UjFG4HFF1VcKIVBrs1eun7Yxd87EHzZQK69DMu5znkYCMZVxB37YD4OY8Sd bKbxVXBANLJXH01Abwfozbob9Sz3GLjM+OB9zDU0F27AcTLb66pRPZLF/7aFOzHn+EiRsbkcDt9L qAv00e1FddslrAytR2rzwtyKK9DxurUUJEaOGl/+XAXFPi99/NYfwHXzMJcJ2phVC1oDNIaKqVn0 VrJIBeVUvMk/gUyKHaDgyRgxbtgsrfYcbkSb+5EToF4lQC8dyiBzhOYjN5EXyju7q5aXS8qeUJFz KZxCRgo/2tz+G/Jd/4e/YmFCUfsms6KvEVEcvrNOAGXZ+Gq1e5MD3e/WGkoOdEQl3ESHfkwzp0Bv IDArxH0vkhurprzjGcxZ7CoCZYS4OiEoiD4xx6kf4EH3Se9AJZJEsuO39clSufJvBTUvoK3wArlk f42EWK8uPOfpoPFlo9D/eKdznz2w1UbuIU8B3FPJNkNHslM69aHZdyoJjFp+ezSxkVSnhuZIvlHW p8pkP19x8YJEi7yXlNMI5orSseEPwHb4ujA9jLd3zLMgqNlJOLxvd7Let+sAgzo92wNZfjXTwGEV VzccXHJLMkMa9Rz5I7OrRNlzeZSbqQT/ZWIshIe3U5EDTEnNrJg1HEvPGEKtXUfuN1PB1/QognTO XrTqWLSGU/lqS5FA9MNHurHrcuscymuIrvWkqnL8SyeWLRHTtTBZTdf586NWTTVd/MyRMruGV/Jv Ch4JNx8+D8+y8o1wWnXe9nlmboEueHSBojRehs/StjIS12SmMBLG/A2tuCVHK+khG0zCoc9bDipL rwC4+NXET5U7A/6H6nNz3qYI67y8laS1uPkWtN5379zKrVnB5plmXkbTbmLlFS74QCFBPRACEskM /Azt3rvVDKPUWwzKlWCti9lAnk8Qtcyq+/+LHdul/KOJBh8ENs1R2JSvRS7UnAfZQx1YDHN0r5QL 4vg4co2mk7mXZf/XR7hzfsxG0JzuMvWcsc1YNGhlmun4HY06XIGLlXcz6/CtPnWPZMvq3cdZ/MD+ ihqlnDojtUX+GhPXA6kAA/sWrXD4JS/+4Uelf+YC2F8MmdNTvOJOPuy5yR/MtHU1AluAr8/Nxo2E 0oQJJQgbWrCZ1tBjRqgzthU8ImUhkB1nbY60Ei1wK/IlLE92Ottugbmg2ZSV9r8pNzYG68H+9nQQ FCpfsfjKvxE4xL3f4pYHApCdalo8EdBhkPfHbGsf9ZqyBQLzEyNyDROfgkowHhhCeybacKEaHKos J2iHVW7Rcf/ckb5B9gYg3UMT+WXO1d66t3GG2ys8sw1PYTjvftUKXLeyAPE0lCIZ8oThERXzAGrg wEveKs+aqXcwMqQSj0vZdmHQsjQ41PP+zE5u+m0w/C2ZDWD1AfTgo1tnqXM2wyE43iwa6WZQMiDF kCWwLNHZIf25HKTaiBI7TeZAG6nWtwTwFEv0V7C69a83+b8Pi42vtb2q42KMDE8uIt+3Tj3OorUn e8dmD5O6MrJvcwcIaaFgOJhn66sfcWaMJ2yk8m84XIpS6m2hO9KVl4wE+GZueGsM0IVruvTNi60i OZi/7GeYmCHtoCIYJaGouqb5XVOM5FatyP2qlJUlgCvMEXVIV+iIzHCQnSrE43PhX3WvnPr/H4/Z uDyqxxtr1RHh65uYO7/ce6TcP9tnA2S2YGnjrfVjuGDrZFYEiPgAnLqo8p7Qv6HVlPGI+/X26u2I XjE1s6PkSZAItZZ71NRcINfCanF63uT83WSooPTN8nCp2c6fLjhs8cSf42KaG5zzDsbdWENp6xC8 nieae442Upcccs1hDDglzaTdAZYxhY9BPc4YuKVTjmg1zVGiNkvGCC7ZxpkER7KPgGk1OxrPnuUY jaPh6L5hCUrvniInifzmQ9VaGBkb9OmhVR9gK8s9R7YfnBVs1icHuQ/S9SAsCCMXK05/fwibjfgo 0BRpPxS9LqKRScuioJbnoMgFdv7b/855UCnTjh4oYp5iPoDi37RtffiSZgaBQXgJFGrQSfGGLGBc ++u1F0OkoYstJqNqnFYtt+RQH5QxMdi1jjapYz13hmxwP8fbq0E7shT9rASnvDDddS+6KDRXWrSu mHwm2fCaFT+74dCXy9q3dKTTxbFaOhm18kfCwTTzh8LMFqqs+Rv1QsYkxV+G/rUyWxiBMgBR2UWr P7HhY08AzvyEh/rMJlei87XkARvG+2PeavAf/iEQ0AbQNRQKNRTO6Bqx/FYRkGIiytAUwHugxhqK 63jEKTl+zRCUFE8a5D06Kuf3UfbZ6DlyyPew+xOPoWnvKS8mWuhHYhkbRxotz6zMeZK+L6pnORdE vsV7absDWWUH4HZTs5aqiJYE9UiefXyw2eGpaPboxG6eKyWq+K2zNuv5O8thBwo2vwaVYbFEn32L zksg6yI0JL2VgtOh6obwGk78ClBrEjipnqYhvoMeU8nOgTd/6/1lh1u7QALnms7jgdt/qSQYyBTT IAoeSMvoeIVfrLRRB++nlLZQvibjIz0mwREC8aAkKjvYhSHhSbHQONlI1Ymogr6tDbk5jSQtMV7B ae1B6bQNZb2a5YwqEq7VgMzLM3b7bro/XMCDnoLQqEKbtTNJqAfCVeg3jyZjlZkbeuq5aT0X6ysT /j2UT81/2aLwAGPWCkTGWNgxm+Q3KY5MbhNX/H7aknEvXea+wJ8q0XUXBvXq3WFWEo5cDDIBaDin Qoqfc6r4/aw1LKlxDtJcdSa4n2AT3jAaGem/kYzBRI7w3jbshvAANJvYDFaMF2uLZGW4LeseWvvu 3bEHqAVRK+EYeA4urvcV94ZqtZDXU2IDMxixsOsf3XltjEfptvvO2EiOM7C4IyTtz41Aa8XsPVLj fzq5/lEZThgFyJjiNIrZuI2iDiY0zfEe74RJOefNGhSg4I8KlLqneqC94C8uhN2G/lFZq6dlg6t0 WesAGffQ71/hOzKuImDCmuXUaPYKX+ip03aZQpXlMGPp4zHev6AnYWlCt8JmPGu1s1dr1v6kQHQt p/kUYVMkm6oZj69OTssqZLpiJyNsTbmAFaNE4FJEyZ+d9s/RoueCPqAuphF6D6QrmnKubBJAMJ/X K4seObPIZ7UyGHuTGx0EuWuqn/0hmxpCXSZQMZXu5t7QUXVHFBJsneRA7lDUStvVeZC8N2DBtEQ9 rVMMNoOyZCC7BqIkeeI46E5OoR5CGVizb3lORCSrJg1fYPUZKcmtlwf2qxenSen/KZxW8ITnFe86 RQpEd1bmdIWE15WtEmBc8sjRL6at8zgyGWD5yD8lnQVX2sMhML1breBd+x3KBpEMIdZSS10tqegd xb6Dl7dBLn+skIK4tdIOiVrelwuimXajuhYmvzCn3p6zMDL9YTzep4apcTf9LOT+ypRNbBBnULlD J8Lcsg2P6u+uSF/pjEZPfyE0vudU7rwTrDsy3LB+CnoAXU4PyD1tWrLoJhrwH+5YpqZHCdf0r9gx TW8EukK8lSaLzCXeJ1oSbAHd9eZb0SVBWCo/Kk3VfEdpRzDVVC1YyAe3GMbTW9LxovaMvCe4faTg YjMjFYnMyh7PVpwSRaM0xWcu9Y0fSEhDKdns0r7kmCfu5f33RPBuuNlihXmx0cw0T8PCCtLJaQFV dgBUA2qUEvNg2JozTf0QIyHor72W8p2gcls935r+L+ZfdN8Ctyu1qsV0EgbxU6oRaxM3OwDQ+jqK 7xXX3RIu7E/DU0RfwCoc+S/E8SBU/KL15vZBrkAWTJYUIMGrBm116IMilPesJNNa7POX5/xHKTIl MdlfKvB7hm8/I/N7ChiDZ61NU5Q3CuJnnCi01ZR4pdTRG6GdEjutHoNab7x+x4eZKg+2WwJQzeEv 4eZ/8muIHFh1fJmflK8K6im3UpKMiBlGXMBj/EXnMac0Wd3fGqBxxuWAD6VkqRgzkvaF2ixLpeQx U015z58eROgEXzhGNLxADqsJsDpIXBECw+h1xPXtE+L1bm804xF32yQqEAjYawRJWP4+Nt/0rzRK 3pYJVhlSlf1SW3k3jhP/GU6lD+/ytypfHJoQv99RLktz9tvrMJSjOmfUw1QIA4aV/jWEPDxl79cL 9anpHl5xtY3hdHP3XtC4RVfV9uPgbdJhDbF/ecFTIkFNRTizxoMk/soFWgxfl1kToLI8nv9v9RH7 BM6bPc/2q3HDoGlYH1f9nOkvwiIr1udXml5iLsI6vWUQk/trbSCUM+nztfoUGF/N1ilQDC+Pspws 6Lj3iKmUc/z7zhUlyXMbHSFnWK3KdnCXI8K+OtuhwzwNVBv5YqIi+vVYZ0/nB07NbIMjwutEI2ue 4RTjWCBcesZVFzPzKfVRfxSxURSfMOqDit3ZNIWyDFJ+o4hhGvTSRJeqn12rVauBYoELPNanBy0m R/kh6uNu160NuOFQWpAs1MmkMayDKeDzVH2Z8xZQWs2KCIviu9FCmkRjFCMMTVdWFa3dgNgq5h0f +v6mC5He4faBV6EILGTJqlhWEcTAAzDz4+xZCfBiD2JvAXqs5Q4bU2MNobz1p4Y1CjArOVeGl+Jr CiOy6LCiEF6xyDEczuePGiZvAdJCOXQnoEKXEpGhlHDKrqK2EZOlhePMhAW5494pwoGROc5rRNvV EJ7utaAXRGqYCd5ZY4+Q/X9NHdsNtk1oSLRjuUat1g8Q1tUHc3KyQHMRiDDGiJami6cL8vIOn3J2 gr1QzARyH5N4t2Eyqii06iSriqx1/KqoYDSnBTdpURqSxQy0IjdzPmZ1lglIhkp//bgO5wDnt8Xd 7pXiTajuvrIAh67ca7AkVjNoP8isaTAtsz54H8mIsvKNvCXsHziOGWiHOULI9CPDSP5NCWLLtOVL fE8VQQ3MVjmnfPCJkeWZcdQ+0/6gv8ZQZMo8ItI9k+xGJceifXUHGJqgGu6DgJlwd0+uO9nBAP5+ aaxHWd5m9jAXcITB84N/TK8O9UpNDNlWtpTgIK+lTNw6p7vS0OpvMTeCgVFYRWXftCttDt8hLzJ3 2Z8q4231WaZAm7kkF+LgNuIl4yXRRIV8eTDxbLbDlX9r6iF1dka/UDE6kJeEX/LYFQ+AN/o+/Tw7 P44bh66SaGZjBsl12b4m3nTY2Hu/d8efUI8SN5Yej5PR14jZ7XSKr2luCvPBgUgnZmhIHSpDgntj yBrJr8cNIOoWBp8yPxs4WenwHMyXdK6rhRyhExAVdA31M1FLY+U7MW44nG6dnUx67Y7ww1LbW1m6 XqsGUR5yQivvqUVhliFQED9+/rP10PLkku0jn8oEHetReVQT5AueSvlucDIDynm/yiiEdqKgTI87 sV208HKjjZHDKwq7roLuRFqFMQZ8lZ0SnesCqhjeHKaCnmld6kagYwvDE/F1prAi8TvfoLFbr8Sp b5gl4GDBNbLvEa3e/OYCjLQPKROdgj1HdgNsOZ3tdZETZpZ3upGM3iCLbzmkMm3OaPsocg78p06T 3tsHtcDPX5YdqJDO8IkLiejTUou8XIOQVRypjAduEQqABZQbxOAB6tDc14odI/UUgRPJpMCjB5L1 f4xiY3kPRUlg/zA11PohJQTNIHrsjh4XlZ44u1m5Q+Al0g4PzAO+AEmgeKJs3mA/1V2utnZBOq5C MzR0xtYcfxiO1DTW1e13CoZ4Bo/BLv8FcszoFh+kE5X91dNLXqQdub89Xbpi0tBL/DePT7IgD6an i2ZMqHBk9YlEn4us5edc4//phikxH9CCK6XFoDAQIbNh5W4ysRYfADQRWJrcTCirKUoXJ058lgMp n197+FalHQaezSU1hYYDKDNqN1n9BmPQKZJD9VJ5dI8APUYXYvrFjVMDnn3VYh5cqwOxWIWu5J20 BlS9G056cggB8QZx+OjPDj1Sy+jvv73HWTPiGiIhlAaRZuI7stZPk8RPqzZwV9hdakvqIaaUss1K 0KQtpo8Tj3wTTSkZ5rQvRDoYTraBPI5/h2trNu0/R57FIdhn4gUq/Ev5gXqyC4nL8Px0GeA1ZFfY qmPKx0rY8hnvDMb2jfzbl+YcmBkC9wDGDewpdvfxFfRZaJgbNqeIRR0Om9+P+ESda/qOtjQ0eAEp EDCAht/L7R7YAZhKSHh42tRsKmlYiw5025fSdHH4+frWJHhipLT0uX1KeIIBvru6274jpdXoT8c3 TmqF5/WiwdJTjtsxMFZnS8cw3gLB6jlXvddzcK3SFfAQzuiftbyerryrkyPGSN7P0wYT0EzEe7Nh 95dgjUISw1yyOJAzNzFH50ToTy2QCe74DhmR67Sm2QwbipeMyzWUvEYXrtR9na9hy9PmgwGkCRhP nFOCZ/IvvFGhn987xLS8qs8tKhEOTjRdUGBlaNJg/MDlL7j8HTpO+n8ZHWfvjRU/BlcHydVGpUK9 yYUdAG/fZ+71s/vPVyTvJ6Jq8PfdBEAq+9iEFSFOZUMozfO3G+IUWVbwByg8/FLWgSh1f7I+NBFU 8YbuTHFWC+u2blstaGe2b7k+d/GPkcmyGFFozWgVeAKEhlNGkDjZ94b7PvRZGC5MrUNk0FEp1NXb vB4LumVXVxo9xQKdsXYRQfPCIJFXPjcr+2ZeyzIt9uqqjV9c69W5u42McM6FHGzGJO+KsprjGs3i Uk0WRz/uqftDahg6LJo77lgWX/xDZ16DZMFQsYYgz4623bQY3EJome0uoDm4I/6dZYatZIIzty5A 4mF9TdGFhYJnCXG+GetoztgSe10Kr3+0o3W7vaI/+nZTVrKWRCaKwo6HQ7i+ip4aSJnMpQmXNmya oJNrsaetKB+enmjvWsrrr4tTi8ZizrxcLMtkNAmxxL2I8SETtTphrgB5MDL7UCHZwLix6XtpIAnq T0BCWbcwn081J784nJsahoFIVHHMzRg56mNlzp2XYuFKYepGKdbtux/A9f495Fb7cUqjDMoei2He 8RWYNr7G6i1To42F0YnD+q6Dvlxx0a88FvmjKGBV0aLicUY2fm3+aclQnCOWamHH/5Js7Z77wU6E 8mhRFTcINLvOEsQAc6McP1qM59BJxnTZLmSIoDZn+IjhxhnSumSioPHuPW4gAtRX0rxuIA/35XbK s37wgmbxyxPuG0ds/xfMp2wehAD49y0FyFgQx49Agdu3lAsEThyd3N3FMfhmbMIBNHRfE6mGer+G eCId9Y0jV/LtZDmqlDKCc+Flui0Jt1geRcE+oBKTF2jXZFMXnhl4brr95Dhy0Ai1KTICPe9kdrA1 XJriqC2/LweAb0GvfIqX+tNk3mwW0LFvraKqLT/aSpRzEZ4W+A9P7YUvDtMAwbntiAjpg9hXe30x MOiDZgUlEugAmW5cE47Ts5UsOA71WIWszH/hyTorOGTqNeZXaL4BLWCyZYLMDi9v8Iz9uu5i7k1Z S5RLTVG6U4/KS387mArqU6OaPXXomBfOZxSbNDv7hlZS7GpXgKEW/cxjPwB54nalGJW6YjwAi8Cd LXWlBGbXsMfGJzuNoIUJBuJBU8SgwAkwJxcxBfx+MBKVbNMgoSumRiP2DdLfDK9swEmQFVU/pkqd vSoSfLQuTLmd65tzINRI7DHrxPjsSKcj4V6h0eofPZB0IRK4OHXdsY/CWCX2iQAfh46yRaseGHgB BTV93JWz6vtohR0bTx0IiilS+FwGjt6h1a27WmBJnWar54YC2gNneE4jD/F3Vz0L3Cvd4sDGMGSk BvdMO0+uqqJf5G5TmBBjp3B8HBK13+d3FJe4NS5EcwGzJ6vo1CwF7PaBD/BvfOg4/8M5Ux17nBia Lz5EZTgvhf1dMHdBtSc3cXU+kFGT/iI+JRr5zCobFeTNyRO+RlYNyeHwpL18YolpRRxG7xoDAZXX mHZtYsbnufmp3qxlmApVXPF0otSqFC8nJFEVxX5q/ZPh3XC8v2bkK6rWOmKXFh3/IAdHM8ZpgJE9 JJ8URao5FFjRUxrH0OhU6TfghH1ga9Agr8Q0+Cayg26GQc49YkFqBRIZqzDRhY8hMJsyan6fY+5m CKA+m3HXGfmkR27x2u4IhXqxFIYrQI6L+uoMOMSHiNQ8VdUIV0OTnmm75vHMZwqd37RZSQv4+86O Q3jq31NO4Ex2Zr9Xynvn19KXG4u6kaawLnSEh1z8YqYjQT3MeWKP+brZPBGBI/xxN1/gVvuG5U7W bOVyiCtB67lTWhZ7O2MthEybTuN41vsEVvyl4VJu1uaB+zrnZQZNDJegZQL3kGaRrG/aU3JGKgp0 Ck2l0CXNVw0Cde6SH0awKPjfjrcYbrbVivpXx9pswVOaUe5scuf1w8s64Qh5PvfZ1sBtDV1MiWLM CbcW1wiIsoP5Ti3zpzFw2aCuRFNNPLCeJ6jqeSanJPj4gFDsZ/Pk/5l2cfBje/bppnUI/6KRdO3k vQXmt3/9HixKXxu+2pXZZmCXqsO2SgtuODRHgOuBIkI5RPZ6kZJbdpEXHRPZWqtTAwYRZ9QDsKvs mWIn0KsxqxQP68egIQlVWoRIoUEa1M94sTt7n0tMl70RX0h8XYXcvWRWNVFv3PLJ8qz1n/5vURCY MFfz3xFyrZ0MWacjamUcyeOXTnhqzGA+jDLZnMrmrEyCiqsLCYfZP1eFuAcYgn07ttCDrS8T3cuk cZHIfdod4IUf1ZlE8Spi8fGgwlp1ekOeHGyJ5jiXI55Zj+EF3FYjO3BPu2Rk4+LHyFc5pky9ugiv 8xpylWmXtZkL783S712XbtaDwfYgHu79AlGoaARRndfhEEzb/G8alg+C/pLBKkK4FjfZw2tJoghI 378nqh/ITFJ7X7in66J3gDNytMSidw8cL2CKtJtB9YTgYlA7WxyJ/XpVoyFIubeYpcAqiJObzrSY dgBUYz/cffOvNhFNPKGVja9w+M1GC3OHbQUnRwgsHjUPgXuEH6kXZvZ32xwwfqXiu9E7rPZBARM3 aseLmzw4prUqq9W9VtvGVspKw75hQH0HTBT/9W1b0AAD2c2OOm8tG5pjP9cTlQdRtqfrwuenv4hS qE5A4E03fJ9I9GNGHnIATVmsGaj+jBQSZfXGzayBii3kFJMTP/39bUP/Tpe4ogiirX1Txs70U04b XixUZJ52YBUbYVq+Js+aULIdv6mNT7QUEgqJK9yuHbE3zYFTZIkG2WYQbKyuUTZexLIr2g2wui6G xxt+6NowPadvR6HBW3kqPUSiOy5nE8OLefX/V+X1BguV88bz4vVlaSbW2yCEDNvmluKjM6aZzB5l 7l4sn5vOMwf/z4jcr0NgNsNv3SpPnW7kmIMMUe0JHjqSPOdqMo1UsrEPsPcZuaalpatZJciyA2UZ 3LVZ0+vG+Dgkkp4k6583wwwqpphwQgCfqTRLJv8HsAzOhw53tglxhXFm152rI9Bw6yXXNu23ezYI dKhFkDV+wIOdQnQfRzIesewbGKelj8yBxzUuXHvRskgIFTMdQ6W3EEfe5D41FAZXDXc3dBXnou1l 5YWt1dgYHHgRI/WJrpUSDEEaECWR3HcQB+3v1dWXaEkx5dqiu6B/ndojUP8H1FY5zd6t9eH6cf/k 3IAf/4EXJIcVLhIqA4KfcG1mkgI4lGsJfQ+6m8iKHzaNQ5qU7R+ZvrgQIfmwx6qaHfE1j5qFwMJO 7gB4nobViommytgZVJJTq6G0yHJ2kLbWKeIxEQcsFkV8aDmAFAPOVyvT8C+T8TK1DhB/Viu+KwLO kNkedRQ9ZlkS7jh93pzY2BFiQNZVgRYyoeb91ytFY9VwBr4ryz8Bjo7bo/cRRmRPQbGfr1XJnZZy QAhX1r6QPiMxi3jw2cLI6bOiyge686v0n61gudf8Ry2saWChBGPOoWqmgT6vheF6OWDIC7FSV+of SYroLwHAtJRDzk9MSySnJiwX5l4v/0hltmDgkx9F4US2THVgh9B3CCdLKSt7PSCBgHhqH9iKs5Kh V0g0ff7F54p8Wkgjg5e+D0EH0SedNrNYU/R76QWFgAQRQctf9EYBA+nXzv2gYn83XPRCsQqNKvKb RwdL9o26uTokblyEumAuOGs9Q4Wm8L12+6p96wDXyqxZ4rsnlPg3bx2yl6tP7q1+eN9Ow6iJSjWP gKpACUaGPi1VA6LlbNtBxpyGNTeKmwLWpeJ9kviEe8Ww8R/xGGkBAWTGOVKwOVdcxO57sHsVKHYL uXPqZjEW+GebDxK+fCjvw4gfPHBsU6wtE16z8+QcEDl3v4baTsfBP0ybiqY+tgYSMp3e9VlBntwn SmRyz04OHb9YhD/rrutPltT+7PV6nT30hpfg8qhyYR/NNGV5ZHr2IAOBmWFASZVS2UfhZZrcVr7o qmiUlmJgxe6DCOgl4vTs2Xi8J2PLhGIYCcsRd/VmdM5MW0nVsqVlIh+3tYn3eYT48IL5wcPZcGIX Ci+478GVpfZqqGvLqElosCxYB6gd0xNGE2kZGCYefjK4owKWzQ4EY1IjXODYOCDIdx43jhDpgHCG 5J+fkvObowQrJ1KJVHfcj8GRB5kQ76F3vDHRJsXe/2sSq4SSmFULgDlnQ05w7EurL9Usy9fHZIuz bkODrrwH3EJIshLDD0/ncd/aFB7ccG3tzt+vq6Lp7gZzU65jN2xTGUELsXv6dmXNkNh7JnxZPrL5 DvcPnrtMwKc96JCPQSBnIdflBoBKP0BsG28bLCLdte8oOML2vkSPLFma0Y9zN9mLhlDCYTciHmFA aMxaEhSVwb7R7aIr2tq/Qr21k9Wgdh4bysJ5txXBzpS+e05JG58nyk/s5+gCDcirRsDNM4AQxx+L eVcCiERuAyypWIDY4RruMQVD38uvho43rxNDZL/pO9qZucLraq1lhN2DD6HUHcMmxMpmwTcuiuLM dCVZ4nzZd6w6AED/ezM49ZFP9NMLo+vOY25YsO518GPUWAmmsFLk9/fDuCDdXfH+Y6no9tqWTwvx 5bNlXaJYzPNXAsVUvwfOSxJrAsIo2Fc3RwGQfLX5iM2bliMSmcEtg2FV/aDSraSdFBgTFvCJTlvw LVx2B8tq96KhtYA91jjaMs7rwcaOeHkUYL2qg6Gt9m/bEzyd5QB+BHg9RMOq76pcL4ypiD4NZcPK jtGndO8ogjxInUmt3/aIyE33LudkxOjuTJWxzCTxvbhlYasi2w/uPecmRjJjEJrOo+3psnzH0sCX 7yRDqhcVybqrdsUL/VMwmgVY0pxbChpRsMQiMlE6ir+NdYvJIiK3waI0Znq/oMF0Y7mfuV67yieO ZKjA1zybWB1ZfRz0QkDtYnqQvZ/iz80OmuKUJGt+vfr/xyMhuzS6+h3eEabxVF7MxmIGncXTv0Sb 1PrdJctVYP0EmvGyeILMvEPHnBQXP9ua2krgXJWQkRQ78PEaq3i/7zEXfeA8/MqCMucOjMYg8bir lqGIRxkugmqhmnwznlXwh8tLdkhPnXkAdvwRoJijeWUv/uCQMGCtXHWjTPo4NWkmXlqk43RnbD1M 13DtzxI73rOxC442b2uH26vGx6vzGdEao99ALWmCXfMKqZ2ZE1+XzH4p03WiTOuo9RzfU1KA3RA/ 9uUDLOf2eEAvjDDb7Y5WkXJQbIyuD8QrSlfItopH4T7j5AzWik3BWxm0YxJ+VQteM0NUPC1jkv3i 0vsbR4Q3CvItHXXPBaTDdQ7zwkIDcYR6Y605vtmCyQVU+Wut0SBEnu3zjEFS91AS4KlDuiYP8gvY RHW6Uv2mGBrkNtLZsfQUy3Cd7gCdgyc733ki3BUCbRx7OBuANsC9WwL52YkjraDsfLckzLMJxQ7y K4MIyqY80JLWObrnnYHUwpTLBNFXrRPjDoVcEfzFg2da1HLjwgBZguBjTHoAQ0Ycgpd7DvAoGg+/ PBSDyp57JRD0zkrD/Ebh8zXZkQ0Qk8LK6nUTa4ETJYQJXkId8+eQIUamJ3B3nCGfwEyuLGie39DE iYvjjsjkKzlxt8IF/57BvuuXRJrmcFyIVsoGSQLhguEm+UU5Fq/ViZVFfjUTHrgrdt8a8mARuSD3 BaodYOEVNuCRPaaWWFMJaXJ3z1F8NK3xKGI0pAma8odT/n0VvO3tJmNl4bKqYEKaOwxWRymzxsJ1 u8hJ8eDzKckLGdj6Q4Xwpdc8vAPJ86UUzRoybuafqnl9yKrm1RPnkuO7gYI4GcTeGNZrgg5rYR7U CEsFeX1GHxuEboqwI2m2RRMyRCaeTG88woGK0JcfyQ4QX4xfBqREmtvzD1ivfirYQCm7LBUexaMN 9Q2rHHjo/nwtAACz7Ugt+wPR9m3UQ7ldhha2eCATqabDkblleYfZMMjahn1Xb2aBrnkObwEBnsZO p4s4VtLGcmgaPOYPPn0bpX5pmeU92VUjHPU4qU6iI6BrEBJLONOIBOtnD4cjLtxhAHGGOKs2nPtk m91cIPPOTfJF07UHaLh7wgKTPUDm0RAWkW9lj4d+9ATgSGtH2p8SAOnr80VXeOaKVLBteldrlmiB 2YmW+oS2FNTi+D26EaCw5ecoUtzdG5z7lgm4yFrFlSLZEUFEt+FNjRclRHwqF32lqaSijUW2U0RF /yrnQNMvfGsWpKQSgwNc+AH/oDdshbbqgJ9Ehab7N8Uq9166FRv0tMf2kNFyh2QmuVX/l5PF/mSO 7lHcqRXnNxIlx1BLZsKPReo3sQSOi5u4ThRKHKdQZiCLcpYzmDXjPZ/xyQGKe7b0Zg+Vgwlo4RW4 yfqMVzWOnXA7u5mmzNU6kej5NphThcb9OMFMZKcqPRK5izHocaAhcp4uidJoaH/oTkN3iFbyL2Ox miOYQbjxYOb1veMeKz3G3iX+Cfut6F9pBOtAdhD6av+Vzl++l6tSNQqbfan6tLxgWesApr5Wru7t cwwy5/QsfsOqCXlOsT5Dq6lNTVB3ClB/7cE5uBgDhhPdZTRhDid2suitN5uYPoRgjYvOaOIKBPqG 9J1RT2WtC/qUFGpcEZ996aGZjNWAA+opp1cLRBIt0N5c4gTEGQxGWQGYeZy3x9px5zovryLhL8WU s22wIFtlbiygjfMVGa0BhQwfkmqUzC/to2c4y2ZMLeGdDGl+VnoB4kHC3/PN+XDXo3riKorOWfVn UI+TUdGB8U4CPxkyB0wKHhgThn5kVAzQpZkaIWGSB0zZG90vNTif7xXfGvHKfXWK3DGWgEP1Q4Zm NwHEZbm6/vEkK9unNLDcSMBPqHk0nTUI5MtyuiwF4SN32IUdpNX09r0zJTwIXA8FLGxQJeRq4fT3 ukzs71KiaOPb1slVn3W3SD88Va0Sz5PyNxqBEqi7Hju9eqgdEcqbwIYtf25o9bESxKRfqvLqlg0Z 8rgy1T7CU+FAKM+TIZMzrh6l1fK4IrcMNmzXvtOycN1hmJwOvbB/rgLycaS3OkOf4FDAadygVEpA aUjHjOrtcmdutAZgM2oRRVHTRRTmEkbdKlYBeKL+50/Z4s8cZfba23YCPJ0jr2LGjNGhEZuHgxQm GIs84soe1lEYHLq+f9Yed7sjUM7MtguXhJWjXkGBcdjDCdXgmxl6kC/Duwvk/05Am65IvES5rgu2 t6929fj/RpD7tEA5JpdFfDzaYydbrvk8UEWwtDMsbsUik31l6+Ax0nu4yR0AJQ9zhx2yds0kTcB6 +o8ruOB4Zd+Bkpa7s8is/p2EK5XfoD7nu1XfodXSvWqStDLClqXWFP45DUsoaD3FyyzYaXcY+U55 h9vD9YThYLhYAqKXxiuWu0EpdIMfNcWbdadCSn0LPskK8e3NbPnrEpg+DVPD6/el7/681zOGAqby sn9v0vaXXxjHVkfbXJYjXDKubfLO4Eql8ZIvbSLvc+tX8qBVUf21LFRSjjaaDVGsiv+jzStCtGI9 0Yo4vY5FMncGFwg8i9pG3s/hADx6waLDi/dEDOEWYq0nqwY7VXkVTWq79hEul23Y2ir70clL/bLF I5vLyFRzsDxU9KYu7okAyQhpOa28YJPh0AFvCs2kDCppVSWvIekku9EAcq/llaCB6nHQ3t6TNZ0O 58v8r/gJx+iZd1SqzwH0SbdIUmwxlja9HP3zwkQXRmFk/icIvkP1uyNcQgkmW9L1w5NTPlwF2Xnx NSer+E14pFoPvctuk6HfOTwkkt6luO35kcsuxLZasc+wZ6i4p5h4vokZ1Kc0871+5Q2B7C75nrwL 2C7gtd4AZAZGLvZ+3g/OUIe53mptLmXblIgXI/D59FdToKSsCPKN+IKncCX/C1x/JIhLxunLQt3w 9rnKzE4S4TlVas5FwCAE58ffamkBG6wFf3OwP5M6bihHRItAl3HSnSmYu//IKYh8IGQKvlWyH2c4 aIOGy5aFqLct7Et0hUPI1jomyTcVdg1wWBXKU5dl4Qao8t+CeJzMUcNgntybCkBiOR/Ckc3XpyeI 9Iz8edTdUjDAlzmvEFZXtveFnIUoBGwwQBhVyHxnNILBZlOpDsS6LKsflEL7b/CX1goqAMXvuvaJ pmf8PoZm1T8hBhVptAooDRV2LX6DgxUaG3byGpumR9xF2nMvAA7b/BL+qCvW1o5K4wXT4Pn2nUcP XjKdrgbsQYTx7XxJu8ZPV9MRj0eIeaI4TVCaX/NSyU0iiL9VbeUuGSwn+1KqS9kyKjS9WSHAzke8 9TMljvVbul1CvFo68rB8ppDAsjOTs3zwrKA26MAHX5O8vbyPNRxTRxlqZMRgfMN1mOcLVtOCBhr5 m49tUQl53ovDrveDE76nRMHpqbKWJh+WLv4Pi9SUTOWg1XcD1JrrMTfiWSRa4TxZp2o6mdrVDQ3O FnqTJAHETLFq6a0CAryHp3IRMBrbSoMb5g5X3TPdra4o5IGcKy3U/5P4NQWqFS8bCZpufanFWLYO oy1F6yx8+4h7hXsXQGM8meu3v18978ooTpmuBYd3rhgS5MygC1udNV36MNmSD7j66qrfGkxJk8IV KZGb4rjiY0+aXAhjdmA4ablon/yeyypN3hITDD3hWkdMsM4dHR2D2m064V3kTYKpftF1QQ0yCtWf 2bnDMnyQxdn7d4jmhu52s6hdSOsCPqCOOQzWhKa2CVCRHTtKp89jCs0hioXCuCGO+wrIaMXAQzy3 YZhS2lz3zf/dKSAHGqr/GB5kAhiki3K2EauG93UuApTU3GdoEFL0CfjQoov4jh6DqNGAgqDx6kMN Zws6Mdjz+eNff5WGXI91n84nLPAdqfwg+SnUR2b4c1RFJLfh+HYGwOtU447OBz0oRkWTbK2ZYT8w wDBXmn5LjLqRD6I5ctGZlvU+bjUjZsguKvXqVDJR9HPabq7e8LaO932xzqZs4/49HEvw/j205R66 ji3Nyb5VH/wZMaVKDRBmDdSBtzoSW2sHbeMmx7XzcfdVB8OevEJKD7cpuMgcz3NTQdZMfHZ6VaZH 2wBXROva+9Y/BFsYeBLKF6pONajXdR9dwJy3nFrP2FOM95McEOVGR6+Er2tHsxKhz2RO58XXy+p6 13814r6Zq7SjdEoD11yuHvIegk8/FeyyIEzaYj0U3AmEyIzOdlP/Ika340Y4tzFEps3Ifj3TmGUN 79qkaoQ0S7XYyceLwIhea2Uns4xn7EWRMnuWMNGbfN9yhOj0uyJEd3WPZbyqM/EugIsUETmyK7Jy wCG9sg0vvjjntXF8kMnLTHTCF6bUS40XD41F3OOuPucFA2JYcv9eSnKN+9slpcDn8Fbt97EYRlrE sh3h7mTS681rSKul4Q86FTsOfWnEzI+antzWGm63Kkaz2vsoCSKLzKk2+JejZDFM6km/x6PUh7Fp 0tJjVzgwNbtnI/55R4STKvLnOKeRNv1/+ycFwF7EUCqglU0m+e803vZAOqxOaknfZOECK8Z7ywP6 yCbEeH/vfpxCN3CKfRwnv4Cbu62EqiOgfMhM7G7WJNgVVWTCNPLh8DlMeaFoW+d8yA1df3T1Vzm/ 6VOl5WqhOn8cYG+rY74amYLVt1scuzItbXevAWYtH32djr/zMyMn5JbvaNJeP11eke/YXSOCd593 TTgK5v/9abuaGE7Cd37lE2KqHMzWq5q065EkGTZDwBWx95o1GJR5w3KEkaKXbESJ8IN0a6hpEQBi yhP7ua16rEiGcBGCQyozljtOagpOS58ZgUU+H7Sht/E8Fra9GokfZtE7mq4pnLO2SZVUPj6sBV6+ cNbR5HA1FPdrlrFIETtXV73EuVV5CAcJAp2SZOnr4jvypTfDi+L16ba48IkQEoUY5xVqSrEcwDmM vVGj1+j5mSG2GyTihp1GlhAcJWKB5ih7GjWLJ31s6fXeGYISU37NafaTz5LSUiDWig0FcT2pJZhk cDnpGSWT/VqR/ECn7BDzXhNsrxaG4Z3axpUlo4FtOilXXsmuLdSBV3LO4OEit/mhFbDnce/m7H0s m+A6hLGdH7G/iF2zyzoawGBElED3JAQzT+L4ahLLhU1Rss3Si4KGC/mXr+nadbK58sQ5wytGQSK1 uF4jmp3zoqHd96BWsLs8Ii5qPX1E5X9mvkTaKodTswZgkFiQBiZx03d0I4lX8EFsQdHWS+NvOa4K jEDSdDDdmI2SWLxtDJz5kjtxNUc2etdIkJJDnQgNTfKpxMDo1BvHs/zFrjMMqppYQPRvapl8eHjq vkrSJG5q3AKTH5LpQ9LOEkVs7vjzRU13zRSvGFPo++h4+gck40BBT+hxT507gvlXFK9IYeJkaY0Y IPqft3iQjT3hNPZUEsax5kLjqZlejtWrsbnWJR35wYLrS4k/V4GdRStq2Yge1ijlbjgoWx17iGhe CRU5uZ3DXiJY9emH9d6ay/MXO0XKBSmWXFMQ468MctF225uL/Vu7YLDOhIteyTeVSCA+X891onjW yGMPWpmJKFtJzWcMWEjPYQvu2W3xF27mviSakcmEnGQFg5yPGC+Tki2sJqcdXHsAP+q4ltEPxvkI NZ1iGA1buthmS0Y4Ss9k/18Bn1D5Hu/5O2OfT9y8Iq6Esd+WLyJGOKO+FbUh7EHSxgLo8nsKeuk4 +EHxVTNJYchlSdCXBCM7eDSn79EmS6DRyF6vlVHVQAJjVkUR2f7Lcbi20E8+OH7sP+OCAUdcNJ7F RnEnaViqAR8MVl5TDKFMxKp9yTNnbgpsolTC7VkXdMThOnlCSHwLFIQNklw/SL/NaqoS1GefvbvY Ufek32paHOCW+O+p5KtHqscXsJcSGVlyd3JFIZm1ot2tMUJqgBXsgEERObThrfoNnjBpxtl+xCk9 O1b1TNqnhQ3bMgZAnq+DsF5wShNEydx8cCI58xCWweQORBAwhor6RS6W1WPOHPhoTceQ1I5JbCBB oY2CbvJo6K9mFdA8t4QeYjibcsglzv2s+JBaBvguSO+j0h6sAw0BNeYPFrHxzWEIyo0bh7bBhiw/ 1eZAG+808WXMdGD1wse0tnkuos5bgnsFF83Sbbryd3RX9OeT3cXt7By+ztZSHInwvn7N96Odb2dm 99Q+/aNbZ6MpaWo70GbjtFPqY3iiCuj2+Wp0SEZUUJvxkWWtndtOs6LxYspiyj77EoIWgDi/cdoV 5JDuteGRxowUced+hJTFZgGWRJ69yvJOETm8VtGJEx5CKxcGeEdnyBvH3wJvzwBYAMCquKmn1Lig B7IaAHfXLi8zRyxBPsRJ/3FJsBTcyyHTQ6zoz1qZ1GKOaHGSillTe9B076Ij4uJSmRVJ+O+0lHWP 8D7JRQpHHJycuV9ZO7aRCNAZ+YgEIW4IMTgbg4WZq0qAnR0sWeHGLzQmq5XlUf479cF5Nih/1EhD g6LPaOgmv+o3D/6MIb4fqcauLmawwsj84hoefhgRR4y/fB5JEKWTmOsBT2cf4+qxJtuMCWQtr1bI 1bZjhlk3uUqUIWh+M/5LdDEF0m6XKJZCmwd4f9pZtxKjYuGQ4Aj0rWjIgovKBQXrxl0mbAPPIqOw k/IxkKV1/Qp/o9F0/tl0OKT4W8iEUAcb/yEFZ4AgHSMJq6dCaxNfqRrNh+z+C+6tg6/56p4VaT5Z 2T1XRTPLZZoOLxpX66bYYGs7hS0kbMMYDN0g5lwKotZKxjVvbsYnBktg7kfRoFfIOnqHiWxHvgeX QzBOqNVi2Ctym48hQcIrzRSGQJ9cRopNBYzafwpeDtXwZeGYkzrXlpDerNGl0VVm4eHcPdbPk3n9 IQzt07OuApAfnV+6OxNqXpj+OOj1sPuANk5E9YV6OPzoaui249FQAtS8A6/1vDvdlo+YgtZawKbm H4Lt4DTuFwaYpLjlaDJE29dJdwWZs5HixnWeqrRznEjrP1G3Sw47aNdlVamQo7cqT/ZkoqZ46WoY /l9E0JKCeaVX1sZxmA4PZAcatWTg9k0nCQD39tWY26tE+4ABmwMfJTSUrkkJN7CV2dx3VA5055MX 3fDq5irV3wKEvIKWGBVUBvOQnsZGvB4WsQKeXOmL+cT4mSPoyAMppAUgo2G1bjUSrt1IDWSNaw6r O4qIux67MS8WfYhrj7jTfI5rUfy29oturLP9N3UEk15+4SJ+pu5mjxSlt3th7mrS5WleCJ1VuLbm 1DiGNTBtjZ79NL5r6k3Jbssdr3Z9ajBq6WT1KGnS9+5J2oUxBNh/2xU4evkX0p5VXNjM8wBxwxtL R5KuCKFW+xaCS72uHrS7tWFLzeAk4DYIC0PICQVTFdhXw8InturOACS+I5DwAJ31Xl3ZYyQ6JCg8 sQlXoZNicqHUgdFvrV+zCD/ZgGaTafFlHleqffFrMSln4yYGdjD3n8pH3Ubks81MW4eGapm0cfvf h8sBLA/RMkTNXZFbilo/j8+sUDb6hn+tyyPk76Sg+lVB0dOzDxWDdF6hkCBWCljHcLKE6ucfp/Ri w22PZw/LKsZd0dL1+D4HarcOM8CpaoZjC45TGwPBKLcqYJB62mBA66z1O3xOrpaiLY40NlVdvG37 mL4kh6LU3cQOTA8qEPMOBh2xoqeVQ6Eph/DNUwGdUPU2oxZfLnoQpoF1rJYG3r1oSxhFZkXUVlgl b3CU+Hg4preascsA8gHAPxW8WYQP5VTTA/lxI5auAK6K7UG8rU5YpDJqCS3upEzJfhXx6dMl9ZmW mTCUfsXNSCvXfyahpv5BEFeRVlf8aLNNtnF2V1qchO4Dysj7Q5Vkrt4zjhnRIh9BE3LMrH1Ym7eT h8QTfvRbIoyuIeCBOWMhjz2apUG2mWnmREXCoUCknxpanl9yDl8JjxkDtUsAycUMufG0DlQnpb8P f3RwhHDXfyLPBvxc2JNPc+E5YA1e+suo03kZICuaoRpZfthxoA1eepUXDVQIY4Iyqmjt13N/E1Vo gLU3Q7Tn2ePkVoluYafs7iuzv2mxEmN50xFshGZWA5gUHHIYRnPr8IFTTZYHIAaWkoX4RZyXUxPh x7lqEZLAIMmqHTy19d27CV4/GNpCu9GdbMrt9Ao8quSsMiSapILxU3IbiATeZYnUO/W65Ytf9Tzx zGmKlzgF0KH/P54dicFwnyVDZsA8OnrPHwLrhb1+K15hAfLdOIdwUgNiXcVK6dCEzrNPgNEp9Ws6 wGjC24fgVi5l/rZqoDL1eLssyAKaHO4f8+5eIniB7x3N7m5EPS24hd8rfDweILMImoCf2fGi5mMB tBQ9VtBRKHKoXRA3MPlBglpUsbuW1EMYW6mfcpbRkJqf8qHcQgM15NT9JNNZ59eZMf6DzxEGkgh8 w67qAVO30860fY4CWOOq4ahhr+Yu08B9ke/UdOrIHlybgoq/Tc87JSExTeOeuCvo5Sx2ydAFHMWm l6i1GDW2qDBpMzLGq1ji62aXXdU1f4J+rfVwrZpcG3TsI58bW53LxkQ/E2J7oMLiLW8M+Ry4jHu5 9NRdY6EGSIHuq6lyRP9QEQVOmj3qNNeMqs3dZgprLgxxr82IvhLqcX1e1W4g8MK+4breW2JfCqJy IUzGB1UMz1EKqgABWuTBs/XQ5k73la15CXw/qbz8HKxFo4TEiu5JruEmzAlzUuYG0GHDFdBDBOiM Kr37DSrB0OplVT2bHbEYTGT2QcNhjbMzBx7Wic+g1fgxWhmyGg7utIVycyWmp26e6PmQeFbI8jY7 LImlVZsw8FcFh8wUT3Tf9KaG9N2q3YYyQ32B3amcvz+hv4gz5aPXCXRqEpiRe+Vg5afL55Rmmzis 3EYHXWU4JZ0hICZf/JQr+tcMY1Q0v9Whk2mO+dSeuvRRvLzx/qvjhErznZC24WHbl2s1O3gC+hYc wpGCNh/Ivm0Jhk/RIa9NnNh9wXJfm1+FlB37ftOZchVY2qvwyqYQ7M3C2iU1AVRz61ajtHFc2KaS uR6sDNSOHKTms05BtQ67XHp0i0XL77Np35ytvNPSpipXN4KQebjTIka5l2s5RyqrayNY+iXQ19VF CEIOA7UTBNvHpEQrvC6GD12E18zpeae/iVYr67aplIO7S2XyhYufqP/pMLhrQXZGfyP85IQHNZXo ISpzzz71dLLWLox8NbHmJ+HGoN08smX18mlyiSkfZKy1Q0oR9UNRVOe4ZKc9N+vRs+B1O5uY2F6R 0W/CGDmGaqrVYSoSdiXw0bG4k7eN4XLa5gMBzpRhP6Vfkpw9cHJ/1HgE8sdk86QNbZ/Po+xNwY4N 1FCzRr6aoBowLKy6OXM7gPSsaB2W6Zsp/VR8pRVpQ5z35B4CDAtmcMvOOicV2pe3305rlL6FLEdZ zDXpOLTjIIS7smYj8txwUK7Jiqg3fMgydfbtGVy8/ZuppCXTtNDTgjDzggAQ1k6kouzqGeZgGzoT hV751UPW6Qo0Im2l0y1JFtU14oalxQW437s4sxoDVTd9KpA8lUkGypPe9IRY0h7EK/8bFU0G9KRV yvolYHbyWz/n4KxrzW6uIMMYovZkeyk6rHOV/vLgjZ8gZ4+j22CFHsOQGTxggBwXiXQeQ+uBJ0YD aZ3kfNOzdAzm6F55dEtxkISFWDTlOgKwUZVS00I6vouJhVYhjITb0NF6SMLU/xX2uZCB2RBI16hd viarLo45enyCDpWnQ2g9Q1FTDMYZnWhmqbanq6VI6lIkRdxr4hvshhgWO4yw6N6ll9jA8Htggszh z8Wm91k7J3Cay2R8QqJ9youhWTqM0LyBe4YS9TN45H1FJeFkrkWx7e62V3VoZVm9Riwzdfzmy2o4 qc0BAYFioIN1F3fHzOJDZKfp30c6E8YFtHYaCw723ge7of8Mi/u9esjG310SOwwAPHAtQzxiHcih PI4xeu3AR1FzriBAIoBOIetLvgj+mOlshr2cfTZ0tcPKffHsMUhT622InB8C/2znSCqvVYPxFXtD GuHLI1S5PbzcpYJVM7V/U9n9ptgAhKvhh1CIz0U+bTn6u9mI6i4SDm9vUOLs/zRa0QvDKUt4M1f3 LgWzCkIRlA8a6HkY3oUu/XCxDhayBH5Dfve3tRyxEd8C21sZOKwFJwqjJ89GJLVb1s3unKN+XQSx lyjxN8SlDOGna+CpGmaujS6TS9Z/qYHzGoSjXcN0pO2IueNv+Ju3n4RRdjsApsfHmTYA/viCSkJX r8m3DMJUB89axm17HsBdRTkBDlnQr48SiLSego2V/vJ3uJZ0OHhckrA4kFm/4Do6QVZOViePXjm5 o9RrlUMQFbxsGcjsMfggAXgz0eZ6yy8IJAFz0SOj2HBDHTPudlWMHTAJkPSk3dVJyHgP340b9ohQ LZUDt4iEXoVhMRdsbnuyaQBbXGf6ovCqjjAtPdLGB8WDic7JTKAaRt+Ps5iWw4TLCDEv8h4f6qRE yxETUUW28LRU4FUUhpNT6XoRGvgf+nw7ClptWVY03ZQkDofYqDDyNX/iTa7KJUBCgyNaXEr+TtG7 HfSRKC/HqvMYGyaqTdeMJ03T+FX5sZIEpAX1zQpV3rjQw6RmjmXBNFpsYOlqQbbiL+JxLFloiYaW wIT/IeJVtuXofLTzgjml9eE8gtTind6womdibJaafinsowop5E9vspXJsdXu8Vv9A0rvapCdMxyP lurAmLtjMSbE/t2yd/Nt+kjycbdF90dKN48ce5dnIPx8yLF2nzO0NnB4mrdJfJSTTe7tyy3ZRVpu 6DPayNknFofVJV8vQzt30+SPqZyG3hX6jQ77ZGa/eQOR5r72rfUmfX0yK5uuYq6ZSEISDl01NRcw qdPuopOorOOeTzAj8cc4IGxv1VNKjGye85Ri23cGDW7tDoqWA/QdHWXiQdgiGaoHrPnpNPqAsRX7 fAAI+k8BCySpfbgVoqQl/8ig78pxG55kasepbEiOvGVBUKcPeqLeqgfkZHLx/lUa8FHinNPImZxw ISgJoKUdHIY+bu6/4inTtOGX5Muqx5g3lzR94vJPLvVW/dBXPGkJ83NZZTDdIXURnf3Phb02TmlY W5wuV1gi5Or4wDLA4Kqi5SbHQUXh7ApkH3BoOvROkHYfXwd97JykUNjDgfpRC4WkGlLA81beCVgW pXZRdGVjgpYljE0hu7UuQ+apZNxW50iGkrHNqF84AA/xEGICJsb6LlpVxwsSqct4dCE0wkTC7J3i c/n/8GpvVxfiHs+0frLP5R4D9GLivOMwtRbKPKpqOjGVR7BLEcBtjIRrbILpaC5NfMFxwJT2yZ8p 3EhLZWPbAd15hGpT+GtPbOMj13+FC4YNxWKaffItlj9sRmr/BrqahJQQ7lNP8tmpnDisZDdN2M57 P8MyHiP8p38J13QNcC2w0yy/Nvy8QQHaJf5JqXyFPhonohZllcl0sj7Ld+oZGoz9P/WhAR8DuuW5 WUukD/2O9PEziblboyxnJ2Et7tCS/qKIh6nVX6GHo6GSIpL4VbnxBRDwzPywAlC01LhMZu3WDmsb zKura/8BUW6XF9frpIWtObV0BQgx5rVNBE0Hhvl3Ewgh7c8Z7BtpJ+VJFxh+BD0m5ytzgrZ1wluE AZcHAZwL2FNM2qhk+//JPdTx5ahWDZ7Xh/F7k/lFG2vRZyZs9YYPGppqGIQ5poKYPxF51g/2Qc/j Gq4eU4fDFCu/9J1qydT3tY90ioqtgpUKufuOJmpngdnUO3TdwU2Pv5tZyT9qGAILOQ38MagcKZgI HVxDcj+UZpu8aP7mg1j9KTmsvrMw1YHcIhERaeGGOOPWKVTLJ3/yX//Ya9k0EPW9GKA04D3yb0xw hjffy2kjoA6qWaQXkNPP77IK2MMy5N8/tCD7ePIHEEph7FeWO2enl6+byatOKg3Mkkmb2CPuL6VF jGdnULsLnq8otXZ1H3IPQWPlSIHQEiM3GFQ7AeshCBn90RHuC4pYQn3d6D66sj0Vgo2wW6fwfkuq XNcxWVAh2p+ZKeb0GRAX/cgP668DQl3FyWKb15LYmISwFY12j9lz4CHCoAWQlhpqzCQp6tQ22gg0 +BsuynHtQxjBoz512ucDz4EjeOd5pFORzMkPuaiTNq3NrH80QcClcVijW297yY8LJfROktBXDsYq /I+gXHiSrnxk+wNkfgSbL1JiPptqFbKp2l9QscNRvyEMZ7upd+RA8MBt8Qc+zIbKgdNj6GmBdSL0 sm1vJwiZMaY0ZHxY7gkOEFIgDLd/Z5SQjnhHC8P9WStlpzf2AP7nXYYEICXF/ZTcMy3wgfkQ7VpU oKRF4n6IY3Gm7IjKpQqfPbxOPaPMHM4PbF/ZLTSoZI7mrfb7p7ZChU/d0wML31mWDufHjbtwFjsQ zcZ5D7emHMxPha5vv5WHqU3tKuTSnbfq6cmUNzHPUpFz4xH+dunDfBgTY5Z6EYVxKdN+uLaCqN6A c/w+19/K2H0Coa8bLZ/zczzocAzMNLRDovvs6NdX5pt5et4K1bN/U4gHBxcVec6O9bbY57ZilYsP wZf+XptKRk570RxbVrYDnhxSKRSfs0r5dMLOH0EzQdhGWb3qwJZaAuw4IOGwBE4USK2/oq9YIoYI gL0b/EpZogAiwddXMb5omR8Qen/npWR227WgKzEI0ZUPq/7u63i2GF64dqREQItloqloRqhpoXR7 9Dt/myE4X0E79hWawbAG6d6VEt/cA3/zQGZl/n1MVO4IXTVex3juiQAbHlkSanXqUHOAljRFv1do TD0HA6bglPPqtmjrkuI7mKU7/MgnXQeN7aoknMMK0GavxO8NbCyWHulO0tp2GD6n/0L9yPqif3k7 hkCrP1P50Cy1NcfjhJeHcPajwFkFnvWonKlYW1Xqr1V5WagtKzOcpQx/Dj6O8fXCIJ+nZ6nmhTVD +ZuAIy6qKIq9PdzN4mNZ21HKzlR6wldyLtuW4VRPiCLzrhncWZuVqnacS4GwYZs5Rt6ANa4dKsHM bbWKj7rw6LTUg/LN0/EUtHg7S+H+HMyVvyuFlBobYv7sNvSjHYlJoZelex/iSKO6pkFPKNVXw4t5 FfkJOBHSIipl0eHmbd1G9WYDBmPO6Uo/2YiR3VMNJAKwrjZcO37MXc8mZxUuyFLrMXz11ZPyU2+Y m5RSWlN5lbisgggYUtYfjcA6C9J5GIgi69stNIMmTsNl9gB85XyLxcb4XYWw+Y/s7llh3H1iBGGV 87kl3g89lDbdSe+ihCc3UXdf4qNBK0j3uIDEKrD+J6ul7qQdR2ABOlOY78Vd+zsGIH9UND5vJLgE 8+xIV8yuxZV0jM710CG0WtEAuzH3INQkxKSirdH7FCwktfZK2GteYJDIUbiNsWLH+sEOWXkbHEwz y49OqlvN6jYam3Z1dMLUcJdaPGZPB0eDnIFuu+2Qvre82C6uFk+3ffOssbYvURiUwkzko5t7TeXj rQATXNvGFWfLGpf9j6CngP7LMm6681PB5y19csYLEqMJDf83gt3RX8wF3eNZgPpD0nLLzMab6n0h Oly0g/y7n8II5FMkXuhzFjFR6EnRI+oyEob41DFr4aJGpa0UxA6ku6DTfEtmyI6B0yAB4mnHYNMc EhXemukJ/eLSiqFnYhmkR5qsk4jZUGJrOIBvggQBnhSXmE1uem/PIRlBDWpTlL2o+q/cAzelSKAA dX5qpZPmJfU/pKgn7wgDiHIBS0srDDZ/Mmm9ohuSiI+k6OrJ/LvLz9uJZlDXknNIMiP7M49wSlX7 KKxhGo5pBaRzkCeFUoa3lnj6w6dZgZgjsmcic0regZFQ7JFRVYH6AIKtKsmNQatzAIEWD9eDdH38 qkh06EF372+JL1/TsvvPFcp47oKr40F4Mdts0Z69LK0Lr3zsHnL5/0/oSjthM5O/+Q2PIOTlHD3o X+XoUGqV3VCDjtYiCkzdcq2OsL5NouObm/XnKKQcy83bbt0VAkCF6Op+XYqj0q+ld0TpzNOiZ3tT 3BD7YFWDAsTwk1p3cQc0dar4PuVDEXX39yqybNVyT6tzkDXQjCWoew/L+nCpy4NLkAkkQtWHg3tf PN8HLujT+m1+wuf6ntAOBCGzP7UOsR5fTEDPm/99jd3rc4xFqAmA93aJgbpbYzJARnwuOF4WkWoM uVi/8iMVdxAfIUOvaH4VuuVUp0ZmsWPiSpFZA3ALubLRbWbARVlhP0sxmrU0ZA/iwJFVQv4q5vRj A3umekburweyZ2vREGvbv1hpvK4KEJ+EGfLGRVJyDr0cGXV7lULOiLqqeet89AO5F0Afff25mwT0 c/clUcuhdSrU+/ln3Uah/lPbN0FIYWUAKCRUZjjoDOIQmDRNhGEOCSdtZmUOswH/R1GQBjzzLUNA Hsb757bcz6kHYGdoBZ9Aq2IBslYxIf3WVvPMYgr2ImRM7N3rTTBJIvM0YJCfZk2N8Ea5verKaT3p TGPoXEWbiAgH2KckHJ9aZzv60St0SWdTXuI4EWcihXXgpX29KvMJgg82X8Xf7zccYt6ePMuQIZ7h jSpiXl7ZvpM0x8FCNEN1Pg2A6+w6EH4WZc61ZQ3KrcHzPTPF9mjEmx8/7NKv7xqQi4dAbiEyU5K3 MUqhCLfrvYVCn5B8ysLF9id8uCwxOBRDvOfA1iD6ISywPdX9LE4Mnq6/4yQyYUiuL3ScIYuKqMRs ZuCXtoXpPRl1ipDIvkHJZ9iwtNd4BObOu9SUvk6zSIrtgrzL6RHUnOv339T7SdxWjrV0BFCQdghu AHfuIuJ00f62xsIi9tuWewt9uCqijmVj7Q6UfAwrtkeW+YRww2eWDbJEPxuobhPt4NSfsYNqEMRj crKQlmfssh0wlgQ12J/z+APALDoeOyMvJaiP+I4AIyQIyW/Ddxtq57g3ZoKHj8BINilj5KiKyyau xr/thtUPEQqICGq08jFV1Dl0pe8sctjwoADnNuITL528OD37xZqRA4SaPniIfYbUEjjjMXWPGNif HuKHV7vr7D21AKRis9I9RDfXyarpDlehUDuc/pQmCH+oc05kqes/4e4c4vta3INekjaeU6cUW/US X51TXp/usSy+QOWxM5AY+QLJzGOgweyZfaMVn5BR1FcwojtspJMZrrhwdBvEDkSiFoxeVsmG0AfY BwqsFlStRULlWdR9snV60CU0QMmySngMWGNHbWv++A/IoHDgSXWQSy93kX76t3HULNgSzJ5M0ouR E3SzuNT5i1sgqrU/4owDVzLc43WyNsOFbeo5dOEg1E4kMOp2HIcl/MtK0REq4uAhQymMfHC1QToJ 9EhosXlMZTmEXlkoc96yRo+SWK3Ju2YGLo/7XiOtZaTDDqDhL2zpNMG/qEQHIWJsHWyoVpxpbS51 wYNyoFFvJlxTqN1nrT3150TWDoHI0hl7jO/+kNIr8+3F6DiWR4TqTGa1H85zhmLR4e54aED6PVLb nyg6b8if0kDspJs+Rh4cYksqUOOzqA7MBx3HllztTCLBBGRz/sOoh07oBCJbpQs8uqHz/i93mQC7 Eq0SzuVO5YaxdMkoRn04NgpEP7/mDQ2jYnvEWquznjUkwVuFtuLftYRb2hudkXskRTtAhoVd68XG TYA6J/TetSokv2yFwnr2RD98LRTXAVUN5Yx+CyRnCmj3mIderkpnRArd6yQhe9NehH8HWiKtB1gb +66miSAVnJZ5dIyfLdDIzIHF+c2H1pAK7HETlLDmLjHb6of9MpK/+c+FyH+Norc51vCNFbdFskq0 1HdTrrCSlnhP7h1w7qlKB/zEcBOiOOlmOh2JRFeD6WvRTjGLoyaqFxzRdeEWblpJtFJwANFUbsrY GnizZ6wUpKilsMsSwA3qAswReQJPaQ+++syez26zYi/tdOpVFdL0L8zZdxgeMPxIZ0xcKAuFsSwG 1IpvZu+3KjuDmUBAOiw9NYAN5oPdC/yP6LRpvPNqHVPttEY2Iz5unzPGYsYiaHRCsFEJ1Rr4O5D5 0Q6Onez67AJBVG8Fs9J8AH533upLLeejecpxOiS48//lD64E/KGqEedV+jVKA8euVqHCjQZGtRQf W9X5GCk1JGzorBvUoxO/S5cjtiDSYcB8z64/RUoFT+Kv91nXNurzWZhU8CvrHMjSH/JrTqQWx78g R41fhpwLyMmMVNrHHyXlpznJwgOmVwBDLhsUbP06atFtFGIFt7YMUnj8A6Zx/HFY9e32o+819xWi i7INhAwtfRcxsVWiXwZ63DhHUFpZPqg9QQRHpe1l6dPrgbI2s8kTvfzi4fToyWjJ3Ej4oJgAt2c3 +pmK8NmkJd8eKvwrHH67fCbZm0FDpPl3wIcJvZJA/2E0uPOYFsGWV8HWiUZ+gJ8oZXRiia1UMP19 qxH4xoTXZRtCkRv+usN+ybvOcwJD3aZpFWNqnFgAXKBU+JXzVLL2TpdE8yiNEf2DVshDdB9nNdPN /hF28o2tf2IrtBiVfShsIsOXPPQG4C6XR01vDQLF6VImqp4y44Rg6tkgtBm2UrCgsElB9Pz+kuIY YuMKvb3/+1S/mdYKoA1N1sUueLAN9MVlLL2BXST+G81XaJ/DHzN2ugHhTRFd2YrcI3+seD1dMVdf sL4/aCs9ScA/z3zTwEc6B/KAhiPAEsdpoBcUuBmAd0KW8qkPf4JJ12KNKD7Zjni8zOcV5msFIaRx T8NeVXx9YKXsyfVidV3Ui5rB2zY9Rshxx9wERadzBBixnOHpShX/cXbejx4QCV1RmMn+HESBneLe OFz6zSscB9ykOaFpcmitB2cpluNfOri6iN008n3GAcqBZnk25JKDZmzRoZORNijJlmDAmr31hlmB xK0BdZjzlOd1TOOdyW64n9hpqrY9SQ/PKWraXnGwrr/UDErY6AjpsfNSaTubr+ozT/etHUKFrIDZ kviCfuco8h+WwQkfV7pejsFJbfg+cK0JvA1J6NbspRhbOwnrkzvDe2ah0ceh7/8C8b5Vh3t2+QwZ UAFNpLt15n4VRkQKJlHLWs7EvjWGmvT0GqKRzI7UjDiLT/26vm4jfubUI1ASozg9PDU9r56+CCZ2 Qqqt/I1LXutKj8KYdz+oTfZKoFsTc+RNECNBnx/jqkILHXY1QMb8Zr8hgiSmmjQtLZzAU8+OwRi0 Zm1GYmnEvz8jVcv+lQKkfU1viVN5A9bn/JcUiTKoRufzfG25GgGDLt7zuwmUhyDi5zsC7ieHaZsn kOoYsyj5gXrmRYIeSwKMlhUuqLzcLMTq+1NVUPqrzSh6lKae4ukWnLeCTPoh4phFOEnAbo6krBnu k8G7nyHMQPYvFpdIsLApemgXDDNgGOf7PsFiP4iKek677KuCAaewXZydY94AhtNed0XT5EgTOlTz 55DtcZDdZ2YV95s+Y56ODXF8dHACgVFMiNkAacztZli/2ZWPXrKoQWGVR6zqCho8bB6XuMrcHcIv 9BQwGh+AvjM3vjerSDWXwFPF3Noyu7Cgq9TUdC4cvaTQuhZ0cfeUfbK2jI+BuGRsxYEQ6WBImn52 Vy7KVq9FUl92Ojhb+18mxyhxfDipMQh6q1mws4wLj5JmDBAFUNpHbZF0QuOw6++rMV3fhP/kf5IT QRER/n5BSnXjkhV8fBSUxBLD0Qe1KEox1w+3yoM5wbNqyq0kE0m9rzOuXjXuTWdJRxul3xCeYpWs FqoJTUnoF8ydENt66QhIcMFOgRzb6yOX83IvEr+rseqtmslvyIeAy2dSYhtEAIbpuJ+Dbchdnigm izeb/lFnKBKGehKIw5Lpl43qq3mwJxxO1+c+jT1+lU4grY8c2NwLTWq6RWywo3B57BsJt610tAFi zTTCax21gcCR2dgjzq2BQD+sOc/0we+lgYC0R+PY9gABTb6GJSRu3PbxX9ZcYiC3vtknYp34P3bi gZJ8+fCDxO3YhNBX4wk7O7D2qtaMqbaeVgu/XZtd+OyFINGxwrxf0a/wjxYXnqoUaBRJkTuJvyDE Uh++2h4dlY6izk3JmRL3nzM5HKf5F24h1RhTA5TfV+hQmD9FaZQjp+zAIjIWoICW/D46rBaSOfRe hQqc3L+6+gOvwmb3Wpi3Vk/NFpJu1bA1XD+sps7TZwMkwTzbO5jU9gI6YEJLedeLvR+U6eX3dDXy 3HPkW64Z4Jc34vJ+VttiMR09qzNVGQd/g/Btxn+rEHDpOAuIeyRstXhGEB2iYQRU7P0ChVask6Zd InGGJT4tEnZxZfB5I7MKjX/gXyBtjHDs+rNyoGpFH+VpcVBjzBm5bPGS/JtlRvTgjz3qtDAQJeix 9iBjh1AhyZWBa5Mw8L1RRs26UEqDR5kPi71sPnNiGcLBLW6sKrqj8I2wTbkWCD9m0GWlAGDubKMz A5oVED0FFzlOqWSMGovUQ6DxdtPcBwGvf62umrf/14SzK72Z6KwK15O/CqLQIM2LCKp3pwzGEmbO H4p3qDx5PQwwyXZdUQ54KjKqSaQ8WNGZLGu7RSJEoSTGDPgiHDUszCmEJUnfDd43WO7d2zB8xzHT OiGJt/5nvyHloHj9hcpsk8ShkbqGR1jSG3f+QSnqU2m2dQv2p3iBb1eJPTqH87Hn7BXFtXPrGRsT Z2S2jru/+mdBgzChpbdQSpaZerrNR0NFnWnRgJL3FNdrUA5LvXSGwgHDNtFvqc1jxSSIwYkwy66z EAEQEL3ikYg+7Rlrf/1uLpvBTF9uowhbSf5GpyE9P6l+kgXhkiop9KlEF/vu3GO2jyRiWAugEbac 3/yi18BTH77aHRDN/50/NoBQNViM77qSqbMkN7vniMLYGrPYbj4LdibS5qhKSjJgn0hjcvls8GRH adLXGpAri6uMKcWGMuVf4UAIfWJZ62/p9Kivmq598o53G+0dxie1AVecwA9yQepaQgq4gN4jAm2u UnAMSZ7XZ/mJeY+tvrIalPUkSJlM/NnDbdg86+xPIFi9DP15ESb0ammwNAjsvtcO2RY0yCkQ56An Tl6FTwLCipBhUVsnmUL8NmMLn+KmxQl6Etp8DHNqIY7u3V3JBttv6jHHGwxoSATNOPztVrH97TNE XHy2dekySaehas7vgDiR2+V61OGjh1p3QRneIFv6Tmh5+cyq4Vh3mHRmX9h3tMCW+mwscgtlBlCs H5k3ZW46ft0c0sOJwPIgO8lYI7UMa6lPDv3fw+jT6PGR2Tc2U5ii0Xq6cxa4MbjmTBCyrbxxGCvS XoncLwivwDm1h01fhWDPRBEqf0C4spBkjXThHDOjbCEl4D5eG2YGSyQZYpcc2QYgwv7uxHH7QO9E Ukd4yQS31euHg2hxLGf6V5zmr/lkSoQMJgI5nSaITjNOrKtnfJToDT7tzYbQuiiJ1j9XBh7DOHds t9/wU9PAhoeQK48sTa1BCzb2qveTt8dRIGP3RVOd4YrfWaPGC9/9/v/xT1UskL8AyxIczjDiDPWf S+LK4NbVXcRz8MnGnnzlO1WYVeYAvF7TEbB6VvwJaxfNkpN+KMh/mbN3JHDeCUsO78zBIKOHKW7i IMezNLGdXCjXHfkxIVXqS2GymABbzVLT4CgieZP6OKIw2GOMnKtBKwlzCds1BkZseUPRyXhKQ6cx tQgATRu18MdQvem5LOGr/I7h0UhhF3ab3kvAai6PR2ZRRXqWZIuUfRSa9Wu/C9WCm5/4tJhGtzAx 2HNWH6oXHtrf+WoQDEQDgSzSeZkWHOmK6GncWfD4Y+YSlFaKFhJEswi1JijxxqzIg205Ad7X67ct TFeyqqsX6/hoVC7wC7inak8O9Vxp237FNnXmm6PPTgJvydJxmVecGektuEW7VCmJT+NuyMcdVlKs +I+DQy9UImMrp5ruem18QmCK9XkX/LEH+Lf9DTd2tKNAng5YiIE2X0ENmsepGu9kRkf7NFoGYZto 0GBg/bN6Qp70rQfP2tqFdUIUZPelP7qfrpKhQnZzm9riN56n7eE9TDUDTXQ3agFgJOGmYMLT7L3S xF3Ib5FFpLwHYaSy38EwFUaqWQw36bo/pHCdhNdh7bnf3WxaF/9obXGhZFVRKGgIiB+nR2rYQuyq 0tbNyReiPCakIIBwW96IT0T3atNSTE3TcboQzBFP5f+mKzDxGflGBB2p5ooMm8yGOzotOmttyS1x wny46EdFkzeNZFFtMsnUFtale0MUi/wG8RzUeju+cPghnYjzv0RRgNFm46coKIIJnxo4zhGycF/Q Pr6S0LTT7vJ87qr80wCgRiWM5Ns8Q2SCjFKryLo9/dSsnNMaPw5dZ0c62CPzCDMaN6gFl5fyf74d d1FMMq8yCnyvVUof36ziYl+1hEWGUWprfypqJe5sMckLsOSa6vhbFRdXH+CKaYtQarrTK4KHfX4R 7rbkQzjUZ/XUVTFB6iZEMIZDky9rYYO3GQHF0KgTs5LhI1Ho07vN68F9YABQTgqNvxdeosg1225m TEK1pGWR6HzBop7TrAjW3tVX66DzSHv17xz/ziNzAMgJlY4mnE82EKOFirpwJTiMnb2d9uF5GK1e NU95SuXpf02rvu3BcKs4D5nd3+G7X1TcQZ9nhDdcdaP4xvX5Z71Xg8IPFGgVjpdSJO8jrrctroWd 3RctXm+o1J9z0Dfj2KQCdjPMt6efeA6Bv4Q1/nYbyjt6wmzRQpWtBwoIe0q8/WiTCbDudvp0M9nj qiO45mQAXs4a81TJZAT3VH9yRLj0JLUDFas6mz718DiHWhMXrGotgyNByi4CxjdW+bWkmSHPZbIs k7vOfO5YSF40LnmJSVY/g9iBv3H9lZnQaSMJfhIFdncfoKhfNy2jZJSHcmXWY1GNK7L6n/2zPW/w EXfSSK+9GI1BVNBvtu4pDqT3k4P2dlF/3bAuOZghAPZtAzlSxzX1p/+B3dC6yXe4sLZL8HOO69Z4 UgqpkRwCZFnRA8ISnmkH0Zp5MABREgji0L9Od9diBs/Le74MnIbOpffiy3tHio2dShAUNBqHNjzC ObJ9Lg0QcppeDZeqTGEnpb+cT8agxVjWv9BC4FpHPW0jCvQBWaNGmbM5h1Hh1peXjVTX4IAni4Pp bh7RRkGLic63yH3IIHK9pATK3wlVICr/sYE14amgNoBAXa1W1mlc2EXZgmIxGEIeySBeZIR3LyOo ZQN7XQCwY8WOGJnPCMIH+K8Q3pvSoutxYRsL6YsWFOIAn9AilvC76PH5A6KSQa/1l/qTH6ulvt6Z oLRS1RP1maNVih+CP0ypUckD6bfLsF2FR6XLwOkuhMxOXmK2460mVkyOf8Cq/eYvExgw3FAgaZnO 1YXoqxfmhaHX82CYVE85CM+Fs5Ep6rquxNIE23fVpv5DRDiTzgKOqM6kOj3i6VTlaGVB25hKd0L7 RChQMcmFxg+Irv+moNtHcrvcjHodUlWc9fbPFk5vbYAqQ7EW/9EjR/whpvHDdthVMYNXJO9LW0YK fxusFsM8ZVRFwaMVQmfVug0TG8UjGhCtJJdOODzIPw/m/NZ24qYXsMx44zMFzmY/kUfTNwTPVT6k dVfVUh9PTLqiIxtx2KKm+GSp8SUPCyuygpejP7mS8sl01kZNq9DJi7zKa9hBo0sH42kz6qEUwyMO 0XGOaDHhyLfXHbBhC9EJdK3uIv7tzzh+BB94mSMWZWHIHu0Xmn5qwgrYQGlxNFRfpPkCp7IX43sg eAtTFccIxXcl2sCqahtm2opihPQ2felQd3AiPTRY5eG05B9TfL0+uL7RCXVMNoiCszZItmNQizxv 2o97paAZieFkzik0xDkJZFag+qTkNw9NZmMYKgW6LBS8+PEBwJ9xql9K83vDuCMZXekPtOF4A3bL Mdm4m0QS30OjinqUU3xKiZT+cqMfy8TJyu9PsDcLWfw9oU/1eqPTYD0+ETENAHpgfBX8LBUV+PHv 0M8uv11VLsY+9wjLUJtaIoEKOLkRz5LksYEPUzfGRgFDVN0K/8oHK3AK7UWIEXfA2ScCa9P3QLFk baanui5TI4AE7/eV4++6nPJWA1Cyt8J7B13qhhKlUSNkdTXFF+qLouLgOx1yljfqGpYT6NlX6yTk cR0uB31ln8r6v0i7cViHzmD76cbYTOLkPS92I9n5Mt12ACpMJbm6LuqVp2lil2lHYmnMZLp4GhFv yGA+6CyNOBwTsuPDJNG8idZpqzvko0/3sKCtQ7E/SnUqjoti6HlUHH0f5RwIoK38l+T3mPcfzQhf Q1/TGysN72Gw1MqN9ArYX+E+Yga0SvG37CqzGyNvwI3srbq3KjeC+bmaGDopY2BnwVroo4aJFF2O UfLL+NExRHxyJMPJRr+qTYaUGPfGJa5KNyhnaf0ydg2CTMcGQVBfE320gFRK+dL66Ue76qGc/62w xUR7FIkdl9V4CdpriIOY0fhQz6J2f3rhbVfPUTnxSfdTHS+U89cGfYNUjdj367nbx9pJU0F3dEf9 1P65BJX8HgipwY+nxz+AI9Ac9rIIzDaIWuKNjfS65JPOGK5eAcxS5UigEp27MYAaq+qiPaUqnmks 0OmTG1/Ysx7TRWAZHhipeS47ipKBJwc8HvAOuDgONuaktF9B/BcjTlOJo+R2py1Mdp6OqHMGS5JF yUcU2sW1QVOf2A08zZhS+lSoTZDzXT0FHLkRDf1TEj5MNJFcz6fp/fT/btyehzpDPfHfSA2+G9r7 xeHtHj6phYvVpO6J9hYYYHb3ItFALqtioiTfiwrMAO+i4Is4/7XmuuuZpTaZ3uhc9I/o4dKN7dur bHGLQMoim/f1spKyTKLSPko9IS0zboRS2Y1fWlK7XWHZLa6ia0BHu3jd0QhzBvkcd0VEKRkX+A1O 9KFR+WahsnnMr4YIsTrNmmEMvBUfM/N/f716USHy2ciPNsMb68ki8NZYd0eK2NltJsEbeptyl/5S nMXiVee3GaMhQemvz/vFSreYGOXo7f4L+6L0RF1ftke9+5y/6ygvZ6kFa5b+fDmrI62J/kHlO8om 6eAyZlFLGFoFNziNy7/ONHeBcYvCZXeN8uWJKAT1mkotIOzMkWxQn5g/bI0+nXlzC0FRtzM2kr86 vx61SwqOpS5kHQ+VwH4tb46v1Ieq+wVpQMvrhqEXTrPIZMljMysMjJIQGShWioGNdPBoPFJ9o+Rv r6FZSjkWfw8Uy962j8tttGNHTDq4cFMo1/9dMifJEZnXu1yfwKv2GnhStCPhIl00T8Y3tVYi7Qa0 5yr5YaqFj7BPMirLflQKnkUTjGXyok9cbmuIzjqx46TU10nJh8IWqzCCBX1DKQ5nLeIEwr4ajIB1 NO74sHAerZb8UKjusE86hXLtiKfJOhYeMP+iXH4EQIlq25u6uSeglijWGMUxSanPMN8MTPIgK6d4 HfLmi+jJuBIBVSjiTQMCHYIhOH9LbLqC/h+rE8YXhCtW45FUy4JKs0OLM2XYoqW7vYPwe67RrSIh d/j5iBchNdpT63TCDWHjLYqFm3Ddi3mCGtgJ3vGYMPQ9OPgY8wfmd0pj32IR63u3oAAxkONJoWeH ZyzNgKS7cgVUDPDcVhEp0jUlyqi5v1Mi0aiF9636ZqDFjWVH0eTzOa3ruUGeLlq5dK3Ep1cgS4g/ 2dtkWUSh/x8Q5lvN6AgopIjPDaMz4zOVAQ53wcQae4sejBC8W1i1VZyH0BoYqGj+nRqOHyYxdpBK Qh2WL5yRBg/2LcjZAknGDNx1cG6OE121/syzqDXw/ZDGHGBCOSAV3I60e7c8D5cAliTHUzC6n7Ne SOSQ9MMboc0+ofHJi04yqp2YToO7OxoWCCaSGgpoq72avDc2OsTe8NDyFuQxP44B0YZ/vkKyBhbJ g0ey/uLqTTv+eefk2ht3gcqraVuB/YTX/Sa9G6QOEDxr3f3tdLHzAoILAyF9C5JOLHS/i/jwoMVv 8sSDQW5iioyjSlVB9/AYcFkuW9IFaq8ea+1RgjZ8yFL2auxz7r5L50yHYhJ2mPofGXIVOkSfQTAM /68mQDTAHdXnnCCTyIDvCV04RGJakzbbk8BYT27GmD2a1MvSX6Hi6dfKUhdnZAf68cyhItJAja6Q kVpJgM+oi0Ywn04OA26kF9tvNOIY+QvTZsvEewyCRX1b82LmWC22AuHzSKg+HGXT3dm8xj8CY0KP 0ECPIn01MRsscSUDc5sF4hojwVYKqEmFKtGRdPh9vfm2zxdI7pdYm1bJ1flC0GReO9BpUT98fhR4 ruNo9cOSviGmo2xrpm10IEhPPjO05n4yZX25KnD6kTxqIUaYduS0pk6TmolfP2XwfXDXM5Wyb9TN /97UiMNBRdgKYzN2/bFMXPlXLSFOUgDRIpvRlFf13wSO5NIUyb23ViQKofhHLFDPHb0mNVw0qTKM FeyJUfES9Z+EHgdzTu/k9nDTPi8Wt4EY/qLRjtx94p/HkNAnyVGdhj4Mvn46NnZXpgHSpTmnM46+ /nKdl8A1ncP1/siQPTf1KMkOTvfTNW9gh9SojMfslYmbREFVhTkRotW/FxxUWOJT4xhdc3fe4qjw 3H0nMxXhCzHs76Pv7F7cqlijFrL0KpF//dXJtEtxI5wHb0l60eGcVanyGja6TONznPpJE11NwvVV xkxTVhlBjVeBnxZz7Ur+/S0Z+admEz5EOoOD1KLaOFe8BU+PSJ5oDGm70nwsq8TaKP/n5QKYhxWc GdlacRDtNFs0aZ4I5G0Qe325DIrB9oyVIFeoeNmO6d3GvmLMvcb7MFAIdNAhg3gF3d2NfQcnM54b wQBDyF6CvWGX6YaDIS4xi7azVyqp4++andlR+oPoaO6ekSKlGr2VXw26tzpmRG6j0BRkdLH9jysu /nQ/0YxFxShvxkjU9M0fz4QBw/zTysDeEIwz5YXTYdAzbMfumJdJ+YVDzrhSREZocmJ/fRwes6j+ 9UpzUw+65apvNx5i1C8VBjwiM1A+O2tiKau70UCKY65AAe34eO0rRcNR+sQz1LXj1SDZjkw4IVEe XWWgp5DovWU6o5qfhaCMAfwb6sOhlkRcJNUZTTMRjfqa/qSe844t7DdmJTuJB5L1B1cGFhjNPjpM 3eVPcLeX5Fqwy4g+wA+dCqiZ79oothvbf5LOJeI1bdUym6BrJGpfGFpVNqbTUi6Q1k66bCr7jeWo BZ8Tr39SkdhVx3TBxj6pLguiwNydsDfaKOffD3IWW//eagZYqs0/cQquj29DZZMPpeqOeLqm3B6x NadpsN2kNS7jrl5+4vSfjLmcdzQRk/7jfDLIIhjcBYky/Jfj5ktGxtYSWkuX1mZVzWn4lRJwaqzW 0vOmFbK4I+8Z9gm7ovnLwTs1HTgNwmbCd9ChidzJ0CbLXeVBqoxuYWsLqlsnLlVsiIkwV67uyi7N YjQATmIFx5gPek64StzdBxCQzhnjOYJJGbTIYUcwPBotjwrqUr1Jrzil3dWptCmgHBix3kR1ltUr 0yX1sEsO4NC1TwWI5cfbfuRF2nnqGxbd2QRbdMrmwkFEXJKEO/Nl9WYawKGk/X6x5ddkPSlkSKyk fY1/9T0An7kjmQKFQdxffQbOS0ulcNxpTLHS6AjAkUaBvSDTWGatEofVtrPVXDBjnbRHHNQNMKR3 rMUoHbXkmvRNXqTNKsyowLVCkblGSnKmMW7aeAdwuKfVvKhCWfXH0BykjhtDw5cmG3WmiJmmG3fg 6F0XBqgT3uyiAAnuibbAYYqpFmdXmGc6C1tJL539fTmThqdt7z/I0OQDq/xoVUywddr5A1drskFj cmEOgoHLDXaAl1/Z+xLKqnB3WuZVsRo2KqDUePdzqqKuc9DfuIZ8chqOlX38dBiuz1LG/hKv1LWC YSbMXoetHFjYdLjvbYuMKP56sC3B7mDIKE84aObHVv3BGcCpPobWKT1FZo9gHLjcqe1+n8j2MQq4 ekCNb4t4sAulr5hpvfzmuK2GdF4iburpTub0/V5AxTGojmdDPNVFY+K5o/c2+D2G12LoqH8lZpB0 Vei5668jvZ6M77fShEusJAI8KjYqhmhN6Tc/2ye7iw/MCRkTCkbt/nKMn30cvIN0RaseG0Iq1kLr 2amArOv36Qddns3/LTQaSLpu2iaTBbmr/pXIbmL6E6fYUmn6xNNDM8gpNq05yf2MtJUK732PPeak bBDJ9R023PLpPBERig3cbBxxOgLU3WFHPzlexNeopQ5EwR6Fca8NXpAqRl5MSRY6hzdhgQ3OQciO h+NgWyOk4mAdokb3FoxtTzx9KFsAP6iU+ieT44cJqk2r3UjxJB88fQagrmrAm9Bj9NSRjT/UWX/r /r7xXHGsbyQ79tRmlySI1XXqTRNX90XjYd9WvH4K5Ff3PLJKhIw95/3T1eWMI/APYq95N5tLI0xu WWLVISad6cF/RrLQ9vBdihLJ0GTzyJAvyr7SiGAWgopmJ+SrR3uA7jSMaRo395fJGCLyqPpXtqG/ 4EpdBmwNZUHHmLrBdFmv7QJNx81Mw1Pg3Ct1W1whRnV0U1xQrLDUyxNQf9W4p2uXZlGYVC3SHAxS qZlrv9pZfq6dyDyLZX2ocO0SXI96e8DlnsTK1Fo28xiepM33XWI55POXeB3pDI2ijSjUVidKU+0s oNGptP3FLuSRx8rZyAi9kaP5mGvWMIHr65uY6B7/IJgcdhZ1ou+gHJHdujSzS3vc1oyAD6uImZus LMSrR97Vh/q+bc/hs09p3MR6BK5aNLB5MoO49zwg0BaMPngD4N85aClnbJYi6D8rcGWhQX4oSPKO enKc3y1cLF4Kj4Q7kMn2jXBh6+Hun4EaJewcnbMgw3tJpImtzIh3hTEcRnKvnYF3D6rOmd/cbb3s 5Hihuuwwg+OrFJUb1ivAdIXZPLTijQKk3QeKlHlqvPZlAO3Jka2Qe7IDcn+LJqDYTos4JbEPQKli kFKUj0sE9gmwYWNEtX3CB4sMp4LsKbwTSDyu5v4VJG68IWMHdNuq6S9u7g6WtdCXq5w5z0GLCPgI 1cfCzE4OWkbLdigS4SAHWO2Dqyq1/aj3L5KfoMxu1DI4K5g5UKBOMYu68n3bG8cC7uR4AHY0IeZg lH9KFa+0he8B67VcOIA2AQtXPGWPbU6jYAaVZr+NBfgulqdGLiT1hz+qxx5WlI86wUn9mRYSkV/6 TqJ3h/UtQgUhxiPr8kqD7BpkyCBTKpXk5P+ErlVYlz5+UTZZ/JEmrFlfcCUeBh2aS79lVxigghpo Wyg8aHml7aWWcCSpQq3pzXEoR/dSVpEOJRQPSYk98kLlqqC1Qs5qgnzgj2jqKOlgbZ94boo/5OhY 9+FCJLF35gAq69KvmPb91xYQ4ddAjRpRG6whtJeJepdpLS08aVTlAr6ga9YnDk/m/SdclkOdmiJ2 Q/yqKEjJsfQeYgYx+N0RWeTWf5QsgV4g+NrW8IRbxEy0f8CoJCAMk7kcn1dEn0kHnqBNKqCR3dWv J/d9VvwgdlLjW+SGQyJuBScakfXwVaM4f9M9LVb1tf1WCIji80nA01GcoHt6bBUdy+iWTE8eJQeH XlxDZY9HVABaFRvjiMBKfO9vXZ4qXXv+BoKXzw2SpLSikuRXUTQgsxCxG1CNpomVNI9dO1K29bzq REHzT16luZszMX5Oyo104w6uYUA8pD1tvunAwAgawGaCx6xyq5Bns0If4b4Mkejf/uawza7ZVar6 F4a9cP6aaFJBbuyc1KZDeGpSK70CQsHrtsYJWhv0FYMHR5gqGmNjgJKLLQOsuNrP5LSFkhrhf+Mn 9N8uSQb/pg46RLmwqFDeWk1VpOzwXjqhh4pBcfkyvOPTFdcbcULp1fCjPDcxle7EDHBINSC33I3A AgC+YixtKauPre4aKhxH+q2XBT43fFqNq9oM/DFbYDJmqDeD9DDVsLTd1oZNFBHP9+/WbfqWwkQ8 BvnNwdLv5yB/i0nXyvfq4i5wS6eejZrReeyA1ZXQU+Wx2xHGSYrxKM5xAQt/N6yg+cmTkYqdmazb jK3PcfMHQ/N7E9wKHpa48tC+ueq+9/xw/Kdn3XQnenuh2QuGLKCabLTNQ9tgor6Jl2KY6Ie+JTTy EiBb4uTsksGAzDNAiLVCx4fhjcuBSz/i6QMAyS3U9ttetUr3NmhOmpYBWhBJVQxzNm4lU56eDdfs hijojLr1ODOs8ivcvMwSy+CXLkTZnM9yBiKshXNgtJZotZuw33ysEFPAOcSeZJ28eagnljT5CLvF zYnHHnkae5zywo8c/Lc/WZoumrW6dERP2lTXhdQa9N8ngmWz3Z3pKxRbeUDQy1oYjjpu0eET2j2C ETOwb/OWlxI/GE1MzX6jrWT27qdA6KyxFhMvKqJbkaGvGz1WPfHO1a4ixS4IXJ7ahkU4azUrRi47 esvNBqRZGburZ51bVd1YtytrlSc55zEnnpmTLbpBBDiYoaaIJkKsOZBeY/VjKsM2nW1CFPIlL6g8 JEcBQH51Xp4PISL4pXcl262nnM7LfBSww8ZNJXxPxc8Z/8vHOSeJwkf31Ug+7AaXjxRA2nyCeQko AoY2ofxwI0K5v+r/dlfhHdBoHB8tWX00w+2dnh+J11B+LomEp3SUtyPLWYmfnlXd5ddy6jOWYW36 DYRfR8wrVl1sZRcBw7CeaWSryw8ugCBf3cO5Z9J/KxXKqUQLk7FOeph3eKn4fcimcH2+4fhTWAjl dRaVI+HujjKWjqqdWKaXOLJ0AWdbgPC6CUG3HLj1iK3SXpeXFWQ5G3GFFBblxzlzfnMh58DD0YPc 9O2MO3ttHIqgiYertl5l60yT3UV8+xXLbaZN13YmDyoyBjKa/kBMcvjm8rweA/hynhmhnkBh8h2N Vko8XLbKCUqgXS5hRhcebO5v6vb8nf0KXF71O206Zy22Bfrjx4V3VSP3/O3CYmuXVDq+sg/e4S2x SHJLk4x4VDtxED2dCUKGsu3MXLLQWiKB/MvKdSklmnWKC0otRjfXkIbIPBLM/VKEbpWLlvLIarkk cls4wRBru+PhCm3gzmnlheCi1ZU9296vTWKfavHqZtFUxmgWuC42lJnDdl66WwC9uylziANbjHDq 9b08vMHUaMSzE1kquqJ4AlLifcXwjR9/f5VNtMijQmzPZ6HttZPlSYLShDcEAfhsspK5vrN776JA Y9kZTS3ZsgLJbqMxMSotvDgkTRLeI1pMwBQ7YhPJL/8A6QF3cFcxgBCueuf+CD1iqkio3pYFyEMm 5dKYttnhDrDVGUM6NYr8/MECynuvH0uW9dF2HyEIdds2JIa0WBBh4rRWTiVlgZOCM3/wGWrhk17V sVv7XGnDEixu2OOXnMHtVkJoaoT6I/kpj9r3cTajwtWiIvw6cS9z9heZlUdRgp5P2bIGnzsTGtiF HWtfFOWYseUmrb3G1Gu0Nul7sNk7gFOPYcN5j/om8tPKe1VDovGTW9hvg/AModYLMLEfVJVuBhkO htXCCI7T9MfulRBCLm+wtxdQv5bp2lFsxYFa/Nms81IuLT2BvrZ9o8lfC175R5dFrw0ReI43CL9X aY5unTNmuh2I9TWCSYdQ8r27A8qaKsUpePB+++Oyhu09Sfd/akVoIiZFVMNfxRGC2vy6kGSYFzKu qSoJc9ScMDUPjOy+gPOYl2GnoNtrUAB91Lh9UZaCQZIsw9ol5WyOEtJV2hb/LyjWdlqhQPnBmJS0 DMlZmkixd3jwtL8DHZgP07i5Ze58pahA+JuAxLgekGjjcI9b8ltafo3Us+037a8GZGyMdxqQWpqw 6LVT8v8HhLAD53HUIM6qLGVW77m9EB7aKZSAEfFo7hS0PpMHAKPk2ETvbCYpqGFoWOeLmiaUJQCo FtNEJZTrvIW6ZVWci6CoMsZdW1GPBtnUoFNKR3RgR2CjhA8LLNiwqAsE/nCe5m/B5Bc9Ozx4Z8vL qEXiCDZFyn00eoy5flDGGn2KlCguKg4f8UKPjbmpfiOswA4HERWFJTt0Z+8FCTGgcXVpp5NtBNOs yOIgAy98CcD2rNiji4650fSCfEIirjZn7gpywUEt7ZKizJBdNzh7wtkNuHh3mGlvM6ISAnZqqmE2 4xzl3ppxR35xIzeo+dUOvfEguWBloMU+Yw9jjboTdNjPeNJgGcUVZ88Rzwc0meqmbbsgl9gx4B+X nhml1iAmueDRfKkU3VR+0kv66aPCV1bQupPWLtjuDDJvPZeswkhU/qiAgBwBLi5b6ubtpa7S7dJS ago1GbAaxrHgcVSxXNonqSZaTwDJEEmkHmzX4++gP2fy+DF9K2/1j4wuaYcJEeX+rakRzYkCoI6S coHsNX8q4CJ9LpEAtBawgMeJ5e19/IWTcLGY+tUJze4Mb+AJKQAZx8aF23mFY6UQT48GXwQVe2xb cNsRfCIGhDH2C0o8gjcY9MQh7KPkUpMHmPGoN7qOceJPxmOciI5OCsyEZhqAIVcZH5GBPXLLoL4H XQLUWK027Q8NOT7qmq99dOcblH6rv2lYmQ5eZRboMI09q14XOJI1Wd+MDIE3m/bKXkpPtQqZCdOR /5npQHGar7mq240zNrsWb1Dq8/J2jlrwbuzFCFEs42LnMrXP2EC+LnKPCg6lZqeES/nTqSWEG74D rbJNlG4CgK4D/4rLrrq4fqkgxzWFW5Nus+MDLUt1j5FV93dE6jSczuGzbCaCwvmQp5H2sxEqb+1l N9PcTWdyhXz5FPT10U40QH61vgcx1xAIaTbYCH4JtYaQ6yAWdyNh7PQlHc81YD9jknINxBn0WW7a mm6SaDmmDOZVcBpa6ak2bKkiML+8fSIDb6FPLfNQO7fEOGQQzoximQWbaT33bQnJessepC47IIZf IeQs7KHlyLHPUesDhHnXDDdS3Ay/lbxdhgWu90FMLuBFQsHI0f6umclY4mBfm+huK29SxTONO1ws IL8FoDG6YKN/eHTZHSactLKLrwwdBt38J5ddXDqvuaIfz8Dm8fAcbHp7pjvDds0ky6YXczMxTyLN vajHMpr5ogf82rTxgMnnEvYAQEzJyXyW8SuasNsyveOEHYoiGupw1Zzf7dnEYK8M1zgY9tlXotlN Ge/pf8oxOnPqswWES72U7Pp1kSWgFADArLrWhYSql0Z6G7sMKLo/1w24AM7XaNDipnOq0UYrVO97 i3BNnG2aOE+n/UNgnJ+alA8skG5eIuMLlGb4f2Yi47E06TnnJa1I2i1zn961SA2RoUMEliz2ufGY q5ORhyz1VAtYe54ctIOVc1yGp0OZX0M8ts81M1IrFMVHsKQlxQlIEfi4K+SwqaRKoAzJWyqSw0ov Is8ER0jCxXEys+1GJY623xM8zRqdMPXdau/tobPzpkWWjFhniYmywMqH2i+CizDtA9HC5Y3ObYFt 1Igao0ysl9j+A3ESVwDhhC1LziM3Xp6ETosLSxyLrpDizIMQaRuUhOvIfMMe4+ajugjHOBskWsd4 lsTJohfaTK7JGofEq/GtiERLTKv9m9m4mdWg24UEuVI6xhG6ODiTYMi5V/4SIL7cMZLtPAXU6x0G mgtgJT9zaImGHbSC/wMz68yz210ERuXeegsNMZc+R1Zf+S7EuSoFGGOpsfmpwi88oYXiV2MPtFzI +SW9TdSYcZauLmETg+IgX+K+ZeC13XXe09BxQ8Bwk2pkt9gJkMsfvsSUJ7uFwXW2zOWkkBNqmDkD HaPFE0/fSCLpIfjANx2RPH8qWfwgktwo6Nw4vm7s6CE7d7Jw2m7g9JBwm2DA5gqWA9pwA6ofzLMt Kx4VS03O9cKfI+x1xRXWu+qpJVZLPw1s3joMeBv92VaESpykBt9M/5saCPWq3wcZ3KO3+BQ+aQif nxp8YGGz7VzzstZroEBRV6wlFHdbbY24RLnOw66rLeqTUvJZUpRbO7+KEMVLGA12e5/iTf7+n09B 9+3Tpe0G8g+/zwVwFl1gHZpALDAV4wa2iiXeInR82rY/xE6+SKSVJrkNrZxRHKOBt5F6w3azOL34 FCfc+2SraJIoBH1ullmU9crIF+46qcQhTkrbrjymEe5cMp5dRX4rnDMJsuIpd4mEIkE5w/gvqJfn ugQQFXTQDSMJwipVvDhY6o4VwLEIDv+hMZTAecmn86agZO+Ly3oW8yYa57ZWPiGw3hIvdUAhG91o yXbVPRhNfDTa19ae4TmCubA2PJepc5O56Wzn8IyP9xzq8YV5JXB2CgxwPMGBi6h69vG8hWOipDzu sOCM45RO0+q1aO3LG4z7CtTcxue6jiQE9hUH2M3r28gJl12H4NqYVkZ8S2CLC5STV04NL19tRzvN VPg36DByrFhj/TsBGf3Rn9ZRYBKcsA2i/wNylM4DH3JZc94qJJ5/AvIUTn+xWuGWu14jy2t7rXOy FE69RDwcVuhhNfHC1oQMg1D9+QY/pFqU+tFHxC/bCd1tku1ZX+VGfGB2LLy3wzpUfvhvTkYXdGA6 tYPYlhH89ec4VLzFZIbM6vQCCF9Xc2aNsd9ZZR4q0ngskWwPsnMFyaENl0WNENqCLaNLGWCR9wg/ ElljaBSKsEK7SOjYM0Uu248/dOCDkB0oUmESBmkMiD/UHoAL8UD0oq1l9N3rKoSK+PEzOeQRJk/r 8S6c/gcfWV2XhtYVuVfgIeU3/XrxY7kECaiZtxo3X4ytpUfWFb8Z1siQZPxGiFFPeUCai3CgLBfl zwoayxR13hXM9IufKMOlnwdaq0p7WfEZk+1MRywBKbTbmNDDWlJQZaSKYsBcVnlZnOQ0YSyv2cKS dsntX2zTUnk4nuSEQ1B0jpK6uGCo2fpiGZ2TiMbwjqs+4QtcigHNuMTK/2ccTY4asROE4GPPoY8W rFU9BvNinMOhdqlyY86K0HQHD22NG43xqCZJCYc+b9Ix/tIeCyxoEkIKoOw4zLXD+QdqCNbnJivo DFczWa5f/Re02Op48M+4w1ojV2qz7UnJie0Ubc9Q86wtwWYAhQRk23bzlnCQNgK7kpeGtStL3Nfq SOlV43dvEDw4+YLP0qVXYSInS2UP+GqpnkX7TqZgip44KBc6b4vWex3U9JoMcKpMKyUnuz5ECtNB V3kObCcoZjgDfvBW7w90v/UJBbWQ3+ddbSNS/bMVdpZQi0DeBrMccLYX4iTzQXuDmT8yx8iiHT3X h0HfVcrkXgbnDM28bD9DmmoA2qtGqRFD7sJ9VzcGa82QQhpuYk2HJykODXlHCVxOnXvaXglWoJSz wZwdu+SoJL5alUrRYe6ggC7/lTglVrUXTmWLd+1nCJqmD2uAdKkTzfSmsj5Aap4RD3RWe7fUrqix EWnHgfPvtaMszJx+SQn5LstVVffRm/5dJZXh5C/zDPQGLdzvVc+veybzrUh6qxe8PIhv912yHqjc M9OaSESgQZyAw49ZEcOvzxM5WRj5ir6xGSKBt1cSCzAV3BiKZaHpTMdo9lXoiUmffo5EDd2WDJQJ nbKyIiIoPfgSuonU+s/nmrL75PbR0NE5MfHe7duE/6V6zeHO2VDQs7ZrwvGVI9AKGBJAk/bksvBl sqafTVKdV3GUy2bRLM4fHvATHsVKfQmA1Elf2nR/1m0beS2WjHXW8gHlFKekYK3twzohr+S3b+2e ZJPh12yxkOJ7cWl2q0QIUDJgzqkmCslcpDxRCQFO6zBHrDGOyTx0Q7fz0HgdQHEWfAUoM0LyoHFj SNyCeJ+ZA5RXrOq8U2LMgP+iiAxoPyBbtdrhHZhhfn1VfQQTVacT3yOx8pUGFAc2x7dsEJHrTf22 XjjN/IsSTymkhPeY0Lr5Qhv+FR1MfDv3bEiQyRdmvlrD6ZM6sAmZ4QP3Z8HkOo2Jw+iuhJntX2xA wsGQQdB2FRt+82uSTaW9Lfrm5pqx+VItBE6eDYXyKgUiBBdYZTMDxQ0FTflyKmFE4+kcDiPkhwju inGFcuvk04Pl4FAv9VYkY8QfWpFDB9Tcy6PKrnopyBKLlOsUMzovf+VEHw6cBfVFNtFYSLWf4xSM E1a78uDZMbSaV7jWAAftc9vsevr0+I4VuGNR9fm99GMuSYyVFDm/86vAzQWYBtXRTv39hBuJCnFM afzLmLb7s7ygpD5S4ur4bHr6D9pVlC+ZekxXWUcBLAjlVPXDK5ZrRTYgdltXJZ5c04vCg8ooPotE qBVkSGYRL/AIUh9wdcRmjJFnSeFv/aMgBGkdn+nrbNKEdjy9ugNhG7FzB7RtOMsvbiMgNd5GvXAc BR1zdelUTNAlui6jrT2qwejriSty7D1IeIYuamwJoam/jNBoloNYD+rV9jHo2FiUPjC0ZulOelin nTkp+G7lr+OGhmoHK7FH6eUF+GVLbQS2Z1FfAhdmG8a1X+oOYAXGcpsIdT5Y/SEnXkK9ejkGLUq8 wo90vj1qnhKkWqiLakW/Td7G7lRtsGrypK+1elcBx6KqAdaETa3umeM+WFJkR8l7++YNbreLJy1X 0wBxRUPYCyCNzKee30uCd082Ztvx/IRTVScCPK6rdexqzB0O2bP+J7b/Xhupl3jA7Onz1z4DsbWq hF81ASuAD3MtHI4ilxh7NWUf4rs7pfahc2+phHpHAYC8DJmTAnkoJQRl/+sxU+WVvoBi3vOY9t6Y pOsBknYXmYx6GQSxODiUw4xZgA/h8bMLxPNfvyaxjnUwse+oOga3YTFN1b8980VUsS34pTlWSSHc wL/isNtYdb2whVJ6HnPZwWFOLrtsH8EolvUSTOap851UXtpDxDF4MNywrIH9tj7Q1WZFmIL9W/v2 uw+9GG0uFsrn4Tb/vPxJ9Z+tVess/+u0NikVuaAQq+1WvfakG26kR1wrefoSRxo6jAwJ3vWGSi5N XZawQ/pCGdB9lZ176EEZBcBxgvvPhR01+VCmfTRaw9020fyLY/g6+09jNiIjR7vSiFRFNMPeiUlj cMPJFOQe7/myn4RV/wGqd9gWV+9P7XybrlqoxeHWvWq+5w0rKDX0vy5l8LFRhLCiNLSItAzeqNoE +MWM8pCnM4CpGvWQU+u/gyQsVOeX83QgR/zFI9GBz5ynI45aA3wJO6kjyuZdXWn5f+YhzLVg0a9/ Y+KiQjyj0M6cmqBnBSoJwBVpOe/JtWN9ENGwc+kZbVDO+kC3ANnJ62Zt8NsQZ/a4eEMMc8lXl+5/ q0T9rYf8XQdXYxvWgy/CxD49GwlnN2LYcYRDeb20OICrrEe1aSS5zsK88DWOYTncsl4yt78Ws23w nUfOkIR06ZJ7UT/5jxUviKva6PmK8ZvbH/XqzWPhz8/QNQqbwPFlX/2ZnvrVu9R04FzC6lacOsWS di6d3y2UhwibyFdoYILspYRlh4vINs0hb4GzNHclfap3OB1MF/M9B7957nGZupG6mUVXZl/o7zKu T9pjFk9zMwLSD8lDeBQsOhTc9nXyhFpTMFDZFg+oAE5dGQh+uij5UXRVijuM8mix70BtRUhVaLTs grghZHbzV94sAvib39aFHP4xcRVvHss444q4BgM4XUcrNzMIJ19jufltBBLx0++ACZcOJ4bNBDr2 MHKH3QhOuL7172uc4F3Diys2RdTY12JCrAsPJ/9Zgz5d6RPlxPlgGdxUXxO4YmPysuPKDuwlrpjQ KH/YMOzle1Y+Mc3IZp59a/jIB36TVMIwIVCVd0vSaKtVgAkjitEeuB9PeRzit8KoDC5S0ws0cxTM uD8ufVkc5zIZ+YipdGTPDiAfB6HdUcFaK+YFvw2zjY83b508egQh85ShWxZk2vBBSp50hjB0RDU9 8cp66dusaoVqdnGNqxMRdD6agsPpalnN5sBDUTZBye9pVC63f8hWDIS+F4vD2fbLtZ4vpHHT7Dfu iARqZD4wRSvR33ToJsOtIcEQtXpoxakneVznIyEY/ZbFgIpmPupCB5vGBTJFp2vVIYJyfK40SBp7 ZVtnUncgLmHeDhz/CHsVaXHcpb2VSiCgCkXRdigUysLH3ZNcT7t+qtci6vHWDFfZUwnr3GHPv+MK rz4odBu9Z8cU7x/huPd40k0/ydKmpF5aS2R284ySHoynQRs1n4VJQGuxd2gUr64EozV3UGzFn4sz bgLprnAYILOX6CPBV1PZ5Wss/zW8+cyersqKfgU6mluh5L/B9vfylw6cworzeajROqvbzabCRqu8 +KO94PqL/IF5i2L3e7ltsjIDrLULr7jsp/qu96FcemADp5ZWRQh2OtuCZixKcVujdNn6pb/KXFp6 buFdQ4E+PF1VmjSZizJnftszGIgHs5kZVy8oYsNdntv0ocFRlOECdkIfzx9H3ANSIbLZiiHe2y/Z GkUmMHrXfMjKn5NRpPc6O+pAm9qrxsCsBWRWOgCLCkah6GiS `protect end_protected
mit
VerkhovtsovPavel/BSUIR_Labs
Master/POCP/My_Designs/Accum/src/TestBench/mrom_TB.vhd
1
1406
library accum; use accum.OneHotAccum.all; library ieee; use ieee.STD_LOGIC_UNSIGNED.all; use ieee.std_logic_1164.all; -- Add your library and packages declaration here ... entity mrom_tb is end mrom_tb; architecture TB_ARCHITECTURE of mrom_tb is -- Component declaration of the tested unit component mrom port( RE : in STD_LOGIC; ADDR : in mem_addr; DOUT : out command ); end component; -- Stimulus signals - signals mapped to the input and inout ports of tested entity signal RE : STD_LOGIC; signal ADDR : mem_addr; -- Observed signals - signals mapped to the output ports of tested entity signal DOUT : command; -- Add your code here ... constant WAIT_period: time := 10 ns; begin -- Unit Under Test port map UUT : mrom port map ( RE => RE, ADDR => ADDR, DOUT => DOUT ); -- Add your stimulus here ... main: process begin re <= '0'; addr <= "00010"; wait for 1 * WAIT_period; re <= '1'; wait for 1 * WAIT_period; addr <= "00000"; re <= '1'; wait for 1 * WAIT_period; re <= '0'; wait for 100 * WAIT_period; wait; end process; end TB_ARCHITECTURE; configuration TESTBENCH_FOR_mrom of mrom_tb is for TB_ARCHITECTURE for UUT : mrom use entity work.mrom(beh); end for; end for; end TESTBENCH_FOR_mrom;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution_OH/impl/ip/hdl/vhdl/convolve_kernel_fbkb.vhd
5
3080
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.2 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity convolve_kernel_fbkb is generic ( ID : integer := 1; NUM_STAGE : integer := 9; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of convolve_kernel_fbkb is --------------------- Component --------------------- component convolve_kernel_ap_fadd_7_full_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- convolve_kernel_ap_fadd_7_full_dsp_32_u : component convolve_kernel_ap_fadd_7_full_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= din0_buf1; b_tvalid <= '1'; b_tdata <= din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.cache/ip/2017.3/111e5b5bdee7fef3/ip_design_axi_gpio_0_0_stub.vhdl
1
2562
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017 -- Date : Tue Oct 17 19:49:27 2017 -- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ ip_design_axi_gpio_0_0_stub.vhdl -- Design : ip_design_axi_gpio_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 1 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 1 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 1 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "s_axi_aclk,s_axi_aresetn,s_axi_awaddr[8:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[8:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,gpio_io_i[1:0],gpio_io_o[1:0],gpio_io_t[1:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "axi_gpio,Vivado 2017.3"; begin end;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.ip_user_files/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_xbar_0/zqynq_lab_1_design_xbar_0_sim_netlist.vhdl
1
856369
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2.1 (win64) Build 1957588 Wed Aug 9 16:32:24 MDT 2017 -- Date : Fri Sep 22 17:41:04 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/Users/markb/Source/Repos/FPGA_Sandbox/RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_xbar_0/zqynq_lab_1_design_xbar_0_sim_netlist.vhdl -- Design : zqynq_lab_1_design_xbar_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_addr_arbiter is port ( S_AXI_ARREADY : out STD_LOGIC_VECTOR ( 0 to 0 ); aa_mi_arvalid : out STD_LOGIC; \gen_axi.s_axi_rid_i_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Q : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rlast_i0 : out STD_LOGIC; \m_axi_arqos[15]\ : out STD_LOGIC_VECTOR ( 68 downto 0 ); ADDRESS_HIT_0 : out STD_LOGIC; match : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[0].r_issuing_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[1].r_issuing_cnt_reg[11]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[3].r_issuing_cnt_reg[27]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[2].r_issuing_cnt_reg[19]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); p_93_in : out STD_LOGIC; p_39_in : out STD_LOGIC; p_57_in : out STD_LOGIC; p_75_in : out STD_LOGIC; \gen_master_slots[4].r_issuing_cnt_reg[32]\ : out STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); mi_arready_4 : in STD_LOGIC; p_23_in : in STD_LOGIC; \read_cs__0\ : in STD_LOGIC; \s_axi_arqos[3]\ : in STD_LOGIC_VECTOR ( 68 downto 0 ); r_issuing_cnt : in STD_LOGIC_VECTOR ( 16 downto 0 ); \r_cmd_pop_0__1\ : in STD_LOGIC; m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); \r_cmd_pop_1__1\ : in STD_LOGIC; \r_cmd_pop_3__1\ : in STD_LOGIC; \r_cmd_pop_2__1\ : in STD_LOGIC; m_valid_i : in STD_LOGIC; \r_cmd_pop_4__1\ : in STD_LOGIC; \s_axi_araddr[24]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_addr_arbiter : entity is "axi_crossbar_v2_1_14_addr_arbiter"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_addr_arbiter; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_addr_arbiter is signal \^address_hit_0\ : STD_LOGIC; signal \^d\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal aa_mi_artarget_hot : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^aa_mi_arvalid\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[0].r_issuing_cnt[3]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[1].r_issuing_cnt[11]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[2].r_issuing_cnt[19]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[3].r_issuing_cnt[27]_i_5_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[2]_i_2__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[3]_i_2__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[3]_i_3__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_2_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_3_n_0\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\ : STD_LOGIC; signal \^m_axi_arqos[15]\ : STD_LOGIC_VECTOR ( 68 downto 0 ); signal \^match\ : STD_LOGIC; signal s_ready_i2 : STD_LOGIC; signal st_aa_artarget_hot : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_axi.s_axi_rid_i[11]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \gen_master_slots[0].r_issuing_cnt[2]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \gen_master_slots[0].r_issuing_cnt[3]_i_2\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \gen_master_slots[0].r_issuing_cnt[3]_i_4\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \gen_master_slots[1].r_issuing_cnt[10]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gen_master_slots[1].r_issuing_cnt[11]_i_2\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gen_master_slots[1].r_issuing_cnt[11]_i_4\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gen_master_slots[2].r_issuing_cnt[18]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \gen_master_slots[2].r_issuing_cnt[19]_i_2\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \gen_master_slots[2].r_issuing_cnt[19]_i_4\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gen_master_slots[3].r_issuing_cnt[26]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \gen_master_slots[3].r_issuing_cnt[27]_i_2\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \gen_master_slots[3].r_issuing_cnt[27]_i_4\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_target_hot_i[0]_i_1__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_target_hot_i[4]_i_2__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_valid_i_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \m_axi_arvalid[0]_INST_0\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \m_axi_arvalid[1]_INST_0\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \m_axi_arvalid[2]_INST_0\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \m_axi_arvalid[3]_INST_0\ : label is "soft_lutpair2"; begin ADDRESS_HIT_0 <= \^address_hit_0\; D(2 downto 0) <= \^d\(2 downto 0); Q(0) <= \^q\(0); aa_mi_arvalid <= \^aa_mi_arvalid\; \m_axi_arqos[15]\(68 downto 0) <= \^m_axi_arqos[15]\(68 downto 0); match <= \^match\; \gen_axi.s_axi_rid_i[11]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \^q\(0), I1 => \^aa_mi_arvalid\, I2 => mi_arready_4, I3 => p_23_in, O => \gen_axi.s_axi_rid_i_reg[11]\(0) ); \gen_axi.s_axi_rlast_i_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"55035500" ) port map ( I0 => \read_cs__0\, I1 => \^m_axi_arqos[15]\(45), I2 => \^m_axi_arqos[15]\(44), I3 => p_23_in, I4 => \gen_axi.s_axi_rlast_i_i_5_n_0\, O => s_axi_rlast_i0 ); \gen_axi.s_axi_rlast_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \^m_axi_arqos[15]\(46), I1 => \^m_axi_arqos[15]\(47), I2 => \^m_axi_arqos[15]\(48), I3 => \^m_axi_arqos[15]\(49), I4 => \^m_axi_arqos[15]\(51), I5 => \^m_axi_arqos[15]\(50), O => \gen_axi.s_axi_rlast_i_i_5_n_0\ ); \gen_master_slots[0].r_issuing_cnt[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9AAAAAAA65555555" ) port map ( I0 => r_issuing_cnt(0), I1 => \r_cmd_pop_0__1\, I2 => m_axi_arready(0), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(0), I5 => r_issuing_cnt(1), O => \gen_master_slots[0].r_issuing_cnt_reg[3]\(0) ); \gen_master_slots[0].r_issuing_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \gen_master_slots[0].r_issuing_cnt[3]_i_5_n_0\, I1 => r_issuing_cnt(1), I2 => r_issuing_cnt(2), O => \gen_master_slots[0].r_issuing_cnt_reg[3]\(1) ); \gen_master_slots[0].r_issuing_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => r_issuing_cnt(1), I1 => \gen_master_slots[0].r_issuing_cnt[3]_i_5_n_0\, I2 => r_issuing_cnt(3), I3 => r_issuing_cnt(2), O => \gen_master_slots[0].r_issuing_cnt_reg[3]\(2) ); \gen_master_slots[0].r_issuing_cnt[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => m_axi_arready(0), I1 => \^aa_mi_arvalid\, I2 => aa_mi_artarget_hot(0), O => p_93_in ); \gen_master_slots[0].r_issuing_cnt[3]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"20000000BAAAAAAA" ) port map ( I0 => r_issuing_cnt(0), I1 => \r_cmd_pop_0__1\, I2 => m_axi_arready(0), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(0), I5 => r_issuing_cnt(1), O => \gen_master_slots[0].r_issuing_cnt[3]_i_5_n_0\ ); \gen_master_slots[1].r_issuing_cnt[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \gen_master_slots[1].r_issuing_cnt[11]_i_5_n_0\, I1 => r_issuing_cnt(5), I2 => r_issuing_cnt(6), O => \gen_master_slots[1].r_issuing_cnt_reg[11]\(1) ); \gen_master_slots[1].r_issuing_cnt[11]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => r_issuing_cnt(5), I1 => \gen_master_slots[1].r_issuing_cnt[11]_i_5_n_0\, I2 => r_issuing_cnt(7), I3 => r_issuing_cnt(6), O => \gen_master_slots[1].r_issuing_cnt_reg[11]\(2) ); \gen_master_slots[1].r_issuing_cnt[11]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => m_axi_arready(1), I1 => \^aa_mi_arvalid\, I2 => aa_mi_artarget_hot(1), O => p_75_in ); \gen_master_slots[1].r_issuing_cnt[11]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"20000000BAAAAAAA" ) port map ( I0 => r_issuing_cnt(4), I1 => \r_cmd_pop_1__1\, I2 => m_axi_arready(1), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(1), I5 => r_issuing_cnt(5), O => \gen_master_slots[1].r_issuing_cnt[11]_i_5_n_0\ ); \gen_master_slots[1].r_issuing_cnt[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9AAAAAAA65555555" ) port map ( I0 => r_issuing_cnt(4), I1 => \r_cmd_pop_1__1\, I2 => m_axi_arready(1), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(1), I5 => r_issuing_cnt(5), O => \gen_master_slots[1].r_issuing_cnt_reg[11]\(0) ); \gen_master_slots[2].r_issuing_cnt[17]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9AAAAAAA65555555" ) port map ( I0 => r_issuing_cnt(8), I1 => \r_cmd_pop_2__1\, I2 => m_axi_arready(2), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(2), I5 => r_issuing_cnt(9), O => \gen_master_slots[2].r_issuing_cnt_reg[19]\(0) ); \gen_master_slots[2].r_issuing_cnt[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \gen_master_slots[2].r_issuing_cnt[19]_i_5_n_0\, I1 => r_issuing_cnt(9), I2 => r_issuing_cnt(10), O => \gen_master_slots[2].r_issuing_cnt_reg[19]\(1) ); \gen_master_slots[2].r_issuing_cnt[19]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => r_issuing_cnt(9), I1 => \gen_master_slots[2].r_issuing_cnt[19]_i_5_n_0\, I2 => r_issuing_cnt(11), I3 => r_issuing_cnt(10), O => \gen_master_slots[2].r_issuing_cnt_reg[19]\(2) ); \gen_master_slots[2].r_issuing_cnt[19]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => m_axi_arready(2), I1 => \^aa_mi_arvalid\, I2 => aa_mi_artarget_hot(2), O => p_57_in ); \gen_master_slots[2].r_issuing_cnt[19]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"20000000BAAAAAAA" ) port map ( I0 => r_issuing_cnt(8), I1 => \r_cmd_pop_2__1\, I2 => m_axi_arready(2), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(2), I5 => r_issuing_cnt(9), O => \gen_master_slots[2].r_issuing_cnt[19]_i_5_n_0\ ); \gen_master_slots[3].r_issuing_cnt[25]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9AAAAAAA65555555" ) port map ( I0 => r_issuing_cnt(12), I1 => \r_cmd_pop_3__1\, I2 => m_axi_arready(3), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(3), I5 => r_issuing_cnt(13), O => \gen_master_slots[3].r_issuing_cnt_reg[27]\(0) ); \gen_master_slots[3].r_issuing_cnt[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \gen_master_slots[3].r_issuing_cnt[27]_i_5_n_0\, I1 => r_issuing_cnt(13), I2 => r_issuing_cnt(14), O => \gen_master_slots[3].r_issuing_cnt_reg[27]\(1) ); \gen_master_slots[3].r_issuing_cnt[27]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => r_issuing_cnt(13), I1 => \gen_master_slots[3].r_issuing_cnt[27]_i_5_n_0\, I2 => r_issuing_cnt(15), I3 => r_issuing_cnt(14), O => \gen_master_slots[3].r_issuing_cnt_reg[27]\(2) ); \gen_master_slots[3].r_issuing_cnt[27]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => m_axi_arready(3), I1 => \^aa_mi_arvalid\, I2 => aa_mi_artarget_hot(3), O => p_39_in ); \gen_master_slots[3].r_issuing_cnt[27]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"20000000BAAAAAAA" ) port map ( I0 => r_issuing_cnt(12), I1 => \r_cmd_pop_3__1\, I2 => m_axi_arready(3), I3 => \^aa_mi_arvalid\, I4 => aa_mi_artarget_hot(3), I5 => r_issuing_cnt(13), O => \gen_master_slots[3].r_issuing_cnt[27]_i_5_n_0\ ); \gen_master_slots[4].r_issuing_cnt[32]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"807F0080" ) port map ( I0 => \^q\(0), I1 => \^aa_mi_arvalid\, I2 => mi_arready_4, I3 => \r_cmd_pop_4__1\, I4 => r_issuing_cnt(16), O => \gen_master_slots[4].r_issuing_cnt_reg[32]\ ); \gen_no_arbiter.m_mesg_i[11]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^aa_mi_arvalid\, O => s_ready_i2 ); \gen_no_arbiter.m_mesg_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(0), Q => \^m_axi_arqos[15]\(0), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(10), Q => \^m_axi_arqos[15]\(10), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(11), Q => \^m_axi_arqos[15]\(11), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(12), Q => \^m_axi_arqos[15]\(12), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(13), Q => \^m_axi_arqos[15]\(13), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(14), Q => \^m_axi_arqos[15]\(14), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(15), Q => \^m_axi_arqos[15]\(15), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(16), Q => \^m_axi_arqos[15]\(16), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(17), Q => \^m_axi_arqos[15]\(17), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(18), Q => \^m_axi_arqos[15]\(18), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(19), Q => \^m_axi_arqos[15]\(19), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(1), Q => \^m_axi_arqos[15]\(1), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(20), Q => \^m_axi_arqos[15]\(20), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(21), Q => \^m_axi_arqos[15]\(21), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(22), Q => \^m_axi_arqos[15]\(22), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(23), Q => \^m_axi_arqos[15]\(23), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(24), Q => \^m_axi_arqos[15]\(24), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(25), Q => \^m_axi_arqos[15]\(25), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(26), Q => \^m_axi_arqos[15]\(26), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(27), Q => \^m_axi_arqos[15]\(27), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(28), Q => \^m_axi_arqos[15]\(28), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(29), Q => \^m_axi_arqos[15]\(29), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(2), Q => \^m_axi_arqos[15]\(2), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(30), Q => \^m_axi_arqos[15]\(30), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(31), Q => \^m_axi_arqos[15]\(31), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(32), Q => \^m_axi_arqos[15]\(32), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(33), Q => \^m_axi_arqos[15]\(33), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(34), Q => \^m_axi_arqos[15]\(34), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(35), Q => \^m_axi_arqos[15]\(35), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(36), Q => \^m_axi_arqos[15]\(36), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(37), Q => \^m_axi_arqos[15]\(37), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(38), Q => \^m_axi_arqos[15]\(38), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(39), Q => \^m_axi_arqos[15]\(39), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(3), Q => \^m_axi_arqos[15]\(3), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(40), Q => \^m_axi_arqos[15]\(40), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(41), Q => \^m_axi_arqos[15]\(41), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(42), Q => \^m_axi_arqos[15]\(42), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(43), Q => \^m_axi_arqos[15]\(43), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(44), Q => \^m_axi_arqos[15]\(44), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(45), Q => \^m_axi_arqos[15]\(45), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(46), Q => \^m_axi_arqos[15]\(46), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(47), Q => \^m_axi_arqos[15]\(47), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(48), Q => \^m_axi_arqos[15]\(48), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(49), Q => \^m_axi_arqos[15]\(49), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(4), Q => \^m_axi_arqos[15]\(4), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(50), Q => \^m_axi_arqos[15]\(50), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(51), Q => \^m_axi_arqos[15]\(51), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[52]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(52), Q => \^m_axi_arqos[15]\(52), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(53), Q => \^m_axi_arqos[15]\(53), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(54), Q => \^m_axi_arqos[15]\(54), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(55), Q => \^m_axi_arqos[15]\(55), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(56), Q => \^m_axi_arqos[15]\(56), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(57), Q => \^m_axi_arqos[15]\(57), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(58), Q => \^m_axi_arqos[15]\(58), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(5), Q => \^m_axi_arqos[15]\(5), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(59), Q => \^m_axi_arqos[15]\(59), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[65]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(60), Q => \^m_axi_arqos[15]\(60), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[66]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(61), Q => \^m_axi_arqos[15]\(61), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[67]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(62), Q => \^m_axi_arqos[15]\(62), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[68]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(63), Q => \^m_axi_arqos[15]\(63), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[69]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(64), Q => \^m_axi_arqos[15]\(64), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(6), Q => \^m_axi_arqos[15]\(6), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[70]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(65), Q => \^m_axi_arqos[15]\(65), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[71]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(66), Q => \^m_axi_arqos[15]\(66), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[72]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(67), Q => \^m_axi_arqos[15]\(67), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[73]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(68), Q => \^m_axi_arqos[15]\(68), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(7), Q => \^m_axi_arqos[15]\(7), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(8), Q => \^m_axi_arqos[15]\(8), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_arqos[3]\(9), Q => \^m_axi_arqos[15]\(9), R => SR(0) ); \gen_no_arbiter.m_target_hot_i[0]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^address_hit_0\, I1 => \^match\, O => st_aa_artarget_hot(0) ); \gen_no_arbiter.m_target_hot_i[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \s_axi_arqos[3]\(29), I1 => \s_axi_arqos[3]\(28), I2 => \s_axi_arqos[3]\(31), I3 => \s_axi_arqos[3]\(30), I4 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\, I5 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^address_hit_0\ ); \gen_no_arbiter.m_target_hot_i[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000002000000000" ) port map ( I0 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\, I1 => \s_axi_arqos[3]\(29), I2 => \s_axi_arqos[3]\(28), I3 => \s_axi_arqos[3]\(31), I4 => \s_axi_arqos[3]\(30), I5 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(0) ); \gen_no_arbiter.m_target_hot_i[1]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000001000000000" ) port map ( I0 => \s_axi_arqos[3]\(34), I1 => \s_axi_arqos[3]\(35), I2 => \s_axi_arqos[3]\(33), I3 => \s_axi_arqos[3]\(32), I4 => \s_axi_arqos[3]\(37), I5 => \s_axi_arqos[3]\(36), O => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\ ); \gen_no_arbiter.m_target_hot_i[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \s_axi_arqos[3]\(29), I1 => \s_axi_arqos[3]\(28), I2 => \s_axi_arqos[3]\(31), I3 => \s_axi_arqos[3]\(30), I4 => \gen_no_arbiter.m_target_hot_i[2]_i_2__0_n_0\, I5 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(1) ); \gen_no_arbiter.m_target_hot_i[2]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000200000000" ) port map ( I0 => \s_axi_arqos[3]\(35), I1 => \s_axi_arqos[3]\(34), I2 => \s_axi_arqos[3]\(32), I3 => \s_axi_arqos[3]\(33), I4 => \s_axi_arqos[3]\(36), I5 => \s_axi_arqos[3]\(37), O => \gen_no_arbiter.m_target_hot_i[2]_i_2__0_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0002000000000000" ) port map ( I0 => \gen_no_arbiter.m_target_hot_i[3]_i_2__0_n_0\, I1 => \s_axi_arqos[3]\(25), I2 => \s_axi_arqos[3]\(26), I3 => \s_axi_arqos[3]\(27), I4 => \gen_no_arbiter.m_target_hot_i[3]_i_3__0_n_0\, I5 => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(2) ); \gen_no_arbiter.m_target_hot_i[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \s_axi_arqos[3]\(32), I1 => \s_axi_arqos[3]\(33), I2 => \s_axi_arqos[3]\(34), I3 => \s_axi_arqos[3]\(35), I4 => \s_axi_arqos[3]\(37), I5 => \s_axi_arqos[3]\(36), O => \gen_no_arbiter.m_target_hot_i[3]_i_2__0_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \s_axi_arqos[3]\(31), I1 => \s_axi_arqos[3]\(30), I2 => \s_axi_arqos[3]\(29), I3 => \s_axi_arqos[3]\(28), O => \gen_no_arbiter.m_target_hot_i[3]_i_3__0_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000100000000" ) port map ( I0 => \s_axi_arqos[3]\(40), I1 => \s_axi_arqos[3]\(41), I2 => \s_axi_arqos[3]\(38), I3 => \s_axi_arqos[3]\(39), I4 => \s_axi_arqos[3]\(43), I5 => \s_axi_arqos[3]\(42), O => \gen_slave_slots[0].gen_si_read.si_transactor_ar/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\ ); \gen_no_arbiter.m_target_hot_i[4]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^d\(1), I1 => \^d\(2), I2 => \^d\(0), I3 => \^address_hit_0\, O => \^match\ ); \gen_no_arbiter.m_target_hot_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => st_aa_artarget_hot(0), Q => aa_mi_artarget_hot(0), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(0), Q => aa_mi_artarget_hot(1), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(1), Q => aa_mi_artarget_hot(2), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(2), Q => aa_mi_artarget_hot(3), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \s_axi_araddr[24]\(0), Q => \^q\(0), R => '0' ); \gen_no_arbiter.m_valid_i_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"DC" ) port map ( I0 => \gen_no_arbiter.m_valid_i_i_2_n_0\, I1 => m_valid_i, I2 => \^aa_mi_arvalid\, O => \gen_no_arbiter.m_valid_i_i_1_n_0\ ); \gen_no_arbiter.m_valid_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF88800000000" ) port map ( I0 => m_axi_arready(2), I1 => aa_mi_artarget_hot(2), I2 => m_axi_arready(1), I3 => aa_mi_artarget_hot(1), I4 => \gen_no_arbiter.m_valid_i_i_3_n_0\, I5 => \^aa_mi_arvalid\, O => \gen_no_arbiter.m_valid_i_i_2_n_0\ ); \gen_no_arbiter.m_valid_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF888F888F888" ) port map ( I0 => aa_mi_artarget_hot(0), I1 => m_axi_arready(0), I2 => \^q\(0), I3 => mi_arready_4, I4 => m_axi_arready(3), I5 => aa_mi_artarget_hot(3), O => \gen_no_arbiter.m_valid_i_i_3_n_0\ ); \gen_no_arbiter.m_valid_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_no_arbiter.m_valid_i_i_1_n_0\, Q => \^aa_mi_arvalid\, R => SR(0) ); \gen_no_arbiter.s_ready_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => E(0), Q => S_AXI_ARREADY(0), R => '0' ); \m_axi_arvalid[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => aa_mi_artarget_hot(0), I1 => \^aa_mi_arvalid\, O => m_axi_arvalid(0) ); \m_axi_arvalid[1]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => aa_mi_artarget_hot(1), I1 => \^aa_mi_arvalid\, O => m_axi_arvalid(1) ); \m_axi_arvalid[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => aa_mi_artarget_hot(2), I1 => \^aa_mi_arvalid\, O => m_axi_arvalid(2) ); \m_axi_arvalid[3]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => aa_mi_artarget_hot(3), I1 => \^aa_mi_arvalid\, O => m_axi_arvalid(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_addr_arbiter_0 is port ( ss_aa_awready : out STD_LOGIC; aa_sa_awvalid : out STD_LOGIC; \mi_awready_mux__3\ : out STD_LOGIC; \s_ready_i0__1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); p_84_in : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 4 downto 0 ); p_66_in : out STD_LOGIC; p_48_in : out STD_LOGIC; p_101_in : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); write_cs01_out : out STD_LOGIC; ADDRESS_HIT_0 : out STD_LOGIC; match : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]_0\ : out STD_LOGIC; \sa_wm_awready_mux__3\ : out STD_LOGIC; \gen_master_slots[4].w_issuing_cnt_reg[32]\ : out STD_LOGIC; \m_axi_awqos[15]\ : out STD_LOGIC_VECTOR ( 68 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_ready_d : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_valid_i : in STD_LOGIC; m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); mi_awready_4 : in STD_LOGIC; \s_axi_awqos[3]\ : in STD_LOGIC_VECTOR ( 68 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_ready_d_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); p_46_out : in STD_LOGIC; \chosen_reg[4]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); w_issuing_cnt : in STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_awaddr[24]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_addr_arbiter_0 : entity is "axi_crossbar_v2_1_14_addr_arbiter"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_addr_arbiter_0; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_addr_arbiter_0 is signal \^address_hit_0\ : STD_LOGIC; signal \^d\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \^aa_sa_awvalid\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[2]_i_2_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[3]_i_2_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_target_hot_i[3]_i_3_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_1__0_n_0\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\ : STD_LOGIC; signal \m_ready_d[1]_i_4_n_0\ : STD_LOGIC; signal \^match\ : STD_LOGIC; signal \^mi_awready_mux__3\ : STD_LOGIC; signal \^s_ready_i0__1\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal s_ready_i2 : STD_LOGIC; signal \^ss_aa_awready\ : STD_LOGIC; signal st_aa_awtarget_hot : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^write_cs01_out\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_axi.s_axi_wready_i_i_2\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \gen_master_slots[0].w_issuing_cnt[3]_i_4\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gen_master_slots[1].w_issuing_cnt[11]_i_4\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \gen_master_slots[3].w_issuing_cnt[27]_i_4\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_target_hot_i[0]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_target_hot_i[4]_i_2\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \m_axi_awvalid[0]_INST_0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \m_axi_awvalid[1]_INST_0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \m_axi_awvalid[2]_INST_0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \m_axi_awvalid[3]_INST_0\ : label is "soft_lutpair14"; begin ADDRESS_HIT_0 <= \^address_hit_0\; D(2 downto 0) <= \^d\(2 downto 0); Q(4 downto 0) <= \^q\(4 downto 0); aa_sa_awvalid <= \^aa_sa_awvalid\; match <= \^match\; \mi_awready_mux__3\ <= \^mi_awready_mux__3\; \s_ready_i0__1\(0) <= \^s_ready_i0__1\(0); ss_aa_awready <= \^ss_aa_awready\; write_cs01_out <= \^write_cs01_out\; \gen_axi.s_axi_wready_i_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => mi_awready_4, I1 => \^q\(4), I2 => \^aa_sa_awvalid\, I3 => m_ready_d(1), O => \^write_cs01_out\ ); \gen_master_slots[0].w_issuing_cnt[3]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => m_axi_awready(0), I1 => \^q\(0), I2 => \^aa_sa_awvalid\, I3 => m_ready_d(1), O => p_101_in ); \gen_master_slots[1].w_issuing_cnt[11]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => m_axi_awready(1), I1 => \^q\(1), I2 => \^aa_sa_awvalid\, I3 => m_ready_d(1), O => p_84_in ); \gen_master_slots[2].w_issuing_cnt[19]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => m_axi_awready(2), I1 => \^q\(2), I2 => \^aa_sa_awvalid\, I3 => m_ready_d(1), O => p_66_in ); \gen_master_slots[3].w_issuing_cnt[27]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => m_axi_awready(3), I1 => \^q\(3), I2 => \^aa_sa_awvalid\, I3 => m_ready_d(1), O => p_48_in ); \gen_master_slots[4].w_issuing_cnt[32]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"95552AAA" ) port map ( I0 => \^write_cs01_out\, I1 => s_axi_bready(0), I2 => p_46_out, I3 => \chosen_reg[4]\(0), I4 => w_issuing_cnt(0), O => \gen_master_slots[4].w_issuing_cnt_reg[32]\ ); \gen_no_arbiter.m_mesg_i[11]_i_2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^aa_sa_awvalid\, O => s_ready_i2 ); \gen_no_arbiter.m_mesg_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(0), Q => \m_axi_awqos[15]\(0), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(10), Q => \m_axi_awqos[15]\(10), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(11), Q => \m_axi_awqos[15]\(11), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(12), Q => \m_axi_awqos[15]\(12), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(13), Q => \m_axi_awqos[15]\(13), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(14), Q => \m_axi_awqos[15]\(14), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(15), Q => \m_axi_awqos[15]\(15), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(16), Q => \m_axi_awqos[15]\(16), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(17), Q => \m_axi_awqos[15]\(17), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(18), Q => \m_axi_awqos[15]\(18), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(19), Q => \m_axi_awqos[15]\(19), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(1), Q => \m_axi_awqos[15]\(1), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(20), Q => \m_axi_awqos[15]\(20), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(21), Q => \m_axi_awqos[15]\(21), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(22), Q => \m_axi_awqos[15]\(22), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(23), Q => \m_axi_awqos[15]\(23), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(24), Q => \m_axi_awqos[15]\(24), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(25), Q => \m_axi_awqos[15]\(25), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(26), Q => \m_axi_awqos[15]\(26), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(27), Q => \m_axi_awqos[15]\(27), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(28), Q => \m_axi_awqos[15]\(28), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(29), Q => \m_axi_awqos[15]\(29), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(2), Q => \m_axi_awqos[15]\(2), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(30), Q => \m_axi_awqos[15]\(30), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(31), Q => \m_axi_awqos[15]\(31), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(32), Q => \m_axi_awqos[15]\(32), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(33), Q => \m_axi_awqos[15]\(33), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(34), Q => \m_axi_awqos[15]\(34), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(35), Q => \m_axi_awqos[15]\(35), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(36), Q => \m_axi_awqos[15]\(36), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(37), Q => \m_axi_awqos[15]\(37), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(38), Q => \m_axi_awqos[15]\(38), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(39), Q => \m_axi_awqos[15]\(39), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(3), Q => \m_axi_awqos[15]\(3), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(40), Q => \m_axi_awqos[15]\(40), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(41), Q => \m_axi_awqos[15]\(41), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(42), Q => \m_axi_awqos[15]\(42), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(43), Q => \m_axi_awqos[15]\(43), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(44), Q => \m_axi_awqos[15]\(44), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(45), Q => \m_axi_awqos[15]\(45), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(46), Q => \m_axi_awqos[15]\(46), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(47), Q => \m_axi_awqos[15]\(47), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(48), Q => \m_axi_awqos[15]\(48), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(49), Q => \m_axi_awqos[15]\(49), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(4), Q => \m_axi_awqos[15]\(4), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(50), Q => \m_axi_awqos[15]\(50), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(51), Q => \m_axi_awqos[15]\(51), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[52]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(52), Q => \m_axi_awqos[15]\(52), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(53), Q => \m_axi_awqos[15]\(53), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(54), Q => \m_axi_awqos[15]\(54), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(55), Q => \m_axi_awqos[15]\(55), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(56), Q => \m_axi_awqos[15]\(56), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(57), Q => \m_axi_awqos[15]\(57), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(58), Q => \m_axi_awqos[15]\(58), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(5), Q => \m_axi_awqos[15]\(5), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(59), Q => \m_axi_awqos[15]\(59), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[65]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(60), Q => \m_axi_awqos[15]\(60), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[66]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(61), Q => \m_axi_awqos[15]\(61), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[67]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(62), Q => \m_axi_awqos[15]\(62), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[68]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(63), Q => \m_axi_awqos[15]\(63), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[69]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(64), Q => \m_axi_awqos[15]\(64), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(6), Q => \m_axi_awqos[15]\(6), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[70]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(65), Q => \m_axi_awqos[15]\(65), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[71]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(66), Q => \m_axi_awqos[15]\(66), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[72]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(67), Q => \m_axi_awqos[15]\(67), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[73]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(68), Q => \m_axi_awqos[15]\(68), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(7), Q => \m_axi_awqos[15]\(7), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(8), Q => \m_axi_awqos[15]\(8), R => SR(0) ); \gen_no_arbiter.m_mesg_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => s_ready_i2, D => \s_axi_awqos[3]\(9), Q => \m_axi_awqos[15]\(9), R => SR(0) ); \gen_no_arbiter.m_target_hot_i[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^address_hit_0\, I1 => \^match\, O => st_aa_awtarget_hot(0) ); \gen_no_arbiter.m_target_hot_i[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \s_axi_awqos[3]\(29), I1 => \s_axi_awqos[3]\(28), I2 => \s_axi_awqos[3]\(31), I3 => \s_axi_awqos[3]\(30), I4 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\, I5 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^address_hit_0\ ); \gen_no_arbiter.m_target_hot_i[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000002000000000" ) port map ( I0 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\, I1 => \s_axi_awqos[3]\(29), I2 => \s_axi_awqos[3]\(28), I3 => \s_axi_awqos[3]\(31), I4 => \s_axi_awqos[3]\(30), I5 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(0) ); \gen_no_arbiter.m_target_hot_i[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000001000000000" ) port map ( I0 => \s_axi_awqos[3]\(34), I1 => \s_axi_awqos[3]\(35), I2 => \s_axi_awqos[3]\(33), I3 => \s_axi_awqos[3]\(32), I4 => \s_axi_awqos[3]\(37), I5 => \s_axi_awqos[3]\(36), O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[1].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_3\ ); \gen_no_arbiter.m_target_hot_i[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \s_axi_awqos[3]\(29), I1 => \s_axi_awqos[3]\(28), I2 => \s_axi_awqos[3]\(31), I3 => \s_axi_awqos[3]\(30), I4 => \gen_no_arbiter.m_target_hot_i[2]_i_2_n_0\, I5 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(1) ); \gen_no_arbiter.m_target_hot_i[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000200000000" ) port map ( I0 => \s_axi_awqos[3]\(35), I1 => \s_axi_awqos[3]\(34), I2 => \s_axi_awqos[3]\(32), I3 => \s_axi_awqos[3]\(33), I4 => \s_axi_awqos[3]\(36), I5 => \s_axi_awqos[3]\(37), O => \gen_no_arbiter.m_target_hot_i[2]_i_2_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0002000000000000" ) port map ( I0 => \gen_no_arbiter.m_target_hot_i[3]_i_2_n_0\, I1 => \s_axi_awqos[3]\(25), I2 => \s_axi_awqos[3]\(26), I3 => \s_axi_awqos[3]\(27), I4 => \gen_no_arbiter.m_target_hot_i[3]_i_3_n_0\, I5 => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\, O => \^d\(2) ); \gen_no_arbiter.m_target_hot_i[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \s_axi_awqos[3]\(32), I1 => \s_axi_awqos[3]\(33), I2 => \s_axi_awqos[3]\(34), I3 => \s_axi_awqos[3]\(35), I4 => \s_axi_awqos[3]\(37), I5 => \s_axi_awqos[3]\(36), O => \gen_no_arbiter.m_target_hot_i[3]_i_2_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \s_axi_awqos[3]\(31), I1 => \s_axi_awqos[3]\(30), I2 => \s_axi_awqos[3]\(29), I3 => \s_axi_awqos[3]\(28), O => \gen_no_arbiter.m_target_hot_i[3]_i_3_n_0\ ); \gen_no_arbiter.m_target_hot_i[3]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000100000000" ) port map ( I0 => \s_axi_awqos[3]\(40), I1 => \s_axi_awqos[3]\(41), I2 => \s_axi_awqos[3]\(38), I3 => \s_axi_awqos[3]\(39), I4 => \s_axi_awqos[3]\(43), I5 => \s_axi_awqos[3]\(42), O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_addr_decoder.addr_decoder_inst/gen_target[3].gen_region[0].gen_comparator_static.gen_addr_range.addr_decode_comparator/sel_4\ ); \gen_no_arbiter.m_target_hot_i[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^d\(1), I1 => \^d\(2), I2 => \^d\(0), I3 => \^address_hit_0\, O => \^match\ ); \gen_no_arbiter.m_target_hot_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => st_aa_awtarget_hot(0), Q => \^q\(0), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(0), Q => \^q\(1), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(1), Q => \^q\(2), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \^d\(2), Q => \^q\(3), R => '0' ); \gen_no_arbiter.m_target_hot_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \s_axi_awaddr[24]\(0), Q => \^q\(4), R => '0' ); \gen_no_arbiter.m_valid_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFF1F00" ) port map ( I0 => m_ready_d(1), I1 => \^mi_awready_mux__3\, I2 => \^s_ready_i0__1\(0), I3 => \^aa_sa_awvalid\, I4 => m_valid_i, O => \gen_no_arbiter.m_valid_i_i_1__0_n_0\ ); \gen_no_arbiter.m_valid_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_no_arbiter.m_valid_i_i_1__0_n_0\, Q => \^aa_sa_awvalid\, R => SR(0) ); \gen_no_arbiter.s_ready_i[0]_i_34\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^ss_aa_awready\, I1 => s_axi_awvalid(0), I2 => m_ready_d_0(0), O => \gen_no_arbiter.s_ready_i_reg[0]_0\ ); \gen_no_arbiter.s_ready_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => E(0), Q => \^ss_aa_awready\, R => '0' ); \m_axi_awvalid[0]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(0), I1 => \^aa_sa_awvalid\, I2 => m_ready_d(1), O => m_axi_awvalid(0) ); \m_axi_awvalid[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(1), I1 => \^aa_sa_awvalid\, I2 => m_ready_d(1), O => m_axi_awvalid(1) ); \m_axi_awvalid[2]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(2), I1 => \^aa_sa_awvalid\, I2 => m_ready_d(1), O => m_axi_awvalid(2) ); \m_axi_awvalid[3]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(3), I1 => \^aa_sa_awvalid\, I2 => m_ready_d(1), O => m_axi_awvalid(3) ); \m_ready_d[0]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^q\(4), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(2), I4 => \^q\(3), O => \sa_wm_awready_mux__3\ ); \m_ready_d[1]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFEAEAEA" ) port map ( I0 => \m_ready_d[1]_i_4_n_0\, I1 => \^q\(1), I2 => m_axi_awready(1), I3 => \^q\(2), I4 => m_axi_awready(2), O => \^mi_awready_mux__3\ ); \m_ready_d[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => m_ready_d(0), I1 => \^q\(3), I2 => \^q\(2), I3 => \^q\(1), I4 => \^q\(0), I5 => \^q\(4), O => \^s_ready_i0__1\(0) ); \m_ready_d[1]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF888F888F888" ) port map ( I0 => \^q\(0), I1 => m_axi_awready(0), I2 => \^q\(4), I3 => mi_awready_4, I4 => m_axi_awready(3), I5 => \^q\(3), O => \m_ready_d[1]_i_4_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_arbiter_resp is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[2].w_issuing_cnt_reg[16]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[3].w_issuing_cnt_reg[24]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[0].w_issuing_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); SR : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i : out STD_LOGIC; \s_axi_bvalid[0]\ : out STD_LOGIC; resp_select : out STD_LOGIC_VECTOR ( 0 to 0 ); s_ready_i_reg : out STD_LOGIC_VECTOR ( 4 downto 0 ); f_mux4_return : out STD_LOGIC_VECTOR ( 13 downto 0 ); w_issuing_cnt : in STD_LOGIC_VECTOR ( 16 downto 0 ); p_84_in : in STD_LOGIC; p_66_in : in STD_LOGIC; p_48_in : in STD_LOGIC; p_101_in : in STD_LOGIC; aresetn_d : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\ : in STD_LOGIC; aa_sa_awvalid : in STD_LOGIC; match : in STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]_0\ : in STD_LOGIC; \gen_multi_thread.accept_cnt_reg[0]\ : in STD_LOGIC; p_0_out : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); p_46_out : in STD_LOGIC; p_128_out : in STD_LOGIC; p_108_out : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC; st_mr_bid : in STD_LOGIC_VECTOR ( 47 downto 0 ); st_mr_bmesg : in STD_LOGIC_VECTOR ( 7 downto 0 ); p_68_out : in STD_LOGIC; p_88_out : in STD_LOGIC; m_valid_i_reg_0 : in STD_LOGIC; \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ADDRESS_HIT_0 : in STD_LOGIC; aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_arbiter_resp : entity is "axi_crossbar_v2_1_14_arbiter_resp"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_arbiter_resp; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_arbiter_resp is signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gen_no_arbiter.s_ready_i[0]_i_24_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_25_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_26_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_36_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_37_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_38_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_39_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_6_n_0\ : STD_LOGIC; signal last_rr_hot : STD_LOGIC; signal \last_rr_hot[0]_i_2__0_n_0\ : STD_LOGIC; signal \last_rr_hot[0]_i_3__0_n_0\ : STD_LOGIC; signal \last_rr_hot[1]_i_2__0_n_0\ : STD_LOGIC; signal \last_rr_hot[2]_i_3__0_n_0\ : STD_LOGIC; signal \last_rr_hot[3]_i_2__0_n_0\ : STD_LOGIC; signal \last_rr_hot[3]_i_3__0_n_0\ : STD_LOGIC; signal \last_rr_hot[4]_i_4__0_n_0\ : STD_LOGIC; signal \last_rr_hot[4]_i_5__0_n_0\ : STD_LOGIC; signal \last_rr_hot_reg_n_0_[0]\ : STD_LOGIC; signal \^m_valid_i\ : STD_LOGIC; signal need_arbitration : STD_LOGIC; signal next_rr_hot : STD_LOGIC_VECTOR ( 4 downto 0 ); signal p_5_in6_in : STD_LOGIC; signal p_6_in : STD_LOGIC; signal p_7_in9_in : STD_LOGIC; signal p_8_in : STD_LOGIC; signal \^resp_select\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \resp_select__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_bvalid[0]\ : STD_LOGIC; signal \^s_ready_i_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \w_cmd_pop_0__0\ : STD_LOGIC; signal \w_cmd_pop_1__0\ : STD_LOGIC; signal \w_cmd_pop_2__0\ : STD_LOGIC; signal \w_cmd_pop_3__0\ : STD_LOGIC; signal \w_cmd_pop_4__0\ : STD_LOGIC; attribute use_clock_enable : string; attribute use_clock_enable of \chosen_reg[0]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[1]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[2]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[3]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[4]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_3\ : label is "soft_lutpair157"; attribute SOFT_HLUTNM of \gen_master_slots[0].w_issuing_cnt[3]_i_3\ : label is "soft_lutpair161"; attribute SOFT_HLUTNM of \gen_master_slots[1].w_issuing_cnt[11]_i_3\ : label is "soft_lutpair157"; attribute SOFT_HLUTNM of \gen_master_slots[2].w_issuing_cnt[19]_i_3\ : label is "soft_lutpair161"; attribute SOFT_HLUTNM of \gen_master_slots[3].w_issuing_cnt[27]_i_3\ : label is "soft_lutpair156"; attribute SOFT_HLUTNM of \last_rr_hot[0]_i_2__0\ : label is "soft_lutpair159"; attribute SOFT_HLUTNM of \last_rr_hot[0]_i_3__0\ : label is "soft_lutpair160"; attribute SOFT_HLUTNM of \last_rr_hot[1]_i_2__0\ : label is "soft_lutpair158"; attribute SOFT_HLUTNM of \last_rr_hot[2]_i_3__0\ : label is "soft_lutpair159"; attribute SOFT_HLUTNM of \last_rr_hot[3]_i_2__0\ : label is "soft_lutpair160"; attribute SOFT_HLUTNM of \last_rr_hot[3]_i_3__0\ : label is "soft_lutpair158"; attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_1\ : label is "soft_lutpair156"; begin SR(0) <= \^sr\(0); m_valid_i <= \^m_valid_i\; resp_select(0) <= \^resp_select\(0); \s_axi_bvalid[0]\ <= \^s_axi_bvalid[0]\; s_ready_i_reg(4 downto 0) <= \^s_ready_i_reg\(4 downto 0); \chosen[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBBBBBBBBBBB8" ) port map ( I0 => s_axi_bready(0), I1 => \^s_axi_bvalid[0]\, I2 => p_46_out, I3 => p_128_out, I4 => p_108_out, I5 => m_valid_i_reg, O => need_arbitration ); \chosen_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(0), Q => \^s_ready_i_reg\(0), R => \^sr\(0) ); \chosen_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(1), Q => \^s_ready_i_reg\(1), R => \^sr\(0) ); \chosen_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(2), Q => \^s_ready_i_reg\(2), R => \^sr\(0) ); \chosen_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(3), Q => \^s_ready_i_reg\(3), R => \^sr\(0) ); \chosen_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(4), Q => \^s_ready_i_reg\(4), R => \^sr\(0) ); \gen_fpga.gen_mux_5_8[0].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(36), I1 => st_mr_bid(0), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(24), I5 => st_mr_bid(12), O => f_mux4_return(0) ); \gen_fpga.gen_mux_5_8[10].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(46), I1 => st_mr_bid(10), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(34), I5 => st_mr_bid(22), O => f_mux4_return(10) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^s_ready_i_reg\(4), I1 => p_46_out, O => \^resp_select\(0) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(47), I1 => st_mr_bid(11), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(35), I5 => st_mr_bid(23), O => f_mux4_return(11) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => p_68_out, I1 => \^s_ready_i_reg\(3), I2 => p_108_out, I3 => \^s_ready_i_reg\(1), O => \resp_select__0\(0) ); \gen_fpga.gen_mux_5_8[12].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bmesg(6), I1 => st_mr_bmesg(0), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bmesg(4), I5 => st_mr_bmesg(2), O => f_mux4_return(12) ); \gen_fpga.gen_mux_5_8[13].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bmesg(7), I1 => st_mr_bmesg(1), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bmesg(5), I5 => st_mr_bmesg(3), O => f_mux4_return(13) ); \gen_fpga.gen_mux_5_8[1].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(37), I1 => st_mr_bid(1), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(25), I5 => st_mr_bid(13), O => f_mux4_return(1) ); \gen_fpga.gen_mux_5_8[2].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(38), I1 => st_mr_bid(2), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(26), I5 => st_mr_bid(14), O => f_mux4_return(2) ); \gen_fpga.gen_mux_5_8[3].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(39), I1 => st_mr_bid(3), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(27), I5 => st_mr_bid(15), O => f_mux4_return(3) ); \gen_fpga.gen_mux_5_8[4].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(40), I1 => st_mr_bid(4), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(28), I5 => st_mr_bid(16), O => f_mux4_return(4) ); \gen_fpga.gen_mux_5_8[5].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(41), I1 => st_mr_bid(5), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(29), I5 => st_mr_bid(17), O => f_mux4_return(5) ); \gen_fpga.gen_mux_5_8[6].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(42), I1 => st_mr_bid(6), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(30), I5 => st_mr_bid(18), O => f_mux4_return(6) ); \gen_fpga.gen_mux_5_8[7].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(43), I1 => st_mr_bid(7), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(31), I5 => st_mr_bid(19), O => f_mux4_return(7) ); \gen_fpga.gen_mux_5_8[8].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(44), I1 => st_mr_bid(8), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(32), I5 => st_mr_bid(20), O => f_mux4_return(8) ); \gen_fpga.gen_mux_5_8[9].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_bid(45), I1 => st_mr_bid(9), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_bid(33), I5 => st_mr_bid(21), O => f_mux4_return(9) ); \gen_master_slots[0].w_issuing_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => w_issuing_cnt(1), I1 => w_issuing_cnt(2), I2 => w_issuing_cnt(0), I3 => w_issuing_cnt(3), I4 => \w_cmd_pop_0__0\, I5 => p_101_in, O => \gen_master_slots[0].w_issuing_cnt_reg[0]\(0) ); \gen_master_slots[0].w_issuing_cnt[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_ready_i_reg\(0), I1 => p_128_out, I2 => s_axi_bready(0), O => \w_cmd_pop_0__0\ ); \gen_master_slots[1].w_issuing_cnt[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => w_issuing_cnt(5), I1 => w_issuing_cnt(6), I2 => w_issuing_cnt(4), I3 => w_issuing_cnt(7), I4 => \w_cmd_pop_1__0\, I5 => p_84_in, O => E(0) ); \gen_master_slots[1].w_issuing_cnt[11]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_ready_i_reg\(1), I1 => p_108_out, I2 => s_axi_bready(0), O => \w_cmd_pop_1__0\ ); \gen_master_slots[2].w_issuing_cnt[19]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => w_issuing_cnt(9), I1 => w_issuing_cnt(10), I2 => w_issuing_cnt(8), I3 => w_issuing_cnt(11), I4 => \w_cmd_pop_2__0\, I5 => p_66_in, O => \gen_master_slots[2].w_issuing_cnt_reg[16]\(0) ); \gen_master_slots[2].w_issuing_cnt[19]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_ready_i_reg\(2), I1 => p_88_out, I2 => s_axi_bready(0), O => \w_cmd_pop_2__0\ ); \gen_master_slots[3].w_issuing_cnt[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => w_issuing_cnt(13), I1 => w_issuing_cnt(14), I2 => w_issuing_cnt(12), I3 => w_issuing_cnt(15), I4 => \w_cmd_pop_3__0\, I5 => p_48_in, O => \gen_master_slots[3].w_issuing_cnt_reg[24]\(0) ); \gen_master_slots[3].w_issuing_cnt[27]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_ready_i_reg\(3), I1 => p_68_out, I2 => s_axi_bready(0), O => \w_cmd_pop_3__0\ ); \gen_no_arbiter.m_mesg_i[11]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aresetn_d, O => \^sr\(0) ); \gen_no_arbiter.s_ready_i[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^m_valid_i\, I1 => aresetn_d, O => \gen_no_arbiter.s_ready_i_reg[0]\(0) ); \gen_no_arbiter.s_ready_i[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000100" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\, I2 => \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\, I3 => \gen_no_arbiter.s_ready_i[0]_i_6_n_0\, I4 => \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\, I5 => aa_sa_awvalid, O => \^m_valid_i\ ); \gen_no_arbiter.s_ready_i[0]_i_24\: unisim.vcomponents.LUT6 generic map( INIT => X"A8888888AAAAAAAA" ) port map ( I0 => \gen_no_arbiter.s_ready_i_reg[0]_0\, I1 => \gen_multi_thread.accept_cnt_reg[0]\, I2 => \^s_axi_bvalid[0]\, I3 => p_0_out, I4 => s_axi_bready(0), I5 => Q(0), O => \gen_no_arbiter.s_ready_i[0]_i_24_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_25\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_36_n_0\, I1 => \s_axi_awaddr[30]\(0), I2 => ADDRESS_HIT_0, I3 => \gen_no_arbiter.s_ready_i[0]_i_37_n_0\, O => \gen_no_arbiter.s_ready_i[0]_i_25_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_26\: unisim.vcomponents.LUT4 generic map( INIT => X"ECA0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_38_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_39_n_0\, I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(2), O => \gen_no_arbiter.s_ready_i[0]_i_26_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_27\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_ready_i_reg\(4), I1 => p_46_out, I2 => s_axi_bready(0), O => \w_cmd_pop_4__0\ ); \gen_no_arbiter.s_ready_i[0]_i_36\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \w_cmd_pop_1__0\, I1 => w_issuing_cnt(4), I2 => w_issuing_cnt(7), I3 => w_issuing_cnt(5), I4 => w_issuing_cnt(6), O => \gen_no_arbiter.s_ready_i[0]_i_36_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_37\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \w_cmd_pop_0__0\, I1 => w_issuing_cnt(0), I2 => w_issuing_cnt(3), I3 => w_issuing_cnt(1), I4 => w_issuing_cnt(2), O => \gen_no_arbiter.s_ready_i[0]_i_37_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_38\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \w_cmd_pop_2__0\, I1 => w_issuing_cnt(8), I2 => w_issuing_cnt(11), I3 => w_issuing_cnt(9), I4 => w_issuing_cnt(10), O => \gen_no_arbiter.s_ready_i[0]_i_38_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_39\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \w_cmd_pop_3__0\, I1 => w_issuing_cnt(12), I2 => w_issuing_cnt(15), I3 => w_issuing_cnt(13), I4 => w_issuing_cnt(14), O => \gen_no_arbiter.s_ready_i[0]_i_39_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"A8A8AAA8A8A8AAAA" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_24_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_25_n_0\, I2 => \gen_no_arbiter.s_ready_i[0]_i_26_n_0\, I3 => \w_cmd_pop_4__0\, I4 => match, I5 => w_issuing_cnt(16), O => \gen_no_arbiter.s_ready_i[0]_i_6_n_0\ ); \last_rr_hot[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_128_out, I1 => p_68_out, I2 => p_46_out, I3 => \last_rr_hot[0]_i_2__0_n_0\, I4 => \last_rr_hot[0]_i_3__0_n_0\, I5 => p_6_in, O => next_rr_hot(0) ); \last_rr_hot[0]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_5_in6_in, I1 => \last_rr_hot_reg_n_0_[0]\, I2 => p_108_out, I3 => p_88_out, O => \last_rr_hot[0]_i_2__0_n_0\ ); \last_rr_hot[0]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_46_out, I1 => p_7_in9_in, I2 => p_8_in, O => \last_rr_hot[0]_i_3__0_n_0\ ); \last_rr_hot[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_108_out, I1 => p_128_out, I2 => p_46_out, I3 => \last_rr_hot[1]_i_2__0_n_0\, I4 => \last_rr_hot[4]_i_4__0_n_0\, I5 => p_7_in9_in, O => next_rr_hot(1) ); \last_rr_hot[1]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_6_in, I1 => p_5_in6_in, I2 => p_88_out, I3 => p_68_out, O => \last_rr_hot[1]_i_2__0_n_0\ ); \last_rr_hot[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA2222AAAA0020" ) port map ( I0 => p_88_out, I1 => m_valid_i_reg_0, I2 => \last_rr_hot[4]_i_5__0_n_0\, I3 => p_46_out, I4 => \last_rr_hot[2]_i_3__0_n_0\, I5 => p_8_in, O => next_rr_hot(2) ); \last_rr_hot[2]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_108_out, I1 => \last_rr_hot_reg_n_0_[0]\, I2 => p_5_in6_in, O => \last_rr_hot[2]_i_3__0_n_0\ ); \last_rr_hot[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_68_out, I1 => p_108_out, I2 => p_88_out, I3 => \last_rr_hot[3]_i_2__0_n_0\, I4 => \last_rr_hot[3]_i_3__0_n_0\, I5 => \last_rr_hot_reg_n_0_[0]\, O => next_rr_hot(3) ); \last_rr_hot[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_8_in, I1 => p_7_in9_in, I2 => p_46_out, I3 => p_128_out, O => \last_rr_hot[3]_i_2__0_n_0\ ); \last_rr_hot[3]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_88_out, I1 => p_5_in6_in, I2 => p_6_in, O => \last_rr_hot[3]_i_3__0_n_0\ ); \last_rr_hot[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAAAAA8" ) port map ( I0 => need_arbitration, I1 => next_rr_hot(3), I2 => next_rr_hot(2), I3 => next_rr_hot(1), I4 => next_rr_hot(0), I5 => next_rr_hot(4), O => last_rr_hot ); \last_rr_hot[4]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA2222AAAA0020" ) port map ( I0 => p_46_out, I1 => m_valid_i_reg, I2 => \last_rr_hot[4]_i_4__0_n_0\, I3 => p_108_out, I4 => \last_rr_hot[4]_i_5__0_n_0\, I5 => p_5_in6_in, O => next_rr_hot(4) ); \last_rr_hot[4]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_128_out, I1 => p_8_in, I2 => \last_rr_hot_reg_n_0_[0]\, O => \last_rr_hot[4]_i_4__0_n_0\ ); \last_rr_hot[4]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_68_out, I1 => p_6_in, I2 => p_7_in9_in, O => \last_rr_hot[4]_i_5__0_n_0\ ); \last_rr_hot_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(0), Q => \last_rr_hot_reg_n_0_[0]\, R => \^sr\(0) ); \last_rr_hot_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(1), Q => p_5_in6_in, R => \^sr\(0) ); \last_rr_hot_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(2), Q => p_6_in, R => \^sr\(0) ); \last_rr_hot_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(3), Q => p_7_in9_in, R => \^sr\(0) ); \last_rr_hot_reg[4]\: unisim.vcomponents.FDSE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(4), Q => p_8_in, S => \^sr\(0) ); \s_axi_bvalid[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFEAEAEA" ) port map ( I0 => \^resp_select\(0), I1 => p_128_out, I2 => \^s_ready_i_reg\(0), I3 => p_108_out, I4 => \^s_ready_i_reg\(1), I5 => \resp_select__0\(1), O => \^s_axi_bvalid[0]\ ); \s_axi_bvalid[0]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => p_68_out, I1 => \^s_ready_i_reg\(3), I2 => p_88_out, I3 => \^s_ready_i_reg\(2), O => \resp_select__0\(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_arbiter_resp_8 is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Q : out STD_LOGIC_VECTOR ( 4 downto 0 ); f_mux4_return : out STD_LOGIC_VECTOR ( 46 downto 0 ); \s_axi_rvalid[0]\ : out STD_LOGIC; resp_select : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]_2\ : out STD_LOGIC_VECTOR ( 0 to 0 ); aresetn_d : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\ : in STD_LOGIC; aa_mi_arvalid : in STD_LOGIC; \gen_master_slots[1].r_issuing_cnt_reg[8]\ : in STD_LOGIC; \gen_master_slots[2].r_issuing_cnt_reg[16]\ : in STD_LOGIC; \r_cmd_pop_4__1\ : in STD_LOGIC; match : in STD_LOGIC; r_issuing_cnt : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); p_122_out : in STD_LOGIC; st_mr_rid : in STD_LOGIC_VECTOR ( 47 downto 0 ); st_mr_rmesg : in STD_LOGIC_VECTOR ( 135 downto 0 ); \m_payload_i_reg[34]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_2\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 ); p_62_out : in STD_LOGIC; p_102_out : in STD_LOGIC; S_AXI_ARREADY : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.accept_cnt_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[34]_4\ : in STD_LOGIC; \gen_multi_thread.accept_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); p_40_out : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC; p_82_out : in STD_LOGIC; m_valid_i_reg_0 : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_arbiter_resp_8 : entity is "axi_crossbar_v2_1_14_arbiter_resp"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_arbiter_resp_8; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_arbiter_resp_8 is signal \^q\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \gen_no_arbiter.s_ready_i[0]_i_24__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_6__0_n_0\ : STD_LOGIC; signal last_rr_hot : STD_LOGIC; signal \last_rr_hot[0]_i_2_n_0\ : STD_LOGIC; signal \last_rr_hot[0]_i_3_n_0\ : STD_LOGIC; signal \last_rr_hot[1]_i_2_n_0\ : STD_LOGIC; signal \last_rr_hot[2]_i_3_n_0\ : STD_LOGIC; signal \last_rr_hot[3]_i_2_n_0\ : STD_LOGIC; signal \last_rr_hot[3]_i_3_n_0\ : STD_LOGIC; signal \last_rr_hot[4]_i_4_n_0\ : STD_LOGIC; signal \last_rr_hot[4]_i_5_n_0\ : STD_LOGIC; signal \last_rr_hot_reg_n_0_[0]\ : STD_LOGIC; signal \^m_valid_i\ : STD_LOGIC; signal need_arbitration : STD_LOGIC; signal next_rr_hot : STD_LOGIC_VECTOR ( 4 downto 0 ); signal p_0_in1_in : STD_LOGIC_VECTOR ( 3 downto 1 ); signal p_5_in6_in : STD_LOGIC; signal p_6_in : STD_LOGIC; signal p_7_in9_in : STD_LOGIC; signal p_8_in : STD_LOGIC; signal \^resp_select\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \resp_select__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_rvalid[0]\ : STD_LOGIC; attribute use_clock_enable : string; attribute use_clock_enable of \chosen_reg[0]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[1]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[2]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[3]\ : label is "yes"; attribute use_clock_enable of \chosen_reg[4]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \last_rr_hot[0]_i_2\ : label is "soft_lutpair122"; attribute SOFT_HLUTNM of \last_rr_hot[0]_i_3\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \last_rr_hot[1]_i_2\ : label is "soft_lutpair120"; attribute SOFT_HLUTNM of \last_rr_hot[2]_i_3\ : label is "soft_lutpair122"; attribute SOFT_HLUTNM of \last_rr_hot[3]_i_2\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \last_rr_hot[3]_i_3\ : label is "soft_lutpair120"; attribute SOFT_HLUTNM of \last_rr_hot[4]_i_4\ : label is "soft_lutpair124"; attribute SOFT_HLUTNM of \last_rr_hot[4]_i_5\ : label is "soft_lutpair123"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1\ : label is "soft_lutpair124"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair126"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__2\ : label is "soft_lutpair126"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__3\ : label is "soft_lutpair125"; attribute SOFT_HLUTNM of \s_axi_rvalid[0]_INST_0_i_1\ : label is "soft_lutpair125"; attribute SOFT_HLUTNM of \s_axi_rvalid[0]_INST_0_i_3\ : label is "soft_lutpair123"; begin Q(4 downto 0) <= \^q\(4 downto 0); m_valid_i <= \^m_valid_i\; resp_select(0) <= \^resp_select\(0); \s_axi_rvalid[0]\ <= \^s_axi_rvalid[0]\; \chosen[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBBBBBBBBBBB8" ) port map ( I0 => s_axi_rready(0), I1 => \^s_axi_rvalid[0]\, I2 => p_40_out, I3 => p_122_out, I4 => p_102_out, I5 => m_valid_i_reg, O => need_arbitration ); \chosen_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(0), Q => \^q\(0), R => SR(0) ); \chosen_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(1), Q => \^q\(1), R => SR(0) ); \chosen_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(2), Q => \^q\(2), R => SR(0) ); \chosen_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(3), Q => \^q\(3), R => SR(0) ); \chosen_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => need_arbitration, D => next_rr_hot(4), Q => \^q\(4), R => SR(0) ); \gen_fpga.gen_mux_5_8[0].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(36), I1 => st_mr_rid(0), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(24), I5 => st_mr_rid(12), O => f_mux4_return(0) ); \gen_fpga.gen_mux_5_8[10].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(46), I1 => st_mr_rid(10), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(34), I5 => st_mr_rid(22), O => f_mux4_return(10) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^q\(4), I1 => p_40_out, O => \^resp_select\(0) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(47), I1 => st_mr_rid(11), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(35), I5 => st_mr_rid(23), O => f_mux4_return(11) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => p_62_out, I1 => \^q\(3), I2 => p_102_out, I3 => \^q\(1), O => \resp_select__0\(0) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => p_62_out, I1 => \^q\(3), I2 => p_82_out, I3 => \^q\(2), O => \resp_select__0\(1) ); \gen_fpga.gen_mux_5_8[12].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(102), I1 => st_mr_rmesg(0), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(68), I5 => st_mr_rmesg(34), O => f_mux4_return(12) ); \gen_fpga.gen_mux_5_8[13].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(103), I1 => st_mr_rmesg(1), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(69), I5 => st_mr_rmesg(35), O => f_mux4_return(13) ); \gen_fpga.gen_mux_5_8[15].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(104), I1 => st_mr_rmesg(2), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(70), I5 => st_mr_rmesg(36), O => f_mux4_return(14) ); \gen_fpga.gen_mux_5_8[16].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(105), I1 => st_mr_rmesg(3), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(71), I5 => st_mr_rmesg(37), O => f_mux4_return(15) ); \gen_fpga.gen_mux_5_8[17].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(106), I1 => st_mr_rmesg(4), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(72), I5 => st_mr_rmesg(38), O => f_mux4_return(16) ); \gen_fpga.gen_mux_5_8[18].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(107), I1 => st_mr_rmesg(5), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(73), I5 => st_mr_rmesg(39), O => f_mux4_return(17) ); \gen_fpga.gen_mux_5_8[19].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(108), I1 => st_mr_rmesg(6), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(74), I5 => st_mr_rmesg(40), O => f_mux4_return(18) ); \gen_fpga.gen_mux_5_8[1].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(37), I1 => st_mr_rid(1), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(25), I5 => st_mr_rid(13), O => f_mux4_return(1) ); \gen_fpga.gen_mux_5_8[20].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(109), I1 => st_mr_rmesg(7), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(75), I5 => st_mr_rmesg(41), O => f_mux4_return(19) ); \gen_fpga.gen_mux_5_8[21].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(110), I1 => st_mr_rmesg(8), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(76), I5 => st_mr_rmesg(42), O => f_mux4_return(20) ); \gen_fpga.gen_mux_5_8[22].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(111), I1 => st_mr_rmesg(9), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(77), I5 => st_mr_rmesg(43), O => f_mux4_return(21) ); \gen_fpga.gen_mux_5_8[23].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(112), I1 => st_mr_rmesg(10), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(78), I5 => st_mr_rmesg(44), O => f_mux4_return(22) ); \gen_fpga.gen_mux_5_8[24].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(113), I1 => st_mr_rmesg(11), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(79), I5 => st_mr_rmesg(45), O => f_mux4_return(23) ); \gen_fpga.gen_mux_5_8[25].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(114), I1 => st_mr_rmesg(12), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(80), I5 => st_mr_rmesg(46), O => f_mux4_return(24) ); \gen_fpga.gen_mux_5_8[26].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(115), I1 => st_mr_rmesg(13), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(81), I5 => st_mr_rmesg(47), O => f_mux4_return(25) ); \gen_fpga.gen_mux_5_8[27].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(116), I1 => st_mr_rmesg(14), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(82), I5 => st_mr_rmesg(48), O => f_mux4_return(26) ); \gen_fpga.gen_mux_5_8[28].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(117), I1 => st_mr_rmesg(15), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(83), I5 => st_mr_rmesg(49), O => f_mux4_return(27) ); \gen_fpga.gen_mux_5_8[29].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(118), I1 => st_mr_rmesg(16), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(84), I5 => st_mr_rmesg(50), O => f_mux4_return(28) ); \gen_fpga.gen_mux_5_8[2].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(38), I1 => st_mr_rid(2), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(26), I5 => st_mr_rid(14), O => f_mux4_return(2) ); \gen_fpga.gen_mux_5_8[30].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(119), I1 => st_mr_rmesg(17), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(85), I5 => st_mr_rmesg(51), O => f_mux4_return(29) ); \gen_fpga.gen_mux_5_8[31].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(120), I1 => st_mr_rmesg(18), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(86), I5 => st_mr_rmesg(52), O => f_mux4_return(30) ); \gen_fpga.gen_mux_5_8[32].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(121), I1 => st_mr_rmesg(19), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(87), I5 => st_mr_rmesg(53), O => f_mux4_return(31) ); \gen_fpga.gen_mux_5_8[33].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(122), I1 => st_mr_rmesg(20), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(88), I5 => st_mr_rmesg(54), O => f_mux4_return(32) ); \gen_fpga.gen_mux_5_8[34].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(123), I1 => st_mr_rmesg(21), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(89), I5 => st_mr_rmesg(55), O => f_mux4_return(33) ); \gen_fpga.gen_mux_5_8[35].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(124), I1 => st_mr_rmesg(22), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(90), I5 => st_mr_rmesg(56), O => f_mux4_return(34) ); \gen_fpga.gen_mux_5_8[36].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(125), I1 => st_mr_rmesg(23), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(91), I5 => st_mr_rmesg(57), O => f_mux4_return(35) ); \gen_fpga.gen_mux_5_8[37].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(126), I1 => st_mr_rmesg(24), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(92), I5 => st_mr_rmesg(58), O => f_mux4_return(36) ); \gen_fpga.gen_mux_5_8[38].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(127), I1 => st_mr_rmesg(25), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(93), I5 => st_mr_rmesg(59), O => f_mux4_return(37) ); \gen_fpga.gen_mux_5_8[39].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(128), I1 => st_mr_rmesg(26), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(94), I5 => st_mr_rmesg(60), O => f_mux4_return(38) ); \gen_fpga.gen_mux_5_8[3].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(39), I1 => st_mr_rid(3), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(27), I5 => st_mr_rid(15), O => f_mux4_return(3) ); \gen_fpga.gen_mux_5_8[40].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(129), I1 => st_mr_rmesg(27), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(95), I5 => st_mr_rmesg(61), O => f_mux4_return(39) ); \gen_fpga.gen_mux_5_8[41].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(130), I1 => st_mr_rmesg(28), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(96), I5 => st_mr_rmesg(62), O => f_mux4_return(40) ); \gen_fpga.gen_mux_5_8[42].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(131), I1 => st_mr_rmesg(29), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(97), I5 => st_mr_rmesg(63), O => f_mux4_return(41) ); \gen_fpga.gen_mux_5_8[43].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(132), I1 => st_mr_rmesg(30), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(98), I5 => st_mr_rmesg(64), O => f_mux4_return(42) ); \gen_fpga.gen_mux_5_8[44].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(133), I1 => st_mr_rmesg(31), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(99), I5 => st_mr_rmesg(65), O => f_mux4_return(43) ); \gen_fpga.gen_mux_5_8[45].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(134), I1 => st_mr_rmesg(32), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(100), I5 => st_mr_rmesg(66), O => f_mux4_return(44) ); \gen_fpga.gen_mux_5_8[46].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rmesg(135), I1 => st_mr_rmesg(33), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rmesg(101), I5 => st_mr_rmesg(67), O => f_mux4_return(45) ); \gen_fpga.gen_mux_5_8[47].mux_s2_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => \m_payload_i_reg[34]_0\(0), I1 => \m_payload_i_reg[34]_1\(0), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => \m_payload_i_reg[34]_2\(0), I5 => \m_payload_i_reg[34]_3\(0), O => f_mux4_return(46) ); \gen_fpga.gen_mux_5_8[4].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(40), I1 => st_mr_rid(4), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(28), I5 => st_mr_rid(16), O => f_mux4_return(4) ); \gen_fpga.gen_mux_5_8[5].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(41), I1 => st_mr_rid(5), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(29), I5 => st_mr_rid(17), O => f_mux4_return(5) ); \gen_fpga.gen_mux_5_8[6].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(42), I1 => st_mr_rid(6), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(30), I5 => st_mr_rid(18), O => f_mux4_return(6) ); \gen_fpga.gen_mux_5_8[7].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(43), I1 => st_mr_rid(7), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(31), I5 => st_mr_rid(19), O => f_mux4_return(7) ); \gen_fpga.gen_mux_5_8[8].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(44), I1 => st_mr_rid(8), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(32), I5 => st_mr_rid(20), O => f_mux4_return(8) ); \gen_fpga.gen_mux_5_8[9].mux_s2_inst_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFFCA0FCAF0CA00C" ) port map ( I0 => st_mr_rid(45), I1 => st_mr_rid(9), I2 => \resp_select__0\(0), I3 => \resp_select__0\(1), I4 => st_mr_rid(33), I5 => st_mr_rid(21), O => f_mux4_return(9) ); \gen_no_arbiter.s_ready_i[0]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^m_valid_i\, I1 => aresetn_d, O => E(0) ); \gen_no_arbiter.s_ready_i[0]_i_24__0\: unisim.vcomponents.LUT6 generic map( INIT => X"4440404044444444" ) port map ( I0 => S_AXI_ARREADY(0), I1 => s_axi_arvalid(0), I2 => \gen_multi_thread.accept_cnt_reg[0]\, I3 => \^s_axi_rvalid[0]\, I4 => \m_payload_i_reg[34]_4\, I5 => \gen_multi_thread.accept_cnt_reg[3]\(0), O => \gen_no_arbiter.s_ready_i[0]_i_24__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000100" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\, I2 => \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\, I3 => \gen_no_arbiter.s_ready_i[0]_i_6__0_n_0\, I4 => \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\, I5 => aa_mi_arvalid, O => \^m_valid_i\ ); \gen_no_arbiter.s_ready_i[0]_i_6__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A8A8AAA8A8A8AAAA" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_24__0_n_0\, I1 => \gen_master_slots[1].r_issuing_cnt_reg[8]\, I2 => \gen_master_slots[2].r_issuing_cnt_reg[16]\, I3 => \r_cmd_pop_4__1\, I4 => match, I5 => r_issuing_cnt(0), O => \gen_no_arbiter.s_ready_i[0]_i_6__0_n_0\ ); \last_rr_hot[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_122_out, I1 => p_62_out, I2 => p_40_out, I3 => \last_rr_hot[0]_i_2_n_0\, I4 => \last_rr_hot[0]_i_3_n_0\, I5 => p_6_in, O => next_rr_hot(0) ); \last_rr_hot[0]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_5_in6_in, I1 => \last_rr_hot_reg_n_0_[0]\, I2 => p_102_out, I3 => p_82_out, O => \last_rr_hot[0]_i_2_n_0\ ); \last_rr_hot[0]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_40_out, I1 => p_7_in9_in, I2 => p_8_in, O => \last_rr_hot[0]_i_3_n_0\ ); \last_rr_hot[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_102_out, I1 => p_40_out, I2 => p_122_out, I3 => \last_rr_hot[1]_i_2_n_0\, I4 => \last_rr_hot[4]_i_4_n_0\, I5 => p_7_in9_in, O => next_rr_hot(1) ); \last_rr_hot[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_6_in, I1 => p_5_in6_in, I2 => p_82_out, I3 => p_62_out, O => \last_rr_hot[1]_i_2_n_0\ ); \last_rr_hot[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA2222AAAA0020" ) port map ( I0 => p_82_out, I1 => m_valid_i_reg_0, I2 => \last_rr_hot[4]_i_5_n_0\, I3 => p_40_out, I4 => \last_rr_hot[2]_i_3_n_0\, I5 => p_8_in, O => next_rr_hot(2) ); \last_rr_hot[2]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_102_out, I1 => \last_rr_hot_reg_n_0_[0]\, I2 => p_5_in6_in, O => \last_rr_hot[2]_i_3_n_0\ ); \last_rr_hot[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA0202AAAA0200" ) port map ( I0 => p_62_out, I1 => p_102_out, I2 => p_82_out, I3 => \last_rr_hot[3]_i_2_n_0\, I4 => \last_rr_hot[3]_i_3_n_0\, I5 => \last_rr_hot_reg_n_0_[0]\, O => next_rr_hot(3) ); \last_rr_hot[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"00AE" ) port map ( I0 => p_8_in, I1 => p_7_in9_in, I2 => p_40_out, I3 => p_122_out, O => \last_rr_hot[3]_i_2_n_0\ ); \last_rr_hot[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_82_out, I1 => p_5_in6_in, I2 => p_6_in, O => \last_rr_hot[3]_i_3_n_0\ ); \last_rr_hot[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAAAAA8" ) port map ( I0 => need_arbitration, I1 => next_rr_hot(3), I2 => next_rr_hot(2), I3 => next_rr_hot(1), I4 => next_rr_hot(0), I5 => next_rr_hot(4), O => last_rr_hot ); \last_rr_hot[4]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA2222AAAA0020" ) port map ( I0 => p_40_out, I1 => m_valid_i_reg, I2 => \last_rr_hot[4]_i_4_n_0\, I3 => p_102_out, I4 => \last_rr_hot[4]_i_5_n_0\, I5 => p_5_in6_in, O => next_rr_hot(4) ); \last_rr_hot[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_122_out, I1 => p_8_in, I2 => \last_rr_hot_reg_n_0_[0]\, O => \last_rr_hot[4]_i_4_n_0\ ); \last_rr_hot[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => p_62_out, I1 => p_6_in, I2 => p_7_in9_in, O => \last_rr_hot[4]_i_5_n_0\ ); \last_rr_hot_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(0), Q => \last_rr_hot_reg_n_0_[0]\, R => SR(0) ); \last_rr_hot_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(1), Q => p_5_in6_in, R => SR(0) ); \last_rr_hot_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(2), Q => p_6_in, R => SR(0) ); \last_rr_hot_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(3), Q => p_7_in9_in, R => SR(0) ); \last_rr_hot_reg[4]\: unisim.vcomponents.FDSE port map ( C => aclk, CE => last_rr_hot, D => next_rr_hot(4), Q => p_8_in, S => SR(0) ); \m_payload_i[46]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => \^q\(0), I1 => s_axi_rready(0), I2 => p_122_out, O => \m_payload_i_reg[0]\(0) ); \m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => \^q\(1), I1 => s_axi_rready(0), I2 => p_102_out, O => \m_payload_i_reg[0]_0\(0) ); \m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => \^q\(4), I1 => s_axi_rready(0), I2 => p_40_out, O => \m_payload_i_reg[34]\(0) ); \m_payload_i[46]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => \^q\(3), I1 => s_axi_rready(0), I2 => p_62_out, O => \m_payload_i_reg[0]_1\(0) ); \m_payload_i[46]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => \^q\(2), I1 => s_axi_rready(0), I2 => p_82_out, O => \m_payload_i_reg[0]_2\(0) ); \s_axi_rvalid[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFF8" ) port map ( I0 => \^q\(0), I1 => p_122_out, I2 => p_0_in1_in(2), I3 => p_0_in1_in(1), I4 => p_0_in1_in(3), I5 => \^resp_select\(0), O => \^s_axi_rvalid[0]\ ); \s_axi_rvalid[0]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^q\(2), I1 => p_82_out, O => p_0_in1_in(2) ); \s_axi_rvalid[0]_INST_0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^q\(1), I1 => p_102_out, O => p_0_in1_in(1) ); \s_axi_rvalid[0]_INST_0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^q\(3), I1 => p_62_out, O => p_0_in1_in(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_decerr_slave is port ( mi_awready_4 : out STD_LOGIC; p_22_in : out STD_LOGIC; p_29_in : out STD_LOGIC; p_23_in : out STD_LOGIC; p_25_in : out STD_LOGIC; \read_cs__0\ : out STD_LOGIC; mi_arready_4 : out STD_LOGIC; \m_payload_i_reg[13]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC; m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); aa_sa_awvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); mi_rready_4 : in STD_LOGIC; aa_mi_arvalid : in STD_LOGIC; \gen_no_arbiter.m_target_hot_i_reg[4]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_no_arbiter.m_mesg_i_reg[51]\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); \m_ready_d_reg[1]\ : in STD_LOGIC; mi_bready_4 : in STD_LOGIC; \write_cs0__0\ : in STD_LOGIC; write_cs01_out : in STD_LOGIC; s_axi_rlast_i0 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_no_arbiter.m_mesg_i_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); aresetn_d : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_decerr_slave : entity is "axi_crossbar_v2_1_14_decerr_slave"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_decerr_slave; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_decerr_slave is signal \gen_axi.read_cnt[4]_i_2_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt[5]_i_2_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt[7]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt_reg\ : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \gen_axi.read_cnt_reg__0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gen_axi.read_cs[0]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_arready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_arready_i_i_3_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_awready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_bid_i[11]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_bvalid_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_3_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_4_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_wready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.write_cs[0]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.write_cs[1]_i_1_n_0\ : STD_LOGIC; signal \^mi_arready_4\ : STD_LOGIC; signal \^mi_awready_4\ : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^p_22_in\ : STD_LOGIC; signal \^p_23_in\ : STD_LOGIC; signal \^p_25_in\ : STD_LOGIC; signal \^p_29_in\ : STD_LOGIC; signal \^read_cs__0\ : STD_LOGIC; signal write_cs : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_axi.read_cnt[0]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[1]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[2]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[4]_i_2\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[5]_i_1\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[5]_i_2\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \gen_axi.s_axi_arready_i_i_3\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \gen_axi.s_axi_rlast_i_i_3\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \gen_axi.write_cs[0]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \gen_axi.write_cs[1]_i_1\ : label is "soft_lutpair16"; begin mi_arready_4 <= \^mi_arready_4\; mi_awready_4 <= \^mi_awready_4\; p_22_in <= \^p_22_in\; p_23_in <= \^p_23_in\; p_25_in <= \^p_25_in\; p_29_in <= \^p_29_in\; \read_cs__0\ <= \^read_cs__0\; \gen_axi.read_cnt[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"74" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(0), I1 => \^p_23_in\, I2 => \gen_no_arbiter.m_mesg_i_reg[51]\(12), O => p_0_in(0) ); \gen_axi.read_cnt[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E22E" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(13), I1 => \^p_23_in\, I2 => \gen_axi.read_cnt_reg__0\(0), I3 => \gen_axi.read_cnt_reg\(1), O => p_0_in(1) ); \gen_axi.read_cnt[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FCAA03AA" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(14), I1 => \gen_axi.read_cnt_reg\(1), I2 => \gen_axi.read_cnt_reg__0\(0), I3 => \^p_23_in\, I4 => \gen_axi.read_cnt_reg\(2), O => p_0_in(2) ); \gen_axi.read_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFCAAAA0003AAAA" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(15), I1 => \gen_axi.read_cnt_reg__0\(0), I2 => \gen_axi.read_cnt_reg\(1), I3 => \gen_axi.read_cnt_reg\(2), I4 => \^p_23_in\, I5 => \gen_axi.read_cnt_reg\(3), O => p_0_in(3) ); \gen_axi.read_cnt[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFCAAAA0003AAAA" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(16), I1 => \gen_axi.read_cnt_reg\(2), I2 => \gen_axi.read_cnt[4]_i_2_n_0\, I3 => \gen_axi.read_cnt_reg\(3), I4 => \^p_23_in\, I5 => \gen_axi.read_cnt_reg\(4), O => p_0_in(4) ); \gen_axi.read_cnt[4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \gen_axi.read_cnt_reg\(1), I1 => \gen_axi.read_cnt_reg__0\(0), O => \gen_axi.read_cnt[4]_i_2_n_0\ ); \gen_axi.read_cnt[5]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CA3A" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(17), I1 => \gen_axi.read_cnt[5]_i_2_n_0\, I2 => \^p_23_in\, I3 => \gen_axi.read_cnt_reg\(5), O => p_0_in(5) ); \gen_axi.read_cnt[5]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \gen_axi.read_cnt_reg\(3), I1 => \gen_axi.read_cnt_reg__0\(0), I2 => \gen_axi.read_cnt_reg\(1), I3 => \gen_axi.read_cnt_reg\(2), I4 => \gen_axi.read_cnt_reg\(4), O => \gen_axi.read_cnt[5]_i_2_n_0\ ); \gen_axi.read_cnt[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CA3A" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(18), I1 => \gen_axi.read_cnt[7]_i_3_n_0\, I2 => \^p_23_in\, I3 => \gen_axi.read_cnt_reg\(6), O => p_0_in(6) ); \gen_axi.read_cnt[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4F40404040404040" ) port map ( I0 => \^read_cs__0\, I1 => mi_rready_4, I2 => \^p_23_in\, I3 => \^mi_arready_4\, I4 => aa_mi_arvalid, I5 => \gen_no_arbiter.m_target_hot_i_reg[4]\(0), O => \gen_axi.read_cnt[7]_i_1_n_0\ ); \gen_axi.read_cnt[7]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FCAA03AA" ) port map ( I0 => \gen_no_arbiter.m_mesg_i_reg[51]\(19), I1 => \gen_axi.read_cnt[7]_i_3_n_0\, I2 => \gen_axi.read_cnt_reg\(6), I3 => \^p_23_in\, I4 => \gen_axi.read_cnt_reg\(7), O => p_0_in(7) ); \gen_axi.read_cnt[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \gen_axi.read_cnt_reg\(4), I1 => \gen_axi.read_cnt_reg\(2), I2 => \gen_axi.read_cnt_reg\(1), I3 => \gen_axi.read_cnt_reg__0\(0), I4 => \gen_axi.read_cnt_reg\(3), I5 => \gen_axi.read_cnt_reg\(5), O => \gen_axi.read_cnt[7]_i_3_n_0\ ); \gen_axi.read_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(0), Q => \gen_axi.read_cnt_reg__0\(0), R => SR(0) ); \gen_axi.read_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(1), Q => \gen_axi.read_cnt_reg\(1), R => SR(0) ); \gen_axi.read_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(2), Q => \gen_axi.read_cnt_reg\(2), R => SR(0) ); \gen_axi.read_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(3), Q => \gen_axi.read_cnt_reg\(3), R => SR(0) ); \gen_axi.read_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(4), Q => \gen_axi.read_cnt_reg\(4), R => SR(0) ); \gen_axi.read_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(5), Q => \gen_axi.read_cnt_reg\(5), R => SR(0) ); \gen_axi.read_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(6), Q => \gen_axi.read_cnt_reg\(6), R => SR(0) ); \gen_axi.read_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(7), Q => \gen_axi.read_cnt_reg\(7), R => SR(0) ); \gen_axi.read_cs[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7F70707070707070" ) port map ( I0 => \^read_cs__0\, I1 => mi_rready_4, I2 => \^p_23_in\, I3 => \^mi_arready_4\, I4 => aa_mi_arvalid, I5 => \gen_no_arbiter.m_target_hot_i_reg[4]\(0), O => \gen_axi.read_cs[0]_i_1_n_0\ ); \gen_axi.read_cs_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.read_cs[0]_i_1_n_0\, Q => \^p_23_in\, R => SR(0) ); \gen_axi.s_axi_arready_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000FBBB0000" ) port map ( I0 => \^mi_arready_4\, I1 => \^p_23_in\, I2 => \^read_cs__0\, I3 => mi_rready_4, I4 => aresetn_d, I5 => E(0), O => \gen_axi.s_axi_arready_i_i_1_n_0\ ); \gen_axi.s_axi_arready_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000100" ) port map ( I0 => \gen_axi.read_cnt[4]_i_2_n_0\, I1 => \gen_axi.read_cnt_reg\(6), I2 => \gen_axi.read_cnt_reg\(7), I3 => \gen_axi.s_axi_arready_i_i_3_n_0\, I4 => \gen_axi.read_cnt_reg\(2), I5 => \gen_axi.read_cnt_reg\(3), O => \^read_cs__0\ ); \gen_axi.s_axi_arready_i_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \gen_axi.read_cnt_reg\(4), I1 => \gen_axi.read_cnt_reg\(5), O => \gen_axi.s_axi_arready_i_i_3_n_0\ ); \gen_axi.s_axi_arready_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_arready_i_i_1_n_0\, Q => \^mi_arready_4\, R => '0' ); \gen_axi.s_axi_awready_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFBB0000F0FF" ) port map ( I0 => \m_ready_d_reg[1]\, I1 => Q(0), I2 => mi_bready_4, I3 => write_cs(1), I4 => write_cs(0), I5 => \^mi_awready_4\, O => \gen_axi.s_axi_awready_i_i_1_n_0\ ); \gen_axi.s_axi_awready_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_awready_i_i_1_n_0\, Q => \^mi_awready_4\, R => SR(0) ); \gen_axi.s_axi_bid_i[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0100000000000000" ) port map ( I0 => write_cs(1), I1 => write_cs(0), I2 => m_ready_d(0), I3 => aa_sa_awvalid, I4 => Q(0), I5 => \^mi_awready_4\, O => \gen_axi.s_axi_bid_i[11]_i_1_n_0\ ); \gen_axi.s_axi_bid_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(0), Q => \m_payload_i_reg[13]\(0), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(10), Q => \m_payload_i_reg[13]\(10), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(11), Q => \m_payload_i_reg[13]\(11), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(1), Q => \m_payload_i_reg[13]\(1), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(2), Q => \m_payload_i_reg[13]\(2), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(3), Q => \m_payload_i_reg[13]\(3), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(4), Q => \m_payload_i_reg[13]\(4), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(5), Q => \m_payload_i_reg[13]\(5), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(6), Q => \m_payload_i_reg[13]\(6), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(7), Q => \m_payload_i_reg[13]\(7), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(8), Q => \m_payload_i_reg[13]\(8), R => SR(0) ); \gen_axi.s_axi_bid_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, D => \gen_no_arbiter.m_mesg_i_reg[11]\(9), Q => \m_payload_i_reg[13]\(9), R => SR(0) ); \gen_axi.s_axi_bvalid_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFF00C0" ) port map ( I0 => mi_bready_4, I1 => write_cs(0), I2 => \write_cs0__0\, I3 => write_cs(1), I4 => \^p_29_in\, O => \gen_axi.s_axi_bvalid_i_i_1_n_0\ ); \gen_axi.s_axi_bvalid_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_bvalid_i_i_1_n_0\, Q => \^p_29_in\, R => SR(0) ); \gen_axi.s_axi_rid_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(0), Q => \skid_buffer_reg[46]\(0), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(10), Q => \skid_buffer_reg[46]\(10), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(11), Q => \skid_buffer_reg[46]\(11), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(1), Q => \skid_buffer_reg[46]\(1), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(2), Q => \skid_buffer_reg[46]\(2), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(3), Q => \skid_buffer_reg[46]\(3), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(4), Q => \skid_buffer_reg[46]\(4), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(5), Q => \skid_buffer_reg[46]\(5), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(6), Q => \skid_buffer_reg[46]\(6), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(7), Q => \skid_buffer_reg[46]\(7), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(8), Q => \skid_buffer_reg[46]\(8), R => SR(0) ); \gen_axi.s_axi_rid_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \gen_no_arbiter.m_mesg_i_reg[51]\(9), Q => \skid_buffer_reg[46]\(9), R => SR(0) ); \gen_axi.s_axi_rlast_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAFBFFAAAA0800" ) port map ( I0 => s_axi_rlast_i0, I1 => \gen_axi.s_axi_rlast_i_i_3_n_0\, I2 => \gen_axi.read_cnt_reg\(1), I3 => \gen_axi.s_axi_rlast_i_i_4_n_0\, I4 => E(0), I5 => \^p_25_in\, O => \gen_axi.s_axi_rlast_i_i_1_n_0\ ); \gen_axi.s_axi_rlast_i_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \gen_axi.read_cnt_reg\(2), I1 => \gen_axi.read_cnt_reg\(3), O => \gen_axi.s_axi_rlast_i_i_3_n_0\ ); \gen_axi.s_axi_rlast_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \gen_axi.read_cnt_reg\(4), I1 => \gen_axi.read_cnt_reg\(5), I2 => \gen_axi.read_cnt_reg\(6), I3 => \gen_axi.read_cnt_reg\(7), I4 => mi_rready_4, I5 => \^p_23_in\, O => \gen_axi.s_axi_rlast_i_i_4_n_0\ ); \gen_axi.s_axi_rlast_i_reg\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_rlast_i_i_1_n_0\, Q => \^p_25_in\, R => SR(0) ); \gen_axi.s_axi_wready_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FF5F000C" ) port map ( I0 => \write_cs0__0\, I1 => write_cs01_out, I2 => write_cs(0), I3 => write_cs(1), I4 => \^p_22_in\, O => \gen_axi.s_axi_wready_i_i_1_n_0\ ); \gen_axi.s_axi_wready_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_wready_i_i_1_n_0\, Q => \^p_22_in\, R => SR(0) ); \gen_axi.write_cs[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4522" ) port map ( I0 => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, I1 => write_cs(1), I2 => \write_cs0__0\, I3 => write_cs(0), O => \gen_axi.write_cs[0]_i_1_n_0\ ); \gen_axi.write_cs[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FE00FE44" ) port map ( I0 => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, I1 => write_cs(1), I2 => \write_cs0__0\, I3 => write_cs(0), I4 => mi_bready_4, O => \gen_axi.write_cs[1]_i_1_n_0\ ); \gen_axi.write_cs_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_axi.write_cs[0]_i_1_n_0\, Q => write_cs(0), R => SR(0) ); \gen_axi.write_cs_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_axi.write_cs[1]_i_1_n_0\, Q => write_cs(1), R => SR(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_splitter is port ( \s_axi_awready[0]\ : out STD_LOGIC; m_ready_d : out STD_LOGIC_VECTOR ( 1 downto 0 ); ss_wr_awvalid : out STD_LOGIC; ss_wr_awready : in STD_LOGIC; ss_aa_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); aresetn_d : in STD_LOGIC; aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_splitter : entity is "axi_crossbar_v2_1_14_splitter"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_splitter; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_splitter is signal \^m_ready_d\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_valid_i_i_2__0\ : label is "soft_lutpair190"; attribute SOFT_HLUTNM of \s_axi_awready[0]_INST_0\ : label is "soft_lutpair190"; begin m_ready_d(1 downto 0) <= \^m_ready_d\(1 downto 0); \m_ready_d[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000C0008000C0000" ) port map ( I0 => s_axi_awvalid(0), I1 => aresetn_d, I2 => \^m_ready_d\(1), I3 => ss_wr_awready, I4 => \^m_ready_d\(0), I5 => ss_aa_awready, O => \m_ready_d[0]_i_1_n_0\ ); \m_ready_d[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000000000000C8C0" ) port map ( I0 => s_axi_awvalid(0), I1 => aresetn_d, I2 => \^m_ready_d\(1), I3 => ss_wr_awready, I4 => \^m_ready_d\(0), I5 => ss_aa_awready, O => \m_ready_d[1]_i_1_n_0\ ); \m_ready_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[0]_i_1_n_0\, Q => \^m_ready_d\(0), R => '0' ); \m_ready_d_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[1]_i_1_n_0\, Q => \^m_ready_d\(1), R => '0' ); \m_valid_i_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_awvalid(0), I1 => \^m_ready_d\(1), O => ss_wr_awvalid ); \s_axi_awready[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"EEE0" ) port map ( I0 => \^m_ready_d\(1), I1 => ss_wr_awready, I2 => \^m_ready_d\(0), I3 => ss_aa_awready, O => \s_axi_awready[0]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_splitter_5 is port ( D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_axi.s_axi_awready_i_reg\ : out STD_LOGIC; \gen_master_slots[2].w_issuing_cnt_reg[19]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[3].w_issuing_cnt_reg[27]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_master_slots[0].w_issuing_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_ready_d : out STD_LOGIC_VECTOR ( 1 downto 0 ); w_issuing_cnt : in STD_LOGIC_VECTOR ( 15 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); p_108_out : in STD_LOGIC; \chosen_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_88_out : in STD_LOGIC; p_68_out : in STD_LOGIC; p_128_out : in STD_LOGIC; aa_sa_awvalid : in STD_LOGIC; aresetn_d : in STD_LOGIC; \mi_awready_mux__3\ : in STD_LOGIC; \s_ready_i0__1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \sa_wm_awready_mux__3\ : in STD_LOGIC; aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_splitter_5 : entity is "axi_crossbar_v2_1_14_splitter"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_splitter_5; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_splitter_5 is signal \^gen_axi.s_axi_awready_i_reg\ : STD_LOGIC; signal \gen_master_slots[0].w_issuing_cnt[3]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[1].w_issuing_cnt[11]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[2].w_issuing_cnt[19]_i_5_n_0\ : STD_LOGIC; signal \gen_master_slots[3].w_issuing_cnt[27]_i_5_n_0\ : STD_LOGIC; signal \^m_ready_d\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_axi.s_axi_awready_i_i_2\ : label is "soft_lutpair194"; attribute SOFT_HLUTNM of \gen_master_slots[0].w_issuing_cnt[2]_i_1\ : label is "soft_lutpair197"; attribute SOFT_HLUTNM of \gen_master_slots[0].w_issuing_cnt[3]_i_2\ : label is "soft_lutpair197"; attribute SOFT_HLUTNM of \gen_master_slots[1].w_issuing_cnt[10]_i_1\ : label is "soft_lutpair193"; attribute SOFT_HLUTNM of \gen_master_slots[1].w_issuing_cnt[11]_i_2\ : label is "soft_lutpair193"; attribute SOFT_HLUTNM of \gen_master_slots[2].w_issuing_cnt[18]_i_1\ : label is "soft_lutpair195"; attribute SOFT_HLUTNM of \gen_master_slots[2].w_issuing_cnt[19]_i_2\ : label is "soft_lutpair195"; attribute SOFT_HLUTNM of \gen_master_slots[3].w_issuing_cnt[26]_i_1\ : label is "soft_lutpair196"; attribute SOFT_HLUTNM of \gen_master_slots[3].w_issuing_cnt[27]_i_2\ : label is "soft_lutpair196"; attribute SOFT_HLUTNM of \m_ready_d[1]_i_1\ : label is "soft_lutpair194"; begin \gen_axi.s_axi_awready_i_reg\ <= \^gen_axi.s_axi_awready_i_reg\; m_ready_d(1 downto 0) <= \^m_ready_d\(1 downto 0); \gen_axi.s_axi_awready_i_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^m_ready_d\(1), I1 => aa_sa_awvalid, O => \^gen_axi.s_axi_awready_i_reg\ ); \gen_master_slots[0].w_issuing_cnt[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => w_issuing_cnt(0), I1 => \gen_master_slots[0].w_issuing_cnt[3]_i_5_n_0\, I2 => w_issuing_cnt(1), O => \gen_master_slots[0].w_issuing_cnt_reg[3]\(0) ); \gen_master_slots[0].w_issuing_cnt[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7E81" ) port map ( I0 => \gen_master_slots[0].w_issuing_cnt[3]_i_5_n_0\, I1 => w_issuing_cnt(0), I2 => w_issuing_cnt(1), I3 => w_issuing_cnt(2), O => \gen_master_slots[0].w_issuing_cnt_reg[3]\(1) ); \gen_master_slots[0].w_issuing_cnt[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => w_issuing_cnt(1), I1 => \gen_master_slots[0].w_issuing_cnt[3]_i_5_n_0\, I2 => w_issuing_cnt(0), I3 => w_issuing_cnt(3), I4 => w_issuing_cnt(2), O => \gen_master_slots[0].w_issuing_cnt_reg[3]\(2) ); \gen_master_slots[0].w_issuing_cnt[3]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"0040404040404040" ) port map ( I0 => \^gen_axi.s_axi_awready_i_reg\, I1 => Q(0), I2 => m_axi_awready(0), I3 => s_axi_bready(0), I4 => p_128_out, I5 => \chosen_reg[3]\(0), O => \gen_master_slots[0].w_issuing_cnt[3]_i_5_n_0\ ); \gen_master_slots[1].w_issuing_cnt[10]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7E81" ) port map ( I0 => \gen_master_slots[1].w_issuing_cnt[11]_i_5_n_0\, I1 => w_issuing_cnt(4), I2 => w_issuing_cnt(5), I3 => w_issuing_cnt(6), O => D(1) ); \gen_master_slots[1].w_issuing_cnt[11]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => w_issuing_cnt(5), I1 => \gen_master_slots[1].w_issuing_cnt[11]_i_5_n_0\, I2 => w_issuing_cnt(4), I3 => w_issuing_cnt(7), I4 => w_issuing_cnt(6), O => D(2) ); \gen_master_slots[1].w_issuing_cnt[11]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"0040404040404040" ) port map ( I0 => \^gen_axi.s_axi_awready_i_reg\, I1 => Q(1), I2 => m_axi_awready(1), I3 => s_axi_bready(0), I4 => p_108_out, I5 => \chosen_reg[3]\(1), O => \gen_master_slots[1].w_issuing_cnt[11]_i_5_n_0\ ); \gen_master_slots[1].w_issuing_cnt[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => w_issuing_cnt(4), I1 => \gen_master_slots[1].w_issuing_cnt[11]_i_5_n_0\, I2 => w_issuing_cnt(5), O => D(0) ); \gen_master_slots[2].w_issuing_cnt[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => w_issuing_cnt(8), I1 => \gen_master_slots[2].w_issuing_cnt[19]_i_5_n_0\, I2 => w_issuing_cnt(9), O => \gen_master_slots[2].w_issuing_cnt_reg[19]\(0) ); \gen_master_slots[2].w_issuing_cnt[18]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7E81" ) port map ( I0 => \gen_master_slots[2].w_issuing_cnt[19]_i_5_n_0\, I1 => w_issuing_cnt(8), I2 => w_issuing_cnt(9), I3 => w_issuing_cnt(10), O => \gen_master_slots[2].w_issuing_cnt_reg[19]\(1) ); \gen_master_slots[2].w_issuing_cnt[19]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => w_issuing_cnt(9), I1 => \gen_master_slots[2].w_issuing_cnt[19]_i_5_n_0\, I2 => w_issuing_cnt(8), I3 => w_issuing_cnt(11), I4 => w_issuing_cnt(10), O => \gen_master_slots[2].w_issuing_cnt_reg[19]\(2) ); \gen_master_slots[2].w_issuing_cnt[19]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"0040404040404040" ) port map ( I0 => \^gen_axi.s_axi_awready_i_reg\, I1 => Q(2), I2 => m_axi_awready(2), I3 => s_axi_bready(0), I4 => p_88_out, I5 => \chosen_reg[3]\(2), O => \gen_master_slots[2].w_issuing_cnt[19]_i_5_n_0\ ); \gen_master_slots[3].w_issuing_cnt[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => w_issuing_cnt(12), I1 => \gen_master_slots[3].w_issuing_cnt[27]_i_5_n_0\, I2 => w_issuing_cnt(13), O => \gen_master_slots[3].w_issuing_cnt_reg[27]\(0) ); \gen_master_slots[3].w_issuing_cnt[26]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7E81" ) port map ( I0 => \gen_master_slots[3].w_issuing_cnt[27]_i_5_n_0\, I1 => w_issuing_cnt(12), I2 => w_issuing_cnt(13), I3 => w_issuing_cnt(14), O => \gen_master_slots[3].w_issuing_cnt_reg[27]\(1) ); \gen_master_slots[3].w_issuing_cnt[27]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => w_issuing_cnt(13), I1 => \gen_master_slots[3].w_issuing_cnt[27]_i_5_n_0\, I2 => w_issuing_cnt(12), I3 => w_issuing_cnt(15), I4 => w_issuing_cnt(14), O => \gen_master_slots[3].w_issuing_cnt_reg[27]\(2) ); \gen_master_slots[3].w_issuing_cnt[27]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"0040404040404040" ) port map ( I0 => \^gen_axi.s_axi_awready_i_reg\, I1 => Q(3), I2 => m_axi_awready(3), I3 => s_axi_bready(0), I4 => p_68_out, I5 => \chosen_reg[3]\(3), O => \gen_master_slots[3].w_issuing_cnt[27]_i_5_n_0\ ); \m_ready_d[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000C0008000C0000" ) port map ( I0 => aa_sa_awvalid, I1 => aresetn_d, I2 => \^m_ready_d\(1), I3 => \mi_awready_mux__3\, I4 => \^m_ready_d\(0), I5 => \sa_wm_awready_mux__3\, O => \m_ready_d[0]_i_1_n_0\ ); \m_ready_d[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000C8C0" ) port map ( I0 => aa_sa_awvalid, I1 => aresetn_d, I2 => \^m_ready_d\(1), I3 => \mi_awready_mux__3\, I4 => \s_ready_i0__1\(0), O => \m_ready_d[1]_i_1_n_0\ ); \m_ready_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[0]_i_1_n_0\, Q => \^m_ready_d\(0), R => '0' ); \m_ready_d_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[1]_i_1_n_0\, Q => \^m_ready_d\(1), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0\ is port ( \storage_data1_reg[0]\ : out STD_LOGIC; push : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ); fifoaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); aclk : in STD_LOGIC; \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); out0 : in STD_LOGIC_VECTOR ( 0 to 0 ); load_s1 : in STD_LOGIC; m_select_enc : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0\ : entity is "axi_data_fifo_v2_1_12_ndeep_srl"; end \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0\ is signal \gen_primitive_shifter.gen_srls[0].srl_inst_n_0\ : STD_LOGIC; signal \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ : STD_LOGIC; attribute BOX_TYPE : string; attribute BOX_TYPE of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "PRIMITIVE"; attribute srl_bus_name : string; attribute srl_bus_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[0].srl_nx1/gen_primitive_shifter.gen_srls "; attribute srl_name : string; attribute srl_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[0].srl_nx1/gen_primitive_shifter.gen_srls[0].srl_inst "; begin \gen_primitive_shifter.gen_srls[0].srl_inst\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000", IS_CLK_INVERTED => '0' ) port map ( A(4 downto 3) => B"00", A(2 downto 0) => fifoaddr(2 downto 0), CE => push, CLK => aclk, D => D(0), Q => \gen_primitive_shifter.gen_srls[0].srl_inst_n_0\, Q31 => \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ ); \storage_data1[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0EEFFFFF0EE0000" ) port map ( I0 => \s_axi_awaddr[30]\(1), I1 => \s_axi_awaddr[30]\(0), I2 => \gen_primitive_shifter.gen_srls[0].srl_inst_n_0\, I3 => out0(0), I4 => load_s1, I5 => m_select_enc(0), O => \storage_data1_reg[0]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_6\ is port ( \storage_data1_reg[1]\ : out STD_LOGIC; push : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ); fifoaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); aclk : in STD_LOGIC; \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); out0 : in STD_LOGIC_VECTOR ( 0 to 0 ); load_s1 : in STD_LOGIC; m_select_enc : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_6\ : entity is "axi_data_fifo_v2_1_12_ndeep_srl"; end \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_6\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_6\ is signal p_2_out : STD_LOGIC; signal \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ : STD_LOGIC; attribute BOX_TYPE : string; attribute BOX_TYPE of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "PRIMITIVE"; attribute srl_bus_name : string; attribute srl_bus_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[1].srl_nx1/gen_primitive_shifter.gen_srls "; attribute srl_name : string; attribute srl_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[1].srl_nx1/gen_primitive_shifter.gen_srls[0].srl_inst "; begin \gen_primitive_shifter.gen_srls[0].srl_inst\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000", IS_CLK_INVERTED => '0' ) port map ( A(4 downto 3) => B"00", A(2 downto 0) => fifoaddr(2 downto 0), CE => push, CLK => aclk, D => D(0), Q => p_2_out, Q31 => \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ ); \storage_data1[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0EEFFFFF0EE0000" ) port map ( I0 => \s_axi_awaddr[30]\(1), I1 => \s_axi_awaddr[30]\(0), I2 => p_2_out, I3 => out0(0), I4 => load_s1, I5 => m_select_enc(0), O => \storage_data1_reg[1]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_7\ is port ( push : out STD_LOGIC; \storage_data1_reg[2]\ : out STD_LOGIC; \m_aready__1\ : out STD_LOGIC; \m_aready0__3\ : out STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ); fifoaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); aclk : in STD_LOGIC; match : in STD_LOGIC; out0 : in STD_LOGIC_VECTOR ( 1 downto 0 ); load_s1 : in STD_LOGIC; m_select_enc : in STD_LOGIC_VECTOR ( 2 downto 0 ); ss_wr_awready : in STD_LOGIC; m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_avalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_22_in : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_7\ : entity is "axi_data_fifo_v2_1_12_ndeep_srl"; end \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_7\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_7\ is signal \^m_aready0__3\ : STD_LOGIC; signal \^m_aready__1\ : STD_LOGIC; signal p_3_out : STD_LOGIC; signal \^push\ : STD_LOGIC; signal \s_axi_wready[0]_INST_0_i_2_n_0\ : STD_LOGIC; signal \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ : STD_LOGIC; attribute BOX_TYPE : string; attribute BOX_TYPE of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "PRIMITIVE"; attribute srl_bus_name : string; attribute srl_bus_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[2].srl_nx1/gen_primitive_shifter.gen_srls "; attribute srl_name : string; attribute srl_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[2].srl_nx1/gen_primitive_shifter.gen_srls[0].srl_inst "; begin \m_aready0__3\ <= \^m_aready0__3\; \m_aready__1\ <= \^m_aready__1\; push <= \^push\; \gen_primitive_shifter.gen_srls[0].srl_inst\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000", IS_CLK_INVERTED => '0' ) port map ( A(4 downto 3) => B"00", A(2 downto 0) => fifoaddr(2 downto 0), CE => \^push\, CLK => aclk, D => D(0), Q => p_3_out, Q31 => \NLW_gen_primitive_shifter.gen_srls[0].srl_inst_Q31_UNCONNECTED\ ); \gen_primitive_shifter.gen_srls[0].srl_inst_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0088000000F80000" ) port map ( I0 => ss_wr_awready, I1 => out0(0), I2 => out0(1), I3 => m_ready_d(0), I4 => s_axi_awvalid(0), I5 => \^m_aready__1\, O => \^push\ ); \m_valid_i_i_1__8\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => s_axi_wlast(0), I1 => m_avalid, I2 => s_axi_wvalid(0), I3 => \^m_aready0__3\, O => \^m_aready__1\ ); \s_axi_wready[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAFEAAAAAAAEA" ) port map ( I0 => \s_axi_wready[0]_INST_0_i_2_n_0\, I1 => m_axi_wready(1), I2 => m_select_enc(0), I3 => m_select_enc(1), I4 => m_select_enc(2), I5 => m_axi_wready(2), O => \^m_aready0__3\ ); \s_axi_wready[0]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0000CA000000CA" ) port map ( I0 => m_axi_wready(0), I1 => p_22_in, I2 => m_select_enc(2), I3 => m_select_enc(1), I4 => m_select_enc(0), I5 => m_axi_wready(3), O => \s_axi_wready[0]_INST_0_i_2_n_0\ ); \storage_data1[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"C5FFC500" ) port map ( I0 => match, I1 => p_3_out, I2 => out0(0), I3 => load_s1, I4 => m_select_enc(2), O => \storage_data1_reg[2]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is port ( \m_payload_i_reg[2]_0\ : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; mi_bready_4 : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; p_29_in : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\ : STD_LOGIC; signal \^m_payload_i_reg[2]_0\ : STD_LOGIC; signal \m_valid_i_i_1__0_n_0\ : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^mi_bready_4\ : STD_LOGIC; signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; begin \m_payload_i_reg[2]_0\ <= \^m_payload_i_reg[2]_0\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; mi_bready_4 <= \^mi_bready_4\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \aresetn_d_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \aresetn_d_reg[0]\, Q => \^s_ready_i_reg_0\, R => '0' ); \m_payload_i[13]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^m_payload_i_reg[2]_0\, O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\ ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(8), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(9), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(10), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(11), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(0), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(1), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(2), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(3), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(4), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(5), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(6), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, D => D(7), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_valid_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBBBBB" ) port map ( I0 => p_29_in, I1 => \^mi_bready_4\, I2 => s_axi_bready(0), I3 => \^m_payload_i_reg[2]_0\, I4 => Q(0), O => \m_valid_i_i_1__0_n_0\ ); \m_valid_i_i_1__9\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^s_ready_i_reg_0\, O => \^m_valid_i_reg_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__0_n_0\, Q => \^m_payload_i_reg[2]_0\, R => \^m_valid_i_reg_0\ ); \s_ready_i_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"B111FFFF" ) port map ( I0 => \^m_payload_i_reg[2]_0\, I1 => p_29_in, I2 => s_axi_bready(0), I3 => Q(0), I4 => \^s_ready_i_reg_0\, O => \s_ready_i_i_1__2_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__2_n_0\, Q => \^mi_bready_4\, R => p_1_in ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_11\ is port ( \m_payload_i_reg[0]_0\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; \m_axi_bid[35]\ : in STD_LOGIC_VECTOR ( 13 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_11\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_11\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_11\ is signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\ : STD_LOGIC; signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC; signal \s_ready_i_i_1__3_n_0\ : STD_LOGIC; begin m_axi_bready(0) <= \^m_axi_bready\(0); \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; \m_payload_i[13]_i_1__3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^m_payload_i_reg[0]_0\, O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(0), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(10), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(11), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(12), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(13), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(1), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(2), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(3), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(4), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(5), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(6), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(7), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(8), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen60_in\, D => \m_axi_bid[35]\(9), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBBBBB" ) port map ( I0 => m_axi_bvalid(0), I1 => \^m_axi_bready\(0), I2 => s_axi_bready(0), I3 => \^m_payload_i_reg[0]_0\, I4 => Q(0), O => \m_valid_i_i_1__2_n_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__2_n_0\, Q => \^m_payload_i_reg[0]_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"B111FFFF" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => m_axi_bvalid(0), I2 => s_axi_bready(0), I3 => Q(0), I4 => \aresetn_d_reg[1]_0\, O => \s_ready_i_i_1__3_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__3_n_0\, Q => \^m_axi_bready\(0), R => p_1_in ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_13\ is port ( \m_payload_i_reg[0]_0\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; \m_axi_bid[23]\ : in STD_LOGIC_VECTOR ( 13 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_13\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_13\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_13\ is signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\ : STD_LOGIC; signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal m_valid_i_i_1_n_0 : STD_LOGIC; signal \s_ready_i_i_1__1_n_0\ : STD_LOGIC; begin m_axi_bready(0) <= \^m_axi_bready\(0); \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; \m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^m_payload_i_reg[0]_0\, O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(0), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(10), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(11), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(12), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(13), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(1), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(2), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(3), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(4), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(5), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(6), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(7), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(8), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen53_in\, D => \m_axi_bid[23]\(9), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); m_valid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBBBBB" ) port map ( I0 => m_axi_bvalid(0), I1 => \^m_axi_bready\(0), I2 => s_axi_bready(0), I3 => \^m_payload_i_reg[0]_0\, I4 => Q(0), O => m_valid_i_i_1_n_0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i_i_1_n_0, Q => \^m_payload_i_reg[0]_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"B111FFFF" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => m_axi_bvalid(0), I2 => s_axi_bready(0), I3 => Q(0), I4 => \aresetn_d_reg[1]_0\, O => \s_ready_i_i_1__1_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__1_n_0\, Q => \^m_axi_bready\(0), R => p_1_in ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_15\ is port ( \m_payload_i_reg[0]_0\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[2]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; p_108_out : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 13 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_15\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_15\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_15\ is signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\ : STD_LOGIC; signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal m_valid_i_i_2_n_0 : STD_LOGIC; signal \s_ready_i_i_1__0_n_0\ : STD_LOGIC; begin m_axi_bready(0) <= \^m_axi_bready\(0); \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; \last_rr_hot[2]_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => p_108_out, O => \chosen_reg[2]\ ); \m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^m_payload_i_reg[0]_0\, O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(0), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(10), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(11), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(12), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(13), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(1), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(2), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(3), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(4), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(5), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(6), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(7), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(8), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen411_in\, D => D(9), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); m_valid_i_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBBBBB" ) port map ( I0 => m_axi_bvalid(0), I1 => \^m_axi_bready\(0), I2 => s_axi_bready(0), I3 => \^m_payload_i_reg[0]_0\, I4 => Q(0), O => m_valid_i_i_2_n_0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i_i_2_n_0, Q => \^m_payload_i_reg[0]_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B111FFFF" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => m_axi_bvalid(0), I2 => s_axi_bready(0), I3 => Q(0), I4 => \aresetn_d_reg[1]_0\, O => \s_ready_i_i_1__0_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__0_n_0\, Q => \^m_axi_bready\(0), R => p_1_in ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_9\ is port ( \m_payload_i_reg[0]_0\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : out STD_LOGIC; \chosen_reg[4]\ : out STD_LOGIC; \aresetn_d_reg[1]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; aclk : in STD_LOGIC; aresetn : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_1\ : in STD_LOGIC; p_88_out : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 13 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_9\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_9\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_9\ is signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\ : STD_LOGIC; signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal \m_valid_i_i_1__1_n_0\ : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 1 to 1 ); signal \^p_1_in\ : STD_LOGIC; signal s_ready_i_i_2_n_0 : STD_LOGIC; begin m_axi_bready(0) <= \^m_axi_bready\(0); \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; p_1_in <= \^p_1_in\; \aresetn_d[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => p_0_in(1), I1 => aresetn, O => \aresetn_d_reg[1]\ ); \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => p_0_in(1), R => '0' ); \last_rr_hot[4]_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => p_88_out, O => \chosen_reg[4]\ ); \m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^m_payload_i_reg[0]_0\, O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(0), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(10), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(11), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(12), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(13), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(1), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(2), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(3), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(4), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(5), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(6), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(7), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(8), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen6\, D => D(9), Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBBBBBB" ) port map ( I0 => m_axi_bvalid(0), I1 => \^m_axi_bready\(0), I2 => s_axi_bready(0), I3 => \^m_payload_i_reg[0]_0\, I4 => Q(0), O => \m_valid_i_i_1__1_n_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__1_n_0\, Q => \^m_payload_i_reg[0]_0\, R => \aresetn_d_reg[1]_0\ ); s_ready_i_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => p_0_in(1), O => \^p_1_in\ ); s_ready_i_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"B111FFFF" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => m_axi_bvalid(0), I2 => s_axi_bready(0), I3 => Q(0), I4 => \aresetn_d_reg[1]_1\, O => s_ready_i_i_2_n_0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i_i_2_n_0, Q => \^m_axi_bready\(0), R => \^p_1_in\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is port ( m_valid_i_reg_0 : out STD_LOGIC; \skid_buffer_reg[34]_0\ : out STD_LOGIC; \r_cmd_pop_4__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[4]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); p_23_in : in STD_LOGIC; \gen_axi.s_axi_rid_i_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); p_25_in : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 12 downto 0 ); signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \s_ready_i_i_1__6_n_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 34 ); signal \^skid_buffer_reg[34]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__3\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__3\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1__3\ : label is "soft_lutpair118"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__3\ : label is "soft_lutpair118"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__3\ : label is "soft_lutpair117"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1__3\ : label is "soft_lutpair117"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1__3\ : label is "soft_lutpair116"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1__3\ : label is "soft_lutpair116"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1__3\ : label is "soft_lutpair115"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__3\ : label is "soft_lutpair115"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__3\ : label is "soft_lutpair114"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2__3\ : label is "soft_lutpair114"; begin \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0); m_valid_i_reg_0 <= \^m_valid_i_reg_0\; \skid_buffer_reg[34]_0\ <= \^skid_buffer_reg[34]_0\; \gen_master_slots[4].r_issuing_cnt[32]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), I1 => \chosen_reg[4]\(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), O => \r_cmd_pop_4__1\ ); \m_payload_i[34]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => p_25_in, I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(0), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(1), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[37]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(2), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[37]\, O => skid_buffer(37) ); \m_payload_i[38]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(3), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(4), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[40]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(5), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[40]\, O => skid_buffer(40) ); \m_payload_i[41]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(6), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[41]\, O => skid_buffer(41) ); \m_payload_i[42]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(7), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[42]\, O => skid_buffer(42) ); \m_payload_i[43]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(8), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[43]\, O => skid_buffer(43) ); \m_payload_i[44]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(9), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(10), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_2__3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \gen_axi.s_axi_rid_i_reg[11]\(11), I1 => \^skid_buffer_reg[34]_0\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(34), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(35), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(36), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(37), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(38), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(39), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(40), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(41), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(42), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(43), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(44), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(45), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(46), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_valid_i_i_1__5\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFDFDFD" ) port map ( I0 => \^skid_buffer_reg[34]_0\, I1 => p_23_in, I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), I4 => \chosen_reg[4]\(0), O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__6\: unisim.vcomponents.LUT5 generic map( INIT => X"D5D5FFD5" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => s_axi_rready(0), I2 => \chosen_reg[4]\(0), I3 => \^skid_buffer_reg[34]_0\, I4 => p_23_in, O => \s_ready_i_i_1__6_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__6_n_0\, Q => \^skid_buffer_reg[34]_0\, R => p_1_in ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => p_25_in, Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(2), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(3), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(4), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(5), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(6), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(7), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(8), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(9), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(10), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[34]_0\, D => \gen_axi.s_axi_rid_i_reg[11]\(11), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_10\ is port ( m_valid_i_reg_0 : out STD_LOGIC; \m_axi_rready[3]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_3__1\ : out STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \chosen_reg[4]\ : out STD_LOGIC; \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[3].r_issuing_cnt_reg[27]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_39_in : in STD_LOGIC; p_82_out : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); \chosen_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_10\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_10\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_10\ is signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \^m_axi_rready[3]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^r_cmd_pop_3__1\ : STD_LOGIC; signal \s_ready_i_i_1__7_n_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_master_slots[3].r_issuing_cnt[27]_i_3\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \last_rr_hot[4]_i_3\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair109"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__7\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair113"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__2\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__2\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__2\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__2\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__2\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__2\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__2\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair113"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__2\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__2\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__2\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__2\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__2\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__2\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__2\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1__2\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__2\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__2\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair112"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1__2\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1__2\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1__2\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1__2\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__2\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__2\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2__2\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair112"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair109"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair111"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair111"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair110"; begin \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0); \m_axi_rready[3]\ <= \^m_axi_rready[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; \r_cmd_pop_3__1\ <= \^r_cmd_pop_3__1\; \gen_master_slots[3].r_issuing_cnt[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(1), I1 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(2), I2 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(0), I3 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(3), I4 => \^r_cmd_pop_3__1\, I5 => p_39_in, O => E(0) ); \gen_master_slots[3].r_issuing_cnt[27]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), I1 => \chosen_reg[3]\(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), O => \^r_cmd_pop_3__1\ ); \gen_no_arbiter.s_ready_i[0]_i_38__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \^r_cmd_pop_3__1\, I1 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(0), I2 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(3), I3 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(1), I4 => \gen_master_slots[3].r_issuing_cnt_reg[27]\(2), O => \gen_no_arbiter.s_ready_i_reg[0]\ ); \last_rr_hot[4]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => p_82_out, O => \chosen_reg[4]\ ); \m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(0), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(10), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(11), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(12), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__7\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(13), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(14), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(15), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(16), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(17), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(18), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(19), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(1), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(20), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(21), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(22), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(23), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(24), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(25), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(26), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(27), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(28), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(29), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(2), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(30), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(31), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(0), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(1), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rlast(0), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(0), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(1), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[37]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(2), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[37]\, O => skid_buffer(37) ); \m_payload_i[38]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(3), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(4), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(3), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[40]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(5), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[40]\, O => skid_buffer(40) ); \m_payload_i[41]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(6), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[41]\, O => skid_buffer(41) ); \m_payload_i[42]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(7), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[42]\, O => skid_buffer(42) ); \m_payload_i[43]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(8), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[43]\, O => skid_buffer(43) ); \m_payload_i[44]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(9), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(10), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_2__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(11), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(4), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(5), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(6), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(7), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(8), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(9), I1 => \^m_axi_rready[3]\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(0), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(10), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(11), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(12), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(13), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(14), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(15), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(16), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(17), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(18), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(19), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(1), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(20), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(21), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(22), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(23), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(24), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(25), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(26), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(27), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(28), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(29), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(2), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(30), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(31), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(32), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(33), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(34), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(35), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(36), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(37), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(38), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(39), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(3), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(40), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(41), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(42), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(43), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(44), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(45), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(46), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(4), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(5), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(6), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(7), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(8), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[3]_0\(0), D => skid_buffer(9), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__6\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFDFDFD" ) port map ( I0 => \^m_axi_rready[3]\, I1 => m_axi_rvalid(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), I4 => \chosen_reg[3]\(0), O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__7\: unisim.vcomponents.LUT5 generic map( INIT => X"D5D5FFD5" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => s_axi_rready(0), I2 => \chosen_reg[3]\(0), I3 => \^m_axi_rready[3]\, I4 => m_axi_rvalid(0), O => \s_ready_i_i_1__7_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__7_n_0\, Q => \^m_axi_rready[3]\, R => p_1_in ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rresp(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rresp(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rlast(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(2), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(3), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(4), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(5), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(6), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(7), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(8), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(9), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(10), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rid(11), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[3]\, D => m_axi_rdata(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_12\ is port ( m_valid_i_reg_0 : out STD_LOGIC; \m_axi_rready[2]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_2__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[2].r_issuing_cnt_reg[19]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_57_in : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); \gen_master_slots[3].r_issuing_cnt_reg[24]\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \chosen_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_12\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_12\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_12\ is signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \gen_no_arbiter.s_ready_i[0]_i_37__0_n_0\ : STD_LOGIC; signal \^m_axi_rready[2]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^r_cmd_pop_2__1\ : STD_LOGIC; signal \s_ready_i_i_1__8_n_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair85"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__6\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1__1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1__1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1__1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1__1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1__1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2__1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair85"; begin \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0); \m_axi_rready[2]\ <= \^m_axi_rready[2]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; \r_cmd_pop_2__1\ <= \^r_cmd_pop_2__1\; \gen_master_slots[2].r_issuing_cnt[19]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(1), I1 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(2), I2 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(0), I3 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(3), I4 => \^r_cmd_pop_2__1\, I5 => p_57_in, O => E(0) ); \gen_master_slots[2].r_issuing_cnt[19]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), I1 => \chosen_reg[2]\(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), O => \^r_cmd_pop_2__1\ ); \gen_no_arbiter.s_ready_i[0]_i_26__0\: unisim.vcomponents.LUT4 generic map( INIT => X"ECA0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_37__0_n_0\, I1 => \gen_master_slots[3].r_issuing_cnt_reg[24]\, I2 => D(0), I3 => D(1), O => \gen_no_arbiter.s_ready_i_reg[0]\ ); \gen_no_arbiter.s_ready_i[0]_i_37__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \^r_cmd_pop_2__1\, I1 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(0), I2 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(3), I3 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(1), I4 => \gen_master_slots[2].r_issuing_cnt_reg[19]\(2), O => \gen_no_arbiter.s_ready_i[0]_i_37__0_n_0\ ); \m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(0), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(10), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(11), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(12), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__6\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(13), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(14), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(15), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(16), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(17), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(18), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(19), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(1), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(20), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(21), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(22), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(23), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(24), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(25), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(26), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(27), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(28), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(29), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(2), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(30), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(31), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(0), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(1), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rlast(0), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(0), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(1), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[37]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(2), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[37]\, O => skid_buffer(37) ); \m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(3), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(4), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(3), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[40]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(5), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[40]\, O => skid_buffer(40) ); \m_payload_i[41]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(6), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[41]\, O => skid_buffer(41) ); \m_payload_i[42]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(7), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[42]\, O => skid_buffer(42) ); \m_payload_i[43]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(8), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[43]\, O => skid_buffer(43) ); \m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(9), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(10), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_2__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(11), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(4), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(5), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(6), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(7), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(8), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(9), I1 => \^m_axi_rready[2]\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(0), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(10), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(11), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(12), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(13), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(14), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(15), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(16), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(17), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(18), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(19), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(1), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(20), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(21), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(22), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(23), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(24), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(25), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(26), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(27), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(28), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(29), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(2), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(30), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(31), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(32), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(33), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(34), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(35), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(36), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(37), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(38), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(39), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(3), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(40), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(41), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(42), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(43), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(44), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(45), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(46), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(4), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(5), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(6), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(7), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(8), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[2]_0\(0), D => skid_buffer(9), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__7\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFDFDFD" ) port map ( I0 => \^m_axi_rready[2]\, I1 => m_axi_rvalid(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), I4 => \chosen_reg[2]\(0), O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__8\: unisim.vcomponents.LUT5 generic map( INIT => X"D5D5FFD5" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => s_axi_rready(0), I2 => \chosen_reg[2]\(0), I3 => \^m_axi_rready[2]\, I4 => m_axi_rvalid(0), O => \s_ready_i_i_1__8_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__8_n_0\, Q => \^m_axi_rready[2]\, R => p_1_in ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rresp(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rresp(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rlast(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(2), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(3), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(4), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(5), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(6), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(7), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(8), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(9), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(10), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rid(11), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[2]\, D => m_axi_rdata(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_14\ is port ( m_valid_i_reg_0 : out STD_LOGIC; \m_axi_rready[1]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_1__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[1].r_issuing_cnt_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_75_in : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRESS_HIT_0 : in STD_LOGIC; \gen_master_slots[0].r_issuing_cnt_reg[0]\ : in STD_LOGIC; \chosen_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_14\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_14\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_14\ is signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \gen_no_arbiter.s_ready_i[0]_i_35__0_n_0\ : STD_LOGIC; signal \^m_axi_rready[1]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^r_cmd_pop_1__1\ : STD_LOGIC; signal \s_ready_i_i_1__5_n_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__5\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1__0\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1__0\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1__0\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1__0\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1__0\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair62"; begin \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0); \m_axi_rready[1]\ <= \^m_axi_rready[1]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; \r_cmd_pop_1__1\ <= \^r_cmd_pop_1__1\; \gen_master_slots[1].r_issuing_cnt[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(1), I1 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(2), I2 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(0), I3 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(3), I4 => \^r_cmd_pop_1__1\, I5 => p_75_in, O => E(0) ); \gen_master_slots[1].r_issuing_cnt[11]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), I1 => \chosen_reg[1]\(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), O => \^r_cmd_pop_1__1\ ); \gen_no_arbiter.s_ready_i[0]_i_25__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_35__0_n_0\, I1 => D(0), I2 => ADDRESS_HIT_0, I3 => \gen_master_slots[0].r_issuing_cnt_reg[0]\, O => \gen_no_arbiter.s_ready_i_reg[0]\ ); \gen_no_arbiter.s_ready_i[0]_i_35__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \^r_cmd_pop_1__1\, I1 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(0), I2 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(3), I3 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(1), I4 => \gen_master_slots[1].r_issuing_cnt_reg[11]\(2), O => \gen_no_arbiter.s_ready_i[0]_i_35__0_n_0\ ); \m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(0), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(10), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(11), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(12), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(13), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(14), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(15), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(16), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(17), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(18), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(19), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(1), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(20), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(21), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(22), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(23), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(24), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(25), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(26), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(27), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(28), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(29), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(2), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(30), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(31), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(0), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(1), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rlast(0), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(0), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(1), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[37]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(2), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[37]\, O => skid_buffer(37) ); \m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(3), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(4), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(3), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[40]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(5), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[40]\, O => skid_buffer(40) ); \m_payload_i[41]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(6), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[41]\, O => skid_buffer(41) ); \m_payload_i[42]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(7), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[42]\, O => skid_buffer(42) ); \m_payload_i[43]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(8), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[43]\, O => skid_buffer(43) ); \m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(9), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(10), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(11), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(4), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(5), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(6), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(7), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(8), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(9), I1 => \^m_axi_rready[1]\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(0), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(10), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(11), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(12), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(13), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(14), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(15), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(16), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(17), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(18), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(19), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(1), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(20), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(21), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(22), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(23), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(24), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(25), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(26), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(27), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(28), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(29), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(2), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(30), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(31), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(32), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(33), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(34), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(35), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(36), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(37), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(38), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(39), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(3), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(40), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(41), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(42), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(43), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(44), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(45), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(46), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(4), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(5), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(6), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(7), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(8), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[1]_0\(0), D => skid_buffer(9), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__4\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFDFDFD" ) port map ( I0 => \^m_axi_rready[1]\, I1 => m_axi_rvalid(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), I4 => \chosen_reg[1]\(0), O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__5\: unisim.vcomponents.LUT5 generic map( INIT => X"D5D5FFD5" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => s_axi_rready(0), I2 => \chosen_reg[1]\(0), I3 => \^m_axi_rready[1]\, I4 => m_axi_rvalid(0), O => \s_ready_i_i_1__5_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__5_n_0\, Q => \^m_axi_rready[1]\, R => p_1_in ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rresp(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rresp(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rlast(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(2), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(3), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(4), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(5), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(6), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(7), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(8), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(9), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(10), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rid(11), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[1]\, D => m_axi_rdata(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_16\ is port ( m_valid_i_reg_0 : out STD_LOGIC; \m_axi_rready[0]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_0__1\ : out STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \chosen_reg[2]\ : out STD_LOGIC; \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[0].r_issuing_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_93_in : in STD_LOGIC; p_102_out : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); \chosen_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_16\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_16\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_16\ is signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \^m_axi_rready[0]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^r_cmd_pop_0__1\ : STD_LOGIC; signal \s_ready_i_i_1__4_n_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_master_slots[0].r_issuing_cnt[3]_i_3\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \last_rr_hot[2]_i_2\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__4\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair40"; begin \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0); \m_axi_rready[0]\ <= \^m_axi_rready[0]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; \r_cmd_pop_0__1\ <= \^r_cmd_pop_0__1\; \gen_master_slots[0].r_issuing_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(1), I1 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(2), I2 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(0), I3 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(3), I4 => \^r_cmd_pop_0__1\, I5 => p_93_in, O => E(0) ); \gen_master_slots[0].r_issuing_cnt[3]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), I1 => \chosen_reg[0]\(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), O => \^r_cmd_pop_0__1\ ); \gen_no_arbiter.s_ready_i[0]_i_36__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFEF" ) port map ( I0 => \^r_cmd_pop_0__1\, I1 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(0), I2 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(3), I3 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(1), I4 => \gen_master_slots[0].r_issuing_cnt_reg[3]\(2), O => \gen_no_arbiter.s_ready_i_reg[0]\ ); \last_rr_hot[2]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => p_102_out, O => \chosen_reg[2]\ ); \m_payload_i[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(0), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(10), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(11), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(12), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(13), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(14), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(15), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(16), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(17), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(18), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(19), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(1), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(20), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(21), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(22), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(23), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(24), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(25), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(26), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(27), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(28), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(29), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(2), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(30), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(31), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(0), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rresp(1), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rlast(0), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(0), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(1), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[37]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(2), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[37]\, O => skid_buffer(37) ); \m_payload_i[38]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(3), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(4), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(3), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[40]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(5), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[40]\, O => skid_buffer(40) ); \m_payload_i[41]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(6), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[41]\, O => skid_buffer(41) ); \m_payload_i[42]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(7), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[42]\, O => skid_buffer(42) ); \m_payload_i[43]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(8), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[43]\, O => skid_buffer(43) ); \m_payload_i[44]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(9), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(10), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rid(11), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(4), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(5), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(6), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(7), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(8), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => m_axi_rdata(9), I1 => \^m_axi_rready[0]\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(0), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(10), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(11), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(12), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(13), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(14), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(15), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(16), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(17), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(18), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(19), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(1), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(20), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(21), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(22), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(23), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(24), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(25), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(26), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(27), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(28), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(29), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(2), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(30), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(31), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(32), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(33), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(34), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(35), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(36), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(37), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(38), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(39), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(3), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(40), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(41), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(42), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(43), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(44), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(45), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(46), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(4), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(5), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(6), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(7), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(8), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \chosen_reg[0]_0\(0), D => skid_buffer(9), Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), R => '0' ); \m_valid_i_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"DDFDFDFD" ) port map ( I0 => \^m_axi_rready[0]\, I1 => m_axi_rvalid(0), I2 => \^m_valid_i_reg_0\, I3 => s_axi_rready(0), I4 => \chosen_reg[0]\(0), O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]\ ); \s_ready_i_i_1__4\: unisim.vcomponents.LUT5 generic map( INIT => X"D5D5FFD5" ) port map ( I0 => \^m_valid_i_reg_0\, I1 => s_axi_rready(0), I2 => \chosen_reg[0]\(0), I3 => \^m_axi_rready[0]\, I4 => m_axi_rvalid(0), O => \s_ready_i_i_1__4_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__4_n_0\, Q => \^m_axi_rready[0]\, R => p_1_in ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rresp(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rresp(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rlast(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(2), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(3), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(4), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(5), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(6), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(7), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(8), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(9), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(10), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rid(11), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^m_axi_rready[0]\, D => m_axi_rdata(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_generic_baseblocks_v2_1_0_mux_enc is port ( \s_axi_rid[0]\ : out STD_LOGIC; \s_axi_rid[1]\ : out STD_LOGIC; \s_axi_rid[2]\ : out STD_LOGIC; \s_axi_rid[3]\ : out STD_LOGIC; \s_axi_rid[4]\ : out STD_LOGIC; \s_axi_rid[5]\ : out STD_LOGIC; \s_axi_rid[6]\ : out STD_LOGIC; \s_axi_rid[7]\ : out STD_LOGIC; \s_axi_rid[8]\ : out STD_LOGIC; \s_axi_rid[9]\ : out STD_LOGIC; \s_axi_rid[10]\ : out STD_LOGIC; \s_axi_rid[11]\ : out STD_LOGIC; s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.accept_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); resp_select : in STD_LOGIC_VECTOR ( 0 to 0 ); f_mux4_return : in STD_LOGIC_VECTOR ( 46 downto 0 ); st_mr_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); \m_payload_i_reg[34]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_0 : in STD_LOGIC; \thread_valid_0__2\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_3 : in STD_LOGIC; \thread_valid_3__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_4 : in STD_LOGIC; \thread_valid_4__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_7 : in STD_LOGIC; \thread_valid_7__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_6 : in STD_LOGIC; \thread_valid_6__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_5 : in STD_LOGIC; \thread_valid_5__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_2 : in STD_LOGIC; \thread_valid_2__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_1 : in STD_LOGIC; \thread_valid_1__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ARREADY : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[0]\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_generic_baseblocks_v2_1_0_mux_enc : entity is "generic_baseblocks_v2_1_0_mux_enc"; end zqynq_lab_1_design_xbar_0_generic_baseblocks_v2_1_0_mux_enc; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_generic_baseblocks_v2_1_0_mux_enc is signal \any_pop__1\ : STD_LOGIC; signal \^s_axi_rid[0]\ : STD_LOGIC; signal \^s_axi_rid[10]\ : STD_LOGIC; signal \^s_axi_rid[11]\ : STD_LOGIC; signal \^s_axi_rid[1]\ : STD_LOGIC; signal \^s_axi_rid[2]\ : STD_LOGIC; signal \^s_axi_rid[3]\ : STD_LOGIC; signal \^s_axi_rid[4]\ : STD_LOGIC; signal \^s_axi_rid[5]\ : STD_LOGIC; signal \^s_axi_rid[6]\ : STD_LOGIC; signal \^s_axi_rid[7]\ : STD_LOGIC; signal \^s_axi_rid[8]\ : STD_LOGIC; signal \^s_axi_rid[9]\ : STD_LOGIC; signal \^s_axi_rlast\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[0].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[10].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[11].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[12].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[13].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[15].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[16].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[17].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[18].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[19].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[1].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[20].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[21].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[22].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[23].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[24].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[25].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[26].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[27].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[28].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[29].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[2].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[30].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[31].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[32].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[33].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[34].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[35].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[36].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[37].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[38].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[39].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[3].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[40].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[41].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[42].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[43].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[44].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[45].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[46].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[47].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[4].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[5].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[6].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[7].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[8].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[9].mux_s2_inst\ : label is "PRIMITIVE"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[1]_i_1__0\ : label is "soft_lutpair127"; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[2]_i_1__0\ : label is "soft_lutpair127"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0\ : label is "soft_lutpair128"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_34__0\ : label is "soft_lutpair128"; begin \s_axi_rid[0]\ <= \^s_axi_rid[0]\; \s_axi_rid[10]\ <= \^s_axi_rid[10]\; \s_axi_rid[11]\ <= \^s_axi_rid[11]\; \s_axi_rid[1]\ <= \^s_axi_rid[1]\; \s_axi_rid[2]\ <= \^s_axi_rid[2]\; \s_axi_rid[3]\ <= \^s_axi_rid[3]\; \s_axi_rid[4]\ <= \^s_axi_rid[4]\; \s_axi_rid[5]\ <= \^s_axi_rid[5]\; \s_axi_rid[6]\ <= \^s_axi_rid[6]\; \s_axi_rid[7]\ <= \^s_axi_rid[7]\; \s_axi_rid[8]\ <= \^s_axi_rid[8]\; \s_axi_rid[9]\ <= \^s_axi_rid[9]\; s_axi_rlast(0) <= \^s_axi_rlast\(0); \gen_fpga.gen_mux_5_8[0].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(0), I1 => st_mr_rid(0), O => \^s_axi_rid[0]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[10].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(10), I1 => st_mr_rid(10), O => \^s_axi_rid[10]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(11), I1 => st_mr_rid(11), O => \^s_axi_rid[11]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[12].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(12), I1 => '1', O => s_axi_rresp(0), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[13].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(13), I1 => '1', O => s_axi_rresp(1), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[15].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(14), I1 => '0', O => s_axi_rdata(0), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[16].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(15), I1 => '0', O => s_axi_rdata(1), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[17].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(16), I1 => '0', O => s_axi_rdata(2), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[18].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(17), I1 => '0', O => s_axi_rdata(3), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[19].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(18), I1 => '0', O => s_axi_rdata(4), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[1].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(1), I1 => st_mr_rid(1), O => \^s_axi_rid[1]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[20].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(19), I1 => '0', O => s_axi_rdata(5), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[21].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(20), I1 => '0', O => s_axi_rdata(6), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[22].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(21), I1 => '0', O => s_axi_rdata(7), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[23].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(22), I1 => '0', O => s_axi_rdata(8), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[24].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(23), I1 => '0', O => s_axi_rdata(9), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[25].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(24), I1 => '0', O => s_axi_rdata(10), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[26].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(25), I1 => '0', O => s_axi_rdata(11), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[27].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(26), I1 => '0', O => s_axi_rdata(12), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[28].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(27), I1 => '0', O => s_axi_rdata(13), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[29].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(28), I1 => '0', O => s_axi_rdata(14), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[2].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(2), I1 => st_mr_rid(2), O => \^s_axi_rid[2]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[30].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(29), I1 => '0', O => s_axi_rdata(15), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[31].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(30), I1 => '0', O => s_axi_rdata(16), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[32].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(31), I1 => '0', O => s_axi_rdata(17), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[33].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(32), I1 => '0', O => s_axi_rdata(18), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[34].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(33), I1 => '0', O => s_axi_rdata(19), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[35].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(34), I1 => '0', O => s_axi_rdata(20), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[36].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(35), I1 => '0', O => s_axi_rdata(21), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[37].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(36), I1 => '0', O => s_axi_rdata(22), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[38].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(37), I1 => '0', O => s_axi_rdata(23), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[39].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(38), I1 => '0', O => s_axi_rdata(24), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[3].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(3), I1 => st_mr_rid(3), O => \^s_axi_rid[3]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[40].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(39), I1 => '0', O => s_axi_rdata(25), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[41].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(40), I1 => '0', O => s_axi_rdata(26), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[42].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(41), I1 => '0', O => s_axi_rdata(27), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[43].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(42), I1 => '0', O => s_axi_rdata(28), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[44].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(43), I1 => '0', O => s_axi_rdata(29), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[45].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(44), I1 => '0', O => s_axi_rdata(30), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[46].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(45), I1 => '0', O => s_axi_rdata(31), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[47].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(46), I1 => \m_payload_i_reg[34]\(0), O => \^s_axi_rlast\(0), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[4].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(4), I1 => st_mr_rid(4), O => \^s_axi_rid[4]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[5].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(5), I1 => st_mr_rid(5), O => \^s_axi_rid[5]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[6].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(6), I1 => st_mr_rid(6), O => \^s_axi_rid[6]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[7].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(7), I1 => st_mr_rid(7), O => \^s_axi_rid[7]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[8].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(8), I1 => st_mr_rid(8), O => \^s_axi_rid[8]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[9].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(9), I1 => st_mr_rid(9), O => \^s_axi_rid[9]\, S => resp_select(0) ); \gen_multi_thread.accept_cnt[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9A65" ) port map ( I0 => Q(0), I1 => \any_pop__1\, I2 => S_AXI_ARREADY(0), I3 => Q(1), O => D(0) ); \gen_multi_thread.accept_cnt[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"DFF2200D" ) port map ( I0 => S_AXI_ARREADY(0), I1 => \any_pop__1\, I2 => Q(0), I3 => Q(1), I4 => Q(2), O => D(1) ); \gen_multi_thread.accept_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => Q(1), I1 => Q(2), I2 => Q(0), I3 => Q(3), I4 => \any_pop__1\, I5 => S_AXI_ARREADY(0), O => \gen_multi_thread.accept_cnt_reg[3]\(0) ); \gen_multi_thread.accept_cnt[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F7FF0800FFAE0051" ) port map ( I0 => Q(1), I1 => S_AXI_ARREADY(0), I2 => \any_pop__1\, I3 => Q(0), I4 => Q(3), I5 => Q(2), O => D(2) ); \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_0, I1 => \any_pop__1\, I2 => \thread_valid_0__2\, I3 => CO(0), O => E(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_1, I1 => \any_pop__1\, I2 => \thread_valid_1__2\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\(0), O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_2, I1 => \any_pop__1\, I2 => \thread_valid_2__2\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\(0), O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_3, I1 => \any_pop__1\, I2 => \thread_valid_3__2\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\(0), O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_4, I1 => \any_pop__1\, I2 => \thread_valid_4__2\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\(0), O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_5, I1 => \any_pop__1\, I2 => \thread_valid_5__2\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\(0), O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_6, I1 => \any_pop__1\, I2 => \thread_valid_6__2\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\(0), O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_7, I1 => \any_pop__1\, I2 => \thread_valid_7__2\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\(0), O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => s_axi_rready(0), I1 => \^s_axi_rlast\(0), I2 => \chosen_reg[0]\, O => \any_pop__1\ ); \gen_no_arbiter.s_ready_i[0]_i_34__0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^s_axi_rlast\(0), I1 => s_axi_rready(0), O => \gen_no_arbiter.s_ready_i_reg[0]\ ); \i__carry_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(9), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11), I5 => \^s_axi_rid[11]\, O => S(3) ); \i__carry_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(6), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(8), I5 => \^s_axi_rid[8]\, O => S(2) ); \i__carry_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(3), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(5), I5 => \^s_axi_rid[5]\, O => S(1) ); \i__carry_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(0), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(2), I5 => \^s_axi_rid[2]\, O => S(0) ); p_10_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(9), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) ); p_10_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(6), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) ); p_10_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(3), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) ); p_10_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(0), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) ); p_12_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(9), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) ); p_12_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(6), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) ); p_12_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(3), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) ); p_12_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(0), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) ); p_14_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(9), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(3) ); p_14_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(6), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(2) ); p_14_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(3), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(1) ); p_14_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(0), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) ); p_2_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(9), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) ); p_2_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(6), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) ); p_2_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(3), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) ); p_2_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(0), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) ); p_4_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(9), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) ); p_4_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(6), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) ); p_4_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(3), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) ); p_4_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(0), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) ); p_6_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(9), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) ); p_6_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(6), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) ); p_6_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(3), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) ); p_6_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(0), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) ); p_8_out_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[10]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(10), I2 => \^s_axi_rid[9]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(9), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11), I5 => \^s_axi_rid[11]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) ); p_8_out_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[7]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(7), I2 => \^s_axi_rid[6]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(6), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(8), I5 => \^s_axi_rid[8]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) ); p_8_out_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[4]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(4), I2 => \^s_axi_rid[3]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(3), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(5), I5 => \^s_axi_rid[5]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) ); p_8_out_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_rid[1]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(1), I2 => \^s_axi_rid[0]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(0), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(2), I5 => \^s_axi_rid[2]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_generic_baseblocks_v2_1_0_mux_enc__parameterized0\ is port ( \s_axi_bid[0]\ : out STD_LOGIC; \s_axi_bid[1]\ : out STD_LOGIC; \s_axi_bid[2]\ : out STD_LOGIC; \s_axi_bid[3]\ : out STD_LOGIC; \s_axi_bid[4]\ : out STD_LOGIC; \s_axi_bid[5]\ : out STD_LOGIC; \s_axi_bid[6]\ : out STD_LOGIC; \s_axi_bid[7]\ : out STD_LOGIC; \s_axi_bid[8]\ : out STD_LOGIC; \s_axi_bid[9]\ : out STD_LOGIC; \s_axi_bid[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); p_0_out : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_multi_thread.accept_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); resp_select : in STD_LOGIC_VECTOR ( 0 to 0 ); f_mux4_return : in STD_LOGIC_VECTOR ( 13 downto 0 ); st_mr_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); cmd_push_0 : in STD_LOGIC; \thread_valid_0__2\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_3 : in STD_LOGIC; \thread_valid_3__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_4 : in STD_LOGIC; \thread_valid_4__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_7 : in STD_LOGIC; \thread_valid_7__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_6 : in STD_LOGIC; \thread_valid_6__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_5 : in STD_LOGIC; \thread_valid_5__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_2 : in STD_LOGIC; \thread_valid_2__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); cmd_push_1 : in STD_LOGIC; \thread_valid_1__2\ : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_ready_d_reg[1]\ : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i_reg : in STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_generic_baseblocks_v2_1_0_mux_enc__parameterized0\ : entity is "generic_baseblocks_v2_1_0_mux_enc"; end \zqynq_lab_1_design_xbar_0_generic_baseblocks_v2_1_0_mux_enc__parameterized0\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_generic_baseblocks_v2_1_0_mux_enc__parameterized0\ is signal \any_pop__1\ : STD_LOGIC; signal \^p_0_out\ : STD_LOGIC; signal \^s_axi_bid[0]\ : STD_LOGIC; signal \^s_axi_bid[10]\ : STD_LOGIC; signal \^s_axi_bid[11]\ : STD_LOGIC; signal \^s_axi_bid[1]\ : STD_LOGIC; signal \^s_axi_bid[2]\ : STD_LOGIC; signal \^s_axi_bid[3]\ : STD_LOGIC; signal \^s_axi_bid[4]\ : STD_LOGIC; signal \^s_axi_bid[5]\ : STD_LOGIC; signal \^s_axi_bid[6]\ : STD_LOGIC; signal \^s_axi_bid[7]\ : STD_LOGIC; signal \^s_axi_bid[8]\ : STD_LOGIC; signal \^s_axi_bid[9]\ : STD_LOGIC; attribute BOX_TYPE : string; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[0].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[10].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[11].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[12].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[13].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[15].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[1].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[2].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[3].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[4].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[5].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[6].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[7].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[8].mux_s2_inst\ : label is "PRIMITIVE"; attribute BOX_TYPE of \gen_fpga.gen_mux_5_8[9].mux_s2_inst\ : label is "PRIMITIVE"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[1]_i_1\ : label is "soft_lutpair162"; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[2]_i_1\ : label is "soft_lutpair162"; begin p_0_out <= \^p_0_out\; \s_axi_bid[0]\ <= \^s_axi_bid[0]\; \s_axi_bid[10]\ <= \^s_axi_bid[10]\; \s_axi_bid[11]\ <= \^s_axi_bid[11]\; \s_axi_bid[1]\ <= \^s_axi_bid[1]\; \s_axi_bid[2]\ <= \^s_axi_bid[2]\; \s_axi_bid[3]\ <= \^s_axi_bid[3]\; \s_axi_bid[4]\ <= \^s_axi_bid[4]\; \s_axi_bid[5]\ <= \^s_axi_bid[5]\; \s_axi_bid[6]\ <= \^s_axi_bid[6]\; \s_axi_bid[7]\ <= \^s_axi_bid[7]\; \s_axi_bid[8]\ <= \^s_axi_bid[8]\; \s_axi_bid[9]\ <= \^s_axi_bid[9]\; \gen_fpga.gen_mux_5_8[0].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(0), I1 => st_mr_bid(0), O => \^s_axi_bid[0]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[10].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(10), I1 => st_mr_bid(10), O => \^s_axi_bid[10]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[11].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(11), I1 => st_mr_bid(11), O => \^s_axi_bid[11]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[12].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(12), I1 => '1', O => s_axi_bresp(0), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[13].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(13), I1 => '1', O => s_axi_bresp(1), S => resp_select(0) ); \gen_fpga.gen_mux_5_8[15].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => '1', I1 => '1', O => \^p_0_out\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[1].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(1), I1 => st_mr_bid(1), O => \^s_axi_bid[1]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[2].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(2), I1 => st_mr_bid(2), O => \^s_axi_bid[2]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[3].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(3), I1 => st_mr_bid(3), O => \^s_axi_bid[3]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[4].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(4), I1 => st_mr_bid(4), O => \^s_axi_bid[4]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[5].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(5), I1 => st_mr_bid(5), O => \^s_axi_bid[5]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[6].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(6), I1 => st_mr_bid(6), O => \^s_axi_bid[6]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[7].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(7), I1 => st_mr_bid(7), O => \^s_axi_bid[7]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[8].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(8), I1 => st_mr_bid(8), O => \^s_axi_bid[8]\, S => resp_select(0) ); \gen_fpga.gen_mux_5_8[9].mux_s2_inst\: unisim.vcomponents.MUXF7 port map ( I0 => f_mux4_return(9), I1 => st_mr_bid(9), O => \^s_axi_bid[9]\, S => resp_select(0) ); \gen_multi_thread.accept_cnt[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9A65" ) port map ( I0 => Q(0), I1 => \any_pop__1\, I2 => \m_ready_d_reg[1]\, I3 => Q(1), O => D(0) ); \gen_multi_thread.accept_cnt[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"DFF2200D" ) port map ( I0 => \m_ready_d_reg[1]\, I1 => \any_pop__1\, I2 => Q(0), I3 => Q(1), I4 => Q(2), O => D(1) ); \gen_multi_thread.accept_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => Q(1), I1 => Q(2), I2 => Q(0), I3 => Q(3), I4 => \any_pop__1\, I5 => \m_ready_d_reg[1]\, O => \gen_multi_thread.accept_cnt_reg[3]\(0) ); \gen_multi_thread.accept_cnt[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F7FF0800FFAE0051" ) port map ( I0 => Q(1), I1 => \m_ready_d_reg[1]\, I2 => \any_pop__1\, I3 => Q(0), I4 => Q(3), I5 => Q(2), O => D(2) ); \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_0, I1 => \any_pop__1\, I2 => \thread_valid_0__2\, I3 => CO(0), O => E(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_1, I1 => \any_pop__1\, I2 => \thread_valid_1__2\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\(0), O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_2, I1 => \any_pop__1\, I2 => \thread_valid_2__2\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\(0), O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_3, I1 => \any_pop__1\, I2 => \thread_valid_3__2\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\(0), O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_4, I1 => \any_pop__1\, I2 => \thread_valid_4__2\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\(0), O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_5, I1 => \any_pop__1\, I2 => \thread_valid_5__2\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\(0), O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_6, I1 => \any_pop__1\, I2 => \thread_valid_6__2\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\(0), O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => cmd_push_7, I1 => \any_pop__1\, I2 => \thread_valid_7__2\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\(0), O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => s_axi_bready(0), I1 => \^p_0_out\, I2 => m_valid_i_reg, O => \any_pop__1\ ); \i__carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(9), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11), I5 => \^s_axi_bid[11]\, O => S(3) ); \i__carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(6), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(8), I5 => \^s_axi_bid[8]\, O => S(2) ); \i__carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(3), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(5), I5 => \^s_axi_bid[5]\, O => S(1) ); \i__carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(0), I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(2), I5 => \^s_axi_bid[2]\, O => S(0) ); \p_10_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(9), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) ); \p_10_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(6), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) ); \p_10_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(3), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) ); \p_10_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(0), I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) ); \p_12_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(9), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) ); \p_12_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(6), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) ); \p_12_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(3), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) ); \p_12_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(0), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) ); \p_14_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(9), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(3) ); \p_14_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(6), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(2) ); \p_14_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(3), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(1) ); \p_14_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(0), I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) ); \p_2_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(9), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) ); \p_2_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(6), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) ); \p_2_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(3), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) ); \p_2_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(0), I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) ); \p_4_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(9), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) ); \p_4_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(6), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) ); \p_4_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(3), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) ); \p_4_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(0), I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) ); \p_6_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(9), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) ); \p_6_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(6), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) ); \p_6_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(3), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) ); \p_6_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(0), I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) ); \p_8_out_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[10]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(10), I2 => \^s_axi_bid[9]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(9), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11), I5 => \^s_axi_bid[11]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) ); \p_8_out_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[7]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(7), I2 => \^s_axi_bid[6]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(6), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(8), I5 => \^s_axi_bid[8]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) ); \p_8_out_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[4]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(4), I2 => \^s_axi_bid[3]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(3), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(5), I5 => \^s_axi_bid[5]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) ); \p_8_out_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^s_axi_bid[1]\, I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(1), I2 => \^s_axi_bid[0]\, I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(0), I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(2), I5 => \^s_axi_bid[2]\, O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_si_transactor is port ( \s_axi_rid[0]\ : out STD_LOGIC; \s_axi_rid[1]\ : out STD_LOGIC; \s_axi_rid[2]\ : out STD_LOGIC; \s_axi_rid[3]\ : out STD_LOGIC; \s_axi_rid[4]\ : out STD_LOGIC; \s_axi_rid[5]\ : out STD_LOGIC; \s_axi_rid[6]\ : out STD_LOGIC; \s_axi_rid[7]\ : out STD_LOGIC; \s_axi_rid[8]\ : out STD_LOGIC; \s_axi_rid[9]\ : out STD_LOGIC; \s_axi_rid[10]\ : out STD_LOGIC; \s_axi_rid[11]\ : out STD_LOGIC; s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Q : out STD_LOGIC_VECTOR ( 4 downto 0 ); \s_axi_rvalid[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]_2\ : out STD_LOGIC_VECTOR ( 0 to 0 ); st_mr_rid : in STD_LOGIC_VECTOR ( 59 downto 0 ); \m_payload_i_reg[34]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); aresetn_d : in STD_LOGIC; aa_mi_arvalid : in STD_LOGIC; \gen_master_slots[1].r_issuing_cnt_reg[8]\ : in STD_LOGIC; \gen_master_slots[2].r_issuing_cnt_reg[16]\ : in STD_LOGIC; \r_cmd_pop_4__1\ : in STD_LOGIC; match : in STD_LOGIC; r_issuing_cnt : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRESS_HIT_0 : in STD_LOGIC; \s_axi_araddr[30]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ARREADY : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); p_122_out : in STD_LOGIC; st_mr_rmesg : in STD_LOGIC_VECTOR ( 135 downto 0 ); \m_payload_i_reg[34]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_2\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[34]_4\ : in STD_LOGIC_VECTOR ( 0 to 0 ); p_62_out : in STD_LOGIC; p_102_out : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); p_40_out : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC; p_82_out : in STD_LOGIC; m_valid_i_reg_0 : in STD_LOGIC; \s_axi_arid[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_si_transactor : entity is "axi_crossbar_v2_1_14_si_transactor"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_si_transactor; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_si_transactor is signal \^d\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \accum_push_5__0\ : STD_LOGIC; signal active_cnt : STD_LOGIC_VECTOR ( 59 downto 0 ); signal active_target : STD_LOGIC_VECTOR ( 58 downto 0 ); signal aid_match_00 : STD_LOGIC; signal aid_match_00_carry_i_1_n_0 : STD_LOGIC; signal aid_match_00_carry_i_2_n_0 : STD_LOGIC; signal aid_match_00_carry_i_3_n_0 : STD_LOGIC; signal aid_match_00_carry_i_4_n_0 : STD_LOGIC; signal aid_match_00_carry_n_1 : STD_LOGIC; signal aid_match_00_carry_n_2 : STD_LOGIC; signal aid_match_00_carry_n_3 : STD_LOGIC; signal \aid_match_0__0\ : STD_LOGIC; signal aid_match_10 : STD_LOGIC; signal aid_match_10_carry_i_1_n_0 : STD_LOGIC; signal aid_match_10_carry_i_2_n_0 : STD_LOGIC; signal aid_match_10_carry_i_3_n_0 : STD_LOGIC; signal aid_match_10_carry_i_4_n_0 : STD_LOGIC; signal aid_match_10_carry_n_1 : STD_LOGIC; signal aid_match_10_carry_n_2 : STD_LOGIC; signal aid_match_10_carry_n_3 : STD_LOGIC; signal \aid_match_1__0\ : STD_LOGIC; signal aid_match_20 : STD_LOGIC; signal aid_match_20_carry_i_1_n_0 : STD_LOGIC; signal aid_match_20_carry_i_2_n_0 : STD_LOGIC; signal aid_match_20_carry_i_3_n_0 : STD_LOGIC; signal aid_match_20_carry_i_4_n_0 : STD_LOGIC; signal aid_match_20_carry_n_1 : STD_LOGIC; signal aid_match_20_carry_n_2 : STD_LOGIC; signal aid_match_20_carry_n_3 : STD_LOGIC; signal \aid_match_2__0\ : STD_LOGIC; signal aid_match_30 : STD_LOGIC; signal aid_match_30_carry_i_1_n_0 : STD_LOGIC; signal aid_match_30_carry_i_2_n_0 : STD_LOGIC; signal aid_match_30_carry_i_3_n_0 : STD_LOGIC; signal aid_match_30_carry_i_4_n_0 : STD_LOGIC; signal aid_match_30_carry_n_1 : STD_LOGIC; signal aid_match_30_carry_n_2 : STD_LOGIC; signal aid_match_30_carry_n_3 : STD_LOGIC; signal \aid_match_3__0\ : STD_LOGIC; signal aid_match_40 : STD_LOGIC; signal aid_match_40_carry_i_1_n_0 : STD_LOGIC; signal aid_match_40_carry_i_2_n_0 : STD_LOGIC; signal aid_match_40_carry_i_3_n_0 : STD_LOGIC; signal aid_match_40_carry_i_4_n_0 : STD_LOGIC; signal aid_match_40_carry_n_1 : STD_LOGIC; signal aid_match_40_carry_n_2 : STD_LOGIC; signal aid_match_40_carry_n_3 : STD_LOGIC; signal \aid_match_4__0\ : STD_LOGIC; signal aid_match_50 : STD_LOGIC; signal aid_match_50_carry_i_1_n_0 : STD_LOGIC; signal aid_match_50_carry_i_2_n_0 : STD_LOGIC; signal aid_match_50_carry_i_3_n_0 : STD_LOGIC; signal aid_match_50_carry_i_4_n_0 : STD_LOGIC; signal aid_match_50_carry_n_1 : STD_LOGIC; signal aid_match_50_carry_n_2 : STD_LOGIC; signal aid_match_50_carry_n_3 : STD_LOGIC; signal \aid_match_5__0\ : STD_LOGIC; signal aid_match_60 : STD_LOGIC; signal aid_match_60_carry_i_1_n_0 : STD_LOGIC; signal aid_match_60_carry_i_2_n_0 : STD_LOGIC; signal aid_match_60_carry_i_3_n_0 : STD_LOGIC; signal aid_match_60_carry_i_4_n_0 : STD_LOGIC; signal aid_match_60_carry_n_1 : STD_LOGIC; signal aid_match_60_carry_n_2 : STD_LOGIC; signal aid_match_60_carry_n_3 : STD_LOGIC; signal \aid_match_6__0\ : STD_LOGIC; signal aid_match_70 : STD_LOGIC; signal aid_match_70_carry_i_1_n_0 : STD_LOGIC; signal aid_match_70_carry_i_2_n_0 : STD_LOGIC; signal aid_match_70_carry_i_3_n_0 : STD_LOGIC; signal aid_match_70_carry_i_4_n_0 : STD_LOGIC; signal aid_match_70_carry_n_1 : STD_LOGIC; signal aid_match_70_carry_n_2 : STD_LOGIC; signal aid_match_70_carry_n_3 : STD_LOGIC; signal \aid_match_7__0\ : STD_LOGIC; signal cmd_push_0 : STD_LOGIC; signal cmd_push_1 : STD_LOGIC; signal cmd_push_2 : STD_LOGIC; signal cmd_push_3 : STD_LOGIC; signal cmd_push_4 : STD_LOGIC; signal cmd_push_5 : STD_LOGIC; signal cmd_push_6 : STD_LOGIC; signal cmd_push_7 : STD_LOGIC; signal f_mux4_return : STD_LOGIC_VECTOR ( 47 downto 0 ); signal \gen_multi_thread.accept_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.accept_cnt_reg__0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_47\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_48\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_49\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_50\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_51\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_52\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_53\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_54\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_55\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_56\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_57\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_58\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_59\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_60\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_61\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_62\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_63\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_64\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_65\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_66\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_67\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_68\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_69\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_70\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_71\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_72\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_73\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_74\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_75\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_76\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_77\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_78\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_79\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_80\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_81\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_82\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_83\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_84\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_85\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_86\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_87\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_88\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_89\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_90\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_91\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_11__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_12__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_14__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_15__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_17__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_18__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_20__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_21__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_22__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_23__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_27__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_28_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_30__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_31_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_33__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_3__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_4__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_5__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_7__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_8__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0\ : STD_LOGIC; signal p_0_out : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_1\ : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_2\ : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_3\ : STD_LOGIC; signal p_10_out : STD_LOGIC; signal p_10_out_carry_n_1 : STD_LOGIC; signal p_10_out_carry_n_2 : STD_LOGIC; signal p_10_out_carry_n_3 : STD_LOGIC; signal p_12_out : STD_LOGIC; signal p_12_out_carry_n_1 : STD_LOGIC; signal p_12_out_carry_n_2 : STD_LOGIC; signal p_12_out_carry_n_3 : STD_LOGIC; signal p_14_out : STD_LOGIC; signal p_14_out_carry_n_1 : STD_LOGIC; signal p_14_out_carry_n_2 : STD_LOGIC; signal p_14_out_carry_n_3 : STD_LOGIC; signal p_2_out : STD_LOGIC; signal p_2_out_carry_n_1 : STD_LOGIC; signal p_2_out_carry_n_2 : STD_LOGIC; signal p_2_out_carry_n_3 : STD_LOGIC; signal p_4_out : STD_LOGIC; signal p_4_out_carry_n_1 : STD_LOGIC; signal p_4_out_carry_n_2 : STD_LOGIC; signal p_4_out_carry_n_3 : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_6_out_carry_n_1 : STD_LOGIC; signal p_6_out_carry_n_2 : STD_LOGIC; signal p_6_out_carry_n_3 : STD_LOGIC; signal p_8_out : STD_LOGIC; signal p_8_out_carry_n_1 : STD_LOGIC; signal p_8_out_carry_n_2 : STD_LOGIC; signal p_8_out_carry_n_3 : STD_LOGIC; signal resp_select : STD_LOGIC_VECTOR ( 2 to 2 ); signal \^s_axi_rvalid[0]\ : STD_LOGIC; signal \thread_valid_0__2\ : STD_LOGIC; signal \thread_valid_1__2\ : STD_LOGIC; signal \thread_valid_2__2\ : STD_LOGIC; signal \thread_valid_3__2\ : STD_LOGIC; signal \thread_valid_4__2\ : STD_LOGIC; signal \thread_valid_5__2\ : STD_LOGIC; signal \thread_valid_6__2\ : STD_LOGIC; signal \thread_valid_7__2\ : STD_LOGIC; signal NLW_aid_match_00_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_10_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_20_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_30_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_40_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_50_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_60_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_70_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_p_0_out_inferred__9/i__carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_10_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_12_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_14_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_2_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_4_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_6_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_8_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[0]_i_1\ : label is "soft_lutpair155"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1\ : label is "soft_lutpair147"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0\ : label is "soft_lutpair147"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0\ : label is "soft_lutpair141"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0\ : label is "soft_lutpair141"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0\ : label is "soft_lutpair140"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0\ : label is "soft_lutpair140"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1\ : label is "soft_lutpair150"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0\ : label is "soft_lutpair150"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1\ : label is "soft_lutpair149"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0\ : label is "soft_lutpair149"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0\ : label is "soft_lutpair139"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0\ : label is "soft_lutpair139"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_2__0\ : label is "soft_lutpair142"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_3__0\ : label is "soft_lutpair143"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_4__0\ : label is "soft_lutpair144"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1\ : label is "soft_lutpair154"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0\ : label is "soft_lutpair154"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0\ : label is "soft_lutpair134"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0\ : label is "soft_lutpair134"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1\ : label is "soft_lutpair153"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0\ : label is "soft_lutpair153"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0\ : label is "soft_lutpair132"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0\ : label is "soft_lutpair132"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_3__0\ : label is "soft_lutpair145"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_4__0\ : label is "soft_lutpair138"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1\ : label is "soft_lutpair151"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0\ : label is "soft_lutpair151"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0\ : label is "soft_lutpair137"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0\ : label is "soft_lutpair137"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1\ : label is "soft_lutpair148"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0\ : label is "soft_lutpair148"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0\ : label is "soft_lutpair136"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0\ : label is "soft_lutpair136"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_2__0\ : label is "soft_lutpair146"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_3__0\ : label is "soft_lutpair129"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1\ : label is "soft_lutpair152"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0\ : label is "soft_lutpair152"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0\ : label is "soft_lutpair135"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0\ : label is "soft_lutpair135"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_4__0\ : label is "soft_lutpair130"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0\ : label is "soft_lutpair133"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0\ : label is "soft_lutpair131"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_5__0\ : label is "soft_lutpair130"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_9__0\ : label is "soft_lutpair129"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_10__0\ : label is "soft_lutpair145"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_13__0\ : label is "soft_lutpair144"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_16__0\ : label is "soft_lutpair143"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_19__0\ : label is "soft_lutpair142"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_20__0\ : label is "soft_lutpair131"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_27__0\ : label is "soft_lutpair133"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_29__0\ : label is "soft_lutpair146"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_32__0\ : label is "soft_lutpair138"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_33__0\ : label is "soft_lutpair155"; begin D(0) <= \^d\(0); \s_axi_rvalid[0]\ <= \^s_axi_rvalid[0]\; aid_match_00_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_00, CO(2) => aid_match_00_carry_n_1, CO(1) => aid_match_00_carry_n_2, CO(0) => aid_match_00_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_00_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_00_carry_i_1_n_0, S(2) => aid_match_00_carry_i_2_n_0, S(1) => aid_match_00_carry_i_3_n_0, S(0) => aid_match_00_carry_i_4_n_0 ); aid_match_00_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(11), O => aid_match_00_carry_i_1_n_0 ); aid_match_00_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(8), O => aid_match_00_carry_i_2_n_0 ); aid_match_00_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(5), O => aid_match_00_carry_i_3_n_0 ); aid_match_00_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(2), O => aid_match_00_carry_i_4_n_0 ); aid_match_10_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_10, CO(2) => aid_match_10_carry_n_1, CO(1) => aid_match_10_carry_n_2, CO(0) => aid_match_10_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_10_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_10_carry_i_1_n_0, S(2) => aid_match_10_carry_i_2_n_0, S(1) => aid_match_10_carry_i_3_n_0, S(0) => aid_match_10_carry_i_4_n_0 ); aid_match_10_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(9), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(11), I5 => \s_axi_arid[11]\(11), O => aid_match_10_carry_i_1_n_0 ); aid_match_10_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(6), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(8), I5 => \s_axi_arid[11]\(8), O => aid_match_10_carry_i_2_n_0 ); aid_match_10_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(3), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(5), I5 => \s_axi_arid[11]\(5), O => aid_match_10_carry_i_3_n_0 ); aid_match_10_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(0), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(2), I5 => \s_axi_arid[11]\(2), O => aid_match_10_carry_i_4_n_0 ); aid_match_20_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_20, CO(2) => aid_match_20_carry_n_1, CO(1) => aid_match_20_carry_n_2, CO(0) => aid_match_20_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_20_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_20_carry_i_1_n_0, S(2) => aid_match_20_carry_i_2_n_0, S(1) => aid_match_20_carry_i_3_n_0, S(0) => aid_match_20_carry_i_4_n_0 ); aid_match_20_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(11), O => aid_match_20_carry_i_1_n_0 ); aid_match_20_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(8), O => aid_match_20_carry_i_2_n_0 ); aid_match_20_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(5), O => aid_match_20_carry_i_3_n_0 ); aid_match_20_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(2), O => aid_match_20_carry_i_4_n_0 ); aid_match_30_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_30, CO(2) => aid_match_30_carry_n_1, CO(1) => aid_match_30_carry_n_2, CO(0) => aid_match_30_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_30_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_30_carry_i_1_n_0, S(2) => aid_match_30_carry_i_2_n_0, S(1) => aid_match_30_carry_i_3_n_0, S(0) => aid_match_30_carry_i_4_n_0 ); aid_match_30_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(11), O => aid_match_30_carry_i_1_n_0 ); aid_match_30_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(8), O => aid_match_30_carry_i_2_n_0 ); aid_match_30_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(5), O => aid_match_30_carry_i_3_n_0 ); aid_match_30_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(2), O => aid_match_30_carry_i_4_n_0 ); aid_match_40_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_40, CO(2) => aid_match_40_carry_n_1, CO(1) => aid_match_40_carry_n_2, CO(0) => aid_match_40_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_40_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_40_carry_i_1_n_0, S(2) => aid_match_40_carry_i_2_n_0, S(1) => aid_match_40_carry_i_3_n_0, S(0) => aid_match_40_carry_i_4_n_0 ); aid_match_40_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(11), O => aid_match_40_carry_i_1_n_0 ); aid_match_40_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(8), O => aid_match_40_carry_i_2_n_0 ); aid_match_40_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(5), O => aid_match_40_carry_i_3_n_0 ); aid_match_40_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(2), O => aid_match_40_carry_i_4_n_0 ); aid_match_50_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_50, CO(2) => aid_match_50_carry_n_1, CO(1) => aid_match_50_carry_n_2, CO(0) => aid_match_50_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_50_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_50_carry_i_1_n_0, S(2) => aid_match_50_carry_i_2_n_0, S(1) => aid_match_50_carry_i_3_n_0, S(0) => aid_match_50_carry_i_4_n_0 ); aid_match_50_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(11), O => aid_match_50_carry_i_1_n_0 ); aid_match_50_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(8), O => aid_match_50_carry_i_2_n_0 ); aid_match_50_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(5), O => aid_match_50_carry_i_3_n_0 ); aid_match_50_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(2), O => aid_match_50_carry_i_4_n_0 ); aid_match_60_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_60, CO(2) => aid_match_60_carry_n_1, CO(1) => aid_match_60_carry_n_2, CO(0) => aid_match_60_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_60_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_60_carry_i_1_n_0, S(2) => aid_match_60_carry_i_2_n_0, S(1) => aid_match_60_carry_i_3_n_0, S(0) => aid_match_60_carry_i_4_n_0 ); aid_match_60_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(11), O => aid_match_60_carry_i_1_n_0 ); aid_match_60_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(8), O => aid_match_60_carry_i_2_n_0 ); aid_match_60_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(5), O => aid_match_60_carry_i_3_n_0 ); aid_match_60_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(2), O => aid_match_60_carry_i_4_n_0 ); aid_match_70_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_70, CO(2) => aid_match_70_carry_n_1, CO(1) => aid_match_70_carry_n_2, CO(0) => aid_match_70_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_70_carry_O_UNCONNECTED(3 downto 0), S(3) => aid_match_70_carry_i_1_n_0, S(2) => aid_match_70_carry_i_2_n_0, S(1) => aid_match_70_carry_i_3_n_0, S(0) => aid_match_70_carry_i_4_n_0 ); aid_match_70_carry_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(10), I2 => \s_axi_arid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(9), I4 => \s_axi_arid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(11), O => aid_match_70_carry_i_1_n_0 ); aid_match_70_carry_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(7), I2 => \s_axi_arid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(6), I4 => \s_axi_arid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(8), O => aid_match_70_carry_i_2_n_0 ); aid_match_70_carry_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(4), I2 => \s_axi_arid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(3), I4 => \s_axi_arid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(5), O => aid_match_70_carry_i_3_n_0 ); aid_match_70_carry_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_arid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(1), I2 => \s_axi_arid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(0), I4 => \s_axi_arid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(2), O => aid_match_70_carry_i_4_n_0 ); \gen_multi_thread.accept_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \gen_multi_thread.accept_cnt_reg__0\(0), O => \gen_multi_thread.accept_cnt[0]_i_1_n_0\ ); \gen_multi_thread.accept_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_55\, D => \gen_multi_thread.accept_cnt[0]_i_1_n_0\, Q => \gen_multi_thread.accept_cnt_reg__0\(0), R => SR(0) ); \gen_multi_thread.accept_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_55\, D => \gen_multi_thread.mux_resp_multi_thread_n_58\, Q => \gen_multi_thread.accept_cnt_reg__0\(1), R => SR(0) ); \gen_multi_thread.accept_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_55\, D => \gen_multi_thread.mux_resp_multi_thread_n_57\, Q => \gen_multi_thread.accept_cnt_reg__0\(2), R => SR(0) ); \gen_multi_thread.accept_cnt_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_55\, D => \gen_multi_thread.mux_resp_multi_thread_n_56\, Q => \gen_multi_thread.accept_cnt_reg__0\(3), R => SR(0) ); \gen_multi_thread.arbiter_resp_inst\: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_arbiter_resp_8 port map ( E(0) => E(0), Q(4 downto 0) => Q(4 downto 0), SR(0) => SR(0), S_AXI_ARREADY(0) => S_AXI_ARREADY(0), aa_mi_arvalid => aa_mi_arvalid, aclk => aclk, aresetn_d => aresetn_d, f_mux4_return(46 downto 14) => f_mux4_return(47 downto 15), f_mux4_return(13 downto 0) => f_mux4_return(13 downto 0), \gen_master_slots[1].r_issuing_cnt_reg[8]\ => \gen_master_slots[1].r_issuing_cnt_reg[8]\, \gen_master_slots[2].r_issuing_cnt_reg[16]\ => \gen_master_slots[2].r_issuing_cnt_reg[16]\, \gen_multi_thread.accept_cnt_reg[0]\ => \gen_no_arbiter.s_ready_i[0]_i_33__0_n_0\, \gen_multi_thread.accept_cnt_reg[3]\(0) => \gen_multi_thread.accept_cnt_reg__0\(3), \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ => \gen_no_arbiter.s_ready_i[0]_i_4__0_n_0\, \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\ => \gen_no_arbiter.s_ready_i[0]_i_3__0_n_0\, \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\ => \gen_no_arbiter.s_ready_i[0]_i_7__0_n_0\, \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\ => \gen_no_arbiter.s_ready_i[0]_i_5__0_n_0\, \m_payload_i_reg[0]\(0) => \m_payload_i_reg[0]\(0), \m_payload_i_reg[0]_0\(0) => \m_payload_i_reg[0]_0\(0), \m_payload_i_reg[0]_1\(0) => \m_payload_i_reg[0]_1\(0), \m_payload_i_reg[0]_2\(0) => \m_payload_i_reg[0]_2\(0), \m_payload_i_reg[34]\(0) => \m_payload_i_reg[34]\(0), \m_payload_i_reg[34]_0\(0) => \m_payload_i_reg[34]_1\(0), \m_payload_i_reg[34]_1\(0) => \m_payload_i_reg[34]_2\(0), \m_payload_i_reg[34]_2\(0) => \m_payload_i_reg[34]_3\(0), \m_payload_i_reg[34]_3\(0) => \m_payload_i_reg[34]_4\(0), \m_payload_i_reg[34]_4\ => \gen_multi_thread.mux_resp_multi_thread_n_59\, m_valid_i => m_valid_i, m_valid_i_reg => m_valid_i_reg, m_valid_i_reg_0 => m_valid_i_reg_0, match => match, p_102_out => p_102_out, p_122_out => p_122_out, p_40_out => p_40_out, p_62_out => p_62_out, p_82_out => p_82_out, \r_cmd_pop_4__1\ => \r_cmd_pop_4__1\, r_issuing_cnt(0) => r_issuing_cnt(0), resp_select(0) => resp_select(2), s_axi_arvalid(0) => s_axi_arvalid(0), s_axi_rready(0) => s_axi_rready(0), \s_axi_rvalid[0]\ => \^s_axi_rvalid[0]\, st_mr_rid(47 downto 0) => st_mr_rid(47 downto 0), st_mr_rmesg(135 downto 0) => st_mr_rmesg(135 downto 0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(0), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(0), I1 => cmd_push_0, I2 => active_cnt(1), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_0, I1 => active_cnt(0), I2 => active_cnt(2), I3 => active_cnt(1), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(1), I1 => cmd_push_0, I2 => active_cnt(0), I3 => active_cnt(3), I4 => active_cnt(2), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_47\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0\, Q => active_cnt(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_47\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0\, Q => active_cnt(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_47\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0\, Q => active_cnt(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_47\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0\, Q => active_cnt(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_target[2]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"E222" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I1 => \thread_valid_0__2\, I2 => aid_match_00, I3 => S_AXI_ARREADY(0), O => cmd_push_0 ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \^d\(0), Q => active_target(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_1, I1 => active_cnt(8), I2 => active_cnt(10), I3 => active_cnt(9), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(9), I1 => cmd_push_1, I2 => active_cnt(8), I3 => active_cnt(11), I4 => active_cnt(10), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(8), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(8), I1 => cmd_push_1, I2 => active_cnt(9), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_54\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0\, Q => active_cnt(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_54\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0\, Q => active_cnt(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_54\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0\, Q => active_cnt(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_54\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0\, Q => active_cnt(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_target[10]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F8080808" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I1 => \thread_valid_0__2\, I2 => \thread_valid_1__2\, I3 => aid_match_10, I4 => S_AXI_ARREADY(0), O => cmd_push_1 ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \^d\(0), Q => active_target(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(16), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(16), I1 => cmd_push_2, I2 => active_cnt(17), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_2, I1 => active_cnt(16), I2 => active_cnt(18), I3 => active_cnt(17), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(17), I1 => cmd_push_2, I2 => active_cnt(16), I3 => active_cnt(19), I4 => active_cnt(18), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_53\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0\, Q => active_cnt(16), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_53\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0\, Q => active_cnt(17), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_53\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0\, Q => active_cnt(18), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_53\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0\, Q => active_cnt(19), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF80008000800080" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I1 => \thread_valid_0__2\, I2 => \thread_valid_1__2\, I3 => \thread_valid_2__2\, I4 => aid_match_20, I5 => S_AXI_ARREADY(0), O => cmd_push_2 ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(2), I1 => active_cnt(3), I2 => active_cnt(1), I3 => active_cnt(0), O => \thread_valid_0__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(10), I1 => active_cnt(11), I2 => active_cnt(9), I3 => active_cnt(8), O => \thread_valid_1__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(18), I1 => active_cnt(19), I2 => active_cnt(17), I3 => active_cnt(16), O => \thread_valid_2__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(16), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(17), R => SR(0) ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \^d\(0), Q => active_target(18), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(24), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(24), I1 => cmd_push_3, I2 => active_cnt(25), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_3, I1 => active_cnt(24), I2 => active_cnt(26), I3 => active_cnt(25), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(25), I1 => cmd_push_3, I2 => active_cnt(24), I3 => active_cnt(27), I4 => active_cnt(26), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_48\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0\, Q => active_cnt(24), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_48\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0\, Q => active_cnt(25), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_48\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0\, Q => active_cnt(26), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_48\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0\, Q => active_cnt(27), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_target[26]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F4040404" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0_n_0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I2 => \thread_valid_3__2\, I3 => aid_match_30, I4 => S_AXI_ARREADY(0), O => cmd_push_3 ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(24), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(25), R => SR(0) ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \^d\(0), Q => active_target(26), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(32), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(32), I1 => cmd_push_4, I2 => active_cnt(33), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_4, I1 => active_cnt(32), I2 => active_cnt(34), I3 => active_cnt(33), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(33), I1 => cmd_push_4, I2 => active_cnt(32), I3 => active_cnt(35), I4 => active_cnt(34), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_49\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0\, Q => active_cnt(32), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_49\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0\, Q => active_cnt(33), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_49\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0\, Q => active_cnt(34), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_49\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0\, Q => active_cnt(35), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[52]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF40004000400040" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0_n_0\, I1 => \thread_valid_3__2\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I3 => \thread_valid_4__2\, I4 => aid_match_40, I5 => S_AXI_ARREADY(0), O => cmd_push_4 ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"55555557FFFFFFFF" ) port map ( I0 => \thread_valid_0__2\, I1 => active_cnt(10), I2 => active_cnt(11), I3 => active_cnt(9), I4 => active_cnt(8), I5 => \thread_valid_2__2\, O => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(26), I1 => active_cnt(27), I2 => active_cnt(25), I3 => active_cnt(24), O => \thread_valid_3__2\ ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(34), I1 => active_cnt(35), I2 => active_cnt(33), I3 => active_cnt(32), O => \thread_valid_4__2\ ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(32), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(33), R => SR(0) ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \^d\(0), Q => active_target(34), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(40), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(40), I1 => cmd_push_5, I2 => active_cnt(41), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_5, I1 => active_cnt(40), I2 => active_cnt(42), I3 => active_cnt(41), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(41), I1 => cmd_push_5, I2 => active_cnt(40), I3 => active_cnt(43), I4 => active_cnt(42), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_52\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0\, Q => active_cnt(40), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_52\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0\, Q => active_cnt(41), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_52\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0\, Q => active_cnt(42), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_52\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0\, Q => active_cnt(43), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[65]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[66]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[67]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[68]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_target[42]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F4040404" ) port map ( I0 => \accum_push_5__0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I2 => \thread_valid_5__2\, I3 => aid_match_50, I4 => S_AXI_ARREADY(0), O => cmd_push_5 ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(40), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(41), R => SR(0) ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \^d\(0), Q => active_target(42), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(48), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(48), I1 => cmd_push_6, I2 => active_cnt(49), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_6, I1 => active_cnt(48), I2 => active_cnt(50), I3 => active_cnt(49), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(49), I1 => cmd_push_6, I2 => active_cnt(48), I3 => active_cnt(51), I4 => active_cnt(50), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_51\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0\, Q => active_cnt(48), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_51\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0\, Q => active_cnt(49), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_51\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0\, Q => active_cnt(50), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_51\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0\, Q => active_cnt(51), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[72]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[73]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[74]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[75]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[76]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[77]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[78]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[79]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[80]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF40004000400040" ) port map ( I0 => \accum_push_5__0\, I1 => \thread_valid_5__2\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I3 => \thread_valid_6__2\, I4 => aid_match_60, I5 => S_AXI_ARREADY(0), O => cmd_push_6 ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(42), I1 => active_cnt(43), I2 => active_cnt(41), I3 => active_cnt(40), O => \thread_valid_5__2\ ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(50), I1 => active_cnt(51), I2 => active_cnt(49), I3 => active_cnt(48), O => \thread_valid_6__2\ ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(48), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(49), R => SR(0) ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \^d\(0), Q => active_target(50), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(56), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(56), I1 => cmd_push_7, I2 => active_cnt(57), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_7, I1 => active_cnt(56), I2 => active_cnt(58), I3 => active_cnt(57), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(57), I1 => cmd_push_7, I2 => active_cnt(56), I3 => active_cnt(59), I4 => active_cnt(58), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(58), I1 => active_cnt(59), I2 => active_cnt(57), I3 => active_cnt(56), O => \thread_valid_7__2\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_50\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0\, Q => active_cnt(56), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_50\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0\, Q => active_cnt(57), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_50\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0\, Q => active_cnt(58), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_50\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0\, Q => active_cnt(59), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[84]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(0), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[85]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(1), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[86]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(2), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[87]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(3), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[88]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(4), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[89]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(5), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[90]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(6), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[91]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(7), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[92]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(8), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(9), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(10), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_arid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(11), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \s_axi_araddr[30]\(2), I1 => \s_axi_araddr[30]\(0), O => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \s_axi_araddr[30]\(2), I1 => \s_axi_araddr[30]\(1), O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF404040" ) port map ( I0 => \accum_push_5__0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3__0_n_0\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\, I3 => \aid_match_7__0\, I4 => S_AXI_ARREADY(0), O => cmd_push_7 ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF55555557" ) port map ( I0 => \thread_valid_3__2\, I1 => active_cnt(34), I2 => active_cnt(35), I3 => active_cnt(33), I4 => active_cnt(32), I5 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2__0_n_0\, O => \accum_push_5__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => active_cnt(58), I1 => active_cnt(59), I2 => active_cnt(57), I3 => active_cnt(56), I4 => \thread_valid_6__2\, I5 => \thread_valid_5__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000002" ) port map ( I0 => S_AXI_ARREADY(0), I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6__0_n_0\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7__0_n_0\, I3 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8__0_n_0\, I4 => \aid_match_6__0\, I5 => \aid_match_7__0\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_5__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(56), I1 => active_cnt(57), I2 => active_cnt(59), I3 => active_cnt(58), I4 => aid_match_70, O => \aid_match_7__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_00, I1 => \thread_valid_0__2\, I2 => aid_match_10, I3 => \thread_valid_1__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_20, I1 => \thread_valid_2__2\, I2 => aid_match_30, I3 => \thread_valid_3__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_40, I1 => \thread_valid_4__2\, I2 => aid_match_50, I3 => \thread_valid_5__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_9__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(48), I1 => active_cnt(49), I2 => active_cnt(51), I3 => active_cnt(50), I4 => aid_match_60, O => \aid_match_6__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1__0_n_0\, Q => active_target(56), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0_n_0\, Q => active_target(57), R => SR(0) ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \^d\(0), Q => active_target(58), R => SR(0) ); \gen_multi_thread.mux_resp_multi_thread\: entity work.zqynq_lab_1_design_xbar_0_generic_baseblocks_v2_1_0_mux_enc port map ( CO(0) => p_14_out, D(2) => \gen_multi_thread.mux_resp_multi_thread_n_56\, D(1) => \gen_multi_thread.mux_resp_multi_thread_n_57\, D(0) => \gen_multi_thread.mux_resp_multi_thread_n_58\, E(0) => \gen_multi_thread.mux_resp_multi_thread_n_47\, Q(3 downto 0) => \gen_multi_thread.accept_cnt_reg__0\(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_60\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_61\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_62\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_63\, S_AXI_ARREADY(0) => S_AXI_ARREADY(0), \chosen_reg[0]\ => \^s_axi_rvalid[0]\, cmd_push_0 => cmd_push_0, cmd_push_1 => cmd_push_1, cmd_push_2 => cmd_push_2, cmd_push_3 => cmd_push_3, cmd_push_4 => cmd_push_4, cmd_push_5 => cmd_push_5, cmd_push_6 => cmd_push_6, cmd_push_7 => cmd_push_7, f_mux4_return(46 downto 14) => f_mux4_return(47 downto 15), f_mux4_return(13 downto 0) => f_mux4_return(13 downto 0), \gen_multi_thread.accept_cnt_reg[3]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_55\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(3) => \gen_multi_thread.mux_resp_multi_thread_n_88\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(2) => \gen_multi_thread.mux_resp_multi_thread_n_89\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(1) => \gen_multi_thread.mux_resp_multi_thread_n_90\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_91\, \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_54\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_84\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_85\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_86\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_87\, \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\(0) => p_12_out, \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_53\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_80\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_81\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_82\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_83\, \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\(0) => p_10_out, \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_48\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_76\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_77\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_78\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_79\, \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\(0) => p_8_out, \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_49\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_72\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_73\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_74\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_75\, \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\(0) => p_6_out, \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_52\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_68\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_69\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_70\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_71\, \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\(0) => p_4_out, \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_51\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_64\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_65\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_66\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_67\, \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\(0) => p_2_out, \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_50\, \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\(0) => p_0_out, \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(11 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_multi_thread.mux_resp_multi_thread_n_59\, \m_payload_i_reg[34]\(0) => \m_payload_i_reg[34]_0\(0), resp_select(0) => resp_select(2), s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), \s_axi_rid[0]\ => \s_axi_rid[0]\, \s_axi_rid[10]\ => \s_axi_rid[10]\, \s_axi_rid[11]\ => \s_axi_rid[11]\, \s_axi_rid[1]\ => \s_axi_rid[1]\, \s_axi_rid[2]\ => \s_axi_rid[2]\, \s_axi_rid[3]\ => \s_axi_rid[3]\, \s_axi_rid[4]\ => \s_axi_rid[4]\, \s_axi_rid[5]\ => \s_axi_rid[5]\, \s_axi_rid[6]\ => \s_axi_rid[6]\, \s_axi_rid[7]\ => \s_axi_rid[7]\, \s_axi_rid[8]\ => \s_axi_rid[8]\, \s_axi_rid[9]\ => \s_axi_rid[9]\, s_axi_rlast(0) => s_axi_rlast(0), s_axi_rready(0) => s_axi_rready(0), s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), st_mr_rid(11 downto 0) => st_mr_rid(59 downto 48), \thread_valid_0__2\ => \thread_valid_0__2\, \thread_valid_1__2\ => \thread_valid_1__2\, \thread_valid_2__2\ => \thread_valid_2__2\, \thread_valid_3__2\ => \thread_valid_3__2\, \thread_valid_4__2\ => \thread_valid_4__2\, \thread_valid_5__2\ => \thread_valid_5__2\, \thread_valid_6__2\ => \thread_valid_6__2\, \thread_valid_7__2\ => \thread_valid_7__2\ ); \gen_no_arbiter.m_target_hot_i[4]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => match, O => \^d\(0) ); \gen_no_arbiter.s_ready_i[0]_i_10__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(24), I1 => active_cnt(25), I2 => active_cnt(27), I3 => active_cnt(26), I4 => aid_match_30, O => \aid_match_3__0\ ); \gen_no_arbiter.s_ready_i[0]_i_11__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(17), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(16), O => \gen_no_arbiter.s_ready_i[0]_i_11__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_12__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(18), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_12__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_13__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(16), I1 => active_cnt(17), I2 => active_cnt(19), I3 => active_cnt(18), I4 => aid_match_20, O => \aid_match_2__0\ ); \gen_no_arbiter.s_ready_i[0]_i_14__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(9), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(8), O => \gen_no_arbiter.s_ready_i[0]_i_14__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_15__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(10), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_15__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_16__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(8), I1 => active_cnt(9), I2 => active_cnt(11), I3 => active_cnt(10), I4 => aid_match_10, O => \aid_match_1__0\ ); \gen_no_arbiter.s_ready_i[0]_i_17__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(1), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(0), O => \gen_no_arbiter.s_ready_i[0]_i_17__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_18__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(2), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_18__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_19__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(0), I1 => active_cnt(1), I2 => active_cnt(3), I3 => active_cnt(2), I4 => aid_match_00, O => \aid_match_0__0\ ); \gen_no_arbiter.s_ready_i[0]_i_20__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(49), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(48), O => \gen_no_arbiter.s_ready_i[0]_i_20__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_21__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(50), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_21__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_22__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(57), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(56), O => \gen_no_arbiter.s_ready_i[0]_i_22__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_23__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(58), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_23__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_27__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(41), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(40), O => \gen_no_arbiter.s_ready_i[0]_i_27__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_28\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(42), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_28_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_29__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(40), I1 => active_cnt(41), I2 => active_cnt(43), I3 => active_cnt(42), I4 => aid_match_50, O => \aid_match_5__0\ ); \gen_no_arbiter.s_ready_i[0]_i_30__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(33), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(32), O => \gen_no_arbiter.s_ready_i[0]_i_30__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_31\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(34), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_31_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_32__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(32), I1 => active_cnt(33), I2 => active_cnt(35), I3 => active_cnt(34), I4 => aid_match_40, O => \aid_match_4__0\ ); \gen_no_arbiter.s_ready_i[0]_i_33__0\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => \gen_multi_thread.accept_cnt_reg__0\(0), I1 => \gen_multi_thread.accept_cnt_reg__0\(2), I2 => \gen_multi_thread.accept_cnt_reg__0\(1), O => \gen_no_arbiter.s_ready_i[0]_i_33__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_8__0_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0\, I2 => \aid_match_3__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_11__0_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_12__0_n_0\, I5 => \aid_match_2__0\, O => \gen_no_arbiter.s_ready_i[0]_i_3__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_14__0_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_15__0_n_0\, I2 => \aid_match_1__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_17__0_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_18__0_n_0\, I5 => \aid_match_0__0\, O => \gen_no_arbiter.s_ready_i[0]_i_4__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_5__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_20__0_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_21__0_n_0\, I2 => \aid_match_6__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_22__0_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_23__0_n_0\, I5 => \aid_match_7__0\, O => \gen_no_arbiter.s_ready_i[0]_i_5__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_7__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_27__0_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_28_n_0\, I2 => \aid_match_5__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_30__0_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_31_n_0\, I5 => \aid_match_4__0\, O => \gen_no_arbiter.s_ready_i[0]_i_7__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_8__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(25), I1 => \s_axi_araddr[30]\(2), I2 => \s_axi_araddr[30]\(1), I3 => \s_axi_araddr[30]\(0), I4 => active_target(24), O => \gen_no_arbiter.s_ready_i[0]_i_8__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_9__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(26), I1 => ADDRESS_HIT_0, I2 => \s_axi_araddr[30]\(0), I3 => \s_axi_araddr[30]\(2), I4 => \s_axi_araddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0\ ); \p_0_out_inferred__9/i__carry\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_0_out, CO(2) => \p_0_out_inferred__9/i__carry_n_1\, CO(1) => \p_0_out_inferred__9/i__carry_n_2\, CO(0) => \p_0_out_inferred__9/i__carry_n_3\, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_p_0_out_inferred__9/i__carry_O_UNCONNECTED\(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_60\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_61\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_62\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_63\ ); p_10_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_10_out, CO(2) => p_10_out_carry_n_1, CO(1) => p_10_out_carry_n_2, CO(0) => p_10_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_10_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_80\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_81\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_82\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_83\ ); p_12_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_12_out, CO(2) => p_12_out_carry_n_1, CO(1) => p_12_out_carry_n_2, CO(0) => p_12_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_12_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_84\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_85\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_86\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_87\ ); p_14_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_14_out, CO(2) => p_14_out_carry_n_1, CO(1) => p_14_out_carry_n_2, CO(0) => p_14_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_14_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_88\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_89\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_90\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_91\ ); p_2_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_2_out, CO(2) => p_2_out_carry_n_1, CO(1) => p_2_out_carry_n_2, CO(0) => p_2_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_2_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_64\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_65\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_66\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_67\ ); p_4_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_4_out, CO(2) => p_4_out_carry_n_1, CO(1) => p_4_out_carry_n_2, CO(0) => p_4_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_4_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_68\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_69\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_70\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_71\ ); p_6_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_6_out, CO(2) => p_6_out_carry_n_1, CO(1) => p_6_out_carry_n_2, CO(0) => p_6_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_6_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_72\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_73\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_74\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_75\ ); p_8_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_8_out, CO(2) => p_8_out_carry_n_1, CO(1) => p_8_out_carry_n_2, CO(0) => p_8_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_8_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_76\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_77\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_78\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_79\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_si_transactor__parameterized0\ is port ( \s_axi_bid[0]\ : out STD_LOGIC; \s_axi_bid[1]\ : out STD_LOGIC; \s_axi_bid[2]\ : out STD_LOGIC; \s_axi_bid[3]\ : out STD_LOGIC; \s_axi_bid[4]\ : out STD_LOGIC; \s_axi_bid[5]\ : out STD_LOGIC; \s_axi_bid[6]\ : out STD_LOGIC; \s_axi_bid[7]\ : out STD_LOGIC; \s_axi_bid[8]\ : out STD_LOGIC; \s_axi_bid[9]\ : out STD_LOGIC; \s_axi_bid[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[2].w_issuing_cnt_reg[16]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[3].w_issuing_cnt_reg[24]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[0].w_issuing_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); SR : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \s_axi_bvalid[0]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 4 downto 0 ); st_mr_bid : in STD_LOGIC_VECTOR ( 59 downto 0 ); w_issuing_cnt : in STD_LOGIC_VECTOR ( 16 downto 0 ); p_84_in : in STD_LOGIC; p_66_in : in STD_LOGIC; p_48_in : in STD_LOGIC; p_101_in : in STD_LOGIC; aresetn_d : in STD_LOGIC; aa_sa_awvalid : in STD_LOGIC; match : in STD_LOGIC; ADDRESS_HIT_0 : in STD_LOGIC; \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_ready_d_reg[1]\ : in STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]_0\ : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); p_46_out : in STD_LOGIC; p_128_out : in STD_LOGIC; p_108_out : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC; st_mr_bmesg : in STD_LOGIC_VECTOR ( 7 downto 0 ); p_68_out : in STD_LOGIC; p_88_out : in STD_LOGIC; m_valid_i_reg_0 : in STD_LOGIC; \s_axi_awid[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_si_transactor__parameterized0\ : entity is "axi_crossbar_v2_1_14_si_transactor"; end \zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_si_transactor__parameterized0\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_si_transactor__parameterized0\ is signal \^d\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \accum_push_5__0\ : STD_LOGIC; signal active_cnt : STD_LOGIC_VECTOR ( 59 downto 0 ); signal active_target : STD_LOGIC_VECTOR ( 58 downto 0 ); signal aid_match_00 : STD_LOGIC; signal \aid_match_00_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_00_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_00_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_00_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_00_carry_n_1 : STD_LOGIC; signal aid_match_00_carry_n_2 : STD_LOGIC; signal aid_match_00_carry_n_3 : STD_LOGIC; signal \aid_match_0__0\ : STD_LOGIC; signal aid_match_10 : STD_LOGIC; signal \aid_match_10_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_10_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_10_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_10_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_10_carry_n_1 : STD_LOGIC; signal aid_match_10_carry_n_2 : STD_LOGIC; signal aid_match_10_carry_n_3 : STD_LOGIC; signal \aid_match_1__0\ : STD_LOGIC; signal aid_match_20 : STD_LOGIC; signal \aid_match_20_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_20_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_20_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_20_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_20_carry_n_1 : STD_LOGIC; signal aid_match_20_carry_n_2 : STD_LOGIC; signal aid_match_20_carry_n_3 : STD_LOGIC; signal \aid_match_2__0\ : STD_LOGIC; signal aid_match_30 : STD_LOGIC; signal \aid_match_30_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_30_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_30_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_30_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_30_carry_n_1 : STD_LOGIC; signal aid_match_30_carry_n_2 : STD_LOGIC; signal aid_match_30_carry_n_3 : STD_LOGIC; signal \aid_match_3__0\ : STD_LOGIC; signal aid_match_40 : STD_LOGIC; signal \aid_match_40_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_40_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_40_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_40_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_40_carry_n_1 : STD_LOGIC; signal aid_match_40_carry_n_2 : STD_LOGIC; signal aid_match_40_carry_n_3 : STD_LOGIC; signal \aid_match_4__0\ : STD_LOGIC; signal aid_match_50 : STD_LOGIC; signal \aid_match_50_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_50_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_50_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_50_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_50_carry_n_1 : STD_LOGIC; signal aid_match_50_carry_n_2 : STD_LOGIC; signal aid_match_50_carry_n_3 : STD_LOGIC; signal \aid_match_5__0\ : STD_LOGIC; signal aid_match_60 : STD_LOGIC; signal \aid_match_60_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_60_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_60_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_60_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_60_carry_n_1 : STD_LOGIC; signal aid_match_60_carry_n_2 : STD_LOGIC; signal aid_match_60_carry_n_3 : STD_LOGIC; signal \aid_match_6__0\ : STD_LOGIC; signal aid_match_70 : STD_LOGIC; signal \aid_match_70_carry_i_1__0_n_0\ : STD_LOGIC; signal \aid_match_70_carry_i_2__0_n_0\ : STD_LOGIC; signal \aid_match_70_carry_i_3__0_n_0\ : STD_LOGIC; signal \aid_match_70_carry_i_4__0_n_0\ : STD_LOGIC; signal aid_match_70_carry_n_1 : STD_LOGIC; signal aid_match_70_carry_n_2 : STD_LOGIC; signal aid_match_70_carry_n_3 : STD_LOGIC; signal \aid_match_7__0\ : STD_LOGIC; signal cmd_push_0 : STD_LOGIC; signal cmd_push_1 : STD_LOGIC; signal cmd_push_2 : STD_LOGIC; signal cmd_push_3 : STD_LOGIC; signal cmd_push_4 : STD_LOGIC; signal cmd_push_5 : STD_LOGIC; signal cmd_push_6 : STD_LOGIC; signal cmd_push_7 : STD_LOGIC; signal f_mux4_return : STD_LOGIC_VECTOR ( 13 downto 0 ); signal \gen_multi_thread.accept_cnt[0]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.accept_cnt_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[0].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[1].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[2].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[3].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[4].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[5].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[6].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7_n_0\ : STD_LOGIC; signal \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8_n_0\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_15\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_16\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_17\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_18\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_19\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_20\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_21\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_22\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_23\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_24\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_25\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_26\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_27\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_28\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_29\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_30\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_31\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_32\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_33\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_34\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_35\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_36\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_37\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_38\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_39\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_40\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_41\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_42\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_43\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_44\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_45\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_46\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_47\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_48\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_49\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_50\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_51\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_52\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_53\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_54\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_55\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_56\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_57\ : STD_LOGIC; signal \gen_multi_thread.mux_resp_multi_thread_n_58\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_11_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_12_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_14_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_15_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_17_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_18_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_20_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_21_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_22_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_23_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_28__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_29_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_31__0_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_32_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_35_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_3_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_4_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_5_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_7_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_8_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_9_n_0\ : STD_LOGIC; signal p_0_out : STD_LOGIC; signal p_0_out_0 : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_1\ : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_2\ : STD_LOGIC; signal \p_0_out_inferred__9/i__carry_n_3\ : STD_LOGIC; signal p_10_out : STD_LOGIC; signal p_10_out_carry_n_1 : STD_LOGIC; signal p_10_out_carry_n_2 : STD_LOGIC; signal p_10_out_carry_n_3 : STD_LOGIC; signal p_12_out : STD_LOGIC; signal p_12_out_carry_n_1 : STD_LOGIC; signal p_12_out_carry_n_2 : STD_LOGIC; signal p_12_out_carry_n_3 : STD_LOGIC; signal p_14_out : STD_LOGIC; signal p_14_out_carry_n_1 : STD_LOGIC; signal p_14_out_carry_n_2 : STD_LOGIC; signal p_14_out_carry_n_3 : STD_LOGIC; signal p_2_out : STD_LOGIC; signal p_2_out_carry_n_1 : STD_LOGIC; signal p_2_out_carry_n_2 : STD_LOGIC; signal p_2_out_carry_n_3 : STD_LOGIC; signal p_4_out : STD_LOGIC; signal p_4_out_carry_n_1 : STD_LOGIC; signal p_4_out_carry_n_2 : STD_LOGIC; signal p_4_out_carry_n_3 : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_6_out_carry_n_1 : STD_LOGIC; signal p_6_out_carry_n_2 : STD_LOGIC; signal p_6_out_carry_n_3 : STD_LOGIC; signal p_8_out : STD_LOGIC; signal p_8_out_carry_n_1 : STD_LOGIC; signal p_8_out_carry_n_2 : STD_LOGIC; signal p_8_out_carry_n_3 : STD_LOGIC; signal resp_select : STD_LOGIC_VECTOR ( 2 to 2 ); signal \^s_axi_bvalid[0]\ : STD_LOGIC; signal \thread_valid_0__2\ : STD_LOGIC; signal \thread_valid_1__2\ : STD_LOGIC; signal \thread_valid_2__2\ : STD_LOGIC; signal \thread_valid_3__2\ : STD_LOGIC; signal \thread_valid_4__2\ : STD_LOGIC; signal \thread_valid_5__2\ : STD_LOGIC; signal \thread_valid_6__2\ : STD_LOGIC; signal \thread_valid_7__2\ : STD_LOGIC; signal NLW_aid_match_00_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_10_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_20_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_30_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_40_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_50_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_60_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_aid_match_70_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_p_0_out_inferred__9/i__carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_10_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_12_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_14_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_2_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_4_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_6_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_p_8_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[0]_i_1__0\ : label is "soft_lutpair189"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0\ : label is "soft_lutpair181"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1\ : label is "soft_lutpair181"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1\ : label is "soft_lutpair175"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2\ : label is "soft_lutpair175"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1\ : label is "soft_lutpair174"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2\ : label is "soft_lutpair174"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0\ : label is "soft_lutpair184"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1\ : label is "soft_lutpair184"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0\ : label is "soft_lutpair183"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1\ : label is "soft_lutpair183"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1\ : label is "soft_lutpair173"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2\ : label is "soft_lutpair173"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_2\ : label is "soft_lutpair176"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_3\ : label is "soft_lutpair177"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_4\ : label is "soft_lutpair178"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0\ : label is "soft_lutpair188"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1\ : label is "soft_lutpair188"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1\ : label is "soft_lutpair168"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2\ : label is "soft_lutpair168"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0\ : label is "soft_lutpair187"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1\ : label is "soft_lutpair187"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1\ : label is "soft_lutpair166"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2\ : label is "soft_lutpair166"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_3\ : label is "soft_lutpair179"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_4\ : label is "soft_lutpair172"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0\ : label is "soft_lutpair185"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1\ : label is "soft_lutpair185"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1\ : label is "soft_lutpair171"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2\ : label is "soft_lutpair171"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0\ : label is "soft_lutpair182"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1\ : label is "soft_lutpair182"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1\ : label is "soft_lutpair170"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2\ : label is "soft_lutpair170"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_2\ : label is "soft_lutpair180"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_3\ : label is "soft_lutpair163"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0\ : label is "soft_lutpair186"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1\ : label is "soft_lutpair186"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1\ : label is "soft_lutpair169"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2\ : label is "soft_lutpair169"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_4\ : label is "soft_lutpair164"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1\ : label is "soft_lutpair167"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1\ : label is "soft_lutpair165"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_5\ : label is "soft_lutpair164"; attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_9\ : label is "soft_lutpair163"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_10\ : label is "soft_lutpair179"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_13\ : label is "soft_lutpair178"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_16\ : label is "soft_lutpair177"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_19\ : label is "soft_lutpair176"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_20\ : label is "soft_lutpair165"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_28__0\ : label is "soft_lutpair167"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_30\ : label is "soft_lutpair180"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_33\ : label is "soft_lutpair172"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_35\ : label is "soft_lutpair189"; begin D(2 downto 0) <= \^d\(2 downto 0); SR(0) <= \^sr\(0); \s_axi_bvalid[0]\ <= \^s_axi_bvalid[0]\; aid_match_00_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_00, CO(2) => aid_match_00_carry_n_1, CO(1) => aid_match_00_carry_n_2, CO(0) => aid_match_00_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_00_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_00_carry_i_1__0_n_0\, S(2) => \aid_match_00_carry_i_2__0_n_0\, S(1) => \aid_match_00_carry_i_3__0_n_0\, S(0) => \aid_match_00_carry_i_4__0_n_0\ ); \aid_match_00_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(11), O => \aid_match_00_carry_i_1__0_n_0\ ); \aid_match_00_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(8), O => \aid_match_00_carry_i_2__0_n_0\ ); \aid_match_00_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(5), O => \aid_match_00_carry_i_3__0_n_0\ ); \aid_match_00_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(2), O => \aid_match_00_carry_i_4__0_n_0\ ); aid_match_10_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_10, CO(2) => aid_match_10_carry_n_1, CO(1) => aid_match_10_carry_n_2, CO(0) => aid_match_10_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_10_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_10_carry_i_1__0_n_0\, S(2) => \aid_match_10_carry_i_2__0_n_0\, S(1) => \aid_match_10_carry_i_3__0_n_0\, S(0) => \aid_match_10_carry_i_4__0_n_0\ ); \aid_match_10_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(9), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(11), I5 => \s_axi_awid[11]\(11), O => \aid_match_10_carry_i_1__0_n_0\ ); \aid_match_10_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(6), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(8), I5 => \s_axi_awid[11]\(8), O => \aid_match_10_carry_i_2__0_n_0\ ); \aid_match_10_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(3), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(5), I5 => \s_axi_awid[11]\(5), O => \aid_match_10_carry_i_3__0_n_0\ ); \aid_match_10_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(0), I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(2), I5 => \s_axi_awid[11]\(2), O => \aid_match_10_carry_i_4__0_n_0\ ); aid_match_20_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_20, CO(2) => aid_match_20_carry_n_1, CO(1) => aid_match_20_carry_n_2, CO(0) => aid_match_20_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_20_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_20_carry_i_1__0_n_0\, S(2) => \aid_match_20_carry_i_2__0_n_0\, S(1) => \aid_match_20_carry_i_3__0_n_0\, S(0) => \aid_match_20_carry_i_4__0_n_0\ ); \aid_match_20_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(11), O => \aid_match_20_carry_i_1__0_n_0\ ); \aid_match_20_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(8), O => \aid_match_20_carry_i_2__0_n_0\ ); \aid_match_20_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(5), O => \aid_match_20_carry_i_3__0_n_0\ ); \aid_match_20_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(2), O => \aid_match_20_carry_i_4__0_n_0\ ); aid_match_30_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_30, CO(2) => aid_match_30_carry_n_1, CO(1) => aid_match_30_carry_n_2, CO(0) => aid_match_30_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_30_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_30_carry_i_1__0_n_0\, S(2) => \aid_match_30_carry_i_2__0_n_0\, S(1) => \aid_match_30_carry_i_3__0_n_0\, S(0) => \aid_match_30_carry_i_4__0_n_0\ ); \aid_match_30_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(11), O => \aid_match_30_carry_i_1__0_n_0\ ); \aid_match_30_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(8), O => \aid_match_30_carry_i_2__0_n_0\ ); \aid_match_30_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(5), O => \aid_match_30_carry_i_3__0_n_0\ ); \aid_match_30_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(2), O => \aid_match_30_carry_i_4__0_n_0\ ); aid_match_40_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_40, CO(2) => aid_match_40_carry_n_1, CO(1) => aid_match_40_carry_n_2, CO(0) => aid_match_40_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_40_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_40_carry_i_1__0_n_0\, S(2) => \aid_match_40_carry_i_2__0_n_0\, S(1) => \aid_match_40_carry_i_3__0_n_0\, S(0) => \aid_match_40_carry_i_4__0_n_0\ ); \aid_match_40_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(11), O => \aid_match_40_carry_i_1__0_n_0\ ); \aid_match_40_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(8), O => \aid_match_40_carry_i_2__0_n_0\ ); \aid_match_40_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(5), O => \aid_match_40_carry_i_3__0_n_0\ ); \aid_match_40_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(2), O => \aid_match_40_carry_i_4__0_n_0\ ); aid_match_50_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_50, CO(2) => aid_match_50_carry_n_1, CO(1) => aid_match_50_carry_n_2, CO(0) => aid_match_50_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_50_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_50_carry_i_1__0_n_0\, S(2) => \aid_match_50_carry_i_2__0_n_0\, S(1) => \aid_match_50_carry_i_3__0_n_0\, S(0) => \aid_match_50_carry_i_4__0_n_0\ ); \aid_match_50_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(11), O => \aid_match_50_carry_i_1__0_n_0\ ); \aid_match_50_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(8), O => \aid_match_50_carry_i_2__0_n_0\ ); \aid_match_50_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(5), O => \aid_match_50_carry_i_3__0_n_0\ ); \aid_match_50_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(2), O => \aid_match_50_carry_i_4__0_n_0\ ); aid_match_60_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_60, CO(2) => aid_match_60_carry_n_1, CO(1) => aid_match_60_carry_n_2, CO(0) => aid_match_60_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_60_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_60_carry_i_1__0_n_0\, S(2) => \aid_match_60_carry_i_2__0_n_0\, S(1) => \aid_match_60_carry_i_3__0_n_0\, S(0) => \aid_match_60_carry_i_4__0_n_0\ ); \aid_match_60_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(11), O => \aid_match_60_carry_i_1__0_n_0\ ); \aid_match_60_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(8), O => \aid_match_60_carry_i_2__0_n_0\ ); \aid_match_60_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(5), O => \aid_match_60_carry_i_3__0_n_0\ ); \aid_match_60_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(2), O => \aid_match_60_carry_i_4__0_n_0\ ); aid_match_70_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => aid_match_70, CO(2) => aid_match_70_carry_n_1, CO(1) => aid_match_70_carry_n_2, CO(0) => aid_match_70_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_aid_match_70_carry_O_UNCONNECTED(3 downto 0), S(3) => \aid_match_70_carry_i_1__0_n_0\, S(2) => \aid_match_70_carry_i_2__0_n_0\, S(1) => \aid_match_70_carry_i_3__0_n_0\, S(0) => \aid_match_70_carry_i_4__0_n_0\ ); \aid_match_70_carry_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(10), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(10), I2 => \s_axi_awid[11]\(9), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(9), I4 => \s_axi_awid[11]\(11), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(11), O => \aid_match_70_carry_i_1__0_n_0\ ); \aid_match_70_carry_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(7), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(7), I2 => \s_axi_awid[11]\(6), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(6), I4 => \s_axi_awid[11]\(8), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(8), O => \aid_match_70_carry_i_2__0_n_0\ ); \aid_match_70_carry_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(4), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(4), I2 => \s_axi_awid[11]\(3), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(3), I4 => \s_axi_awid[11]\(5), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(5), O => \aid_match_70_carry_i_3__0_n_0\ ); \aid_match_70_carry_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \s_axi_awid[11]\(1), I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(1), I2 => \s_axi_awid[11]\(0), I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(0), I4 => \s_axi_awid[11]\(2), I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(2), O => \aid_match_70_carry_i_4__0_n_0\ ); \gen_multi_thread.accept_cnt[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \gen_multi_thread.accept_cnt_reg\(0), O => \gen_multi_thread.accept_cnt[0]_i_1__0_n_0\ ); \gen_multi_thread.accept_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_23\, D => \gen_multi_thread.accept_cnt[0]_i_1__0_n_0\, Q => \gen_multi_thread.accept_cnt_reg\(0), R => \^sr\(0) ); \gen_multi_thread.accept_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_23\, D => \gen_multi_thread.mux_resp_multi_thread_n_26\, Q => \gen_multi_thread.accept_cnt_reg\(1), R => \^sr\(0) ); \gen_multi_thread.accept_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_23\, D => \gen_multi_thread.mux_resp_multi_thread_n_25\, Q => \gen_multi_thread.accept_cnt_reg\(2), R => \^sr\(0) ); \gen_multi_thread.accept_cnt_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_23\, D => \gen_multi_thread.mux_resp_multi_thread_n_24\, Q => \gen_multi_thread.accept_cnt_reg\(3), R => \^sr\(0) ); \gen_multi_thread.arbiter_resp_inst\: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_arbiter_resp port map ( ADDRESS_HIT_0 => ADDRESS_HIT_0, E(0) => E(0), Q(0) => \gen_multi_thread.accept_cnt_reg\(3), SR(0) => \^sr\(0), aa_sa_awvalid => aa_sa_awvalid, aclk => aclk, aresetn_d => aresetn_d, f_mux4_return(13 downto 0) => f_mux4_return(13 downto 0), \gen_master_slots[0].w_issuing_cnt_reg[0]\(0) => \gen_master_slots[0].w_issuing_cnt_reg[0]\(0), \gen_master_slots[2].w_issuing_cnt_reg[16]\(0) => \gen_master_slots[2].w_issuing_cnt_reg[16]\(0), \gen_master_slots[3].w_issuing_cnt_reg[24]\(0) => \gen_master_slots[3].w_issuing_cnt_reg[24]\(0), \gen_multi_thread.accept_cnt_reg[0]\ => \gen_no_arbiter.s_ready_i[0]_i_35_n_0\, \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ => \gen_no_arbiter.s_ready_i[0]_i_4_n_0\, \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\ => \gen_no_arbiter.s_ready_i[0]_i_3_n_0\, \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\ => \gen_no_arbiter.s_ready_i[0]_i_7_n_0\, \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\ => \gen_no_arbiter.s_ready_i[0]_i_5_n_0\, \gen_no_arbiter.s_ready_i_reg[0]\(0) => \gen_no_arbiter.s_ready_i_reg[0]\(0), \gen_no_arbiter.s_ready_i_reg[0]_0\ => \gen_no_arbiter.s_ready_i_reg[0]_0\, m_valid_i => m_valid_i, m_valid_i_reg => m_valid_i_reg, m_valid_i_reg_0 => m_valid_i_reg_0, match => match, p_0_out => p_0_out_0, p_101_in => p_101_in, p_108_out => p_108_out, p_128_out => p_128_out, p_46_out => p_46_out, p_48_in => p_48_in, p_66_in => p_66_in, p_68_out => p_68_out, p_84_in => p_84_in, p_88_out => p_88_out, resp_select(0) => resp_select(2), \s_axi_awaddr[30]\(2 downto 0) => \s_axi_awaddr[30]\(2 downto 0), s_axi_bready(0) => s_axi_bready(0), \s_axi_bvalid[0]\ => \^s_axi_bvalid[0]\, s_ready_i_reg(4 downto 0) => Q(4 downto 0), st_mr_bid(47 downto 0) => st_mr_bid(47 downto 0), st_mr_bmesg(7 downto 0) => st_mr_bmesg(7 downto 0), w_issuing_cnt(16 downto 0) => w_issuing_cnt(16 downto 0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(0), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(0), I1 => cmd_push_0, I2 => active_cnt(1), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_0, I1 => active_cnt(0), I2 => active_cnt(2), I3 => active_cnt(1), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(1), I1 => cmd_push_0, I2 => active_cnt(0), I3 => active_cnt(3), I4 => active_cnt(2), O => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_15\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0\, Q => active_cnt(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_15\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0\, Q => active_cnt(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_15\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0\, Q => active_cnt(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_15\, D => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0\, Q => active_cnt(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_id_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_target[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E222" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I1 => \thread_valid_0__2\, I2 => aid_match_00, I3 => \m_ready_d_reg[1]\, O => cmd_push_0 ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \^d\(0), Q => active_target(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \^d\(1), Q => active_target(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[0].active_target_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_0, D => \^d\(2), Q => active_target(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_1, I1 => active_cnt(8), I2 => active_cnt(10), I3 => active_cnt(9), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(9), I1 => cmd_push_1, I2 => active_cnt(8), I3 => active_cnt(11), I4 => active_cnt(10), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(8), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(8), I1 => cmd_push_1, I2 => active_cnt(9), O => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_22\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0\, Q => active_cnt(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_22\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0\, Q => active_cnt(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_22\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0\, Q => active_cnt(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_22\, D => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0\, Q => active_cnt(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_target[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F8080808" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I1 => \thread_valid_0__2\, I2 => \thread_valid_1__2\, I3 => aid_match_10, I4 => \m_ready_d_reg[1]\, O => cmd_push_1 ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \^d\(2), Q => active_target(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \^d\(0), Q => active_target(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_1, D => \^d\(1), Q => active_target(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(16), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(16), I1 => cmd_push_2, I2 => active_cnt(17), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_2, I1 => active_cnt(16), I2 => active_cnt(18), I3 => active_cnt(17), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(17), I1 => cmd_push_2, I2 => active_cnt(16), I3 => active_cnt(19), I4 => active_cnt(18), O => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_21\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0\, Q => active_cnt(16), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_21\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0\, Q => active_cnt(17), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_21\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0\, Q => active_cnt(18), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_21\, D => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0\, Q => active_cnt(19), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF80008000800080" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I1 => \thread_valid_0__2\, I2 => \thread_valid_1__2\, I3 => \thread_valid_2__2\, I4 => aid_match_20, I5 => \m_ready_d_reg[1]\, O => cmd_push_2 ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(2), I1 => active_cnt(3), I2 => active_cnt(1), I3 => active_cnt(0), O => \thread_valid_0__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(10), I1 => active_cnt(11), I2 => active_cnt(9), I3 => active_cnt(8), O => \thread_valid_1__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target[18]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(18), I1 => active_cnt(19), I2 => active_cnt(17), I3 => active_cnt(16), O => \thread_valid_2__2\ ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \^d\(0), Q => active_target(16), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \^d\(1), Q => active_target(17), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[2].active_target_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_2, D => \^d\(2), Q => active_target(18), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(24), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(24), I1 => cmd_push_3, I2 => active_cnt(25), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_3, I1 => active_cnt(24), I2 => active_cnt(26), I3 => active_cnt(25), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(25), I1 => cmd_push_3, I2 => active_cnt(24), I3 => active_cnt(27), I4 => active_cnt(26), O => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_16\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0\, Q => active_cnt(24), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_16\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0\, Q => active_cnt(25), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_16\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0\, Q => active_cnt(26), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_16\, D => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0\, Q => active_cnt(27), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_target[26]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F4040404" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2_n_0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I2 => \thread_valid_3__2\, I3 => aid_match_30, I4 => \m_ready_d_reg[1]\, O => cmd_push_3 ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \^d\(0), Q => active_target(24), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \^d\(1), Q => active_target(25), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[3].active_target_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_3, D => \^d\(2), Q => active_target(26), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(32), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(32), I1 => cmd_push_4, I2 => active_cnt(33), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_4, I1 => active_cnt(32), I2 => active_cnt(34), I3 => active_cnt(33), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(33), I1 => cmd_push_4, I2 => active_cnt(32), I3 => active_cnt(35), I4 => active_cnt(34), O => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_17\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0\, Q => active_cnt(32), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_17\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0\, Q => active_cnt(33), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_17\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0\, Q => active_cnt(34), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_17\, D => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0\, Q => active_cnt(35), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[52]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF40004000400040" ) port map ( I0 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2_n_0\, I1 => \thread_valid_3__2\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I3 => \thread_valid_4__2\, I4 => aid_match_40, I5 => \m_ready_d_reg[1]\, O => cmd_push_4 ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"55555557FFFFFFFF" ) port map ( I0 => \thread_valid_0__2\, I1 => active_cnt(10), I2 => active_cnt(11), I3 => active_cnt(9), I4 => active_cnt(8), I5 => \thread_valid_2__2\, O => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(26), I1 => active_cnt(27), I2 => active_cnt(25), I3 => active_cnt(24), O => \thread_valid_3__2\ ); \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(34), I1 => active_cnt(35), I2 => active_cnt(33), I3 => active_cnt(32), O => \thread_valid_4__2\ ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \^d\(0), Q => active_target(32), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \^d\(1), Q => active_target(33), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[4].active_target_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_4, D => \^d\(2), Q => active_target(34), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(40), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(40), I1 => cmd_push_5, I2 => active_cnt(41), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_5, I1 => active_cnt(40), I2 => active_cnt(42), I3 => active_cnt(41), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(41), I1 => cmd_push_5, I2 => active_cnt(40), I3 => active_cnt(43), I4 => active_cnt(42), O => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_20\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0\, Q => active_cnt(40), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_20\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0\, Q => active_cnt(41), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_20\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0\, Q => active_cnt(42), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_20\, D => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0\, Q => active_cnt(43), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[65]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[66]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[67]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[68]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_target[42]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F4040404" ) port map ( I0 => \accum_push_5__0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I2 => \thread_valid_5__2\, I3 => aid_match_50, I4 => \m_ready_d_reg[1]\, O => cmd_push_5 ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \^d\(0), Q => active_target(40), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \^d\(1), Q => active_target(41), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[5].active_target_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_5, D => \^d\(2), Q => active_target(42), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(48), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(48), I1 => cmd_push_6, I2 => active_cnt(49), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_6, I1 => active_cnt(48), I2 => active_cnt(50), I3 => active_cnt(49), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(49), I1 => cmd_push_6, I2 => active_cnt(48), I3 => active_cnt(51), I4 => active_cnt(50), O => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_19\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0\, Q => active_cnt(48), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_19\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0\, Q => active_cnt(49), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_19\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0\, Q => active_cnt(50), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_19\, D => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0\, Q => active_cnt(51), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[72]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[73]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[74]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[75]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[76]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[77]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[78]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[79]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[80]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF40004000400040" ) port map ( I0 => \accum_push_5__0\, I1 => \thread_valid_5__2\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I3 => \thread_valid_6__2\, I4 => aid_match_60, I5 => \m_ready_d_reg[1]\, O => cmd_push_6 ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(42), I1 => active_cnt(43), I2 => active_cnt(41), I3 => active_cnt(40), O => \thread_valid_5__2\ ); \gen_multi_thread.gen_thread_loop[6].active_target[50]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(50), I1 => active_cnt(51), I2 => active_cnt(49), I3 => active_cnt(48), O => \thread_valid_6__2\ ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \^d\(0), Q => active_target(48), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \^d\(1), Q => active_target(49), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[6].active_target_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_6, D => \^d\(2), Q => active_target(50), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => active_cnt(56), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => active_cnt(56), I1 => cmd_push_7, I2 => active_cnt(57), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"78E1" ) port map ( I0 => cmd_push_7, I1 => active_cnt(56), I2 => active_cnt(58), I3 => active_cnt(57), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"7F80FE01" ) port map ( I0 => active_cnt(57), I1 => cmd_push_7, I2 => active_cnt(56), I3 => active_cnt(59), I4 => active_cnt(58), O => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => active_cnt(58), I1 => active_cnt(59), I2 => active_cnt(57), I3 => active_cnt(56), O => \thread_valid_7__2\ ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_18\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0\, Q => active_cnt(56), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_18\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0\, Q => active_cnt(57), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_18\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0\, Q => active_cnt(58), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \gen_multi_thread.mux_resp_multi_thread_n_18\, D => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0\, Q => active_cnt(59), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[84]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(0), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(0), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[85]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(1), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(1), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[86]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(2), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(2), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[87]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(3), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(3), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[88]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(4), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(4), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[89]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(5), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(5), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[90]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(6), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(6), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[91]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(7), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(7), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[92]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(8), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(8), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(9), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(9), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(10), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(10), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \s_axi_awid[11]\(11), Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(11), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_target[56]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \s_axi_awaddr[30]\(2), I1 => \s_axi_awaddr[30]\(0), O => \^d\(0) ); \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \s_axi_awaddr[30]\(2), I1 => \s_axi_awaddr[30]\(1), O => \^d\(1) ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FF404040" ) port map ( I0 => \accum_push_5__0\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3_n_0\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\, I3 => \aid_match_7__0\, I4 => \m_ready_d_reg[1]\, O => cmd_push_7 ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF55555557" ) port map ( I0 => \thread_valid_3__2\, I1 => active_cnt(34), I2 => active_cnt(35), I3 => active_cnt(33), I4 => active_cnt(32), I5 => \gen_multi_thread.gen_thread_loop[4].active_target[34]_i_2_n_0\, O => \accum_push_5__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => active_cnt(58), I1 => active_cnt(59), I2 => active_cnt(57), I3 => active_cnt(56), I4 => \thread_valid_6__2\, I5 => \thread_valid_5__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_3_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000002" ) port map ( I0 => \m_ready_d_reg[1]\, I1 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6_n_0\, I2 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7_n_0\, I3 => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8_n_0\, I4 => \aid_match_6__0\, I5 => \aid_match_7__0\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_4_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(56), I1 => active_cnt(57), I2 => active_cnt(59), I3 => active_cnt(58), I4 => aid_match_70, O => \aid_match_7__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_00, I1 => \thread_valid_0__2\, I2 => aid_match_10, I3 => \thread_valid_1__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_6_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_20, I1 => \thread_valid_2__2\, I2 => aid_match_30, I3 => \thread_valid_3__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_7_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => aid_match_40, I1 => \thread_valid_4__2\, I2 => aid_match_50, I3 => \thread_valid_5__2\, O => \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_8_n_0\ ); \gen_multi_thread.gen_thread_loop[7].active_target[58]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(48), I1 => active_cnt(49), I2 => active_cnt(51), I3 => active_cnt(50), I4 => aid_match_60, O => \aid_match_6__0\ ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \^d\(0), Q => active_target(56), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \^d\(1), Q => active_target(57), R => \^sr\(0) ); \gen_multi_thread.gen_thread_loop[7].active_target_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => cmd_push_7, D => \^d\(2), Q => active_target(58), R => \^sr\(0) ); \gen_multi_thread.mux_resp_multi_thread\: entity work.\zqynq_lab_1_design_xbar_0_generic_baseblocks_v2_1_0_mux_enc__parameterized0\ port map ( CO(0) => p_14_out, D(2) => \gen_multi_thread.mux_resp_multi_thread_n_24\, D(1) => \gen_multi_thread.mux_resp_multi_thread_n_25\, D(0) => \gen_multi_thread.mux_resp_multi_thread_n_26\, E(0) => \gen_multi_thread.mux_resp_multi_thread_n_15\, Q(3 downto 0) => \gen_multi_thread.accept_cnt_reg\(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_27\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_28\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_29\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_30\, cmd_push_0 => cmd_push_0, cmd_push_1 => cmd_push_1, cmd_push_2 => cmd_push_2, cmd_push_3 => cmd_push_3, cmd_push_4 => cmd_push_4, cmd_push_5 => cmd_push_5, cmd_push_6 => cmd_push_6, cmd_push_7 => cmd_push_7, f_mux4_return(13 downto 0) => f_mux4_return(13 downto 0), \gen_multi_thread.accept_cnt_reg[3]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_23\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(3) => \gen_multi_thread.mux_resp_multi_thread_n_55\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(2) => \gen_multi_thread.mux_resp_multi_thread_n_56\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(1) => \gen_multi_thread.mux_resp_multi_thread_n_57\, \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_58\, \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_22\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_51\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_52\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_53\, \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_54\, \gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\(0) => p_12_out, \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_21\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_47\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_48\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_49\, \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_50\, \gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\(0) => p_10_out, \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_16\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_43\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_44\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_45\, \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_46\, \gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\(0) => p_8_out, \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_17\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_39\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_40\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_41\, \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_42\, \gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\(0) => p_6_out, \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_20\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_35\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_36\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_37\, \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_38\, \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\(0) => p_4_out, \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_19\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) => \gen_multi_thread.mux_resp_multi_thread_n_31\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) => \gen_multi_thread.mux_resp_multi_thread_n_32\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) => \gen_multi_thread.mux_resp_multi_thread_n_33\, \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) => \gen_multi_thread.mux_resp_multi_thread_n_34\, \gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\(0) => p_2_out, \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) => \gen_multi_thread.mux_resp_multi_thread_n_18\, \gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\(0) => p_0_out, \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(11 downto 0), \m_ready_d_reg[1]\ => \m_ready_d_reg[1]\, m_valid_i_reg => \^s_axi_bvalid[0]\, p_0_out => p_0_out_0, resp_select(0) => resp_select(2), \s_axi_bid[0]\ => \s_axi_bid[0]\, \s_axi_bid[10]\ => \s_axi_bid[10]\, \s_axi_bid[11]\ => \s_axi_bid[11]\, \s_axi_bid[1]\ => \s_axi_bid[1]\, \s_axi_bid[2]\ => \s_axi_bid[2]\, \s_axi_bid[3]\ => \s_axi_bid[3]\, \s_axi_bid[4]\ => \s_axi_bid[4]\, \s_axi_bid[5]\ => \s_axi_bid[5]\, \s_axi_bid[6]\ => \s_axi_bid[6]\, \s_axi_bid[7]\ => \s_axi_bid[7]\, \s_axi_bid[8]\ => \s_axi_bid[8]\, \s_axi_bid[9]\ => \s_axi_bid[9]\, s_axi_bready(0) => s_axi_bready(0), s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), st_mr_bid(11 downto 0) => st_mr_bid(59 downto 48), \thread_valid_0__2\ => \thread_valid_0__2\, \thread_valid_1__2\ => \thread_valid_1__2\, \thread_valid_2__2\ => \thread_valid_2__2\, \thread_valid_3__2\ => \thread_valid_3__2\, \thread_valid_4__2\ => \thread_valid_4__2\, \thread_valid_5__2\ => \thread_valid_5__2\, \thread_valid_6__2\ => \thread_valid_6__2\, \thread_valid_7__2\ => \thread_valid_7__2\ ); \gen_no_arbiter.m_target_hot_i[4]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => match, O => \^d\(2) ); \gen_no_arbiter.s_ready_i[0]_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(24), I1 => active_cnt(25), I2 => active_cnt(27), I3 => active_cnt(26), I4 => aid_match_30, O => \aid_match_3__0\ ); \gen_no_arbiter.s_ready_i[0]_i_11\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(17), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(16), O => \gen_no_arbiter.s_ready_i[0]_i_11_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_12\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(18), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_12_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_13\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(16), I1 => active_cnt(17), I2 => active_cnt(19), I3 => active_cnt(18), I4 => aid_match_20, O => \aid_match_2__0\ ); \gen_no_arbiter.s_ready_i[0]_i_14\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(9), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(8), O => \gen_no_arbiter.s_ready_i[0]_i_14_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_15\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(10), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_15_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_16\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(8), I1 => active_cnt(9), I2 => active_cnt(11), I3 => active_cnt(10), I4 => aid_match_10, O => \aid_match_1__0\ ); \gen_no_arbiter.s_ready_i[0]_i_17\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(1), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(0), O => \gen_no_arbiter.s_ready_i[0]_i_17_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_18\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(2), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_18_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_19\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(0), I1 => active_cnt(1), I2 => active_cnt(3), I3 => active_cnt(2), I4 => aid_match_00, O => \aid_match_0__0\ ); \gen_no_arbiter.s_ready_i[0]_i_20\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(49), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(48), O => \gen_no_arbiter.s_ready_i[0]_i_20_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_21\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(50), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_21_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_22\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(57), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(56), O => \gen_no_arbiter.s_ready_i[0]_i_22_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_23\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(58), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_23_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_28__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(41), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(40), O => \gen_no_arbiter.s_ready_i[0]_i_28__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_29\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(42), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_29_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_8_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_9_n_0\, I2 => \aid_match_3__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_11_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_12_n_0\, I5 => \aid_match_2__0\, O => \gen_no_arbiter.s_ready_i[0]_i_3_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_30\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(40), I1 => active_cnt(41), I2 => active_cnt(43), I3 => active_cnt(42), I4 => aid_match_50, O => \aid_match_5__0\ ); \gen_no_arbiter.s_ready_i[0]_i_31__0\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(33), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(32), O => \gen_no_arbiter.s_ready_i[0]_i_31__0_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_32\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(34), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_32_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_33\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0000" ) port map ( I0 => active_cnt(32), I1 => active_cnt(33), I2 => active_cnt(35), I3 => active_cnt(34), I4 => aid_match_40, O => \aid_match_4__0\ ); \gen_no_arbiter.s_ready_i[0]_i_35\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => \gen_multi_thread.accept_cnt_reg\(0), I1 => \gen_multi_thread.accept_cnt_reg\(2), I2 => \gen_multi_thread.accept_cnt_reg\(1), O => \gen_no_arbiter.s_ready_i[0]_i_35_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_14_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_15_n_0\, I2 => \aid_match_1__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_17_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_18_n_0\, I5 => \aid_match_0__0\, O => \gen_no_arbiter.s_ready_i[0]_i_4_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_20_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_21_n_0\, I2 => \aid_match_6__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_22_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_23_n_0\, I5 => \aid_match_7__0\, O => \gen_no_arbiter.s_ready_i[0]_i_5_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFE0E0E0E0E0" ) port map ( I0 => \gen_no_arbiter.s_ready_i[0]_i_28__0_n_0\, I1 => \gen_no_arbiter.s_ready_i[0]_i_29_n_0\, I2 => \aid_match_5__0\, I3 => \gen_no_arbiter.s_ready_i[0]_i_31__0_n_0\, I4 => \gen_no_arbiter.s_ready_i[0]_i_32_n_0\, I5 => \aid_match_4__0\, O => \gen_no_arbiter.s_ready_i[0]_i_7_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"5677FFDE" ) port map ( I0 => active_target(25), I1 => \s_axi_awaddr[30]\(2), I2 => \s_axi_awaddr[30]\(1), I3 => \s_axi_awaddr[30]\(0), I4 => active_target(24), O => \gen_no_arbiter.s_ready_i[0]_i_8_n_0\ ); \gen_no_arbiter.s_ready_i[0]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA9" ) port map ( I0 => active_target(26), I1 => ADDRESS_HIT_0, I2 => \s_axi_awaddr[30]\(0), I3 => \s_axi_awaddr[30]\(2), I4 => \s_axi_awaddr[30]\(1), O => \gen_no_arbiter.s_ready_i[0]_i_9_n_0\ ); \p_0_out_inferred__9/i__carry\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_0_out, CO(2) => \p_0_out_inferred__9/i__carry_n_1\, CO(1) => \p_0_out_inferred__9/i__carry_n_2\, CO(0) => \p_0_out_inferred__9/i__carry_n_3\, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_p_0_out_inferred__9/i__carry_O_UNCONNECTED\(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_27\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_28\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_29\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_30\ ); p_10_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_10_out, CO(2) => p_10_out_carry_n_1, CO(1) => p_10_out_carry_n_2, CO(0) => p_10_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_10_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_47\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_48\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_49\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_50\ ); p_12_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_12_out, CO(2) => p_12_out_carry_n_1, CO(1) => p_12_out_carry_n_2, CO(0) => p_12_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_12_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_51\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_52\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_53\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_54\ ); p_14_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_14_out, CO(2) => p_14_out_carry_n_1, CO(1) => p_14_out_carry_n_2, CO(0) => p_14_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_14_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_55\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_56\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_57\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_58\ ); p_2_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_2_out, CO(2) => p_2_out_carry_n_1, CO(1) => p_2_out_carry_n_2, CO(0) => p_2_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_2_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_31\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_32\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_33\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_34\ ); p_4_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_4_out, CO(2) => p_4_out_carry_n_1, CO(1) => p_4_out_carry_n_2, CO(0) => p_4_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_4_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_35\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_36\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_37\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_38\ ); p_6_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_6_out, CO(2) => p_6_out_carry_n_1, CO(1) => p_6_out_carry_n_2, CO(0) => p_6_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_6_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_39\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_40\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_41\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_42\ ); p_8_out_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => p_8_out, CO(2) => p_8_out_carry_n_1, CO(1) => p_8_out_carry_n_2, CO(0) => p_8_out_carry_n_3, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_p_8_out_carry_O_UNCONNECTED(3 downto 0), S(3) => \gen_multi_thread.mux_resp_multi_thread_n_43\, S(2) => \gen_multi_thread.mux_resp_multi_thread_n_44\, S(1) => \gen_multi_thread.mux_resp_multi_thread_n_45\, S(0) => \gen_multi_thread.mux_resp_multi_thread_n_46\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_axic_reg_srl_fifo is port ( ss_wr_awready : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); \write_cs0__0\ : out STD_LOGIC; D : in STD_LOGIC_VECTOR ( 2 downto 0 ); aclk : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); match : in STD_LOGIC; m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_22_in : in STD_LOGIC; ss_wr_awvalid : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_axic_reg_srl_fifo : entity is "axi_data_fifo_v2_1_12_axic_reg_srl_fifo"; end zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_axic_reg_srl_fifo; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_axic_reg_srl_fifo is signal \/FSM_onehot_state[1]_i_1_n_0\ : STD_LOGIC; signal \/FSM_onehot_state[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_state[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_state[3]_i_2_n_0\ : STD_LOGIC; signal \FSM_onehot_state_reg_n_0_[2]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \FSM_onehot_state_reg_n_0_[2]\ : signal is "yes"; signal \FSM_onehot_state_reg_n_0_[3]\ : STD_LOGIC; attribute RTL_KEEP of \FSM_onehot_state_reg_n_0_[3]\ : signal is "yes"; signal areset_d1 : STD_LOGIC; signal fifoaddr : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gen_rep[0].fifoaddr[0]_i_1_n_0\ : STD_LOGIC; signal \gen_rep[0].fifoaddr[1]_i_1_n_0\ : STD_LOGIC; signal \gen_rep[0].fifoaddr[2]_i_1_n_0\ : STD_LOGIC; signal \gen_srls[0].gen_rep[0].srl_nx1_n_0\ : STD_LOGIC; signal \gen_srls[0].gen_rep[1].srl_nx1_n_0\ : STD_LOGIC; signal \gen_srls[0].gen_rep[2].srl_nx1_n_1\ : STD_LOGIC; signal load_s1 : STD_LOGIC; signal \m_aready0__3\ : STD_LOGIC; signal \m_aready__1\ : STD_LOGIC; signal m_avalid : STD_LOGIC; signal m_select_enc : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \m_valid_i__0\ : STD_LOGIC; signal m_valid_i_n_0 : STD_LOGIC; signal p_0_in5_out : STD_LOGIC; signal p_0_in8_in : STD_LOGIC; attribute RTL_KEEP of p_0_in8_in : signal is "yes"; signal p_9_in : STD_LOGIC; attribute RTL_KEEP of p_9_in : signal is "yes"; signal push : STD_LOGIC; signal \s_ready_i1__4\ : STD_LOGIC; signal \s_ready_i_i_1__9_n_0\ : STD_LOGIC; signal \^ss_wr_awready\ : STD_LOGIC; attribute KEEP : string; attribute KEEP of \FSM_onehot_state_reg[0]\ : label is "yes"; attribute KEEP of \FSM_onehot_state_reg[1]\ : label is "yes"; attribute KEEP of \FSM_onehot_state_reg[2]\ : label is "yes"; attribute KEEP of \FSM_onehot_state_reg[3]\ : label is "yes"; attribute syn_keep : string; attribute syn_keep of \gen_rep[0].fifoaddr_reg[0]\ : label is "1"; attribute syn_keep of \gen_rep[0].fifoaddr_reg[1]\ : label is "1"; attribute syn_keep of \gen_rep[0].fifoaddr_reg[2]\ : label is "1"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_axi_wvalid[0]_INST_0\ : label is "soft_lutpair191"; attribute SOFT_HLUTNM of \m_axi_wvalid[1]_INST_0\ : label is "soft_lutpair191"; attribute SOFT_HLUTNM of \m_axi_wvalid[2]_INST_0\ : label is "soft_lutpair192"; attribute SOFT_HLUTNM of \m_axi_wvalid[3]_INST_0\ : label is "soft_lutpair192"; begin ss_wr_awready <= \^ss_wr_awready\; \/FSM_onehot_state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"20202F20" ) port map ( I0 => s_axi_awvalid(0), I1 => m_ready_d(0), I2 => p_9_in, I3 => p_0_in5_out, I4 => p_0_in8_in, O => \/FSM_onehot_state[1]_i_1_n_0\ ); \/FSM_onehot_state[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B0B0B0BF" ) port map ( I0 => m_ready_d(0), I1 => s_axi_awvalid(0), I2 => p_9_in, I3 => p_0_in5_out, I4 => p_0_in8_in, O => \/FSM_onehot_state[2]_i_1_n_0\ ); \FSM_onehot_state[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"008A0000" ) port map ( I0 => \m_aready__1\, I1 => m_ready_d(0), I2 => s_axi_awvalid(0), I3 => p_9_in, I4 => p_0_in8_in, O => \FSM_onehot_state[0]_i_1_n_0\ ); \FSM_onehot_state[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF488F488F488" ) port map ( I0 => \m_aready__1\, I1 => p_0_in8_in, I2 => p_9_in, I3 => ss_wr_awvalid, I4 => \FSM_onehot_state_reg_n_0_[3]\, I5 => p_0_in5_out, O => \m_valid_i__0\ ); \FSM_onehot_state[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00007500" ) port map ( I0 => \m_aready__1\, I1 => m_ready_d(0), I2 => s_axi_awvalid(0), I3 => p_0_in8_in, I4 => p_9_in, O => \FSM_onehot_state[3]_i_2_n_0\ ); \FSM_onehot_state_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => \m_valid_i__0\, D => \FSM_onehot_state[0]_i_1_n_0\, Q => p_9_in, S => areset_d1 ); \FSM_onehot_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \m_valid_i__0\, D => \/FSM_onehot_state[1]_i_1_n_0\, Q => p_0_in8_in, R => areset_d1 ); \FSM_onehot_state_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \m_valid_i__0\, D => \/FSM_onehot_state[2]_i_1_n_0\, Q => \FSM_onehot_state_reg_n_0_[2]\, R => areset_d1 ); \FSM_onehot_state_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \m_valid_i__0\, D => \FSM_onehot_state[3]_i_2_n_0\, Q => \FSM_onehot_state_reg_n_0_[3]\, R => areset_d1 ); areset_d1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => SR(0), Q => areset_d1, R => '0' ); \gen_axi.write_cs[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000800000" ) port map ( I0 => s_axi_wlast(0), I1 => m_avalid, I2 => s_axi_wvalid(0), I3 => m_select_enc(0), I4 => m_select_enc(2), I5 => m_select_enc(1), O => \write_cs0__0\ ); \gen_rep[0].fifoaddr[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8778" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => push, I3 => fifoaddr(0), O => \gen_rep[0].fifoaddr[0]_i_1_n_0\ ); \gen_rep[0].fifoaddr[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8FF77008" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => fifoaddr(0), I3 => push, I4 => fifoaddr(1), O => \gen_rep[0].fifoaddr[1]_i_1_n_0\ ); \gen_rep[0].fifoaddr[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8FFFFFF770000008" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => fifoaddr(0), I3 => fifoaddr(1), I4 => push, I5 => fifoaddr(2), O => \gen_rep[0].fifoaddr[2]_i_1_n_0\ ); \gen_rep[0].fifoaddr_reg[0]\: unisim.vcomponents.FDSE port map ( C => aclk, CE => '1', D => \gen_rep[0].fifoaddr[0]_i_1_n_0\, Q => fifoaddr(0), S => SR(0) ); \gen_rep[0].fifoaddr_reg[1]\: unisim.vcomponents.FDSE port map ( C => aclk, CE => '1', D => \gen_rep[0].fifoaddr[1]_i_1_n_0\, Q => fifoaddr(1), S => SR(0) ); \gen_rep[0].fifoaddr_reg[2]\: unisim.vcomponents.FDSE port map ( C => aclk, CE => '1', D => \gen_rep[0].fifoaddr[2]_i_1_n_0\, Q => fifoaddr(2), S => SR(0) ); \gen_srls[0].gen_rep[0].srl_nx1\: entity work.\zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0\ port map ( D(0) => D(0), aclk => aclk, fifoaddr(2 downto 0) => fifoaddr(2 downto 0), load_s1 => load_s1, m_select_enc(0) => m_select_enc(0), out0(0) => \FSM_onehot_state_reg_n_0_[3]\, push => push, \s_axi_awaddr[30]\(1) => \s_axi_awaddr[30]\(2), \s_axi_awaddr[30]\(0) => \s_axi_awaddr[30]\(0), \storage_data1_reg[0]\ => \gen_srls[0].gen_rep[0].srl_nx1_n_0\ ); \gen_srls[0].gen_rep[1].srl_nx1\: entity work.\zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_6\ port map ( D(0) => D(1), aclk => aclk, fifoaddr(2 downto 0) => fifoaddr(2 downto 0), load_s1 => load_s1, m_select_enc(0) => m_select_enc(1), out0(0) => \FSM_onehot_state_reg_n_0_[3]\, push => push, \s_axi_awaddr[30]\(1 downto 0) => \s_axi_awaddr[30]\(2 downto 1), \storage_data1_reg[1]\ => \gen_srls[0].gen_rep[1].srl_nx1_n_0\ ); \gen_srls[0].gen_rep[2].srl_nx1\: entity work.\zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_ndeep_srl__parameterized0_7\ port map ( D(0) => D(2), aclk => aclk, fifoaddr(2 downto 0) => fifoaddr(2 downto 0), load_s1 => load_s1, \m_aready0__3\ => \m_aready0__3\, \m_aready__1\ => \m_aready__1\, m_avalid => m_avalid, m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_ready_d(0) => m_ready_d(0), m_select_enc(2 downto 0) => m_select_enc(2 downto 0), match => match, out0(1) => p_0_in8_in, out0(0) => \FSM_onehot_state_reg_n_0_[3]\, p_22_in => p_22_in, push => push, s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_wlast(0) => s_axi_wlast(0), s_axi_wvalid(0) => s_axi_wvalid(0), ss_wr_awready => \^ss_wr_awready\, \storage_data1_reg[2]\ => \gen_srls[0].gen_rep[2].srl_nx1_n_1\ ); \m_axi_wvalid[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000008" ) port map ( I0 => s_axi_wvalid(0), I1 => m_avalid, I2 => m_select_enc(0), I3 => m_select_enc(1), I4 => m_select_enc(2), O => m_axi_wvalid(0) ); \m_axi_wvalid[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000080" ) port map ( I0 => s_axi_wvalid(0), I1 => m_avalid, I2 => m_select_enc(0), I3 => m_select_enc(1), I4 => m_select_enc(2), O => m_axi_wvalid(1) ); \m_axi_wvalid[2]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000800" ) port map ( I0 => s_axi_wvalid(0), I1 => m_avalid, I2 => m_select_enc(0), I3 => m_select_enc(1), I4 => m_select_enc(2), O => m_axi_wvalid(2) ); \m_axi_wvalid[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00008000" ) port map ( I0 => s_axi_wvalid(0), I1 => m_avalid, I2 => m_select_enc(0), I3 => m_select_enc(1), I4 => m_select_enc(2), O => m_axi_wvalid(3) ); m_valid_i: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF400F400F400" ) port map ( I0 => \m_aready__1\, I1 => p_0_in8_in, I2 => p_9_in, I3 => ss_wr_awvalid, I4 => \FSM_onehot_state_reg_n_0_[3]\, I5 => p_0_in5_out, O => m_valid_i_n_0 ); m_valid_i_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000008" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => fifoaddr(1), I3 => fifoaddr(0), I4 => fifoaddr(2), I5 => push, O => p_0_in5_out ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => \m_valid_i__0\, D => m_valid_i_n_0, Q => m_avalid, R => areset_d1 ); \s_axi_wready[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => m_avalid, I1 => \m_aready0__3\, O => s_axi_wready(0) ); \s_ready_i_i_1__9\: unisim.vcomponents.LUT5 generic map( INIT => X"F0FFF0F8" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => areset_d1, I3 => \s_ready_i1__4\, I4 => \^ss_wr_awready\, O => \s_ready_i_i_1__9_n_0\ ); \s_ready_i_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000700000000000" ) port map ( I0 => \m_aready__1\, I1 => \FSM_onehot_state_reg_n_0_[3]\, I2 => fifoaddr(2), I3 => fifoaddr(1), I4 => fifoaddr(0), I5 => push, O => \s_ready_i1__4\ ); s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__9_n_0\, Q => \^ss_wr_awready\, R => SR(0) ); \storage_data1[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"A0FCA0A0A0ECA0A0" ) port map ( I0 => \FSM_onehot_state_reg_n_0_[3]\, I1 => p_9_in, I2 => \m_aready__1\, I3 => m_ready_d(0), I4 => s_axi_awvalid(0), I5 => p_0_in8_in, O => load_s1 ); \storage_data1_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_srls[0].gen_rep[0].srl_nx1_n_0\, Q => m_select_enc(0), R => '0' ); \storage_data1_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_srls[0].gen_rep[1].srl_nx1_n_0\, Q => m_select_enc(1), R => '0' ); \storage_data1_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_srls[0].gen_rep[2].srl_nx1_n_1\, Q => m_select_enc(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice is port ( p_128_out : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); p_122_out : out STD_LOGIC; \m_axi_rready[0]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_0__1\ : out STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \chosen_reg[2]\ : out STD_LOGIC; \chosen_reg[2]_0\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[0].r_issuing_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_93_in : in STD_LOGIC; p_102_out : in STD_LOGIC; p_108_out : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); D : in STD_LOGIC_VECTOR ( 13 downto 0 ); \chosen_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice : entity is "axi_register_slice_v2_1_13_axi_register_slice"; end zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice is begin b_pipe: entity work.\zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_15\ port map ( D(13 downto 0) => D(13 downto 0), Q(0) => Q(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \aresetn_d_reg[1]_0\ => \aresetn_d_reg[1]_0\, \chosen_reg[2]\ => \chosen_reg[2]_0\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 0), m_axi_bready(0) => m_axi_bready(0), m_axi_bvalid(0) => m_axi_bvalid(0), \m_payload_i_reg[0]_0\ => p_128_out, p_108_out => p_108_out, p_1_in => p_1_in, s_axi_bready(0) => s_axi_bready(0) ); r_pipe: entity work.\zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_16\ port map ( E(0) => E(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \chosen_reg[0]\(0) => \chosen_reg[0]\(0), \chosen_reg[0]_0\(0) => \chosen_reg[0]_0\(0), \chosen_reg[2]\ => \chosen_reg[2]\, \gen_master_slots[0].r_issuing_cnt_reg[3]\(3 downto 0) => \gen_master_slots[0].r_issuing_cnt_reg[3]\(3 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_no_arbiter.s_ready_i_reg[0]\, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast(0) => m_axi_rlast(0), \m_axi_rready[0]\ => \m_axi_rready[0]\, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid(0) => m_axi_rvalid(0), m_valid_i_reg_0 => p_122_out, p_102_out => p_102_out, p_1_in => p_1_in, p_93_in => p_93_in, \r_cmd_pop_0__1\ => \r_cmd_pop_0__1\, s_axi_rready(0) => s_axi_rready(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_1 is port ( p_108_out : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); p_102_out : out STD_LOGIC; \m_axi_rready[1]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_1__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[1].r_issuing_cnt_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_75_in : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRESS_HIT_0 : in STD_LOGIC; \gen_master_slots[0].r_issuing_cnt_reg[0]\ : in STD_LOGIC; \m_axi_bid[23]\ : in STD_LOGIC_VECTOR ( 13 downto 0 ); \chosen_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_1 : entity is "axi_register_slice_v2_1_13_axi_register_slice"; end zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_1; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_1 is begin b_pipe: entity work.\zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_13\ port map ( Q(0) => Q(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \aresetn_d_reg[1]_0\ => \aresetn_d_reg[1]_0\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 0), \m_axi_bid[23]\(13 downto 0) => \m_axi_bid[23]\(13 downto 0), m_axi_bready(0) => m_axi_bready(0), m_axi_bvalid(0) => m_axi_bvalid(0), \m_payload_i_reg[0]_0\ => p_108_out, p_1_in => p_1_in, s_axi_bready(0) => s_axi_bready(0) ); r_pipe: entity work.\zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_14\ port map ( ADDRESS_HIT_0 => ADDRESS_HIT_0, D(0) => D(0), E(0) => E(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \chosen_reg[1]\(0) => \chosen_reg[1]\(0), \chosen_reg[1]_0\(0) => \chosen_reg[1]_0\(0), \gen_master_slots[0].r_issuing_cnt_reg[0]\ => \gen_master_slots[0].r_issuing_cnt_reg[0]\, \gen_master_slots[1].r_issuing_cnt_reg[11]\(3 downto 0) => \gen_master_slots[1].r_issuing_cnt_reg[11]\(3 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_no_arbiter.s_ready_i_reg[0]\, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast(0) => m_axi_rlast(0), \m_axi_rready[1]\ => \m_axi_rready[1]\, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid(0) => m_axi_rvalid(0), m_valid_i_reg_0 => p_102_out, p_1_in => p_1_in, p_75_in => p_75_in, \r_cmd_pop_1__1\ => \r_cmd_pop_1__1\, s_axi_rready(0) => s_axi_rready(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_2 is port ( p_88_out : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); p_82_out : out STD_LOGIC; \m_axi_rready[2]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_2__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]\ : in STD_LOGIC; aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[2].r_issuing_cnt_reg[19]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_57_in : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); \gen_master_slots[3].r_issuing_cnt_reg[24]\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_axi_bid[35]\ : in STD_LOGIC_VECTOR ( 13 downto 0 ); \chosen_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_2 : entity is "axi_register_slice_v2_1_13_axi_register_slice"; end zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_2; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_2 is begin b_pipe: entity work.\zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_11\ port map ( Q(0) => Q(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \aresetn_d_reg[1]_0\ => \aresetn_d_reg[1]_0\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 0), \m_axi_bid[35]\(13 downto 0) => \m_axi_bid[35]\(13 downto 0), m_axi_bready(0) => m_axi_bready(0), m_axi_bvalid(0) => m_axi_bvalid(0), \m_payload_i_reg[0]_0\ => p_88_out, p_1_in => p_1_in, s_axi_bready(0) => s_axi_bready(0) ); r_pipe: entity work.\zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_12\ port map ( D(1 downto 0) => D(1 downto 0), E(0) => E(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \chosen_reg[2]\(0) => \chosen_reg[2]\(0), \chosen_reg[2]_0\(0) => \chosen_reg[2]_0\(0), \gen_master_slots[2].r_issuing_cnt_reg[19]\(3 downto 0) => \gen_master_slots[2].r_issuing_cnt_reg[19]\(3 downto 0), \gen_master_slots[3].r_issuing_cnt_reg[24]\ => \gen_master_slots[3].r_issuing_cnt_reg[24]\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_no_arbiter.s_ready_i_reg[0]\, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast(0) => m_axi_rlast(0), \m_axi_rready[2]\ => \m_axi_rready[2]\, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid(0) => m_axi_rvalid(0), m_valid_i_reg_0 => p_82_out, p_1_in => p_1_in, p_57_in => p_57_in, \r_cmd_pop_2__1\ => \r_cmd_pop_2__1\, s_axi_rready(0) => s_axi_rready(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_3 is port ( p_68_out : out STD_LOGIC; m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : out STD_LOGIC; p_62_out : out STD_LOGIC; \m_axi_rready[3]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \r_cmd_pop_3__1\ : out STD_LOGIC; \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \chosen_reg[4]\ : out STD_LOGIC; \chosen_reg[4]_0\ : out STD_LOGIC; \aresetn_d_reg[1]\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; aclk : in STD_LOGIC; aresetn : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \aresetn_d_reg[1]_1\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_master_slots[3].r_issuing_cnt_reg[27]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_39_in : in STD_LOGIC; p_82_out : in STD_LOGIC; p_88_out : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); D : in STD_LOGIC_VECTOR ( 13 downto 0 ); \chosen_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_3 : entity is "axi_register_slice_v2_1_13_axi_register_slice"; end zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_3; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_3 is signal \^p_1_in\ : STD_LOGIC; begin p_1_in <= \^p_1_in\; b_pipe: entity work.\zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1_9\ port map ( D(13 downto 0) => D(13 downto 0), Q(0) => Q(0), aclk => aclk, aresetn => aresetn, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, \aresetn_d_reg[1]_0\ => \aresetn_d_reg[1]_0\, \aresetn_d_reg[1]_1\ => \aresetn_d_reg[1]_1\, \chosen_reg[4]\ => \chosen_reg[4]_0\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 0), m_axi_bready(0) => m_axi_bready(0), m_axi_bvalid(0) => m_axi_bvalid(0), \m_payload_i_reg[0]_0\ => p_68_out, p_1_in => \^p_1_in\, p_88_out => p_88_out, s_axi_bready(0) => s_axi_bready(0) ); r_pipe: entity work.\zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2_10\ port map ( E(0) => E(0), aclk => aclk, \aresetn_d_reg[1]\ => \aresetn_d_reg[1]_0\, \chosen_reg[3]\(0) => \chosen_reg[3]\(0), \chosen_reg[3]_0\(0) => \chosen_reg[3]_0\(0), \chosen_reg[4]\ => \chosen_reg[4]\, \gen_master_slots[3].r_issuing_cnt_reg[27]\(3 downto 0) => \gen_master_slots[3].r_issuing_cnt_reg[27]\(3 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_no_arbiter.s_ready_i_reg[0]\, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast(0) => m_axi_rlast(0), \m_axi_rready[3]\ => \m_axi_rready[3]\, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid(0) => m_axi_rvalid(0), m_valid_i_reg_0 => p_62_out, p_1_in => \^p_1_in\, p_39_in => p_39_in, p_82_out => p_82_out, \r_cmd_pop_3__1\ => \r_cmd_pop_3__1\, s_axi_rready(0) => s_axi_rready(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_4 is port ( p_46_out : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; mi_bready_4 : out STD_LOGIC; p_40_out : out STD_LOGIC; mi_rready_4 : out STD_LOGIC; s_ready_i_reg : out STD_LOGIC; \r_cmd_pop_4__1\ : out STD_LOGIC; \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); aclk : in STD_LOGIC; p_1_in : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; p_29_in : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); \chosen_reg[4]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); p_23_in : in STD_LOGIC; \gen_axi.s_axi_rid_i_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); p_25_in : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 11 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_4 : entity is "axi_register_slice_v2_1_13_axi_register_slice"; end zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_4; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_4 is signal \^m_valid_i_reg\ : STD_LOGIC; begin m_valid_i_reg <= \^m_valid_i_reg\; b_pipe: entity work.\zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ port map ( D(11 downto 0) => D(11 downto 0), Q(0) => Q(0), aclk => aclk, \aresetn_d_reg[0]\ => \aresetn_d_reg[0]\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(11 downto 0), \m_payload_i_reg[2]_0\ => p_46_out, m_valid_i_reg_0 => \^m_valid_i_reg\, mi_bready_4 => mi_bready_4, p_1_in => p_1_in, p_29_in => p_29_in, s_axi_bready(0) => s_axi_bready(0), s_ready_i_reg_0 => s_ready_i_reg ); r_pipe: entity work.\zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ port map ( E(0) => E(0), aclk => aclk, \aresetn_d_reg[1]\ => \^m_valid_i_reg\, \chosen_reg[4]\(0) => \chosen_reg[4]\(0), \gen_axi.s_axi_rid_i_reg[11]\(11 downto 0) => \gen_axi.s_axi_rid_i_reg[11]\(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0), m_valid_i_reg_0 => p_40_out, p_1_in => p_1_in, p_23_in => p_23_in, p_25_in => p_25_in, \r_cmd_pop_4__1\ => \r_cmd_pop_4__1\, s_axi_rready(0) => s_axi_rready(0), \skid_buffer_reg[34]_0\ => mi_rready_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_wdata_router is port ( ss_wr_awready : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); \write_cs0__0\ : out STD_LOGIC; D : in STD_LOGIC_VECTOR ( 2 downto 0 ); aclk : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); match : in STD_LOGIC; m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_awaddr[30]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); p_22_in : in STD_LOGIC; ss_wr_awvalid : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_wdata_router : entity is "axi_crossbar_v2_1_14_wdata_router"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_wdata_router; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_wdata_router is begin wrouter_aw_fifo: entity work.zqynq_lab_1_design_xbar_0_axi_data_fifo_v2_1_12_axic_reg_srl_fifo port map ( D(2 downto 0) => D(2 downto 0), SR(0) => SR(0), aclk => aclk, m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), m_ready_d(0) => m_ready_d(0), match => match, p_22_in => p_22_in, \s_axi_awaddr[30]\(2 downto 0) => \s_axi_awaddr[30]\(2 downto 0), s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_wlast(0) => s_axi_wlast(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wvalid(0) => s_axi_wvalid(0), ss_wr_awready => ss_wr_awready, ss_wr_awvalid => ss_wr_awvalid, \write_cs0__0\ => \write_cs0__0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_crossbar is port ( M_AXI_RREADY : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : out STD_LOGIC_VECTOR ( 68 downto 0 ); \m_axi_arqos[15]\ : out STD_LOGIC_VECTOR ( 68 downto 0 ); S_AXI_ARREADY : out STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_rid[0]\ : out STD_LOGIC; \s_axi_rid[1]\ : out STD_LOGIC; \s_axi_rid[2]\ : out STD_LOGIC; \s_axi_rid[3]\ : out STD_LOGIC; \s_axi_rid[4]\ : out STD_LOGIC; \s_axi_rid[5]\ : out STD_LOGIC; \s_axi_rid[6]\ : out STD_LOGIC; \s_axi_rid[7]\ : out STD_LOGIC; \s_axi_rid[8]\ : out STD_LOGIC; \s_axi_rid[9]\ : out STD_LOGIC; \s_axi_rid[10]\ : out STD_LOGIC; \s_axi_rid[11]\ : out STD_LOGIC; s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_bid[0]\ : out STD_LOGIC; \s_axi_bid[1]\ : out STD_LOGIC; \s_axi_bid[2]\ : out STD_LOGIC; \s_axi_bid[3]\ : out STD_LOGIC; \s_axi_bid[4]\ : out STD_LOGIC; \s_axi_bid[5]\ : out STD_LOGIC; \s_axi_bid[6]\ : out STD_LOGIC; \s_axi_bid[7]\ : out STD_LOGIC; \s_axi_bid[8]\ : out STD_LOGIC; \s_axi_bid[9]\ : out STD_LOGIC; \s_axi_bid[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); \s_axi_awready[0]\ : out STD_LOGIC; \s_axi_bvalid[0]\ : out STD_LOGIC; \s_axi_rvalid[0]\ : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 68 downto 0 ); \s_axi_arqos[3]\ : in STD_LOGIC_VECTOR ( 68 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); aresetn : in STD_LOGIC; m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_crossbar : entity is "axi_crossbar_v2_1_14_crossbar"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_crossbar; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_crossbar is signal \^q\ : STD_LOGIC_VECTOR ( 68 downto 0 ); signal \^s_axi_arready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal aa_mi_artarget_hot : STD_LOGIC_VECTOR ( 4 to 4 ); signal aa_mi_arvalid : STD_LOGIC; signal aa_mi_awtarget_hot : STD_LOGIC_VECTOR ( 4 downto 0 ); signal aa_sa_awvalid : STD_LOGIC; signal addr_arbiter_ar_n_79 : STD_LOGIC; signal addr_arbiter_ar_n_80 : STD_LOGIC; signal addr_arbiter_ar_n_81 : STD_LOGIC; signal addr_arbiter_ar_n_82 : STD_LOGIC; signal addr_arbiter_ar_n_83 : STD_LOGIC; signal addr_arbiter_ar_n_84 : STD_LOGIC; signal addr_arbiter_ar_n_85 : STD_LOGIC; signal addr_arbiter_ar_n_86 : STD_LOGIC; signal addr_arbiter_ar_n_87 : STD_LOGIC; signal addr_arbiter_ar_n_88 : STD_LOGIC; signal addr_arbiter_ar_n_89 : STD_LOGIC; signal addr_arbiter_ar_n_90 : STD_LOGIC; signal addr_arbiter_ar_n_99 : STD_LOGIC; signal addr_arbiter_aw_n_23 : STD_LOGIC; signal addr_arbiter_aw_n_25 : STD_LOGIC; signal aresetn_d : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0_4\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1_0\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2_1\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3\ : STD_LOGIC; signal \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3_2\ : STD_LOGIC; signal \gen_master_slots[0].r_issuing_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[0].reg_slice_mi_n_4\ : STD_LOGIC; signal \gen_master_slots[0].reg_slice_mi_n_54\ : STD_LOGIC; signal \gen_master_slots[0].reg_slice_mi_n_55\ : STD_LOGIC; signal \gen_master_slots[0].reg_slice_mi_n_6\ : STD_LOGIC; signal \gen_master_slots[0].w_issuing_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[1].r_issuing_cnt[8]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[1].reg_slice_mi_n_4\ : STD_LOGIC; signal \gen_master_slots[1].reg_slice_mi_n_53\ : STD_LOGIC; signal \gen_master_slots[1].w_issuing_cnt[8]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[2].r_issuing_cnt[16]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[2].reg_slice_mi_n_4\ : STD_LOGIC; signal \gen_master_slots[2].reg_slice_mi_n_53\ : STD_LOGIC; signal \gen_master_slots[2].w_issuing_cnt[16]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[3].r_issuing_cnt[24]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[3].reg_slice_mi_n_5\ : STD_LOGIC; signal \gen_master_slots[3].reg_slice_mi_n_55\ : STD_LOGIC; signal \gen_master_slots[3].reg_slice_mi_n_56\ : STD_LOGIC; signal \gen_master_slots[3].reg_slice_mi_n_57\ : STD_LOGIC; signal \gen_master_slots[3].reg_slice_mi_n_7\ : STD_LOGIC; signal \gen_master_slots[3].w_issuing_cnt[24]_i_1_n_0\ : STD_LOGIC; signal \gen_master_slots[4].reg_slice_mi_n_1\ : STD_LOGIC; signal \gen_master_slots[4].reg_slice_mi_n_5\ : STD_LOGIC; signal \gen_multi_thread.arbiter_resp_inst/chosen\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \gen_multi_thread.arbiter_resp_inst/chosen_9\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_49\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\ : STD_LOGIC; signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_21\ : STD_LOGIC; signal \^m_axi_arqos[15]\ : STD_LOGIC_VECTOR ( 68 downto 0 ); signal m_ready_d : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m_ready_d_12 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m_valid_i : STD_LOGIC; signal m_valid_i_10 : STD_LOGIC; signal match : STD_LOGIC; signal match_3 : STD_LOGIC; signal mi_arready_4 : STD_LOGIC; signal mi_awready_4 : STD_LOGIC; signal \mi_awready_mux__3\ : STD_LOGIC; signal mi_bready_4 : STD_LOGIC; signal mi_rready_4 : STD_LOGIC; signal p_101_in : STD_LOGIC; signal p_102_out : STD_LOGIC; signal p_104_out : STD_LOGIC; signal p_108_out : STD_LOGIC; signal p_122_out : STD_LOGIC; signal p_124_out : STD_LOGIC; signal p_128_out : STD_LOGIC; signal p_1_in : STD_LOGIC; signal p_22_in : STD_LOGIC; signal p_23_in : STD_LOGIC; signal p_25_in : STD_LOGIC; signal p_28_in : STD_LOGIC_VECTOR ( 11 downto 0 ); signal p_29_in : STD_LOGIC; signal p_32_in : STD_LOGIC_VECTOR ( 11 downto 0 ); signal p_39_in : STD_LOGIC; signal p_40_out : STD_LOGIC; signal p_42_out : STD_LOGIC; signal p_46_out : STD_LOGIC; signal p_48_in : STD_LOGIC; signal p_57_in : STD_LOGIC; signal p_62_out : STD_LOGIC; signal p_64_out : STD_LOGIC; signal p_66_in : STD_LOGIC; signal p_68_out : STD_LOGIC; signal p_75_in : STD_LOGIC; signal p_82_out : STD_LOGIC; signal p_84_in : STD_LOGIC; signal p_84_out : STD_LOGIC; signal p_88_out : STD_LOGIC; signal p_93_in : STD_LOGIC; signal \r_cmd_pop_0__1\ : STD_LOGIC; signal \r_cmd_pop_1__1\ : STD_LOGIC; signal \r_cmd_pop_2__1\ : STD_LOGIC; signal \r_cmd_pop_3__1\ : STD_LOGIC; signal \r_cmd_pop_4__1\ : STD_LOGIC; signal r_issuing_cnt : STD_LOGIC_VECTOR ( 32 downto 0 ); signal \r_pipe/p_1_in\ : STD_LOGIC; signal \r_pipe/p_1_in_5\ : STD_LOGIC; signal \r_pipe/p_1_in_6\ : STD_LOGIC; signal \r_pipe/p_1_in_7\ : STD_LOGIC; signal \r_pipe/p_1_in_8\ : STD_LOGIC; signal \read_cs__0\ : STD_LOGIC; signal reset : STD_LOGIC; signal \^s_axi_awready[0]\ : STD_LOGIC; signal s_axi_rlast_i0 : STD_LOGIC; signal s_axi_rvalid_i : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal s_ready_i0_11 : STD_LOGIC; signal \s_ready_i0__1\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \sa_wm_awready_mux__3\ : STD_LOGIC; signal splitter_aw_mi_n_0 : STD_LOGIC; signal splitter_aw_mi_n_1 : STD_LOGIC; signal splitter_aw_mi_n_10 : STD_LOGIC; signal splitter_aw_mi_n_11 : STD_LOGIC; signal splitter_aw_mi_n_12 : STD_LOGIC; signal splitter_aw_mi_n_2 : STD_LOGIC; signal splitter_aw_mi_n_3 : STD_LOGIC; signal splitter_aw_mi_n_4 : STD_LOGIC; signal splitter_aw_mi_n_5 : STD_LOGIC; signal splitter_aw_mi_n_6 : STD_LOGIC; signal splitter_aw_mi_n_7 : STD_LOGIC; signal splitter_aw_mi_n_8 : STD_LOGIC; signal splitter_aw_mi_n_9 : STD_LOGIC; signal ss_aa_awready : STD_LOGIC; signal ss_wr_awready : STD_LOGIC; signal ss_wr_awvalid : STD_LOGIC; signal st_aa_awtarget_enc : STD_LOGIC_VECTOR ( 1 downto 0 ); signal st_mr_bid : STD_LOGIC_VECTOR ( 59 downto 0 ); signal st_mr_bmesg : STD_LOGIC_VECTOR ( 10 downto 0 ); signal st_mr_rid : STD_LOGIC_VECTOR ( 59 downto 0 ); signal st_mr_rmesg : STD_LOGIC_VECTOR ( 139 downto 0 ); signal w_issuing_cnt : STD_LOGIC_VECTOR ( 32 downto 0 ); signal write_cs01_out : STD_LOGIC; signal \write_cs0__0\ : STD_LOGIC; begin Q(68 downto 0) <= \^q\(68 downto 0); S_AXI_ARREADY(0) <= \^s_axi_arready\(0); \m_axi_arqos[15]\(68 downto 0) <= \^m_axi_arqos[15]\(68 downto 0); \s_axi_awready[0]\ <= \^s_axi_awready[0]\; addr_arbiter_ar: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_addr_arbiter port map ( ADDRESS_HIT_0 => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0\, D(2) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3\, D(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2\, D(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1\, E(0) => s_ready_i0, Q(0) => aa_mi_artarget_hot(4), SR(0) => reset, S_AXI_ARREADY(0) => \^s_axi_arready\(0), aa_mi_arvalid => aa_mi_arvalid, aclk => aclk, \gen_axi.s_axi_rid_i_reg[11]\(0) => s_axi_rvalid_i, \gen_master_slots[0].r_issuing_cnt_reg[3]\(2) => addr_arbiter_ar_n_79, \gen_master_slots[0].r_issuing_cnt_reg[3]\(1) => addr_arbiter_ar_n_80, \gen_master_slots[0].r_issuing_cnt_reg[3]\(0) => addr_arbiter_ar_n_81, \gen_master_slots[1].r_issuing_cnt_reg[11]\(2) => addr_arbiter_ar_n_82, \gen_master_slots[1].r_issuing_cnt_reg[11]\(1) => addr_arbiter_ar_n_83, \gen_master_slots[1].r_issuing_cnt_reg[11]\(0) => addr_arbiter_ar_n_84, \gen_master_slots[2].r_issuing_cnt_reg[19]\(2) => addr_arbiter_ar_n_88, \gen_master_slots[2].r_issuing_cnt_reg[19]\(1) => addr_arbiter_ar_n_89, \gen_master_slots[2].r_issuing_cnt_reg[19]\(0) => addr_arbiter_ar_n_90, \gen_master_slots[3].r_issuing_cnt_reg[27]\(2) => addr_arbiter_ar_n_85, \gen_master_slots[3].r_issuing_cnt_reg[27]\(1) => addr_arbiter_ar_n_86, \gen_master_slots[3].r_issuing_cnt_reg[27]\(0) => addr_arbiter_ar_n_87, \gen_master_slots[4].r_issuing_cnt_reg[32]\ => addr_arbiter_ar_n_99, \m_axi_arqos[15]\(68 downto 0) => \^m_axi_arqos[15]\(68 downto 0), m_axi_arready(3 downto 0) => m_axi_arready(3 downto 0), m_axi_arvalid(3 downto 0) => m_axi_arvalid(3 downto 0), m_valid_i => m_valid_i, match => match, mi_arready_4 => mi_arready_4, p_23_in => p_23_in, p_39_in => p_39_in, p_57_in => p_57_in, p_75_in => p_75_in, p_93_in => p_93_in, \r_cmd_pop_0__1\ => \r_cmd_pop_0__1\, \r_cmd_pop_1__1\ => \r_cmd_pop_1__1\, \r_cmd_pop_2__1\ => \r_cmd_pop_2__1\, \r_cmd_pop_3__1\ => \r_cmd_pop_3__1\, \r_cmd_pop_4__1\ => \r_cmd_pop_4__1\, r_issuing_cnt(16) => r_issuing_cnt(32), r_issuing_cnt(15 downto 12) => r_issuing_cnt(27 downto 24), r_issuing_cnt(11 downto 8) => r_issuing_cnt(19 downto 16), r_issuing_cnt(7 downto 4) => r_issuing_cnt(11 downto 8), r_issuing_cnt(3 downto 0) => r_issuing_cnt(3 downto 0), \read_cs__0\ => \read_cs__0\, \s_axi_araddr[24]\(0) => \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_49\, \s_axi_arqos[3]\(68 downto 0) => \s_axi_arqos[3]\(68 downto 0), s_axi_rlast_i0 => s_axi_rlast_i0 ); addr_arbiter_aw: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_addr_arbiter_0 port map ( ADDRESS_HIT_0 => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0_4\, D(2) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3_2\, D(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2_1\, D(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1_0\, E(0) => s_ready_i0_11, Q(4 downto 0) => aa_mi_awtarget_hot(4 downto 0), SR(0) => reset, aa_sa_awvalid => aa_sa_awvalid, aclk => aclk, \chosen_reg[4]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(4), \gen_master_slots[4].w_issuing_cnt_reg[32]\ => addr_arbiter_aw_n_25, \gen_no_arbiter.s_ready_i_reg[0]_0\ => addr_arbiter_aw_n_23, \m_axi_awqos[15]\(68 downto 0) => \^q\(68 downto 0), m_axi_awready(3 downto 0) => m_axi_awready(3 downto 0), m_axi_awvalid(3 downto 0) => m_axi_awvalid(3 downto 0), m_ready_d(1 downto 0) => m_ready_d_12(1 downto 0), m_ready_d_0(0) => m_ready_d(0), m_valid_i => m_valid_i_10, match => match_3, mi_awready_4 => mi_awready_4, \mi_awready_mux__3\ => \mi_awready_mux__3\, p_101_in => p_101_in, p_46_out => p_46_out, p_48_in => p_48_in, p_66_in => p_66_in, p_84_in => p_84_in, \s_axi_awaddr[24]\(0) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_21\, \s_axi_awqos[3]\(68 downto 0) => D(68 downto 0), s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_bready(0) => s_axi_bready(0), \s_ready_i0__1\(0) => \s_ready_i0__1\(0), \sa_wm_awready_mux__3\ => \sa_wm_awready_mux__3\, ss_aa_awready => ss_aa_awready, w_issuing_cnt(0) => w_issuing_cnt(32), write_cs01_out => write_cs01_out ); aresetn_d_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => aresetn_d, R => '0' ); \gen_decerr_slave.decerr_slave_inst\: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_decerr_slave port map ( E(0) => s_axi_rvalid_i, Q(0) => aa_mi_awtarget_hot(4), SR(0) => reset, aa_mi_arvalid => aa_mi_arvalid, aa_sa_awvalid => aa_sa_awvalid, aclk => aclk, aresetn_d => aresetn_d, \gen_no_arbiter.m_mesg_i_reg[11]\(11 downto 0) => \^q\(11 downto 0), \gen_no_arbiter.m_mesg_i_reg[51]\(19 downto 12) => \^m_axi_arqos[15]\(51 downto 44), \gen_no_arbiter.m_mesg_i_reg[51]\(11 downto 0) => \^m_axi_arqos[15]\(11 downto 0), \gen_no_arbiter.m_target_hot_i_reg[4]\(0) => aa_mi_artarget_hot(4), \m_payload_i_reg[13]\(11 downto 0) => p_32_in(11 downto 0), m_ready_d(0) => m_ready_d_12(1), \m_ready_d_reg[1]\ => splitter_aw_mi_n_3, mi_arready_4 => mi_arready_4, mi_awready_4 => mi_awready_4, mi_bready_4 => mi_bready_4, mi_rready_4 => mi_rready_4, p_22_in => p_22_in, p_23_in => p_23_in, p_25_in => p_25_in, p_29_in => p_29_in, \read_cs__0\ => \read_cs__0\, s_axi_rlast_i0 => s_axi_rlast_i0, \skid_buffer_reg[46]\(11 downto 0) => p_28_in(11 downto 0), write_cs01_out => write_cs01_out, \write_cs0__0\ => \write_cs0__0\ ); \gen_master_slots[0].r_issuing_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => r_issuing_cnt(0), O => \gen_master_slots[0].r_issuing_cnt[0]_i_1_n_0\ ); \gen_master_slots[0].r_issuing_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[0].reg_slice_mi_n_4\, D => \gen_master_slots[0].r_issuing_cnt[0]_i_1_n_0\, Q => r_issuing_cnt(0), R => reset ); \gen_master_slots[0].r_issuing_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[0].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_81, Q => r_issuing_cnt(1), R => reset ); \gen_master_slots[0].r_issuing_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[0].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_80, Q => r_issuing_cnt(2), R => reset ); \gen_master_slots[0].r_issuing_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[0].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_79, Q => r_issuing_cnt(3), R => reset ); \gen_master_slots[0].reg_slice_mi\: entity work.zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice port map ( D(13 downto 2) => m_axi_bid(11 downto 0), D(1 downto 0) => m_axi_bresp(1 downto 0), E(0) => \gen_master_slots[0].reg_slice_mi_n_4\, Q(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(0), aclk => aclk, \aresetn_d_reg[1]\ => \gen_master_slots[4].reg_slice_mi_n_1\, \aresetn_d_reg[1]_0\ => \gen_master_slots[4].reg_slice_mi_n_5\, \chosen_reg[0]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(0), \chosen_reg[0]_0\(0) => \r_pipe/p_1_in_8\, \chosen_reg[2]\ => \gen_master_slots[0].reg_slice_mi_n_54\, \chosen_reg[2]_0\ => \gen_master_slots[0].reg_slice_mi_n_55\, \gen_master_slots[0].r_issuing_cnt_reg[3]\(3 downto 0) => r_issuing_cnt(3 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 35) => st_mr_rid(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34) => p_124_out, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33 downto 32) => st_mr_rmesg(1 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31 downto 0) => st_mr_rmesg(34 downto 3), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 2) => st_mr_bid(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1 downto 0) => st_mr_bmesg(1 downto 0), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_master_slots[0].reg_slice_mi_n_6\, m_axi_bready(0) => m_axi_bready(0), m_axi_bvalid(0) => m_axi_bvalid(0), m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast(0) => m_axi_rlast(0), \m_axi_rready[0]\ => M_AXI_RREADY(0), m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_rvalid(0) => m_axi_rvalid(0), p_102_out => p_102_out, p_108_out => p_108_out, p_122_out => p_122_out, p_128_out => p_128_out, p_1_in => p_1_in, p_93_in => p_93_in, \r_cmd_pop_0__1\ => \r_cmd_pop_0__1\, s_axi_bready(0) => s_axi_bready(0), s_axi_rready(0) => s_axi_rready(0) ); \gen_master_slots[0].w_issuing_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => w_issuing_cnt(0), O => \gen_master_slots[0].w_issuing_cnt[0]_i_1_n_0\ ); \gen_master_slots[0].w_issuing_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, D => \gen_master_slots[0].w_issuing_cnt[0]_i_1_n_0\, Q => w_issuing_cnt(0), R => reset ); \gen_master_slots[0].w_issuing_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, D => splitter_aw_mi_n_12, Q => w_issuing_cnt(1), R => reset ); \gen_master_slots[0].w_issuing_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, D => splitter_aw_mi_n_11, Q => w_issuing_cnt(2), R => reset ); \gen_master_slots[0].w_issuing_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, D => splitter_aw_mi_n_10, Q => w_issuing_cnt(3), R => reset ); \gen_master_slots[1].r_issuing_cnt[8]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => r_issuing_cnt(8), O => \gen_master_slots[1].r_issuing_cnt[8]_i_1_n_0\ ); \gen_master_slots[1].r_issuing_cnt_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[1].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_83, Q => r_issuing_cnt(10), R => reset ); \gen_master_slots[1].r_issuing_cnt_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[1].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_82, Q => r_issuing_cnt(11), R => reset ); \gen_master_slots[1].r_issuing_cnt_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[1].reg_slice_mi_n_4\, D => \gen_master_slots[1].r_issuing_cnt[8]_i_1_n_0\, Q => r_issuing_cnt(8), R => reset ); \gen_master_slots[1].r_issuing_cnt_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[1].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_84, Q => r_issuing_cnt(9), R => reset ); \gen_master_slots[1].reg_slice_mi\: entity work.zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_1 port map ( ADDRESS_HIT_0 => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0\, D(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1\, E(0) => \gen_master_slots[1].reg_slice_mi_n_4\, Q(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(1), aclk => aclk, \aresetn_d_reg[1]\ => \gen_master_slots[4].reg_slice_mi_n_1\, \aresetn_d_reg[1]_0\ => \gen_master_slots[4].reg_slice_mi_n_5\, \chosen_reg[1]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(1), \chosen_reg[1]_0\(0) => \r_pipe/p_1_in_7\, \gen_master_slots[0].r_issuing_cnt_reg[0]\ => \gen_master_slots[0].reg_slice_mi_n_6\, \gen_master_slots[1].r_issuing_cnt_reg[11]\(3 downto 0) => r_issuing_cnt(11 downto 8), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 35) => st_mr_rid(23 downto 12), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34) => p_104_out, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33 downto 32) => st_mr_rmesg(36 downto 35), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31 downto 0) => st_mr_rmesg(69 downto 38), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 2) => st_mr_bid(23 downto 12), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1 downto 0) => st_mr_bmesg(4 downto 3), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_master_slots[1].reg_slice_mi_n_53\, \m_axi_bid[23]\(13 downto 2) => m_axi_bid(23 downto 12), \m_axi_bid[23]\(1 downto 0) => m_axi_bresp(3 downto 2), m_axi_bready(0) => m_axi_bready(1), m_axi_bvalid(0) => m_axi_bvalid(1), m_axi_rdata(31 downto 0) => m_axi_rdata(63 downto 32), m_axi_rid(11 downto 0) => m_axi_rid(23 downto 12), m_axi_rlast(0) => m_axi_rlast(1), \m_axi_rready[1]\ => M_AXI_RREADY(1), m_axi_rresp(1 downto 0) => m_axi_rresp(3 downto 2), m_axi_rvalid(0) => m_axi_rvalid(1), p_102_out => p_102_out, p_108_out => p_108_out, p_1_in => p_1_in, p_75_in => p_75_in, \r_cmd_pop_1__1\ => \r_cmd_pop_1__1\, s_axi_bready(0) => s_axi_bready(0), s_axi_rready(0) => s_axi_rready(0) ); \gen_master_slots[1].w_issuing_cnt[8]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => w_issuing_cnt(8), O => \gen_master_slots[1].w_issuing_cnt[8]_i_1_n_0\ ); \gen_master_slots[1].w_issuing_cnt_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\, D => splitter_aw_mi_n_1, Q => w_issuing_cnt(10), R => reset ); \gen_master_slots[1].w_issuing_cnt_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\, D => splitter_aw_mi_n_0, Q => w_issuing_cnt(11), R => reset ); \gen_master_slots[1].w_issuing_cnt_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\, D => \gen_master_slots[1].w_issuing_cnt[8]_i_1_n_0\, Q => w_issuing_cnt(8), R => reset ); \gen_master_slots[1].w_issuing_cnt_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\, D => splitter_aw_mi_n_2, Q => w_issuing_cnt(9), R => reset ); \gen_master_slots[2].r_issuing_cnt[16]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => r_issuing_cnt(16), O => \gen_master_slots[2].r_issuing_cnt[16]_i_1_n_0\ ); \gen_master_slots[2].r_issuing_cnt_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[2].reg_slice_mi_n_4\, D => \gen_master_slots[2].r_issuing_cnt[16]_i_1_n_0\, Q => r_issuing_cnt(16), R => reset ); \gen_master_slots[2].r_issuing_cnt_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[2].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_90, Q => r_issuing_cnt(17), R => reset ); \gen_master_slots[2].r_issuing_cnt_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[2].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_89, Q => r_issuing_cnt(18), R => reset ); \gen_master_slots[2].r_issuing_cnt_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[2].reg_slice_mi_n_4\, D => addr_arbiter_ar_n_88, Q => r_issuing_cnt(19), R => reset ); \gen_master_slots[2].reg_slice_mi\: entity work.zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_2 port map ( D(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3\, D(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2\, E(0) => \gen_master_slots[2].reg_slice_mi_n_4\, Q(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(2), aclk => aclk, \aresetn_d_reg[1]\ => \gen_master_slots[4].reg_slice_mi_n_1\, \aresetn_d_reg[1]_0\ => \gen_master_slots[4].reg_slice_mi_n_5\, \chosen_reg[2]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(2), \chosen_reg[2]_0\(0) => \r_pipe/p_1_in\, \gen_master_slots[2].r_issuing_cnt_reg[19]\(3 downto 0) => r_issuing_cnt(19 downto 16), \gen_master_slots[3].r_issuing_cnt_reg[24]\ => \gen_master_slots[3].reg_slice_mi_n_7\, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 35) => st_mr_rid(35 downto 24), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34) => p_84_out, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33 downto 32) => st_mr_rmesg(71 downto 70), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31 downto 0) => st_mr_rmesg(104 downto 73), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 2) => st_mr_bid(35 downto 24), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1 downto 0) => st_mr_bmesg(7 downto 6), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_master_slots[2].reg_slice_mi_n_53\, \m_axi_bid[35]\(13 downto 2) => m_axi_bid(35 downto 24), \m_axi_bid[35]\(1 downto 0) => m_axi_bresp(5 downto 4), m_axi_bready(0) => m_axi_bready(2), m_axi_bvalid(0) => m_axi_bvalid(2), m_axi_rdata(31 downto 0) => m_axi_rdata(95 downto 64), m_axi_rid(11 downto 0) => m_axi_rid(35 downto 24), m_axi_rlast(0) => m_axi_rlast(2), \m_axi_rready[2]\ => M_AXI_RREADY(2), m_axi_rresp(1 downto 0) => m_axi_rresp(5 downto 4), m_axi_rvalid(0) => m_axi_rvalid(2), p_1_in => p_1_in, p_57_in => p_57_in, p_82_out => p_82_out, p_88_out => p_88_out, \r_cmd_pop_2__1\ => \r_cmd_pop_2__1\, s_axi_bready(0) => s_axi_bready(0), s_axi_rready(0) => s_axi_rready(0) ); \gen_master_slots[2].w_issuing_cnt[16]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => w_issuing_cnt(16), O => \gen_master_slots[2].w_issuing_cnt[16]_i_1_n_0\ ); \gen_master_slots[2].w_issuing_cnt_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\, D => \gen_master_slots[2].w_issuing_cnt[16]_i_1_n_0\, Q => w_issuing_cnt(16), R => reset ); \gen_master_slots[2].w_issuing_cnt_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\, D => splitter_aw_mi_n_6, Q => w_issuing_cnt(17), R => reset ); \gen_master_slots[2].w_issuing_cnt_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\, D => splitter_aw_mi_n_5, Q => w_issuing_cnt(18), R => reset ); \gen_master_slots[2].w_issuing_cnt_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\, D => splitter_aw_mi_n_4, Q => w_issuing_cnt(19), R => reset ); \gen_master_slots[3].r_issuing_cnt[24]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => r_issuing_cnt(24), O => \gen_master_slots[3].r_issuing_cnt[24]_i_1_n_0\ ); \gen_master_slots[3].r_issuing_cnt_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[3].reg_slice_mi_n_5\, D => \gen_master_slots[3].r_issuing_cnt[24]_i_1_n_0\, Q => r_issuing_cnt(24), R => reset ); \gen_master_slots[3].r_issuing_cnt_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[3].reg_slice_mi_n_5\, D => addr_arbiter_ar_n_87, Q => r_issuing_cnt(25), R => reset ); \gen_master_slots[3].r_issuing_cnt_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[3].reg_slice_mi_n_5\, D => addr_arbiter_ar_n_86, Q => r_issuing_cnt(26), R => reset ); \gen_master_slots[3].r_issuing_cnt_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_master_slots[3].reg_slice_mi_n_5\, D => addr_arbiter_ar_n_85, Q => r_issuing_cnt(27), R => reset ); \gen_master_slots[3].reg_slice_mi\: entity work.zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_3 port map ( D(13 downto 2) => m_axi_bid(47 downto 36), D(1 downto 0) => m_axi_bresp(7 downto 6), E(0) => \gen_master_slots[3].reg_slice_mi_n_5\, Q(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(3), aclk => aclk, aresetn => aresetn, \aresetn_d_reg[1]\ => \gen_master_slots[3].reg_slice_mi_n_57\, \aresetn_d_reg[1]_0\ => \gen_master_slots[4].reg_slice_mi_n_1\, \aresetn_d_reg[1]_1\ => \gen_master_slots[4].reg_slice_mi_n_5\, \chosen_reg[3]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(3), \chosen_reg[3]_0\(0) => \r_pipe/p_1_in_5\, \chosen_reg[4]\ => \gen_master_slots[3].reg_slice_mi_n_55\, \chosen_reg[4]_0\ => \gen_master_slots[3].reg_slice_mi_n_56\, \gen_master_slots[3].r_issuing_cnt_reg[27]\(3 downto 0) => r_issuing_cnt(27 downto 24), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(46 downto 35) => st_mr_rid(47 downto 36), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(34) => p_64_out, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(33 downto 32) => st_mr_rmesg(106 downto 105), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(31 downto 0) => st_mr_rmesg(139 downto 108), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(13 downto 2) => st_mr_bid(47 downto 36), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1 downto 0) => st_mr_bmesg(10 downto 9), \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_master_slots[3].reg_slice_mi_n_7\, m_axi_bready(0) => m_axi_bready(3), m_axi_bvalid(0) => m_axi_bvalid(3), m_axi_rdata(31 downto 0) => m_axi_rdata(127 downto 96), m_axi_rid(11 downto 0) => m_axi_rid(47 downto 36), m_axi_rlast(0) => m_axi_rlast(3), \m_axi_rready[3]\ => M_AXI_RREADY(3), m_axi_rresp(1 downto 0) => m_axi_rresp(7 downto 6), m_axi_rvalid(0) => m_axi_rvalid(3), p_1_in => p_1_in, p_39_in => p_39_in, p_62_out => p_62_out, p_68_out => p_68_out, p_82_out => p_82_out, p_88_out => p_88_out, \r_cmd_pop_3__1\ => \r_cmd_pop_3__1\, s_axi_bready(0) => s_axi_bready(0), s_axi_rready(0) => s_axi_rready(0) ); \gen_master_slots[3].w_issuing_cnt[24]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => w_issuing_cnt(24), O => \gen_master_slots[3].w_issuing_cnt[24]_i_1_n_0\ ); \gen_master_slots[3].w_issuing_cnt_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\, D => \gen_master_slots[3].w_issuing_cnt[24]_i_1_n_0\, Q => w_issuing_cnt(24), R => reset ); \gen_master_slots[3].w_issuing_cnt_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\, D => splitter_aw_mi_n_9, Q => w_issuing_cnt(25), R => reset ); \gen_master_slots[3].w_issuing_cnt_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\, D => splitter_aw_mi_n_8, Q => w_issuing_cnt(26), R => reset ); \gen_master_slots[3].w_issuing_cnt_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\, D => splitter_aw_mi_n_7, Q => w_issuing_cnt(27), R => reset ); \gen_master_slots[4].r_issuing_cnt_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => addr_arbiter_ar_n_99, Q => r_issuing_cnt(32), R => reset ); \gen_master_slots[4].reg_slice_mi\: entity work.zqynq_lab_1_design_xbar_0_axi_register_slice_v2_1_13_axi_register_slice_4 port map ( D(11 downto 0) => p_32_in(11 downto 0), E(0) => \r_pipe/p_1_in_6\, Q(0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(4), aclk => aclk, \aresetn_d_reg[0]\ => \gen_master_slots[3].reg_slice_mi_n_57\, \chosen_reg[4]\(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(4), \gen_axi.s_axi_rid_i_reg[11]\(11 downto 0) => p_28_in(11 downto 0), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 1) => st_mr_rid(59 downto 48), \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) => p_42_out, \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(11 downto 0) => st_mr_bid(59 downto 48), m_valid_i_reg => \gen_master_slots[4].reg_slice_mi_n_1\, mi_bready_4 => mi_bready_4, mi_rready_4 => mi_rready_4, p_1_in => p_1_in, p_23_in => p_23_in, p_25_in => p_25_in, p_29_in => p_29_in, p_40_out => p_40_out, p_46_out => p_46_out, \r_cmd_pop_4__1\ => \r_cmd_pop_4__1\, s_axi_bready(0) => s_axi_bready(0), s_axi_rready(0) => s_axi_rready(0), s_ready_i_reg => \gen_master_slots[4].reg_slice_mi_n_5\ ); \gen_master_slots[4].w_issuing_cnt_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => addr_arbiter_aw_n_25, Q => w_issuing_cnt(32), R => reset ); \gen_slave_slots[0].gen_si_read.si_transactor_ar\: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_si_transactor port map ( ADDRESS_HIT_0 => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0\, D(0) => \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_49\, E(0) => s_ready_i0, Q(4 downto 0) => \gen_multi_thread.arbiter_resp_inst/chosen\(4 downto 0), SR(0) => reset, S_AXI_ARREADY(0) => \^s_axi_arready\(0), aa_mi_arvalid => aa_mi_arvalid, aclk => aclk, aresetn_d => aresetn_d, \gen_master_slots[1].r_issuing_cnt_reg[8]\ => \gen_master_slots[1].reg_slice_mi_n_53\, \gen_master_slots[2].r_issuing_cnt_reg[16]\ => \gen_master_slots[2].reg_slice_mi_n_53\, \m_payload_i_reg[0]\(0) => \r_pipe/p_1_in_8\, \m_payload_i_reg[0]_0\(0) => \r_pipe/p_1_in_7\, \m_payload_i_reg[0]_1\(0) => \r_pipe/p_1_in_5\, \m_payload_i_reg[0]_2\(0) => \r_pipe/p_1_in\, \m_payload_i_reg[34]\(0) => \r_pipe/p_1_in_6\, \m_payload_i_reg[34]_0\(0) => p_42_out, \m_payload_i_reg[34]_1\(0) => p_64_out, \m_payload_i_reg[34]_2\(0) => p_124_out, \m_payload_i_reg[34]_3\(0) => p_84_out, \m_payload_i_reg[34]_4\(0) => p_104_out, m_valid_i => m_valid_i, m_valid_i_reg => \gen_master_slots[3].reg_slice_mi_n_55\, m_valid_i_reg_0 => \gen_master_slots[0].reg_slice_mi_n_54\, match => match, p_102_out => p_102_out, p_122_out => p_122_out, p_40_out => p_40_out, p_62_out => p_62_out, p_82_out => p_82_out, \r_cmd_pop_4__1\ => \r_cmd_pop_4__1\, r_issuing_cnt(0) => r_issuing_cnt(32), \s_axi_araddr[30]\(2) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3\, \s_axi_araddr[30]\(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2\, \s_axi_araddr[30]\(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1\, \s_axi_arid[11]\(11 downto 0) => \s_axi_arqos[3]\(11 downto 0), s_axi_arvalid(0) => s_axi_arvalid(0), s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), \s_axi_rid[0]\ => \s_axi_rid[0]\, \s_axi_rid[10]\ => \s_axi_rid[10]\, \s_axi_rid[11]\ => \s_axi_rid[11]\, \s_axi_rid[1]\ => \s_axi_rid[1]\, \s_axi_rid[2]\ => \s_axi_rid[2]\, \s_axi_rid[3]\ => \s_axi_rid[3]\, \s_axi_rid[4]\ => \s_axi_rid[4]\, \s_axi_rid[5]\ => \s_axi_rid[5]\, \s_axi_rid[6]\ => \s_axi_rid[6]\, \s_axi_rid[7]\ => \s_axi_rid[7]\, \s_axi_rid[8]\ => \s_axi_rid[8]\, \s_axi_rid[9]\ => \s_axi_rid[9]\, s_axi_rlast(0) => s_axi_rlast(0), s_axi_rready(0) => s_axi_rready(0), s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), \s_axi_rvalid[0]\ => \s_axi_rvalid[0]\, st_mr_rid(59 downto 0) => st_mr_rid(59 downto 0), st_mr_rmesg(135 downto 104) => st_mr_rmesg(139 downto 108), st_mr_rmesg(103 downto 70) => st_mr_rmesg(106 downto 73), st_mr_rmesg(69 downto 36) => st_mr_rmesg(71 downto 38), st_mr_rmesg(35 downto 2) => st_mr_rmesg(36 downto 3), st_mr_rmesg(1 downto 0) => st_mr_rmesg(1 downto 0) ); \gen_slave_slots[0].gen_si_write.si_transactor_aw\: entity work.\zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_si_transactor__parameterized0\ port map ( ADDRESS_HIT_0 => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_0_4\, D(2) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_21\, D(1 downto 0) => st_aa_awtarget_enc(1 downto 0), E(0) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_14\, Q(4 downto 0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(4 downto 0), SR(0) => reset, aa_sa_awvalid => aa_sa_awvalid, aclk => aclk, aresetn_d => aresetn_d, \gen_master_slots[0].w_issuing_cnt_reg[0]\(0) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, \gen_master_slots[2].w_issuing_cnt_reg[16]\(0) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_15\, \gen_master_slots[3].w_issuing_cnt_reg[24]\(0) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_16\, \gen_no_arbiter.s_ready_i_reg[0]\(0) => s_ready_i0_11, \gen_no_arbiter.s_ready_i_reg[0]_0\ => addr_arbiter_aw_n_23, \m_ready_d_reg[1]\ => \^s_axi_awready[0]\, m_valid_i => m_valid_i_10, m_valid_i_reg => \gen_master_slots[3].reg_slice_mi_n_56\, m_valid_i_reg_0 => \gen_master_slots[0].reg_slice_mi_n_55\, match => match_3, p_101_in => p_101_in, p_108_out => p_108_out, p_128_out => p_128_out, p_46_out => p_46_out, p_48_in => p_48_in, p_66_in => p_66_in, p_68_out => p_68_out, p_84_in => p_84_in, p_88_out => p_88_out, \s_axi_awaddr[30]\(2) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3_2\, \s_axi_awaddr[30]\(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2_1\, \s_axi_awaddr[30]\(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1_0\, \s_axi_awid[11]\(11 downto 0) => D(11 downto 0), \s_axi_bid[0]\ => \s_axi_bid[0]\, \s_axi_bid[10]\ => \s_axi_bid[10]\, \s_axi_bid[11]\ => \s_axi_bid[11]\, \s_axi_bid[1]\ => \s_axi_bid[1]\, \s_axi_bid[2]\ => \s_axi_bid[2]\, \s_axi_bid[3]\ => \s_axi_bid[3]\, \s_axi_bid[4]\ => \s_axi_bid[4]\, \s_axi_bid[5]\ => \s_axi_bid[5]\, \s_axi_bid[6]\ => \s_axi_bid[6]\, \s_axi_bid[7]\ => \s_axi_bid[7]\, \s_axi_bid[8]\ => \s_axi_bid[8]\, \s_axi_bid[9]\ => \s_axi_bid[9]\, s_axi_bready(0) => s_axi_bready(0), s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), \s_axi_bvalid[0]\ => \s_axi_bvalid[0]\, st_mr_bid(59 downto 0) => st_mr_bid(59 downto 0), st_mr_bmesg(7 downto 6) => st_mr_bmesg(10 downto 9), st_mr_bmesg(5 downto 4) => st_mr_bmesg(7 downto 6), st_mr_bmesg(3 downto 2) => st_mr_bmesg(4 downto 3), st_mr_bmesg(1 downto 0) => st_mr_bmesg(1 downto 0), w_issuing_cnt(16) => w_issuing_cnt(32), w_issuing_cnt(15 downto 12) => w_issuing_cnt(27 downto 24), w_issuing_cnt(11 downto 8) => w_issuing_cnt(19 downto 16), w_issuing_cnt(7 downto 4) => w_issuing_cnt(11 downto 8), w_issuing_cnt(3 downto 0) => w_issuing_cnt(3 downto 0) ); \gen_slave_slots[0].gen_si_write.splitter_aw_si\: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_splitter port map ( aclk => aclk, aresetn_d => aresetn_d, m_ready_d(1 downto 0) => m_ready_d(1 downto 0), \s_axi_awready[0]\ => \^s_axi_awready[0]\, s_axi_awvalid(0) => s_axi_awvalid(0), ss_aa_awready => ss_aa_awready, ss_wr_awready => ss_wr_awready, ss_wr_awvalid => ss_wr_awvalid ); \gen_slave_slots[0].gen_si_write.wdata_router_w\: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_wdata_router port map ( D(2) => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_21\, D(1 downto 0) => st_aa_awtarget_enc(1 downto 0), SR(0) => reset, aclk => aclk, m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), m_ready_d(0) => m_ready_d(1), match => match_3, p_22_in => p_22_in, \s_axi_awaddr[30]\(2) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_3_2\, \s_axi_awaddr[30]\(1) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_2_1\, \s_axi_awaddr[30]\(0) => \gen_addr_decoder.addr_decoder_inst/ADDRESS_HIT_1_0\, s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_wlast(0) => s_axi_wlast(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wvalid(0) => s_axi_wvalid(0), ss_wr_awready => ss_wr_awready, ss_wr_awvalid => ss_wr_awvalid, \write_cs0__0\ => \write_cs0__0\ ); splitter_aw_mi: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_splitter_5 port map ( D(2) => splitter_aw_mi_n_0, D(1) => splitter_aw_mi_n_1, D(0) => splitter_aw_mi_n_2, Q(3 downto 0) => aa_mi_awtarget_hot(3 downto 0), aa_sa_awvalid => aa_sa_awvalid, aclk => aclk, aresetn_d => aresetn_d, \chosen_reg[3]\(3 downto 0) => \gen_multi_thread.arbiter_resp_inst/chosen_9\(3 downto 0), \gen_axi.s_axi_awready_i_reg\ => splitter_aw_mi_n_3, \gen_master_slots[0].w_issuing_cnt_reg[3]\(2) => splitter_aw_mi_n_10, \gen_master_slots[0].w_issuing_cnt_reg[3]\(1) => splitter_aw_mi_n_11, \gen_master_slots[0].w_issuing_cnt_reg[3]\(0) => splitter_aw_mi_n_12, \gen_master_slots[2].w_issuing_cnt_reg[19]\(2) => splitter_aw_mi_n_4, \gen_master_slots[2].w_issuing_cnt_reg[19]\(1) => splitter_aw_mi_n_5, \gen_master_slots[2].w_issuing_cnt_reg[19]\(0) => splitter_aw_mi_n_6, \gen_master_slots[3].w_issuing_cnt_reg[27]\(2) => splitter_aw_mi_n_7, \gen_master_slots[3].w_issuing_cnt_reg[27]\(1) => splitter_aw_mi_n_8, \gen_master_slots[3].w_issuing_cnt_reg[27]\(0) => splitter_aw_mi_n_9, m_axi_awready(3 downto 0) => m_axi_awready(3 downto 0), m_ready_d(1 downto 0) => m_ready_d_12(1 downto 0), \mi_awready_mux__3\ => \mi_awready_mux__3\, p_108_out => p_108_out, p_128_out => p_128_out, p_68_out => p_68_out, p_88_out => p_88_out, s_axi_bready(0) => s_axi_bready(0), \s_ready_i0__1\(0) => \s_ready_i0__1\(0), \sa_wm_awready_mux__3\ => \sa_wm_awready_mux__3\, w_issuing_cnt(15 downto 12) => w_issuing_cnt(27 downto 24), w_issuing_cnt(11 downto 8) => w_issuing_cnt(19 downto 16), w_issuing_cnt(7 downto 4) => w_issuing_cnt(11 downto 8), w_issuing_cnt(3 downto 0) => w_issuing_cnt(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_wlast : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_ruser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 12; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_CONNECTIVITY_MODE : integer; attribute C_CONNECTIVITY_MODE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_DEBUG : integer; attribute C_DEBUG of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "zynq"; attribute C_M_AXI_ADDR_WIDTH : string; attribute C_M_AXI_ADDR_WIDTH of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000001101000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; attribute C_M_AXI_BASE_ADDR : string; attribute C_M_AXI_BASE_ADDR of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "256'b0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100001010000000000000000000000000000000000000000000000000000000010000010010000100000000000000000000000000000000000000000000000001000001001000000000000000000000"; attribute C_M_AXI_READ_CONNECTIVITY : string; attribute C_M_AXI_READ_CONNECTIVITY of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_READ_ISSUING : string; attribute C_M_AXI_READ_ISSUING of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000"; attribute C_M_AXI_SECURE : string; attribute C_M_AXI_SECURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_M_AXI_WRITE_CONNECTIVITY : string; attribute C_M_AXI_WRITE_CONNECTIVITY of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_WRITE_ISSUING : string; attribute C_M_AXI_WRITE_ISSUING of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000"; attribute C_NUM_ADDR_RANGES : integer; attribute C_NUM_ADDR_RANGES of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_NUM_MASTER_SLOTS : integer; attribute C_NUM_MASTER_SLOTS of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 4; attribute C_NUM_SLAVE_SLOTS : integer; attribute C_NUM_SLAVE_SLOTS of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_R_REGISTER : integer; attribute C_R_REGISTER of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_S_AXI_ARB_PRIORITY : integer; attribute C_S_AXI_ARB_PRIORITY of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_S_AXI_BASE_ID : integer; attribute C_S_AXI_BASE_ID of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_S_AXI_READ_ACCEPTANCE : integer; attribute C_S_AXI_READ_ACCEPTANCE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 8; attribute C_S_AXI_SINGLE_THREAD : integer; attribute C_S_AXI_SINGLE_THREAD of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_S_AXI_THREAD_ID_WIDTH : integer; attribute C_S_AXI_THREAD_ID_WIDTH of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 12; attribute C_S_AXI_WRITE_ACCEPTANCE : integer; attribute C_S_AXI_WRITE_ACCEPTANCE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 8; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "yes"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "axi_crossbar_v2_1_14_axi_crossbar"; attribute P_ADDR_DECODE : integer; attribute P_ADDR_DECODE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_AXI3 : integer; attribute P_AXI3 of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "3'b010"; attribute P_FAMILY : string; attribute P_FAMILY of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "zynq"; attribute P_INCR : string; attribute P_INCR of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "2'b01"; attribute P_LEN : integer; attribute P_LEN of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 8; attribute P_LOCK : integer; attribute P_LOCK of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_M_AXI_ERR_MODE : string; attribute P_M_AXI_ERR_MODE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute P_M_AXI_SUPPORTS_READ : string; attribute P_M_AXI_SUPPORTS_READ of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "4'b1111"; attribute P_M_AXI_SUPPORTS_WRITE : string; attribute P_M_AXI_SUPPORTS_WRITE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "4'b1111"; attribute P_ONES : string; attribute P_ONES of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "65'b11111111111111111111111111111111111111111111111111111111111111111"; attribute P_RANGE_CHECK : integer; attribute P_RANGE_CHECK of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_S_AXI_BASE_ID : string; attribute P_S_AXI_BASE_ID of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000000000000000"; attribute P_S_AXI_HIGH_ID : string; attribute P_S_AXI_HIGH_ID of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000111111111111"; attribute P_S_AXI_SUPPORTS_READ : string; attribute P_S_AXI_SUPPORTS_READ of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "1'b1"; attribute P_S_AXI_SUPPORTS_WRITE : string; attribute P_S_AXI_SUPPORTS_WRITE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar : entity is "1'b1"; end zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar; architecture STRUCTURE of zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar is signal \<const0>\ : STD_LOGIC; signal \^m_axi_araddr\ : STD_LOGIC_VECTOR ( 127 downto 96 ); signal \^m_axi_arburst\ : STD_LOGIC_VECTOR ( 7 downto 6 ); signal \^m_axi_arcache\ : STD_LOGIC_VECTOR ( 15 downto 12 ); signal \^m_axi_arid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_arlen\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^m_axi_arlock\ : STD_LOGIC_VECTOR ( 3 to 3 ); signal \^m_axi_arprot\ : STD_LOGIC_VECTOR ( 11 downto 9 ); signal \^m_axi_arqos\ : STD_LOGIC_VECTOR ( 15 downto 12 ); signal \^m_axi_arsize\ : STD_LOGIC_VECTOR ( 11 downto 9 ); signal \^m_axi_awaddr\ : STD_LOGIC_VECTOR ( 127 downto 96 ); signal \^m_axi_awburst\ : STD_LOGIC_VECTOR ( 7 downto 6 ); signal \^m_axi_awcache\ : STD_LOGIC_VECTOR ( 15 downto 12 ); signal \^m_axi_awid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_awlen\ : STD_LOGIC_VECTOR ( 31 downto 24 ); signal \^m_axi_awlock\ : STD_LOGIC_VECTOR ( 3 to 3 ); signal \^m_axi_awprot\ : STD_LOGIC_VECTOR ( 11 downto 9 ); signal \^m_axi_awqos\ : STD_LOGIC_VECTOR ( 15 downto 12 ); signal \^m_axi_awsize\ : STD_LOGIC_VECTOR ( 11 downto 9 ); signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wlast\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); begin \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wlast\(0) <= s_axi_wlast(0); \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); m_axi_araddr(127 downto 96) <= \^m_axi_araddr\(127 downto 96); m_axi_araddr(95 downto 64) <= \^m_axi_araddr\(127 downto 96); m_axi_araddr(63 downto 32) <= \^m_axi_araddr\(127 downto 96); m_axi_araddr(31 downto 0) <= \^m_axi_araddr\(127 downto 96); m_axi_arburst(7 downto 6) <= \^m_axi_arburst\(7 downto 6); m_axi_arburst(5 downto 4) <= \^m_axi_arburst\(7 downto 6); m_axi_arburst(3 downto 2) <= \^m_axi_arburst\(7 downto 6); m_axi_arburst(1 downto 0) <= \^m_axi_arburst\(7 downto 6); m_axi_arcache(15 downto 12) <= \^m_axi_arcache\(15 downto 12); m_axi_arcache(11 downto 8) <= \^m_axi_arcache\(15 downto 12); m_axi_arcache(7 downto 4) <= \^m_axi_arcache\(15 downto 12); m_axi_arcache(3 downto 0) <= \^m_axi_arcache\(15 downto 12); m_axi_arid(47 downto 36) <= \^m_axi_arid\(11 downto 0); m_axi_arid(35 downto 24) <= \^m_axi_arid\(11 downto 0); m_axi_arid(23 downto 12) <= \^m_axi_arid\(11 downto 0); m_axi_arid(11 downto 0) <= \^m_axi_arid\(11 downto 0); m_axi_arlen(31 downto 24) <= \^m_axi_arlen\(7 downto 0); m_axi_arlen(23 downto 16) <= \^m_axi_arlen\(7 downto 0); m_axi_arlen(15 downto 8) <= \^m_axi_arlen\(7 downto 0); m_axi_arlen(7 downto 0) <= \^m_axi_arlen\(7 downto 0); m_axi_arlock(3) <= \^m_axi_arlock\(3); m_axi_arlock(2) <= \^m_axi_arlock\(3); m_axi_arlock(1) <= \^m_axi_arlock\(3); m_axi_arlock(0) <= \^m_axi_arlock\(3); m_axi_arprot(11 downto 9) <= \^m_axi_arprot\(11 downto 9); m_axi_arprot(8 downto 6) <= \^m_axi_arprot\(11 downto 9); m_axi_arprot(5 downto 3) <= \^m_axi_arprot\(11 downto 9); m_axi_arprot(2 downto 0) <= \^m_axi_arprot\(11 downto 9); m_axi_arqos(15 downto 12) <= \^m_axi_arqos\(15 downto 12); m_axi_arqos(11 downto 8) <= \^m_axi_arqos\(15 downto 12); m_axi_arqos(7 downto 4) <= \^m_axi_arqos\(15 downto 12); m_axi_arqos(3 downto 0) <= \^m_axi_arqos\(15 downto 12); m_axi_arregion(15) <= \<const0>\; m_axi_arregion(14) <= \<const0>\; m_axi_arregion(13) <= \<const0>\; m_axi_arregion(12) <= \<const0>\; m_axi_arregion(11) <= \<const0>\; m_axi_arregion(10) <= \<const0>\; m_axi_arregion(9) <= \<const0>\; m_axi_arregion(8) <= \<const0>\; m_axi_arregion(7) <= \<const0>\; m_axi_arregion(6) <= \<const0>\; m_axi_arregion(5) <= \<const0>\; m_axi_arregion(4) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(11 downto 9) <= \^m_axi_arsize\(11 downto 9); m_axi_arsize(8 downto 6) <= \^m_axi_arsize\(11 downto 9); m_axi_arsize(5 downto 3) <= \^m_axi_arsize\(11 downto 9); m_axi_arsize(2 downto 0) <= \^m_axi_arsize\(11 downto 9); m_axi_aruser(3) <= \<const0>\; m_axi_aruser(2) <= \<const0>\; m_axi_aruser(1) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awaddr(127 downto 96) <= \^m_axi_awaddr\(127 downto 96); m_axi_awaddr(95 downto 64) <= \^m_axi_awaddr\(127 downto 96); m_axi_awaddr(63 downto 32) <= \^m_axi_awaddr\(127 downto 96); m_axi_awaddr(31 downto 0) <= \^m_axi_awaddr\(127 downto 96); m_axi_awburst(7 downto 6) <= \^m_axi_awburst\(7 downto 6); m_axi_awburst(5 downto 4) <= \^m_axi_awburst\(7 downto 6); m_axi_awburst(3 downto 2) <= \^m_axi_awburst\(7 downto 6); m_axi_awburst(1 downto 0) <= \^m_axi_awburst\(7 downto 6); m_axi_awcache(15 downto 12) <= \^m_axi_awcache\(15 downto 12); m_axi_awcache(11 downto 8) <= \^m_axi_awcache\(15 downto 12); m_axi_awcache(7 downto 4) <= \^m_axi_awcache\(15 downto 12); m_axi_awcache(3 downto 0) <= \^m_axi_awcache\(15 downto 12); m_axi_awid(47 downto 36) <= \^m_axi_awid\(11 downto 0); m_axi_awid(35 downto 24) <= \^m_axi_awid\(11 downto 0); m_axi_awid(23 downto 12) <= \^m_axi_awid\(11 downto 0); m_axi_awid(11 downto 0) <= \^m_axi_awid\(11 downto 0); m_axi_awlen(31 downto 24) <= \^m_axi_awlen\(31 downto 24); m_axi_awlen(23 downto 16) <= \^m_axi_awlen\(31 downto 24); m_axi_awlen(15 downto 8) <= \^m_axi_awlen\(31 downto 24); m_axi_awlen(7 downto 0) <= \^m_axi_awlen\(31 downto 24); m_axi_awlock(3) <= \^m_axi_awlock\(3); m_axi_awlock(2) <= \^m_axi_awlock\(3); m_axi_awlock(1) <= \^m_axi_awlock\(3); m_axi_awlock(0) <= \^m_axi_awlock\(3); m_axi_awprot(11 downto 9) <= \^m_axi_awprot\(11 downto 9); m_axi_awprot(8 downto 6) <= \^m_axi_awprot\(11 downto 9); m_axi_awprot(5 downto 3) <= \^m_axi_awprot\(11 downto 9); m_axi_awprot(2 downto 0) <= \^m_axi_awprot\(11 downto 9); m_axi_awqos(15 downto 12) <= \^m_axi_awqos\(15 downto 12); m_axi_awqos(11 downto 8) <= \^m_axi_awqos\(15 downto 12); m_axi_awqos(7 downto 4) <= \^m_axi_awqos\(15 downto 12); m_axi_awqos(3 downto 0) <= \^m_axi_awqos\(15 downto 12); m_axi_awregion(15) <= \<const0>\; m_axi_awregion(14) <= \<const0>\; m_axi_awregion(13) <= \<const0>\; m_axi_awregion(12) <= \<const0>\; m_axi_awregion(11) <= \<const0>\; m_axi_awregion(10) <= \<const0>\; m_axi_awregion(9) <= \<const0>\; m_axi_awregion(8) <= \<const0>\; m_axi_awregion(7) <= \<const0>\; m_axi_awregion(6) <= \<const0>\; m_axi_awregion(5) <= \<const0>\; m_axi_awregion(4) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(11 downto 9) <= \^m_axi_awsize\(11 downto 9); m_axi_awsize(8 downto 6) <= \^m_axi_awsize\(11 downto 9); m_axi_awsize(5 downto 3) <= \^m_axi_awsize\(11 downto 9); m_axi_awsize(2 downto 0) <= \^m_axi_awsize\(11 downto 9); m_axi_awuser(3) <= \<const0>\; m_axi_awuser(2) <= \<const0>\; m_axi_awuser(1) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wdata(127 downto 96) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(95 downto 64) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(63 downto 32) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(47) <= \<const0>\; m_axi_wid(46) <= \<const0>\; m_axi_wid(45) <= \<const0>\; m_axi_wid(44) <= \<const0>\; m_axi_wid(43) <= \<const0>\; m_axi_wid(42) <= \<const0>\; m_axi_wid(41) <= \<const0>\; m_axi_wid(40) <= \<const0>\; m_axi_wid(39) <= \<const0>\; m_axi_wid(38) <= \<const0>\; m_axi_wid(37) <= \<const0>\; m_axi_wid(36) <= \<const0>\; m_axi_wid(35) <= \<const0>\; m_axi_wid(34) <= \<const0>\; m_axi_wid(33) <= \<const0>\; m_axi_wid(32) <= \<const0>\; m_axi_wid(31) <= \<const0>\; m_axi_wid(30) <= \<const0>\; m_axi_wid(29) <= \<const0>\; m_axi_wid(28) <= \<const0>\; m_axi_wid(27) <= \<const0>\; m_axi_wid(26) <= \<const0>\; m_axi_wid(25) <= \<const0>\; m_axi_wid(24) <= \<const0>\; m_axi_wid(23) <= \<const0>\; m_axi_wid(22) <= \<const0>\; m_axi_wid(21) <= \<const0>\; m_axi_wid(20) <= \<const0>\; m_axi_wid(19) <= \<const0>\; m_axi_wid(18) <= \<const0>\; m_axi_wid(17) <= \<const0>\; m_axi_wid(16) <= \<const0>\; m_axi_wid(15) <= \<const0>\; m_axi_wid(14) <= \<const0>\; m_axi_wid(13) <= \<const0>\; m_axi_wid(12) <= \<const0>\; m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast(3) <= \^s_axi_wlast\(0); m_axi_wlast(2) <= \^s_axi_wlast\(0); m_axi_wlast(1) <= \^s_axi_wlast\(0); m_axi_wlast(0) <= \^s_axi_wlast\(0); m_axi_wstrb(15 downto 12) <= \^s_axi_wstrb\(3 downto 0); m_axi_wstrb(11 downto 8) <= \^s_axi_wstrb\(3 downto 0); m_axi_wstrb(7 downto 4) <= \^s_axi_wstrb\(3 downto 0); m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(3) <= \<const0>\; m_axi_wuser(2) <= \<const0>\; m_axi_wuser(1) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \gen_samd.crossbar_samd\: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_crossbar port map ( D(68 downto 65) => s_axi_awqos(3 downto 0), D(64 downto 61) => s_axi_awcache(3 downto 0), D(60 downto 59) => s_axi_awburst(1 downto 0), D(58 downto 56) => s_axi_awprot(2 downto 0), D(55) => s_axi_awlock(0), D(54 downto 52) => s_axi_awsize(2 downto 0), D(51 downto 44) => s_axi_awlen(7 downto 0), D(43 downto 12) => s_axi_awaddr(31 downto 0), D(11 downto 0) => s_axi_awid(11 downto 0), M_AXI_RREADY(3 downto 0) => m_axi_rready(3 downto 0), Q(68 downto 65) => \^m_axi_awqos\(15 downto 12), Q(64 downto 61) => \^m_axi_awcache\(15 downto 12), Q(60 downto 59) => \^m_axi_awburst\(7 downto 6), Q(58 downto 56) => \^m_axi_awprot\(11 downto 9), Q(55) => \^m_axi_awlock\(3), Q(54 downto 52) => \^m_axi_awsize\(11 downto 9), Q(51 downto 44) => \^m_axi_awlen\(31 downto 24), Q(43 downto 12) => \^m_axi_awaddr\(127 downto 96), Q(11 downto 0) => \^m_axi_awid\(11 downto 0), S_AXI_ARREADY(0) => s_axi_arready(0), aclk => aclk, aresetn => aresetn, \m_axi_arqos[15]\(68 downto 65) => \^m_axi_arqos\(15 downto 12), \m_axi_arqos[15]\(64 downto 61) => \^m_axi_arcache\(15 downto 12), \m_axi_arqos[15]\(60 downto 59) => \^m_axi_arburst\(7 downto 6), \m_axi_arqos[15]\(58 downto 56) => \^m_axi_arprot\(11 downto 9), \m_axi_arqos[15]\(55) => \^m_axi_arlock\(3), \m_axi_arqos[15]\(54 downto 52) => \^m_axi_arsize\(11 downto 9), \m_axi_arqos[15]\(51 downto 44) => \^m_axi_arlen\(7 downto 0), \m_axi_arqos[15]\(43 downto 12) => \^m_axi_araddr\(127 downto 96), \m_axi_arqos[15]\(11 downto 0) => \^m_axi_arid\(11 downto 0), m_axi_arready(3 downto 0) => m_axi_arready(3 downto 0), m_axi_arvalid(3 downto 0) => m_axi_arvalid(3 downto 0), m_axi_awready(3 downto 0) => m_axi_awready(3 downto 0), m_axi_awvalid(3 downto 0) => m_axi_awvalid(3 downto 0), m_axi_bid(47 downto 0) => m_axi_bid(47 downto 0), m_axi_bready(3 downto 0) => m_axi_bready(3 downto 0), m_axi_bresp(7 downto 0) => m_axi_bresp(7 downto 0), m_axi_bvalid(3 downto 0) => m_axi_bvalid(3 downto 0), m_axi_rdata(127 downto 0) => m_axi_rdata(127 downto 0), m_axi_rid(47 downto 0) => m_axi_rid(47 downto 0), m_axi_rlast(3 downto 0) => m_axi_rlast(3 downto 0), m_axi_rresp(7 downto 0) => m_axi_rresp(7 downto 0), m_axi_rvalid(3 downto 0) => m_axi_rvalid(3 downto 0), m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), \s_axi_arqos[3]\(68 downto 65) => s_axi_arqos(3 downto 0), \s_axi_arqos[3]\(64 downto 61) => s_axi_arcache(3 downto 0), \s_axi_arqos[3]\(60 downto 59) => s_axi_arburst(1 downto 0), \s_axi_arqos[3]\(58 downto 56) => s_axi_arprot(2 downto 0), \s_axi_arqos[3]\(55) => s_axi_arlock(0), \s_axi_arqos[3]\(54 downto 52) => s_axi_arsize(2 downto 0), \s_axi_arqos[3]\(51 downto 44) => s_axi_arlen(7 downto 0), \s_axi_arqos[3]\(43 downto 12) => s_axi_araddr(31 downto 0), \s_axi_arqos[3]\(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arvalid(0) => s_axi_arvalid(0), \s_axi_awready[0]\ => s_axi_awready(0), s_axi_awvalid(0) => s_axi_awvalid(0), \s_axi_bid[0]\ => s_axi_bid(0), \s_axi_bid[10]\ => s_axi_bid(10), \s_axi_bid[11]\ => s_axi_bid(11), \s_axi_bid[1]\ => s_axi_bid(1), \s_axi_bid[2]\ => s_axi_bid(2), \s_axi_bid[3]\ => s_axi_bid(3), \s_axi_bid[4]\ => s_axi_bid(4), \s_axi_bid[5]\ => s_axi_bid(5), \s_axi_bid[6]\ => s_axi_bid(6), \s_axi_bid[7]\ => s_axi_bid(7), \s_axi_bid[8]\ => s_axi_bid(8), \s_axi_bid[9]\ => s_axi_bid(9), s_axi_bready(0) => s_axi_bready(0), s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), \s_axi_bvalid[0]\ => s_axi_bvalid(0), s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), \s_axi_rid[0]\ => s_axi_rid(0), \s_axi_rid[10]\ => s_axi_rid(10), \s_axi_rid[11]\ => s_axi_rid(11), \s_axi_rid[1]\ => s_axi_rid(1), \s_axi_rid[2]\ => s_axi_rid(2), \s_axi_rid[3]\ => s_axi_rid(3), \s_axi_rid[4]\ => s_axi_rid(4), \s_axi_rid[5]\ => s_axi_rid(5), \s_axi_rid[6]\ => s_axi_rid(6), \s_axi_rid[7]\ => s_axi_rid(7), \s_axi_rid[8]\ => s_axi_rid(8), \s_axi_rid[9]\ => s_axi_rid(9), s_axi_rlast(0) => s_axi_rlast(0), s_axi_rready(0) => s_axi_rready(0), s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), \s_axi_rvalid[0]\ => s_axi_rvalid(0), s_axi_wlast(0) => \^s_axi_wlast\(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wvalid(0) => s_axi_wvalid(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_wlast : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zqynq_lab_1_design_xbar_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zqynq_lab_1_design_xbar_0 : entity is "zqynq_lab_1_design_xbar_0,axi_crossbar_v2_1_14_axi_crossbar,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_xbar_0 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of zqynq_lab_1_design_xbar_0 : entity is "axi_crossbar_v2_1_14_axi_crossbar,Vivado 2017.2.1"; end zqynq_lab_1_design_xbar_0; architecture STRUCTURE of zqynq_lab_1_design_xbar_0 is signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of inst : label is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_CONNECTIVITY_MODE : integer; attribute C_CONNECTIVITY_MODE of inst : label is 1; attribute C_DEBUG : integer; attribute C_DEBUG of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_M_AXI_ADDR_WIDTH : string; attribute C_M_AXI_ADDR_WIDTH of inst : label is "128'b00000000000000000000000000001101000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; attribute C_M_AXI_BASE_ADDR : string; attribute C_M_AXI_BASE_ADDR of inst : label is "256'b0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100001010000000000000000000000000000000000000000000000000000000010000010010000100000000000000000000000000000000000000000000000001000001001000000000000000000000"; attribute C_M_AXI_READ_CONNECTIVITY : string; attribute C_M_AXI_READ_CONNECTIVITY of inst : label is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_READ_ISSUING : string; attribute C_M_AXI_READ_ISSUING of inst : label is "128'b00000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000"; attribute C_M_AXI_SECURE : string; attribute C_M_AXI_SECURE of inst : label is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_M_AXI_WRITE_CONNECTIVITY : string; attribute C_M_AXI_WRITE_CONNECTIVITY of inst : label is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_WRITE_ISSUING : string; attribute C_M_AXI_WRITE_ISSUING of inst : label is "128'b00000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000"; attribute C_NUM_ADDR_RANGES : integer; attribute C_NUM_ADDR_RANGES of inst : label is 1; attribute C_NUM_MASTER_SLOTS : integer; attribute C_NUM_MASTER_SLOTS of inst : label is 4; attribute C_NUM_SLAVE_SLOTS : integer; attribute C_NUM_SLAVE_SLOTS of inst : label is 1; attribute C_R_REGISTER : integer; attribute C_R_REGISTER of inst : label is 0; attribute C_S_AXI_ARB_PRIORITY : integer; attribute C_S_AXI_ARB_PRIORITY of inst : label is 0; attribute C_S_AXI_BASE_ID : integer; attribute C_S_AXI_BASE_ID of inst : label is 0; attribute C_S_AXI_READ_ACCEPTANCE : integer; attribute C_S_AXI_READ_ACCEPTANCE of inst : label is 8; attribute C_S_AXI_SINGLE_THREAD : integer; attribute C_S_AXI_SINGLE_THREAD of inst : label is 0; attribute C_S_AXI_THREAD_ID_WIDTH : integer; attribute C_S_AXI_THREAD_ID_WIDTH of inst : label is 12; attribute C_S_AXI_WRITE_ACCEPTANCE : integer; attribute C_S_AXI_WRITE_ACCEPTANCE of inst : label is 8; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_ADDR_DECODE : integer; attribute P_ADDR_DECODE of inst : label is 1; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_FAMILY : string; attribute P_FAMILY of inst : label is "zynq"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_LEN : integer; attribute P_LEN of inst : label is 8; attribute P_LOCK : integer; attribute P_LOCK of inst : label is 1; attribute P_M_AXI_ERR_MODE : string; attribute P_M_AXI_ERR_MODE of inst : label is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute P_M_AXI_SUPPORTS_READ : string; attribute P_M_AXI_SUPPORTS_READ of inst : label is "4'b1111"; attribute P_M_AXI_SUPPORTS_WRITE : string; attribute P_M_AXI_SUPPORTS_WRITE of inst : label is "4'b1111"; attribute P_ONES : string; attribute P_ONES of inst : label is "65'b11111111111111111111111111111111111111111111111111111111111111111"; attribute P_RANGE_CHECK : integer; attribute P_RANGE_CHECK of inst : label is 1; attribute P_S_AXI_BASE_ID : string; attribute P_S_AXI_BASE_ID of inst : label is "64'b0000000000000000000000000000000000000000000000000000000000000000"; attribute P_S_AXI_HIGH_ID : string; attribute P_S_AXI_HIGH_ID of inst : label is "64'b0000000000000000000000000000000000000000000000000000111111111111"; attribute P_S_AXI_SUPPORTS_READ : string; attribute P_S_AXI_SUPPORTS_READ of inst : label is "1'b1"; attribute P_S_AXI_SUPPORTS_WRITE : string; attribute P_S_AXI_SUPPORTS_WRITE of inst : label is "1'b1"; begin inst: entity work.zqynq_lab_1_design_xbar_0_axi_crossbar_v2_1_14_axi_crossbar port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(127 downto 0) => m_axi_araddr(127 downto 0), m_axi_arburst(7 downto 0) => m_axi_arburst(7 downto 0), m_axi_arcache(15 downto 0) => m_axi_arcache(15 downto 0), m_axi_arid(47 downto 0) => m_axi_arid(47 downto 0), m_axi_arlen(31 downto 0) => m_axi_arlen(31 downto 0), m_axi_arlock(3 downto 0) => m_axi_arlock(3 downto 0), m_axi_arprot(11 downto 0) => m_axi_arprot(11 downto 0), m_axi_arqos(15 downto 0) => m_axi_arqos(15 downto 0), m_axi_arready(3 downto 0) => m_axi_arready(3 downto 0), m_axi_arregion(15 downto 0) => m_axi_arregion(15 downto 0), m_axi_arsize(11 downto 0) => m_axi_arsize(11 downto 0), m_axi_aruser(3 downto 0) => NLW_inst_m_axi_aruser_UNCONNECTED(3 downto 0), m_axi_arvalid(3 downto 0) => m_axi_arvalid(3 downto 0), m_axi_awaddr(127 downto 0) => m_axi_awaddr(127 downto 0), m_axi_awburst(7 downto 0) => m_axi_awburst(7 downto 0), m_axi_awcache(15 downto 0) => m_axi_awcache(15 downto 0), m_axi_awid(47 downto 0) => m_axi_awid(47 downto 0), m_axi_awlen(31 downto 0) => m_axi_awlen(31 downto 0), m_axi_awlock(3 downto 0) => m_axi_awlock(3 downto 0), m_axi_awprot(11 downto 0) => m_axi_awprot(11 downto 0), m_axi_awqos(15 downto 0) => m_axi_awqos(15 downto 0), m_axi_awready(3 downto 0) => m_axi_awready(3 downto 0), m_axi_awregion(15 downto 0) => m_axi_awregion(15 downto 0), m_axi_awsize(11 downto 0) => m_axi_awsize(11 downto 0), m_axi_awuser(3 downto 0) => NLW_inst_m_axi_awuser_UNCONNECTED(3 downto 0), m_axi_awvalid(3 downto 0) => m_axi_awvalid(3 downto 0), m_axi_bid(47 downto 0) => m_axi_bid(47 downto 0), m_axi_bready(3 downto 0) => m_axi_bready(3 downto 0), m_axi_bresp(7 downto 0) => m_axi_bresp(7 downto 0), m_axi_buser(3 downto 0) => B"0000", m_axi_bvalid(3 downto 0) => m_axi_bvalid(3 downto 0), m_axi_rdata(127 downto 0) => m_axi_rdata(127 downto 0), m_axi_rid(47 downto 0) => m_axi_rid(47 downto 0), m_axi_rlast(3 downto 0) => m_axi_rlast(3 downto 0), m_axi_rready(3 downto 0) => m_axi_rready(3 downto 0), m_axi_rresp(7 downto 0) => m_axi_rresp(7 downto 0), m_axi_ruser(3 downto 0) => B"0000", m_axi_rvalid(3 downto 0) => m_axi_rvalid(3 downto 0), m_axi_wdata(127 downto 0) => m_axi_wdata(127 downto 0), m_axi_wid(47 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(47 downto 0), m_axi_wlast(3 downto 0) => m_axi_wlast(3 downto 0), m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_axi_wstrb(15 downto 0) => m_axi_wstrb(15 downto 0), m_axi_wuser(3 downto 0) => NLW_inst_m_axi_wuser_UNCONNECTED(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready(0) => s_axi_arready(0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid(0) => s_axi_arvalid(0), s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready(0) => s_axi_awready(0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready(0) => s_axi_bready(0), s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid(0) => s_axi_bvalid(0), s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast(0) => s_axi_rlast(0), s_axi_rready(0) => s_axi_rready(0), s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid(0) => s_axi_rvalid(0), s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => B"000000000000", s_axi_wlast(0) => s_axi_wlast(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid(0) => s_axi_wvalid(0) ); end STRUCTURE;
mit
dawsonjon/FPGA-TX
fpga_tx/bsp_components/serial_out.vhd
23
3390
-------------------------------------------------------------------------------- --- --- SERIAL OUTPUT --- --- :Author: Jonathan P Dawson --- :Date: 17/10/2013 --- :email: [email protected] --- :license: MIT --- :Copyright: Copyright (C) Jonathan P Dawson 2013 --- --- A Serial Output Component --- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity serial_output is generic( CLOCK_FREQUENCY : integer; BAUD_RATE : integer ); port( CLK : in std_logic; RST : in std_logic; TX : out std_logic := '1'; IN1 : in std_logic_vector(7 downto 0); IN1_STB : in std_logic; IN1_ACK : out std_logic := '1' ); end entity serial_output; architecture RTL of serial_output is constant CLOCK_DIVIDER : Unsigned(11 downto 0) := To_unsigned(CLOCK_FREQUENCY/BAUD_RATE, 12); signal BAUD_COUNT : Unsigned(11 downto 0) := (others => '0'); signal DATA : std_logic_vector(7 downto 0) := (others => '0'); signal X16CLK_EN : std_logic := '0'; signal S_IN1_ACK : std_logic := '0'; type STATE_TYPE is (IDLE, START, WAIT_EN, TX0, TX1, TX2, TX3, TX4, TX5, TX6, TX7, STOP); signal STATE : STATE_TYPE := IDLE; begin process begin wait until rising_edge(CLK); if BAUD_COUNT = CLOCK_DIVIDER - 1 then BAUD_COUNT <= (others => '0'); X16CLK_EN <= '1'; else BAUD_COUNT <= BAUD_COUNT + 1; X16CLK_EN <= '0'; end if; if RST = '1' then BAUD_COUNT <= (others => '0'); X16CLK_EN <= '0'; end if; end process; process begin wait until rising_edge(CLK); case STATE is when IDLE => TX <= '1'; S_IN1_ACK <= '1'; if S_IN1_ACK = '1' and IN1_STB = '1' then S_IN1_ACK <= '0'; DATA <= IN1; STATE <= WAIT_EN; end if; when WAIT_EN => if X16CLK_EN = '1' then STATE <= START; end if; when START => if X16CLK_EN = '1' then STATE <= TX0; end if; TX <= '0'; when TX0 => if X16CLK_EN = '1' then STATE <= TX1; end if; TX <= DATA(0); when TX1 => if X16CLK_EN = '1' then STATE <= TX2; end if; TX <= DATA(1); when TX2 => if X16CLK_EN = '1' then STATE <= TX3; end if; TX <= DATA(2); when TX3 => if X16CLK_EN = '1' then STATE <= TX4; end if; TX <= DATA(3); when TX4 => if X16CLK_EN = '1' then STATE <= TX5; end if; TX <= DATA(4); when TX5 => if X16CLK_EN = '1' then STATE <= TX6; end if; TX <= DATA(5); when TX6 => if X16CLK_EN = '1' then STATE <= TX7; end if; TX <= DATA(6); when TX7 => if X16CLK_EN = '1' then STATE <= STOP; end if; TX <= DATA(7); when STOP => if X16CLK_EN = '1' then STATE <= IDLE; end if; TX <= '1'; when others => STATE <= IDLE; end case; if RST = '1' then STATE <= IDLE; TX <= '1'; S_IN1_ACK <= '0'; end if; end process; IN1_ACK <= S_IN1_ACK; end architecture RTL;
mit
dawsonjon/FPGA-TX
synthesis/nexys_4/tx/serial_out.vhd
23
3390
-------------------------------------------------------------------------------- --- --- SERIAL OUTPUT --- --- :Author: Jonathan P Dawson --- :Date: 17/10/2013 --- :email: [email protected] --- :license: MIT --- :Copyright: Copyright (C) Jonathan P Dawson 2013 --- --- A Serial Output Component --- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity serial_output is generic( CLOCK_FREQUENCY : integer; BAUD_RATE : integer ); port( CLK : in std_logic; RST : in std_logic; TX : out std_logic := '1'; IN1 : in std_logic_vector(7 downto 0); IN1_STB : in std_logic; IN1_ACK : out std_logic := '1' ); end entity serial_output; architecture RTL of serial_output is constant CLOCK_DIVIDER : Unsigned(11 downto 0) := To_unsigned(CLOCK_FREQUENCY/BAUD_RATE, 12); signal BAUD_COUNT : Unsigned(11 downto 0) := (others => '0'); signal DATA : std_logic_vector(7 downto 0) := (others => '0'); signal X16CLK_EN : std_logic := '0'; signal S_IN1_ACK : std_logic := '0'; type STATE_TYPE is (IDLE, START, WAIT_EN, TX0, TX1, TX2, TX3, TX4, TX5, TX6, TX7, STOP); signal STATE : STATE_TYPE := IDLE; begin process begin wait until rising_edge(CLK); if BAUD_COUNT = CLOCK_DIVIDER - 1 then BAUD_COUNT <= (others => '0'); X16CLK_EN <= '1'; else BAUD_COUNT <= BAUD_COUNT + 1; X16CLK_EN <= '0'; end if; if RST = '1' then BAUD_COUNT <= (others => '0'); X16CLK_EN <= '0'; end if; end process; process begin wait until rising_edge(CLK); case STATE is when IDLE => TX <= '1'; S_IN1_ACK <= '1'; if S_IN1_ACK = '1' and IN1_STB = '1' then S_IN1_ACK <= '0'; DATA <= IN1; STATE <= WAIT_EN; end if; when WAIT_EN => if X16CLK_EN = '1' then STATE <= START; end if; when START => if X16CLK_EN = '1' then STATE <= TX0; end if; TX <= '0'; when TX0 => if X16CLK_EN = '1' then STATE <= TX1; end if; TX <= DATA(0); when TX1 => if X16CLK_EN = '1' then STATE <= TX2; end if; TX <= DATA(1); when TX2 => if X16CLK_EN = '1' then STATE <= TX3; end if; TX <= DATA(2); when TX3 => if X16CLK_EN = '1' then STATE <= TX4; end if; TX <= DATA(3); when TX4 => if X16CLK_EN = '1' then STATE <= TX5; end if; TX <= DATA(4); when TX5 => if X16CLK_EN = '1' then STATE <= TX6; end if; TX <= DATA(5); when TX6 => if X16CLK_EN = '1' then STATE <= TX7; end if; TX <= DATA(6); when TX7 => if X16CLK_EN = '1' then STATE <= STOP; end if; TX <= DATA(7); when STOP => if X16CLK_EN = '1' then STATE <= IDLE; end if; TX <= '1'; when others => STATE <= IDLE; end case; if RST = '1' then STATE <= IDLE; TX <= '1'; S_IN1_ACK <= '0'; end if; end process; IN1_ACK <= S_IN1_ACK; end architecture RTL;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/embedded_lab_1/embedded_lab_1.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_xbar_0/zynq_design_1_xbar_0_stub.vhdl
1
6981
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Tue Sep 19 00:30:16 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- c:/Users/markb/Source/Repos/FPGA_Sandbox/RecComp/Lab1/embedded_lab_1/embedded_lab_1.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_xbar_0/zynq_design_1_xbar_0_stub.vhdl -- Design : zynq_design_1_xbar_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity zynq_design_1_xbar_0 is Port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awid : out STD_LOGIC_VECTOR ( 23 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wlast : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 23 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arid : out STD_LOGIC_VECTOR ( 23 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rid : in STD_LOGIC_VECTOR ( 23 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 ) ); end zynq_design_1_xbar_0; architecture stub of zynq_design_1_xbar_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[7:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[0:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast[0:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[7:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[0:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast[0:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awid[23:0],m_axi_awaddr[63:0],m_axi_awlen[15:0],m_axi_awsize[5:0],m_axi_awburst[3:0],m_axi_awlock[1:0],m_axi_awcache[7:0],m_axi_awprot[5:0],m_axi_awregion[7:0],m_axi_awqos[7:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wlast[1:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bid[23:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_arid[23:0],m_axi_araddr[63:0],m_axi_arlen[15:0],m_axi_arsize[5:0],m_axi_arburst[3:0],m_axi_arlock[1:0],m_axi_arcache[7:0],m_axi_arprot[5:0],m_axi_arregion[7:0],m_axi_arqos[7:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rid[23:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rlast[1:0],m_axi_rvalid[1:0],m_axi_rready[1:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_14_axi_crossbar,Vivado 2017.2"; begin end;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project.xpr/project_1/project_1.srcs/sources_1/bd/design_1/ipshared/e9ee/hdl/axi_cdma_v4_1_vh_rfs.vhd
1
598894
------------------------------------------------------------------------------- -- axi_cdma_pkg ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_pkg.vhd -- Description: This package contains various constants and functions for -- AXI DMA operations. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; package axi_cdma_pkg is ------------------------------------------------------------------------------- -- Function declarations ------------------------------------------------------------------------------- -- Find minimum required btt width function required_btt_width1 (dwidth, burst_size, btt_width : integer) return integer; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- AXI Responce Values ------------------------------------------------------------------------------- constant OKAY_RESP : std_logic_vector(1 downto 0) := "00"; constant EXOKAY_RESP : std_logic_vector(1 downto 0) := "01"; constant SLVERR_RESP : std_logic_vector(1 downto 0) := "10"; constant DECERR_RESP : std_logic_vector(1 downto 0) := "11"; ------------------------------------------------------------------------------- -- Misc Constants ------------------------------------------------------------------------------- constant NUM_REG_TOTAL : integer := 18; constant NUM_REG_PER_CHANNEL : integer := 6; constant REG_MSB_ADDR_BIT : integer := clog2(NUM_REG_TOTAL)-1; --constant CMD_BASE_WIDTH : integer := 40; constant CMD_BASE_WIDTH : integer := 104; constant BUFFER_LENGTH_WIDTH : integer := 23; -- Constants Used in Desc Updates constant DESC_STS_TYPE : std_logic := '1'; constant DESC_DATA_TYPE : std_logic := '0'; constant DESC_LAST : std_logic := '1'; constant DESC_NOT_LAST : std_logic := '0'; -- Interrupt Coalescing constant ZERO_THRESHOLD : std_logic_vector(7 downto 0) := (others => '0'); constant ONE_THRESHOLD : std_logic_vector(7 downto 0) := "00000001"; constant ZERO_DELAY : std_logic_vector(7 downto 0) := (others => '0'); constant MTBF_STAGES : integer := 4; ------------------------------------------------------------------------------- -- Register Bit Constants ------------------------------------------------------------------------------- -- DMACR constant DMACR_RESERVED0_BIT : integer := 0; constant DMACR_TAILPEN_BIT : integer := 1; constant DMACR_RESET_BIT : integer := 2; constant DMACR_SGMODE_BIT : integer := 3; constant DMACR_KHREAD_BIT : integer := 4; constant DMACR_KHWRITE_BIT : integer := 5; --constant DMACR_RESERVED4_BIT : integer := 4; --constant DMACR_RESERVED5_BIT : integer := 5; constant DMACR_CYCLIC_BIT : integer := 6; constant DMACR_RESERVED7_BIT : integer := 7; constant DMACR_RESERVED8_BIT : integer := 8; constant DMACR_RESERVED9_BIT : integer := 9; constant DMACR_RESERVED10_BIT : integer := 10; constant DMACR_RESERVED11_BIT : integer := 11; constant DMACR_IOC_IRQEN_BIT : integer := 12; constant DMACR_DLY_IRQEN_BIT : integer := 13; constant DMACR_ERR_IRQEN_BIT : integer := 14; constant DMACR_RESERVED15_BIT : integer := 15; constant DMACR_IRQTHRESH_LSB_BIT : integer := 16; constant DMACR_IRQTHRESH_MSB_BIT : integer := 23; constant DMACR_IRQDELAY_LSB_BIT : integer := 24; constant DMACR_IRQDELAY_MSB_BIT : integer := 31; -- DMASR constant DMASR_HALTED_BIT : integer := 0; constant DMASR_IDLE_BIT : integer := 1; constant DMASR_CMPLT_BIT : integer := 2; constant DMASR_ERROR_BIT : integer := 3; constant DMASR_DMAINTERR_BIT : integer := 4; constant DMASR_DMASLVERR_BIT : integer := 5; constant DMASR_DMADECERR_BIT : integer := 6; constant DMASR_RESERVED7_BIT : integer := 7; constant DMASR_SGINTERR_BIT : integer := 8; constant DMASR_SGSLVERR_BIT : integer := 9; constant DMASR_SGDECERR_BIT : integer := 10; constant DMASR_RESERVED11_BIT : integer := 11; constant DMASR_IOCIRQ_BIT : integer := 12; constant DMASR_DLYIRQ_BIT : integer := 13; constant DMASR_ERRIRQ_BIT : integer := 14; constant DMASR_RESERVED15_BIT : integer := 15; constant DMASR_IRQTHRESH_LSB_BIT : integer := 16; constant DMASR_IRQTHRESH_MSB_BIT : integer := 23; constant DMASR_IRQDELAY_LSB_BIT : integer := 24; constant DMASR_IRQDELAY_MSB_BIT : integer := 31; -- CURDESC constant CURDESC_LOWER_MSB_BIT : integer := 31; constant CURDESC_LOWER_LSB_BIT : integer := 6; constant CURDESC_RESERVED_BIT5 : integer := 5; constant CURDESC_RESERVED_BIT4 : integer := 4; constant CURDESC_RESERVED_BIT3 : integer := 3; constant CURDESC_RESERVED_BIT2 : integer := 2; constant CURDESC_RESERVED_BIT1 : integer := 1; constant CURDESC_RESERVED_BIT0 : integer := 0; -- TAILDESC constant TAILDESC_LOWER_MSB_BIT : integer := 31; constant TAILDESC_LOWER_LSB_BIT : integer := 6; constant TAILDESC_RESERVED_BIT5 : integer := 5; constant TAILDESC_RESERVED_BIT4 : integer := 4; constant TAILDESC_RESERVED_BIT3 : integer := 3; constant TAILDESC_RESERVED_BIT2 : integer := 2; constant TAILDESC_RESERVED_BIT1 : integer := 1; constant TAILDESC_RESERVED_BIT0 : integer := 0; -- BTT constant BTT_MSB_BIT : integer := 22; -- DataMover Command / Status Constants constant DATAMOVER_CMDDONE_BIT : integer := 7; constant DATAMOVER_SLVERR_BIT : integer := 6; constant DATAMOVER_DECERR_BIT : integer := 5; constant DATAMOVER_INTERR_BIT : integer := 4; constant DATAMOVER_TAGMSB_BIT : integer := 3; constant DATAMOVER_TAGLSB_BIT : integer := 0; -- Descriptor Control Bits constant DESC_BLENGTH_LSB_BIT : integer := 0; constant DESC_BLENGTH_MSB_BIT : integer := 22; constant DESC_RSVD23_BIT : integer := 23; constant DESC_RSVD24_BIT : integer := 24; constant DESC_RSVD25_BIT : integer := 25; constant DESC_EOF_BIT : integer := 26; constant DESC_SOF_BIT : integer := 27; constant DESC_RSVD28_BIT : integer := 28; constant DESC_RSVD29_BIT : integer := 29; constant DESC_RSVD30_BIT : integer := 30; constant DESC_IOC_BIT : integer := 31; -- Descriptor Status Bits constant DESC_STS_CMPLTD_BIT : integer := 31; constant DESC_STS_DECERR_BIT : integer := 30; constant DESC_STS_SLVERR_BIT : integer := 29; constant DESC_STS_INTERR_BIT : integer := 28; constant DESC_STS_RXSOF_BIT : integer := 27; constant DESC_STS_RXEOF_BIT : integer := 26; constant DESC_STS_RSVD25_BIT : integer := 25; constant DESC_STS_RSVD24_BIT : integer := 24; constant DESC_STS_RSVD23_BIT : integer := 23; constant DESC_STS_XFRDBYTS_MSB_BIT : integer := 22; constant DESC_STS_XFRDBYTS_LSB_BIT : integer := 0; -- DataMover Command / Status Constants constant DATAMOVER_STS_CMDDONE_BIT : integer := 7; constant DATAMOVER_STS_SLVERR_BIT : integer := 6; constant DATAMOVER_STS_DECERR_BIT : integer := 5; constant DATAMOVER_STS_INTERR_BIT : integer := 4; constant DATAMOVER_STS_TAGMSB_BIT : integer := 3; constant DATAMOVER_STS_TAGLSB_BIT : integer := 0; constant DATAMOVER_STS_TAGEOF_BIT : integer := 1; constant DATAMOVER_STS_TLAST_BIT : integer := 31; constant DATAMOVER_CMD_BTTLSB_BIT : integer := 0; constant DATAMOVER_CMD_BTTMSB_BIT : integer := 22; constant DATAMOVER_CMD_TYPE_BIT : integer := 23; constant DATAMOVER_CMD_DSALSB_BIT : integer := 24; constant DATAMOVER_CMD_DSAMSB_BIT : integer := 29; constant DATAMOVER_CMD_EOF_BIT : integer := 30; constant DATAMOVER_CMD_DRR_BIT : integer := 31; constant DATAMOVER_CMD_ADDRLSB_BIT : integer := 32; -- Note: Bit offset require adding ADDR WIDTH to get to actual bit index constant DATAMOVER_CMD_ADDRMSB_BOFST: integer := 31; constant DATAMOVER_CMD_TAGLSB_BOFST : integer := 32; constant DATAMOVER_CMD_TAGMSB_BOFST : integer := 35; constant DATAMOVER_CMD_RSVLSB_BOFST : integer := 36; constant DATAMOVER_CMD_RSVMSB_BOFST : integer := 39; end axi_cdma_pkg; ------------------------------------------------------------------------------- -- PACKAGE BODY ------------------------------------------------------------------------------- package body axi_cdma_pkg is ------------------------------------------------------------------------------- -- Function to determine minimum bits required for BTT_SIZE field ------------------------------------------------------------------------------- function required_btt_width1 ( dwidth, burst_size, btt_width : integer) return integer is variable min_width : integer; begin min_width := clog2((dwidth/8)*burst_size)+1; if(min_width > btt_width)then return min_width; else return btt_width; end if; end function required_btt_width1; end package body axi_cdma_pkg; ------------------------------------------------------------------------------- -- axi_cdma_pulse_gen.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_pulse_gen.vhd -- -- Description: -- This file is the design for a parameterizable pulse width generator. -- The input Sig_In is either Positive Edge or Negative detected -- which triggers a pulse generator. Allowed pulse widths are 1 to -- 64 input clock periods. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0_2; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; ------------------------------------------------------------------------------ entity axi_cdma_pulse_gen is generic ( C_INCLUDE_SYNCHRO : Integer range 0 to 1 := 0; -- 0 = Do not include synchronizer registers -- 1 = Include synchronizer registers C_POS_EDGE_TRIG : Integer range 0 to 1 := 1; -- 0 = Negative Edge Triggered Pulse -- 1 = Positive Edge Triggered Pulse C_PULSE_WIDTH_CLKS : integer range 1 to 64 := 4 -- Desired Output Pulse width (in Clk_In periods) ); port ( -- Input synchronization clock Clk_In : In std_logic; -- Module reset (active high) Rst_In : In std_logic; -- Input trigger signal Sig_in : In std_logic; -- Output pulse Pulse_Out : Out std_logic ); end entity axi_cdma_pulse_gen; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_pulse_gen is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions Declarations ------------------------------------------------------------------------------- -- none ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- Constant ADJUSTED_CLKs : integer := C_PULSE_WIDTH_CLKS+1; ------------------------------------------------------------------------------- -- Internal Signal Declaration ------------------------------------------------------------------------------- -- System module reset interconnect signals signal sig_pulse_out : std_logic; signal sig_to_edge_detect_reg : std_logic; signal sig_pulse_trigger : std_logic; signal sig_shift_reg : std_logic_vector(0 to ADJUSTED_CLKs-1); -- Addition of synchronizer front-end signal sig_synchro_reg1_cdc_tig : std_logic; signal sig_synchro_reg2 : std_logic; signal sig_to_edge_detect : std_logic; -- ATTRIBUTE async_reg OF sig_synchro_reg1_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF sig_synchro_reg2 : SIGNAL IS "true"; ------------------------------------------------------------------------------- begin -- architecture body -- Output Port assignments Pulse_Out <= sig_pulse_out ; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_SYNCHRO_REGS -- -- If Generate Description: -- This IfGen omits the implementation of a double register -- synchronizer on the input signal. -- ------------------------------------------------------------ OMIT_SYNCHRO_REGS : if (C_INCLUDE_SYNCHRO = 0) generate begin sig_to_edge_detect <= Sig_In; end generate OMIT_SYNCHRO_REGS; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_SYNCHRO_REGS -- -- If Generate Description: -- This IfGen includes the implementation of a double -- register synchronizer on the input signal. -- ------------------------------------------------------------ INCLUDE_SYNCHRO_REGS : if (C_INCLUDE_SYNCHRO = 1) generate begin sig_to_edge_detect <= sig_synchro_reg2; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_SYNCHRO_REGS -- -- Process Description: -- -- ------------------------------------------------------------- DO_SYNCHRO_REGS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => Sig_In, prmry_vect_in => (others => '0'), scndry_aclk => Clk_In, scndry_resetn => '0', scndry_out => sig_synchro_reg2, scndry_vect_out => open ); -- DO_SYNCHRO_REGS : process (Clk_In) -- begin -- if (Clk_In'event and Clk_In = '1') then -- if (Rst_In = '1') then -- sig_synchro_reg1_cdc_tig <= '0'; -- sig_synchro_reg2 <= '0'; -- else -- sig_synchro_reg1_cdc_tig <= Sig_In; -- sig_synchro_reg2 <= sig_synchro_reg1_cdc_tig; -- end if; -- end if; -- end process DO_SYNCHRO_REGS; end generate INCLUDE_SYNCHRO_REGS; ------------------------------------------------------------ -- If Generate -- -- Label: POSITIVE_EDGE_TRIGGER -- -- If Generate Description: -- Generate Pulse trigger from Positive edge detection on -- the input signal -- -- ------------------------------------------------------------ POSITIVE_EDGE_TRIGGER : if (C_POS_EDGE_TRIG = 1) generate begin -- Do positive edge detection on input signal, This becomes -- the trigger for generating the output pulse. sig_pulse_trigger <= sig_to_edge_detect and not(sig_to_edge_detect_reg); end generate POSITIVE_EDGE_TRIGGER; ------------------------------------------------------------ -- If Generate -- -- Label: NEGATIVE_EDGE_TRIGGER -- -- If Generate Description: -- Generate Pulse trigger from negative edge detection on -- the input signal -- -- ------------------------------------------------------------ NEGATIVE_EDGE_TRIGGER : if (C_POS_EDGE_TRIG = 0) generate begin -- Do negative edge detection on input signal, This becomes -- the trigger for generating the output pulse. sig_pulse_trigger <= not(sig_to_edge_detect) and sig_to_edge_detect_reg; end generate NEGATIVE_EDGE_TRIGGER; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SIG_IN -- -- Process Description: -- This process registers the input signal for use in the -- edge detection logic. -- ------------------------------------------------------------- REG_SIG_IN : process (Clk_In) begin if (Clk_In'event and Clk_In = '1') then if (Rst_In = '1') then sig_to_edge_detect_reg <= '0'; else sig_to_edge_detect_reg <= sig_to_edge_detect; end if; end if; end process REG_SIG_IN; ------------------------------------------------------------ -- If Generate -- -- Label: DO_SINGLE_CLK_PULSE -- -- If Generate Description: -- -- Handles single clock pulse width case -- ------------------------------------------------------------ DO_SINGLE_CLK_PULSE : if (C_PULSE_WIDTH_CLKS = 1) generate begin sig_shift_reg <= (others => '0'); -- house keeping ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SINGLE_PULSE -- -- Process Description: -- This process registers a single pulse case. -- ------------------------------------------------------------- REG_SINGLE_PULSE : process (Clk_In) begin if (Clk_In'event and Clk_In = '1') then if (Rst_In = '1') then sig_pulse_out <= '0'; else sig_pulse_out <= sig_pulse_trigger; end if; end if; end process REG_SINGLE_PULSE; end generate DO_SINGLE_CLK_PULSE; ------------------------------------------------------------ -- If Generate -- -- Label: DO_MULTI_CLK_PULSE -- -- If Generate Description: -- -- Handles Multi clock pulse width case -- ------------------------------------------------------------ DO_MULTI_CLK_PULSE : if (C_PULSE_WIDTH_CLKS >= 2) generate begin ----------------------------------------------------------------------------- -- Implement the Shift register logic ----------------------------------------------------------------------------- -- The output pulse is ripped from the final stage of the shift register sig_pulse_out <= sig_shift_reg(ADJUSTED_CLKs-1); -- Tie the shift register input stage to 0 sig_shift_reg(0) <= '0'; ------------------------------------------------------------ -- For Generate -- -- Label: DO_SHIF_REG -- -- For Generate Description: -- This For Gen implements a parameterizable shift -- register for the pulse generator. The trigger presets -- all of the register segments and then zeros are shifted -- into the pipe until all stages are cleared. The resulting -- pulse out is equal to the number of stages in the shift -- register. -- -- -- ------------------------------------------------------------ DO_SHIF_REG : for reg_index in 1 to ADJUSTED_CLKs-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_SHIFT_REG_SEGMENT -- -- Process Description: -- This process implements a single register segment of -- of the pulse generator shift register. -- ------------------------------------------------------------- DO_SHIFT_REG_SEGMENT : process (Clk_In) begin if (Clk_In'event and Clk_In = '1') then if (Rst_In = '1') then -- Clear the reg sig_shift_reg(reg_index) <= '0'; elsif (sig_pulse_trigger = '1') then -- preset the reg sig_shift_reg(reg_index) <= '1'; else -- shift stuff through sig_shift_reg(reg_index) <= sig_shift_reg(reg_index-1); end if; end if; end process DO_SHIFT_REG_SEGMENT; end generate DO_SHIF_REG; ----------------------------------------------------------------------------- -- End of Shift register logic ----------------------------------------------------------------------------- end generate DO_MULTI_CLK_PULSE; end architecture implementation; ------------------------------------------------------------------------------- -- axi_cdma_reset ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_reset.vhd -- Description: This entity is reset module entity for the AXI DMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pulse_gen; use axi_cdma_v4_1_14.axi_cdma_pkg.all; library lib_cdc_v1_0_2; ------------------------------------------------------------------------------- entity axi_cdma_reset is Generic ( C_AXI_LITE_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the AXI Lite Register interface needs to -- be asynchronous to the CDMA data transport path clocking -- 0 = Use same clocking as data path (Primary) -- 1 = Use special AXI Lite clock for the axi lite interface C_SOFT_RST_TIME_CLKS : integer range 1 to 64 := 8 -- Specifies the time of the soft reset assertion in -- axi_aclk clock periods. ); port ( -- Primary Clock and Reset Sources axi_aclk : in std_logic ;-- axi_resetn : in std_logic ;-- -- AXI Lite Clock and Reset Sources axi_lite_aclk : in std_logic ;-- axi_lite_resetn : in std_logic ;-- -- HW Reset out to the axi4-lite bus side interface logic rst2lite_bside_reset : Out std_logic ; -- HW Reset out to the axi4-lite core side interface logic rst2lite_cside_reset : Out std_logic ; -- HW Reset out to the register module logic rst2reg_reset : Out std_logic ; -- HW Reset out to the simple controller module logic rst2cntlr_reset : Out std_logic ; -- HW Reset out to the SG controller module logic rst2sgcntlr_reset : Out std_logic ; -- HW Reset out to the SG module rst2sg_resetn : Out std_logic ; -- HW Reset out to the datamover module rst2dm_resetn : Out std_logic ; -- Soft Reset Request from Register module reg2rst_soft_reset_in : in std_logic ; -- Soft Reset clear to the Register module rst2reg_soft_reset_clr : Out std_logic ; -- Halt request to the Simple Controller rst2cntlr_halt : Out std_logic ; -- Halt complete from the Simple Controller cntlr2rst_halt_cmplt : in std_logic ; -- Halt request to the SG Controller rst2sg_halt : Out std_logic ; -- Halt complete from the SG Controller sg2rst_halt_cmplt : in std_logic ; -- Halt request to the DataMover MM2S function rst2dm_mm2s_halt : Out std_logic ; -- Halt complete from the DataMover MM2S function dm2rst_mm2s_halt_cmplt : in std_logic ; -- Halt request to the DataMover S2MM function rst2dm_s2mm_halt : Out std_logic ; -- Halt complete from the DataMover S2MM function dm2rst_s2mm_halt_cmplt : in std_logic ); end axi_cdma_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant INCLUDE_SYNCHRONIZERS : integer := 1 ; Constant NO_SYNCHRONIZERS : integer := 0 ; Constant POSITIVE_EDGE_TRIGGER : integer := 1 ; Constant NEGATIVE_EDGE_TRIGGER : integer := 0 ; Constant TWO_CLKS : integer := 2 ; Constant ONE_CLK : integer := 1 ; Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant POR_WIDTH : integer := 8 ; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal sig_local_hw_reset_reg : std_logic := '0'; signal sig_lite_bside_hw_reset_reg : std_logic := '0'; signal sig_lite_cside_hw_reset_reg : std_logic := '0'; signal sig_composite_reg_reset : std_logic := '0'; signal sig_composite_cntlr_reset : std_logic := '0'; signal sig_composite_sgcntlr_reset : std_logic := '0'; signal sig_composite_sg_reset_n : std_logic := '0'; signal sig_composite_dm_reset_n : std_logic := '0'; signal sig_dm_soft_reset_n : std_logic := '0'; signal sig_rst2reg_soft_reset : std_logic := '0'; signal sig_rst2reg_soft_reset_trig : std_logic := '0'; signal sig_rst2reg_soft_reset_clr : std_logic := '0'; signal sig_soft_reset : std_logic := '0'; signal sig_soft_reset_reg : std_logic := '0'; signal sig_trig_soft_reset : std_logic := '0'; signal sig_halt_request : std_logic := '0'; signal sig_halt_cmplt : std_logic := '0'; signal sig_axi_por_reg1 : std_logic := '0'; signal sig_axi_por_reg2 : std_logic := '0'; signal sig_axi_por_reg3 : std_logic := '0'; signal sig_axi_por_reg4 : std_logic := '0'; signal sig_axi_por_reg5 : std_logic := '0'; signal sig_axi_por_reg6 : std_logic := '0'; signal sig_axi_por_reg7 : std_logic := '0'; signal sig_axi_por_reg8 : std_logic := '0'; signal sig_axi_por2rst : std_logic := '0'; signal sig_axi_por2rst_out : std_logic := '0'; signal sig_axilite_por_reg1 : std_logic := '0'; signal sig_axilite_por_reg2 : std_logic := '0'; signal sig_axilite_por_reg3 : std_logic := '0'; signal sig_axilite_por_reg4 : std_logic := '0'; signal sig_axilite_por_reg5 : std_logic := '0'; signal sig_axilite_por_reg6 : std_logic := '0'; signal sig_axilite_por_reg7 : std_logic := '0'; signal sig_axilite_por_reg8 : std_logic := '0'; signal sig_axilite_por2rst : std_logic := '0'; signal sig_axilite_por2rst_out : std_logic := '0'; -- Register duplication attribute assignments to control fanout -- on reset signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_lite_bside_hw_reset_reg : signal is "TRUE"; Attribute KEEP of sig_lite_cside_hw_reset_reg : signal is "TRUE"; Attribute KEEP of sig_composite_reg_reset : signal is "TRUE"; Attribute KEEP of sig_composite_cntlr_reset : signal is "TRUE"; Attribute KEEP of sig_composite_sgcntlr_reset : signal is "TRUE"; Attribute KEEP of sig_composite_sg_reset_n : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_lite_bside_hw_reset_reg : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_lite_cside_hw_reset_reg : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_composite_reg_reset : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_composite_cntlr_reset : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_composite_sgcntlr_reset : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_composite_sg_reset_n : signal is "no"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Assign Reset Output Ports rst2lite_bside_reset <= sig_lite_bside_hw_reset_reg ; rst2lite_cside_reset <= sig_lite_cside_hw_reset_reg ; rst2reg_reset <= sig_composite_reg_reset ; rst2cntlr_reset <= sig_composite_cntlr_reset ; rst2sgcntlr_reset <= sig_composite_sgcntlr_reset ; rst2sg_resetn <= sig_composite_sg_reset_n ; rst2dm_resetn <= sig_composite_dm_reset_n ; -- Assign the soft Reset Request and Clear Ports sig_rst2reg_soft_reset <= reg2rst_soft_reset_in ; rst2reg_soft_reset_clr <= sig_rst2reg_soft_reset_clr ; -- Assign the Halt and Halt Cmplt Ports rst2cntlr_halt <= sig_halt_request; rst2sg_halt <= sig_halt_request; rst2dm_mm2s_halt <= sig_halt_request; rst2dm_s2mm_halt <= sig_halt_request; ------------------------------------------------------------ -- Start Power On Reset (POR) Logic ------------------------------------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: AXI_POR_REG1 -- -- Process Description: -- This process generates an 4-clock wide pulse that -- only occurs immediately after FPGA initialization. This -- pulse is used to initialize reset logic synchronous to -- the Main axi_aclk Clock until the Bus Reset occurs. -- ------------------------------------------------------------- AXI_POR_REG1 : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then sig_axi_por_reg1 <= '1'; sig_axi_por_reg2 <= sig_axi_por_reg1; sig_axi_por_reg3 <= sig_axi_por_reg2; sig_axi_por_reg4 <= sig_axi_por_reg3; sig_axi_por_reg5 <= sig_axi_por_reg4; sig_axi_por_reg6 <= sig_axi_por_reg5; sig_axi_por_reg7 <= sig_axi_por_reg6; sig_axi_por_reg8 <= sig_axi_por_reg7; sig_axi_por2rst_out <= sig_axi_por2rst ; end if; end process AXI_POR_REG1; sig_axi_por2rst <= not(sig_axi_por_reg1 and sig_axi_por_reg2 and sig_axi_por_reg3 and sig_axi_por_reg4 and sig_axi_por_reg5 and sig_axi_por_reg6 and sig_axi_por_reg7 and sig_axi_por_reg8 ); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: AXILITE_POR_REG1 -- -- Process Description: -- This process generates an 8-clock wide pulse that -- only occurs immediately after FPGA initialization. This -- pulse is used to initialize reset logic synchronous to -- the axi_lite_aclk Clock until the Bus Reset occurs. -- ------------------------------------------------------------- AXILITE_POR_REG1 : process (axi_lite_aclk) begin if (axi_lite_aclk'event and axi_lite_aclk = '1') then sig_axilite_por_reg1 <= '1'; sig_axilite_por_reg2 <= sig_axilite_por_reg1; sig_axilite_por_reg3 <= sig_axilite_por_reg2; sig_axilite_por_reg4 <= sig_axilite_por_reg3; sig_axilite_por_reg5 <= sig_axilite_por_reg4; sig_axilite_por_reg6 <= sig_axilite_por_reg5; sig_axilite_por_reg7 <= sig_axilite_por_reg6; sig_axilite_por_reg8 <= sig_axilite_por_reg7; sig_axilite_por2rst_out <= sig_axilite_por2rst ; end if; end process AXILITE_POR_REG1; sig_axilite_por2rst <= not(sig_axilite_por_reg1 and sig_axilite_por_reg2 and sig_axilite_por_reg3 and sig_axilite_por_reg4 and sig_axilite_por_reg5 and sig_axilite_por_reg6 and sig_axilite_por_reg7 and sig_axilite_por_reg8); ------------------------------------------------------------ -- End of Power On Reset (POR) Logic ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Reset Logic Distribution ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_AXI_LITE_SYNC2AXI -- -- If Generate Description: -- Generate resets based on AXI Lite clock being the same -- as the main axi4 clock (synchronous). -- -- ------------------------------------------------------------ GEN_AXI_LITE_SYNC2AXI : if (C_AXI_LITE_IS_ASYNC = 0) generate begin sig_composite_dm_reset_n <= axi_resetn and axi_lite_resetn and sig_dm_soft_reset_n; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SYNC_SOFT_RST_FLOP -- -- Process Description: -- FLOP for registering the input axi_resetn (inverted). -- ------------------------------------------------------------- IMP_SYNC_SOFT_RST_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then If (sig_axi_por2rst_out = '1') Then sig_local_hw_reset_reg <= '1'; sig_composite_reg_reset <= '1'; sig_composite_cntlr_reset <= '1'; sig_composite_sgcntlr_reset <= '1'; sig_composite_sg_reset_n <= '0'; sig_dm_soft_reset_n <= '0'; else sig_local_hw_reset_reg <= not(axi_resetn) or not(axi_lite_resetn); sig_composite_reg_reset <= not(axi_resetn) or not(axi_lite_resetn) or sig_soft_reset; sig_composite_cntlr_reset <= not(axi_resetn) or not(axi_lite_resetn) or sig_soft_reset; sig_composite_sgcntlr_reset <= not(axi_resetn) or not(axi_lite_resetn) or sig_soft_reset; sig_composite_sg_reset_n <= axi_resetn and axi_lite_resetn and not(sig_soft_reset); sig_dm_soft_reset_n <= not(sig_soft_reset); End if; end if; end process IMP_SYNC_SOFT_RST_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SYNC_AXI_LITE_HW_RST_FLOP -- -- Process Description: -- FLOP for registering the reset for the AXi Lite Interface. -- Since the axi_lite_aclk is the same as the axi_aclk, -- the Bus side and Core side resets can be the same. -- Note that soft reset is excluded from the AXI Lite reset -- generation logic. -- ------------------------------------------------------------- IMP_SYNC_AXI_LITE_HW_RST_FLOP : process (axi_lite_aclk) begin if (axi_lite_aclk'event and axi_lite_aclk = '1') then if (sig_axilite_por2rst = '1') then sig_lite_bside_hw_reset_reg <= '1'; sig_lite_cside_hw_reset_reg <= '1'; else sig_lite_bside_hw_reset_reg <= not(axi_lite_resetn) or not(axi_resetn); sig_lite_cside_hw_reset_reg <= not(axi_lite_resetn) or not(axi_resetn); end if; end if; end process IMP_SYNC_AXI_LITE_HW_RST_FLOP; end generate GEN_AXI_LITE_SYNC2AXI; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_AXI_LITE_ASYNC2AXI -- -- If Generate Description: -- Generate resets based on AXI Lite clock being different -- from the main axi4 clock (asynchronous). -- -- ------------------------------------------------------------ GEN_AXI_LITE_ASYNC2AXI : if (C_AXI_LITE_IS_ASYNC = 1) generate ATTRIBUTE async_reg : STRING; signal sig_axi_lite_rst_rsync_min_pulse : std_logic := '0'; signal sig_axi_lite_rst_reg : std_logic := '0'; signal sig_axi_lite_rst_rsync : std_logic := '0'; signal sig_axi_lite_rst_rsync_d1_cdc_tig : std_logic := '0'; signal sig_axi_lite_rst_rsync_d2 : std_logic := '0'; signal sig_axi_rst_rsync_min_pulse : std_logic := '0'; signal sig_axi_rst_reg : std_logic := '0'; signal sig_axi_rst_rsync : std_logic := '0'; signal sig_axi_rst_rsync_d1_cdc_tig : std_logic := '0'; signal sig_axi_rst_rsync_d2 : std_logic := '0'; signal sig_cside2bside_rsync_d1_cdc_tig : std_logic := '0'; signal sig_cside2bside_rsync_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF sig_axi_lite_rst_rsync_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF sig_axi_lite_rst_rsync_d2 : SIGNAL IS "true"; --ATTRIBUTE async_reg OF sig_axi_rst_rsync_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF sig_axi_rst_rsync_d2 : SIGNAL IS "true"; --ATTRIBUTE async_reg OF sig_cside2bside_rsync_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF sig_cside2bside_rsync_d2 : SIGNAL IS "true"; begin ---------------------------------------------------------------- -- AXI Lite RESET to AXI Clock synchronizers ---------------------------------------------------------------- -- Assign a composite reset derived from the AXI Lite Resetn -- that consistes of a minimum pulse width reset or the resync'd -- AXI Lite Reset, whichever is asserted longer. sig_axi_lite_rst_rsync <= sig_axi_lite_rst_rsync_d2 or sig_axi_lite_rst_rsync_min_pulse; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LITE2AXI_RSYNC -- -- Process Description: -- First stage Synchronizer for the AXI_LITE RESETN to AXI -- clock domain. -- ------------------------------------------------------------- IMP_LITE2AXI_RSYNC : process (axi_lite_aclk) begin if (axi_lite_aclk'event and axi_lite_aclk = '1') then if (sig_axilite_por2rst = '1') then sig_axi_lite_rst_reg <= '1'; else sig_axi_lite_rst_reg <= not(axi_lite_resetn); end if; end if; end process IMP_LITE2AXI_RSYNC; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ALITE_RST_RESYNC -- -- Process Description: -- Second stage synchronizers for the axi lite resetn to -- AXi clock domain. -- ------------------------------------------------------------- IMP_ALITE_RST_RESYNC : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_axi_lite_rst_reg, prmry_vect_in => (others => '0'), scndry_aclk => axi_aclk, scndry_resetn => '0', scndry_out => sig_axi_lite_rst_rsync_d2, scndry_vect_out => open ); -- IMP_ALITE_RST_RESYNC : process (axi_aclk) -- begin -- if (axi_aclk'event and axi_aclk = '1') then -- if (sig_axi_por2rst = '1') then -- -- sig_axi_lite_rst_rsync_d1_cdc_tig <= '1'; -- sig_axi_lite_rst_rsync_d2 <= '1'; -- -- else -- -- sig_axi_lite_rst_rsync_d1_cdc_tig <= sig_axi_lite_rst_reg; -- sig_axi_lite_rst_rsync_d2 <= sig_axi_lite_rst_rsync_d1_cdc_tig; -- -- end if; -- end if; -- end process IMP_ALITE_RST_RESYNC; ---------------------------------------------------------------- -- AXI RESET to AXI Lite Clock synchronizers ---------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_AXI_RST_REG -- -- Process Description: -- First stage register for synchronizer for AXI_RESETN to -- AXI Lite Clock Domain. -- ------------------------------------------------------------- IMP_AXI_RST_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (sig_axi_por2rst = '1') then sig_axi_rst_reg <= '1'; else sig_axi_rst_reg <= not(axi_resetn); end if; end if; end process IMP_AXI_RST_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_AXI2LITE_RSYNC -- -- Process Description: -- Second stage Synchronizers for the AXI_RESETN to AXI -- Lite clock domain. -- ------------------------------------------------------------- IMP_AXI2LITE_RSYNC : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_axi_rst_reg, prmry_vect_in => (others => '0'), scndry_aclk => axi_lite_aclk, scndry_resetn => '0', scndry_out => sig_axi_rst_rsync_d2, scndry_vect_out => open ); -- IMP_AXI2LITE_RSYNC : process (axi_lite_aclk) -- begin -- if (axi_lite_aclk'event and axi_lite_aclk = '1') then -- if (sig_axilite_por2rst = '1') then -- -- sig_axi_rst_rsync_d1_cdc_tig <= '1'; -- sig_axi_rst_rsync_d2 <= '1'; -- -- else -- -- sig_axi_rst_rsync_d1_cdc_tig <= sig_axi_rst_reg; -- sig_axi_rst_rsync_d2 <= sig_axi_rst_rsync_d1_cdc_tig; -- -- end if; -- -- end if; -- end process IMP_AXI2LITE_RSYNC; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_AXI_LITE_DELAY -- -- Process Description: -- Special Synchronizers for ensuring the Bus side always -- comes out of reset after the C_side does. -- ------------------------------------------------------------- IMP_AXI_LITE_DELAY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_lite_cside_hw_reset_reg, prmry_vect_in => (others => '0'), scndry_aclk => axi_lite_aclk, scndry_resetn => '0', scndry_out => sig_cside2bside_rsync_d2, scndry_vect_out => open ); -- IMP_AXI_LITE_DELAY : process (axi_lite_aclk) -- begin -- if (axi_lite_aclk'event and axi_lite_aclk = '1') then -- if (sig_axilite_por2rst = '1') then -- -- sig_cside2bside_rsync_d1_cdc_tig <= '1'; -- sig_cside2bside_rsync_d2 <= '1'; -- -- else -- -- sig_cside2bside_rsync_d1_cdc_tig <= sig_lite_cside_hw_reset_reg; -- sig_cside2bside_rsync_d2 <= sig_cside2bside_rsync_d1_cdc_tig; -- -- end if; -- -- end if; -- end process IMP_AXI_LITE_DELAY; sig_axi_rst_rsync <= sig_axi_rst_rsync_d2 or sig_cside2bside_rsync_d2; ------------------------------------------------------------ -- Instance: I_AXI_LITE_RST_RSYNC -- -- Description: -- This PulsGen synchronizes the AXI Lite Reset to the Main -- AXI Clock and assures a minimum reset pulse width. -- ------------------------------------------------------------ I_AXI_LITE_RST_RSYNC : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => INCLUDE_SYNCHRONIZERS , C_POS_EDGE_TRIG => NEGATIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => C_SOFT_RST_TIME_CLKS ) port map ( Clk_In => axi_aclk , --Rst_In => LOGIC_LOW , Rst_In => sig_axi_por2rst , Sig_in => axi_lite_resetn , --Pulse_Out => sig_axi_lite_rst_rsync Pulse_Out => sig_axi_lite_rst_rsync_min_pulse ); ------------------------------------------------------------ -- Instance: I_AXI_RST_RSYNC -- -- Description: -- This PulsGen synchronizes the AXI Reset to the AXI Lite -- Clock -- ------------------------------------------------------------ I_AXI_RST_RSYNC : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => INCLUDE_SYNCHRONIZERS , C_POS_EDGE_TRIG => NEGATIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => C_SOFT_RST_TIME_CLKS ) port map ( Clk_In => axi_lite_aclk , -- Rst_In => LOGIC_LOW , Rst_In => sig_axilite_por2rst , Sig_in => axi_resetn , Pulse_Out => sig_axi_rst_rsync_min_pulse ); sig_composite_dm_reset_n <= axi_resetn and not(sig_axi_lite_rst_rsync) and sig_dm_soft_reset_n; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ASYNC_SOFT_RST_FLOP -- -- Process Description: -- FLOP for registering the input axi_resetn (inverted). -- ------------------------------------------------------------- IMP_ASYNC_SOFT_RST_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (sig_axi_por2rst = '1') then sig_local_hw_reset_reg <= '1'; sig_composite_reg_reset <= '1'; sig_composite_cntlr_reset <= '1'; sig_composite_sgcntlr_reset <= '1'; sig_composite_sg_reset_n <= '0'; sig_dm_soft_reset_n <= '0'; else sig_local_hw_reset_reg <= not(axi_resetn) or --not(sig_axi_lite_rst_rsync); sig_axi_lite_rst_rsync; sig_composite_reg_reset <= not(axi_resetn) or sig_axi_lite_rst_rsync or sig_soft_reset; sig_composite_cntlr_reset <= not(axi_resetn) or sig_axi_lite_rst_rsync or sig_soft_reset; sig_composite_sgcntlr_reset <= not(axi_resetn) or sig_axi_lite_rst_rsync or sig_soft_reset; sig_composite_sg_reset_n <= axi_resetn and not(sig_axi_lite_rst_rsync) and not(sig_soft_reset); sig_dm_soft_reset_n <= not(sig_soft_reset); end if; end if; end process IMP_ASYNC_SOFT_RST_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ASYNC_ALITE_BSIDE_RST -- -- Process Description: -- FLOP for registering the reset for the AXi Lite -- Bus side Interface. -- Note that soft reset is excluded from the AXI Lite reset -- generation logic. -- ------------------------------------------------------------- IMP_ASYNC_ALITE_BSIDE_RST : process (axi_lite_aclk) begin if (axi_lite_aclk'event and axi_lite_aclk = '1') then if (sig_axilite_por2rst = '1') then sig_lite_bside_hw_reset_reg <= '1'; else sig_lite_bside_hw_reset_reg <= not(axi_lite_resetn) or sig_axi_rst_rsync_min_pulse or sig_axi_rst_rsync; end if; end if; end process IMP_ASYNC_ALITE_BSIDE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ASYNC_ALITE_CSIDE_RST -- -- Process Description: -- FLOP for registering the reset for the AXi Lite -- Core side Interface. -- Note that soft reset is excluded from the AXI Lite reset -- generation logic. -- -- Note that the Core side of the AXI Lite interface is -- clocked with axi_aclk which is async to axi_lite_aclk in -- this IfGen case. -- ------------------------------------------------------------- IMP_ASYNC_ALITE_CSIDE_RST : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then If (sig_axi_por2rst_out = '1') Then sig_lite_cside_hw_reset_reg <= '1'; else sig_lite_cside_hw_reset_reg <= not(axi_resetn) or sig_axi_lite_rst_rsync; End if; end if; end process IMP_ASYNC_ALITE_CSIDE_RST; end generate GEN_AXI_LITE_ASYNC2AXI; ------------------------------------------------------------------------------- -- Pulse Generator Logic for Soft Reset ------------------------------------------------------------------------------- sig_trig_soft_reset <= sig_halt_cmplt; ------------------------------------------------------------ -- Instance: I_SOFT_RST_PULSEGEN -- -- Description: -- Generates a active high pulse for the specified number -- of clock periods -- ------------------------------------------------------------ I_SOFT_RST_PULSEGEN : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => POSITIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => C_SOFT_RST_TIME_CLKS ) port map ( Clk_In => axi_aclk , Rst_In => sig_local_hw_reset_reg , Sig_in => sig_trig_soft_reset , Pulse_Out => sig_soft_reset ); ------------------------------------------------------------ -- Instance: I_SOFT_RST_CLR_PULSE -- -- Description: -- Generates a active high pulse for 2 clocks when soft reset -- is deasserted. -- ------------------------------------------------------------ I_SOFT_RST_CLR_PULSE : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => NEGATIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => TWO_CLKS ) port map ( Clk_In => axi_aclk , Rst_In => sig_local_hw_reset_reg , Sig_in => sig_soft_reset , Pulse_Out => sig_rst2reg_soft_reset_clr ); ------------------------------------------------------------------------------- -- Halt Request and Complete Logic ------------------------------------------------------------------------------- ------------------------------------------------------------ -- Instance: I_SOFT_RST_POS_EDGE_DTCT -- -- Description: -- Generates a active high pulse for 1 clocks when soft reset -- request from the register module is asserted. -- ------------------------------------------------------------ I_SOFT_RST_POS_EDGE_DTCT : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => POSITIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => ONE_CLK ) port map ( Clk_In => axi_aclk , Rst_In => sig_local_hw_reset_reg , Sig_in => sig_rst2reg_soft_reset , Pulse_Out => sig_rst2reg_soft_reset_trig ); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_FLOP -- -- Process Description: -- Implements the flop for the Halt Request that is a -- precurser to a soft reset. -- ------------------------------------------------------------- IMP_HALT_REQ_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (sig_local_hw_reset_reg = '1' or sig_soft_reset = '1') then sig_halt_request <= '0'; elsif (sig_rst2reg_soft_reset_trig = '1') then sig_halt_request <= '1'; else null; -- hold state end if; end if; end process IMP_HALT_REQ_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_CMPLT_FLOP -- -- Process Description: -- Implements the flop for the Halt Completion from all -- modules. -- ------------------------------------------------------------- IMP_HALT_CMPLT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (sig_local_hw_reset_reg = '1' or sig_soft_reset = '1' or sig_halt_request = '0') then sig_halt_cmplt <= '0'; else sig_halt_cmplt <= cntlr2rst_halt_cmplt and sg2rst_halt_cmplt and dm2rst_mm2s_halt_cmplt and dm2rst_s2mm_halt_cmplt; end if; end if; end process IMP_HALT_CMPLT_FLOP; end implementation; ------------------------------------------------------------------------------- -- axi_cdma_lite_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_lite_if.vhd -- Description: This entity is AXI Lite Interface Module for the AXI DMA -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; library lib_cdc_v1_0_2; ------------------------------------------------------------------------------- entity axi_cdma_lite_if is generic( C_NUM_CE : integer := 8 ; C_AXI_LITE_IS_ASYNC : integer range 0 to 1 := 0 ; C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 32 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ); port ( -- Async clock input ip2axi_aclk : in std_logic ; -- ip2axi_aresetn : in std_logic ; -- ----------------------------------------------------------------------- -- AXI Lite Control Interface ----------------------------------------------------------------------- s_axi_lite_aclk : in std_logic ; -- s_axi_lite_aresetn : in std_logic ; -- -- -- AXI Lite Write Address Channel -- s_axi_lite_awvalid : in std_logic ; -- s_axi_lite_awready : out std_logic ; -- s_axi_lite_awaddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- -- AXI Lite Write Data Channel -- s_axi_lite_wvalid : in std_logic ; -- s_axi_lite_wready : out std_logic ; -- s_axi_lite_wdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- AXI Lite Write Response Channel -- s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; -- s_axi_lite_bvalid : out std_logic ; -- s_axi_lite_bready : in std_logic ; -- -- -- AXI Lite Read Address Channel -- s_axi_lite_arvalid : in std_logic ; -- s_axi_lite_arready : out std_logic ; -- s_axi_lite_araddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- s_axi_lite_rvalid : out std_logic ; -- s_axi_lite_rready : in std_logic ; -- s_axi_lite_rdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; -- -- -- User IP Interface -- axi2ip_wrce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- axi2ip_wrdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- axi2ip_rdce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- axi2ip_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- ip2axi_rddata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) -- ); end axi_cdma_lite_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_lite_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Register I/F Address offset constant ADDR_OFFSET : integer := clog2(C_S_AXI_LITE_DATA_WIDTH/8); -- Register I/F CE number constant CE_ADDR_SIZE : integer := clog2(C_NUM_CE); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- AXI Lite slave interface signals signal awvalid : std_logic := '0'; signal awaddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal wvalid : std_logic := '0'; signal wdata : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal arvalid : std_logic := '0'; signal araddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal awvalid_d1 : std_logic := '0'; signal awvalid_re : std_logic := '0'; signal awready_i : std_logic := '0'; signal wvalid_d1 : std_logic := '0'; signal wvalid_re : std_logic := '0'; signal wready_i : std_logic := '0'; signal bvalid_i : std_logic := '0'; signal wr_addr_cap : std_logic := '0'; signal wr_data_cap : std_logic := '0'; -- AXI to IP interface signals signal axi2ip_wraddr_i : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal axi2ip_wrdata_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal axi2ip_wren : std_logic := '0'; signal wrce : std_logic_vector(C_NUM_CE-1 downto 0); signal rdce : std_logic_vector(C_NUM_CE-1 downto 0) := (others => '0'); signal arvalid_d1 : std_logic := '0'; signal arvalid_re : std_logic := '0'; signal arvalid_re_d1 : std_logic := '0'; signal arvalid_i : std_logic := '0'; signal arready_i : std_logic := '0'; signal rvalid : std_logic := '0'; signal axi2ip_rdaddr_i : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s_axi_lite_rvalid_i : std_logic := '0'; signal read_in_progress : std_logic := '0'; -- CR607165 signal rst_rvalid_re : std_logic := '0'; -- CR576999 signal rst_wvalid_re : std_logic := '0'; -- CR576999 signal rdy : std_logic := '0'; signal rdy1 : std_logic := '0'; signal wr_in_progress : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin --***************************************************************************** --** AXI LITE READ --***************************************************************************** s_axi_lite_wready <= wready_i; s_axi_lite_awready <= awready_i; s_axi_lite_arready <= arready_i; s_axi_lite_bvalid <= bvalid_i; ------------------------------------------------------------------------------- -- Register AXI Inputs ------------------------------------------------------------------------------- REG_INPUTS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then awvalid <= '0' ; awaddr <= (others => '0') ; wvalid <= '0' ; wdata <= (others => '0') ; arvalid <= '0' ; araddr <= (others => '0') ; else awvalid <= s_axi_lite_awvalid ; awaddr <= s_axi_lite_awaddr ; wvalid <= s_axi_lite_wvalid ; wdata <= s_axi_lite_wdata ; arvalid <= s_axi_lite_arvalid ; araddr <= s_axi_lite_araddr ; end if; end if; end process REG_INPUTS; -- s_axi_lite_aclk is synchronous to ip clock GEN_SYNC_WRITE : if C_AXI_LITE_IS_ASYNC = 0 generate begin ------------------------------------------------------------------------------- -- Assert Write Adddress Ready Handshake -- Capture rising edge of valid and register out as ready. This creates -- a 3 clock cycle address phase but also registers all inputs and outputs. -- Note : Single clock cycle address phase can be accomplished using -- combinatorial logic. ------------------------------------------------------------------------------- REG_AWVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then awvalid_d1 <= '0'; -- awvalid_re <= '0'; -- CR605883 else awvalid_d1 <= awvalid; -- awvalid_re <= awvalid and not awvalid_d1; -- CR605883 end if; end if; end process REG_AWVALID; awvalid_re <= awvalid and not awvalid_d1 and (not (wr_in_progress)); -- CR605883 ------------------------------------------------------------------------------- -- Capture assertion of awvalid to indicate that we have captured -- a valid address ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Assert Write Data Ready Handshake -- Capture rising edge of valid and register out as ready. This creates -- a 3 clock cycle address phase but also registers all inputs and outputs. -- Note : Single clock cycle address phase can be accomplished using -- combinatorial logic. ------------------------------------------------------------------------------- REG_WVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then wvalid_d1 <= '0'; -- wvalid_re <= '0'; else wvalid_d1 <= wvalid; -- wvalid_re <= wvalid and not wvalid_d1; -- CR605883 end if; end if; end process REG_WVALID; wvalid_re <= wvalid and not wvalid_d1; -- CR605883 WRITE_IN_PROGRESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then wr_in_progress <= '0'; elsif(awvalid_re = '1')then wr_in_progress <= '1'; end if; end if; end process WRITE_IN_PROGRESS; -- CR605883 (CDC) provide pure register output to synchronizers --wvalid_re <= wvalid and not wvalid_d1 and not rst_wvalid_re; ------------------------------------------------------------------------------- -- Capture assertion of wvalid to indicate that we have captured -- valid data ------------------------------------------------------------------------------- WRDATA_CAP_FLAG : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rdy = '1')then wr_data_cap <= '0'; elsif(wvalid_re = '1')then wr_data_cap <= '1'; end if; end if; end process WRDATA_CAP_FLAG; REG_WREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rdy = '1') then rdy <= '0'; elsif (wr_data_cap = '1' and wr_addr_cap = '1') then rdy <= '1'; end if; wready_i <= rdy; awready_i <= rdy; rdy1 <= rdy; end if; end process REG_WREADY; WRADDR_CAP_FLAG : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rdy = '1')then wr_addr_cap <= '0'; elsif(awvalid_re = '1')then wr_addr_cap <= '1'; end if; end if; end process WRADDR_CAP_FLAG; ------------------------------------------------------------------------------- -- Capture Write Address ------------------------------------------------------------------------------- REG_WRITE_ADDRESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then -- axi2ip_wraddr_i <= (others => '0'); -- Register address on valid elsif(awvalid_re = '1')then -- axi2ip_wraddr_i <= awaddr; end if; end if; end process REG_WRITE_ADDRESS; ------------------------------------------------------------------------------- -- Capture Write Data ------------------------------------------------------------------------------- REG_WRITE_DATA : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_wrdata_i <= (others => '0'); -- Register address and assert ready elsif(wvalid_re = '1')then axi2ip_wrdata_i <= wdata; end if; end if; end process REG_WRITE_DATA; ------------------------------------------------------------------------------- -- Must have both a valid address and valid data before updating -- a register. Note in AXI write address can come before or -- after AXI write data. -- axi2ip_wren <= '1' when wr_data_cap = '1' and wr_addr_cap = '1' -- else '0'; axi2ip_wren <= rdy; -- or rdy1; ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- WRCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin wrce(j) <= axi2ip_wren when s_axi_lite_awaddr ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate WRCE_GEN; ------------------------------------------------------------------------------- -- register write ce's and data out to axi dma register module ------------------------------------------------------------------------------- REG_WR_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_wrce <= (others => '0'); -- axi2ip_wrdata <= (others => '0'); else axi2ip_wrce <= wrce; -- axi2ip_wrdata <= axi2ip_wrdata_i; end if; end if; end process REG_WR_OUT; axi2ip_wrdata <= s_axi_lite_wdata; ------------------------------------------------------------------------------- -- Write Response ------------------------------------------------------------------------------- s_axi_lite_bresp <= OKAY_RESP; WRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then bvalid_i <= '0'; rst_wvalid_re <= '0'; -- CR576999 -- If response issued and target indicates ready then -- clear response elsif(bvalid_i = '1' and s_axi_lite_bready = '1')then bvalid_i <= '0'; rst_wvalid_re <= '0'; -- CR576999 -- Issue a resonse on write elsif(rdy1 = '1')then bvalid_i <= '1'; rst_wvalid_re <= '1'; -- CR576999 end if; end if; end process WRESP_PROCESS; end generate GEN_SYNC_WRITE; -- s_axi_lite_aclk is asynchronous to ip clock GEN_ASYNC_WRITE : if C_AXI_LITE_IS_ASYNC = 1 generate -- Data support ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration signal ip_wvalid_d1_cdc_to : std_logic := '0'; signal ip_wvalid_d2 : std_logic := '0'; signal ip_wvalid_re : std_logic := '0'; signal wr_wvalid_re_cdc_from : std_logic := '0'; signal wr_data_cdc_from : std_logic_vector -- CR605883 (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- CR605883 signal wdata_d1_cdc_to : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal wdata_d2 : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal axi2ip_wrdata_cdc_tig : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal ip_data_cap : std_logic := '0'; -- Address support signal ip_awvalid_d1_cdc_to : std_logic := '0'; signal ip_awvalid_d2 : std_logic := '0'; signal ip_awvalid_re : std_logic := '0'; signal wr_awvalid_re_cdc_from : std_logic := '0'; signal wr_addr_cdc_from : std_logic_vector -- CR605883 (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- CR605883 signal awaddr_d1_cdc_tig : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal awaddr_d2 : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ip_addr_cap : std_logic := '0'; -- Bvalid support signal lite_data_cap_d1 : std_logic := '0'; signal lite_data_cap_d2 : std_logic := '0'; signal lite_addr_cap_d1 : std_logic := '0'; signal lite_addr_cap_d2 : std_logic := '0'; signal lite_axi2ip_wren : std_logic := '0'; signal awvalid_cdc_from : std_logic := '0'; signal awvalid_cdc_to : std_logic := '0'; signal awvalid_to : std_logic := '0'; signal awvalid_to2 : std_logic := '0'; -- ATTRIBUTE async_reg OF awvalid_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF awvalid_to : SIGNAL IS "true"; signal wvalid_cdc_from : std_logic := '0'; signal wvalid_cdc_to : std_logic := '0'; signal wvalid_to : std_logic := '0'; signal wvalid_to2 : std_logic := '0'; -- ATTRIBUTE async_reg OF wvalid_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF wvalid_to : SIGNAL IS "true"; signal rdy_cdc_to : std_logic := '0'; signal rdy_cdc_from : std_logic := '0'; signal rdy_to : std_logic := '0'; signal rdy_to2 : std_logic := '0'; signal rdy_to2_cdc_from : std_logic := '0'; signal rdy_out : std_logic := '0'; -- ATTRIBUTE async_reg OF rdy_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF rdy_to : SIGNAL IS "true"; Attribute KEEP of rdy_to2_cdc_from : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of rdy_to2_cdc_from : signal is "no"; signal rdy_back_cdc_to : std_logic := '0'; signal rdy_back_to : std_logic :='0'; -- ATTRIBUTE async_reg OF rdy_back_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF rdy_back_to : SIGNAL IS "true"; signal rdy_back : std_logic := '0'; signal rdy_shut : std_logic := '0'; begin REG_AWVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then awvalid_d1 <= '0'; else awvalid_d1 <= awvalid; end if; end if; end process REG_AWVALID; awvalid_re <= awvalid and not awvalid_d1 and (not (wr_in_progress)); -- CR605883 ------------------------------------------------------------------------------- -- Capture assertion of awvalid to indicate that we have captured -- a valid address ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Assert Write Data Ready Handshake -- Capture rising edge of valid and register out as ready. This creates -- a 3 clock cycle address phase but also registers all inputs and outputs. -- Note : Single clock cycle address phase can be accomplished using -- combinatorial logic. ------------------------------------------------------------------------------- REG_WVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then wvalid_d1 <= '0'; else wvalid_d1 <= wvalid; end if; end if; end process REG_WVALID; wvalid_re <= wvalid and not wvalid_d1; -- CR605883 --************************************************************************* --** Write Address Support --************************************************************************* AWVLD_CDC_FROM : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then awvalid_cdc_from <= '0'; elsif(awvalid_re = '1')then awvalid_cdc_from <= '1'; end if; end if; end process AWVLD_CDC_FROM; AWVLD_CDC_TO : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => awvalid_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => awvalid_to, scndry_vect_out => open ); -- AWVLD_CDC_TO : process(ip2axi_aclk) -- begin -- if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then -- awvalid_cdc_to <= awvalid_cdc_from; -- awvalid_to <= awvalid_cdc_to; -- end if; -- end process AWVLD_CDC_TO; AWVLD_CDC_TO2 : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then awvalid_to2 <= '0'; else awvalid_to2 <= awvalid_to; end if; end if; end process AWVLD_CDC_TO2; ip_awvalid_re <= awvalid_to and (not awvalid_to2); WVLD_CDC_FROM : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then wvalid_cdc_from <= '0'; elsif(wvalid_re = '1')then wvalid_cdc_from <= '1'; end if; end if; end process WVLD_CDC_FROM; WVLD_CDC_TO : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => wvalid_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => wvalid_to, scndry_vect_out => open ); -- WVLD_CDC_TO : process(ip2axi_aclk) -- begin -- if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then -- wvalid_cdc_to <= wvalid_cdc_from; -- wvalid_to <= wvalid_cdc_to; -- end if; -- end process WVLD_CDC_TO; WVLD_CDC_TO2 : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then wvalid_to2 <= '0'; else wvalid_to2 <= wvalid_to; end if; end if; end process WVLD_CDC_TO2; ip_wvalid_re <= wvalid_to and (not wvalid_to2); REG_WADDR_TO_IPCLK : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH, C_MTBF_STAGES => 1 ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => '0', prmry_vect_in => s_axi_lite_awaddr, scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => awaddr_d1_cdc_tig ); REG_WADDR_TO_IPCLK1 : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_S_AXI_LITE_DATA_WIDTH, C_MTBF_STAGES => 1 ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => '0', prmry_vect_in => s_axi_lite_wdata, scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => axi2ip_wrdata_cdc_tig ); -- Double register address in -- REG_WADDR_TO_IPCLK : process(ip2axi_aclk) -- begin -- if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then -- if(ip2axi_aresetn = '0')then -- awaddr_d1_cdc_tig <= (others => '0'); -- -- axi2ip_wraddr_i <= (others => '0'); -- axi2ip_wrdata_cdc_tig <= (others => '0'); -- else -- awaddr_d1_cdc_tig <= s_axi_lite_awaddr; -- axi2ip_wrdata_cdc_tig <= s_axi_lite_wdata; -- -- axi2ip_wraddr_i <= awaddr_d1_cdc_tig; -- CR605883 -- end if; -- end if; -- end process REG_WADDR_TO_IPCLK; -- Flag that address has been captured REG_IP_ADDR_CAP : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0' or rdy_shut = '1')then ip_addr_cap <= '0'; elsif(ip_awvalid_re = '1')then ip_addr_cap <= '1'; end if; end if; end process REG_IP_ADDR_CAP; REG_WREADY : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0' or rdy_shut = '1') then -- or rdy = '1') then rdy <= '0'; elsif (ip_data_cap = '1' and ip_addr_cap = '1') then rdy <= '1'; end if; end if; end process REG_WREADY; REG3_WREADY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => rdy_to2_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => rdy_back_to, scndry_vect_out => open ); -- REG3_WREADY : process(ip2axi_aclk) -- begin -- if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then -- rdy_back_cdc_to <= rdy_to2_cdc_from; -- rdy_back_to <= rdy_back_cdc_to; -- end if; -- end process REG3_WREADY; REG3_WREADY2 : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0') then rdy_back <= '0'; else rdy_back <= rdy_back_to; end if; end if; end process REG3_WREADY2; rdy_shut <= rdy_back_to and (not rdy_back); REG1_WREADY : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0' or rdy_shut = '1') then rdy_cdc_from <= '0'; elsif (rdy = '1') then rdy_cdc_from <= '1'; end if; end if; end process REG1_WREADY; REG2_WREADY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => ip2axi_aclk, prmry_resetn => '0', prmry_in => rdy_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => s_axi_lite_aclk, scndry_resetn => '0', scndry_out => rdy_to, scndry_vect_out => open ); -- REG2_WREADY : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- rdy_cdc_to <= rdy_cdc_from; -- rdy_to <= rdy_cdc_to; -- end if; -- end process REG2_WREADY; REG2_WREADY2 : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0') then rdy_to2 <= '0'; rdy_to2_cdc_from <= '0'; else rdy_to2 <= rdy_to; rdy_to2_cdc_from <= rdy_to; end if; end if; end process REG2_WREADY2; rdy_out <= not (rdy_to) and rdy_to2; wready_i <= rdy_out; awready_i <= rdy_out; --************************************************************************* --** Write Data Support --************************************************************************* ------------------------------------------------------------------------------- -- Capture write data ------------------------------------------------------------------------------- -- WRDATA_S_H : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- if(s_axi_lite_aresetn = '0')then -- wr_data_cdc_from <= (others => '0'); -- elsif(wvalid_re = '1')then -- wr_data_cdc_from <= wdata; -- end if; -- end if; -- end process WRDATA_S_H; -- Flag that data has been captured REG_IP_DATA_CAP : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0' or rdy_shut = '1')then ip_data_cap <= '0'; elsif(ip_wvalid_re = '1')then ip_data_cap <= '1'; end if; end if; end process REG_IP_DATA_CAP; -- Must have both a valid address and valid data before updating -- a register. Note in AXI write address can come before or -- after AXI write data. axi2ip_wren <= rdy; -- axi2ip_wren <= '1' when ip_data_cap = '1' and ip_addr_cap = '1' -- else '0'; ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- WRCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin wrce(j) <= axi2ip_wren when awaddr_d1_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate WRCE_GEN; ------------------------------------------------------------------------------- -- register write ce's and data out to axi dma register module ------------------------------------------------------------------------------- REG_WR_OUT : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then axi2ip_wrce <= (others => '0'); else axi2ip_wrce <= wrce; end if; end if; end process REG_WR_OUT; axi2ip_wrdata <= axi2ip_wrdata_cdc_tig; --s_axi_lite_wdata; --************************************************************************* --** Write Response Support --************************************************************************* -- Minimum of 2 IP clocks for addr and data capture, therefore delaying -- Lite clock addr and data capture by 2 Lite clocks will guarenttee bvalid -- responce occurs after write data acutally written. -- REG_ALIGN_CAP : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- if(s_axi_lite_aresetn = '0')then -- lite_data_cap_d1 <= '0'; -- lite_data_cap_d2 <= '0'; -- lite_addr_cap_d1 <= '0'; -- lite_addr_cap_d2 <= '0'; -- else -- lite_data_cap_d1 <= rdy; --wr_data_cap; -- lite_data_cap_d2 <= lite_data_cap_d1; -- lite_addr_cap_d1 <= rdy; --wr_addr_cap; -- lite_addr_cap_d2 <= lite_addr_cap_d1; -- end if; -- end if; -- end process REG_ALIGN_CAP; -- Pseudo write enable used simply to assert bvalid -- lite_axi2ip_wren <= rdy; --'1' when wr_data_cap = '1' and wr_addr_cap = '1' -- else '0'; WRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then bvalid_i <= '0'; rst_wvalid_re <= '0'; -- CR576999 -- If response issued and target indicates ready then -- clear response elsif(bvalid_i = '1' and s_axi_lite_bready = '1')then bvalid_i <= '0'; rst_wvalid_re <= '0'; -- CR576999 -- Issue a resonse on write elsif(rdy_out = '1')then -- elsif(lite_axi2ip_wren = '1')then bvalid_i <= '1'; rst_wvalid_re <= '1'; -- CR576999 end if; end if; end process WRESP_PROCESS; s_axi_lite_bresp <= OKAY_RESP; end generate GEN_ASYNC_WRITE; --***************************************************************************** --** AXI LITE READ --***************************************************************************** ------------------------------------------------------------------------------- -- Assert Read Adddress Ready Handshake -- Capture rising edge of valid and register out as ready. This creates -- a 3 clock cycle address phase but also registers all inputs and outputs. -- Note : Single clock cycle address phase can be accomplished using -- combinatorial logic. ------------------------------------------------------------------------------- REG_ARVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_rvalid_re = '1')then arvalid_d1 <= '0'; else arvalid_d1 <= arvalid; end if; end if; end process REG_ARVALID; arvalid_re <= arvalid and not arvalid_d1 and not rst_rvalid_re and not read_in_progress; -- CR607165 -- register for proper alignment REG_ARREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_i <= '0'; else arready_i <= arvalid_re; end if; end if; end process REG_ARREADY; -- Always respond 'okay' axi lite read s_axi_lite_rresp <= OKAY_RESP; s_axi_lite_rvalid <= s_axi_lite_rvalid_i; -- s_axi_lite_aclk is synchronous to ip clock GEN_SYNC_READ : if C_AXI_LITE_IS_ASYNC = 0 generate begin read_in_progress <= '0'; --Not used for sync mode (CR607165) ------------------------------------------------------------------------------- -- Capture Read Address ------------------------------------------------------------------------------- REG_READ_ADDRESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_rdaddr_i <= (others => '0'); -- Register address on valid elsif(arvalid_re = '1')then axi2ip_rdaddr_i <= araddr; end if; end if; end process REG_READ_ADDRESS; ------------------------------------------------------------------------------- -- Generate RdCE based on address match to address bar ------------------------------------------------------------------------------- RDCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin rdce(j) <= arvalid_re_d1 when axi2ip_rdaddr_i((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate RDCE_GEN; ------------------------------------------------------------------------------- -- Register out to IP ------------------------------------------------------------------------------- REG_RDCNTRL_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then --axi2ip_rdce <= (others => '0'); axi2ip_rdaddr <= (others => '0'); else --axi2ip_rdce <= rdce; axi2ip_rdaddr <= axi2ip_rdaddr_i; end if; end if; end process REG_RDCNTRL_OUT; -- Sample and hold rdce value until rvalid assertion REG_RDCE_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_rvalid_re = '1')then axi2ip_rdce <= (others => '0'); elsif(arvalid_re_d1 = '1')then axi2ip_rdce <= rdce; end if; end if; end process REG_RDCE_OUT; -- Register for proper alignment REG_RVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arvalid_re_d1 <= '0'; rvalid <= '0'; else arvalid_re_d1 <= arvalid_re; rvalid <= arvalid_re_d1; end if; end if; end process REG_RVALID; ------------------------------------------------------------------------------- -- Drive read data and read data valid out on capture of valid address. ------------------------------------------------------------------------------- REG_RD_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then s_axi_lite_rdata <= (others => '0'); s_axi_lite_rvalid_i <= '0'; rst_rvalid_re <= '0'; -- CR576999 -- If rvalid driving out to target and target indicates ready -- then de-assert rvalid. (structure guarentees min 1 clock of rvalid) elsif(s_axi_lite_rvalid_i = '1' and s_axi_lite_rready = '1')then s_axi_lite_rdata <= (others => '0'); s_axi_lite_rvalid_i <= '0'; rst_rvalid_re <= '0'; -- CR576999 -- If read cycle then assert rvalid and rdata out to target elsif(rvalid = '1')then s_axi_lite_rdata <= ip2axi_rddata; s_axi_lite_rvalid_i <= '1'; rst_rvalid_re <= '1'; -- CR576999 end if; end if; end process REG_RD_OUT; end generate GEN_SYNC_READ; -- s_axi_lite_aclk is asynchronous to ip clock GEN_ASYNC_READ : if C_AXI_LITE_IS_ASYNC = 1 generate ATTRIBUTE async_reg : STRING; signal ip_arvalid_d1_cdc_tig : std_logic := '0'; signal ip_arvalid_d2 : std_logic := '0'; signal ip_arvalid_d3 : std_logic := '0'; signal ip_arvalid_re : std_logic := '0'; signal araddr_d1_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) :=(others => '0'); signal araddr_d2 : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) :=(others => '0'); signal araddr_d3 : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) :=(others => '0'); signal lite_rdata_cdc_from : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal lite_rdata_d1_cdc_to : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal lite_rdata_d2 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); -- ATTRIBUTE async_reg OF ip_arvalid_d1_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF ip_arvalid_d2 : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF araddr_d1_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF araddr_d2 : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF lite_rdata_d1_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF lite_rdata_d2 : SIGNAL IS "true"; signal p_pulse_s_h : std_logic := '0'; signal p_pulse_s_h_clr : std_logic := '0'; signal s_pulse_d1 : std_logic := '0'; signal s_pulse_d2 : std_logic := '0'; signal s_pulse_d3 : std_logic := '0'; signal s_pulse_re : std_logic := '0'; signal p_pulse_re_d1 : std_logic := '0'; signal p_pulse_re_d2 : std_logic := '0'; signal p_pulse_re_d3 : std_logic := '0'; signal arready_d1 : std_logic := '0'; -- CR605883 signal arready_d2 : std_logic := '0'; -- CR605883 signal arready_d3 : std_logic := '0'; -- CR605883 signal arready_d4 : std_logic := '0'; -- CR605883 signal arready_d5 : std_logic := '0'; -- CR605883 signal arready_d6 : std_logic := '0'; -- CR605883 signal arready_d7 : std_logic := '0'; -- CR605883 signal arready_d8 : std_logic := '0'; -- CR605883 signal arready_d9 : std_logic := '0'; -- CR605883 signal arready_d10 : std_logic := '0'; -- CR605883 signal arready_d11 : std_logic := '0'; -- CR605883 signal arready_d12 : std_logic := '0'; -- CR605883 begin -- CR607165 -- Flag to prevent overlapping reads RD_PROGRESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_rvalid_re = '1')then read_in_progress <= '0'; elsif(arvalid_re = '1')then read_in_progress <= '1'; end if; end if; end process RD_PROGRESS; -- Double register address in REG_RADDR_TO_IPCLK : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => '0', prmry_vect_in => s_axi_lite_araddr, scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => araddr_d3 ); -- REG_RADDR_TO_IPCLK : process(ip2axi_aclk) -- begin -- if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then -- if(ip2axi_aresetn = '0')then -- araddr_d1_cdc_tig <= (others => '0'); -- araddr_d2 <= (others => '0'); -- araddr_d3 <= (others => '0'); -- else -- araddr_d1_cdc_tig <= s_axi_lite_araddr; -- araddr_d2 <= araddr_d1_cdc_tig; -- araddr_d3 <= araddr_d2; -- end if; -- end if; -- end process REG_RADDR_TO_IPCLK; -- Latch and hold read address REG_ARADDR_PROCESS : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then axi2ip_rdaddr_i <= (others => '0'); elsif(ip_arvalid_re = '1')then axi2ip_rdaddr_i <= araddr_d3; end if; end if; end process REG_ARADDR_PROCESS; axi2ip_rdaddr <= axi2ip_rdaddr_i; -- Register awready into IP clock domain. awready -- is a 1 axi_lite clock delay of the rising edge of -- arvalid. This provides a signal that asserts when -- araddr is known to be stable. REG_ARVALID_TO_IPCLK : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => arready_i, prmry_vect_in => (others => '0'), scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => ip_arvalid_d2, scndry_vect_out => open ); REG_ARVALID_TO_IPCLK1 : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then -- ip_arvalid_d1_cdc_tig <= '0'; -- ip_arvalid_d2 <= '0'; ip_arvalid_d3 <= '0'; else -- ip_arvalid_d1_cdc_tig <= arready_i; -- ip_arvalid_d2 <= ip_arvalid_d1_cdc_tig; ip_arvalid_d3 <= ip_arvalid_d2; end if; end if; end process REG_ARVALID_TO_IPCLK1; ip_arvalid_re <= ip_arvalid_d2 and not ip_arvalid_d3; ------------------------------------------------------------------------------- -- Generate Read CE's ------------------------------------------------------------------------------- RDCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin rdce(j) <= ip_arvalid_re when araddr_d3((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate RDCE_GEN; ------------------------------------------------------------------------------- -- Register RDCE and RD Data out to IP ------------------------------------------------------------------------------- REG_RDCNTRL_OUT : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then axi2ip_rdce <= (others => '0'); elsif(ip_arvalid_re = '1')then axi2ip_rdce <= rdce; else axi2ip_rdce <= (others => '0'); end if; end if; end process REG_RDCNTRL_OUT; -- Generate sample and hold pulse to capture read data from IP REG_RVALID : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then rvalid <= '0'; else rvalid <= ip_arvalid_re; end if; end if; end process REG_RVALID; ------------------------------------------------------------------------------- -- Sample and hold read data from IP ------------------------------------------------------------------------------- S_H_READ_DATA : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then lite_rdata_cdc_from <= (others => '0'); -- If read cycle then assert rvalid and rdata out to target elsif(rvalid = '1')then lite_rdata_cdc_from <= ip2axi_rddata; end if; end if; end process S_H_READ_DATA; -- Cross read data to axi_lite clock domain REG_DATA2LITE_CLOCK : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => ip2axi_aclk, prmry_resetn => '0', prmry_in => '0', --lite_rdata_cdc_from, prmry_vect_in => lite_rdata_cdc_from, scndry_aclk => s_axi_lite_aclk, scndry_resetn => '0', scndry_out => open, --lite_rdata_d2, scndry_vect_out => lite_rdata_d2 ); -- REG_DATA2LITE_CLOCK : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- if(s_axi_lite_aresetn = '0')then -- lite_rdata_d1_cdc_to <= (others => '0'); -- lite_rdata_d2 <= (others => '0'); -- else -- lite_rdata_d1_cdc_to <= lite_rdata_cdc_from; -- lite_rdata_d2 <= lite_rdata_d1_cdc_to; -- end if; -- end if; -- end process REG_DATA2LITE_CLOCK; -- CR605883 (CDC) modified to remove -- Because axi_lite_aclk must be less than or equal to ip2axi_aclk -- then read data will appear a maximum 6 clocks from assertion -- of arready. REG_ALIGN_RDATA_LATCH : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_d1 <= '0'; arready_d2 <= '0'; arready_d3 <= '0'; arready_d4 <= '0'; arready_d5 <= '0'; arready_d6 <= '0'; arready_d7 <= '0'; arready_d8 <= '0'; arready_d9 <= '0'; arready_d10 <= '0'; arready_d11 <= '0'; arready_d12 <= '0'; else arready_d1 <= arready_i; arready_d2 <= arready_d1; arready_d3 <= arready_d2; arready_d4 <= arready_d3; arready_d5 <= arready_d4; arready_d6 <= arready_d5; arready_d7 <= arready_d6; arready_d8 <= arready_d7; arready_d9 <= arready_d8; arready_d10 <= arready_d9; arready_d11 <= arready_d10; arready_d12 <= arready_d11; end if; end if; end process REG_ALIGN_RDATA_LATCH; ------------------------------------------------------------------------------- -- Drive read data and read data valid out on capture of valid address. ------------------------------------------------------------------------------- REG_RD_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then s_axi_lite_rdata <= (others => '0'); s_axi_lite_rvalid_i <= '0'; rst_rvalid_re <= '0'; -- CR576999 -- If rvalid driving out to target and target indicates ready -- then de-assert rvalid. (structure guarentees min 1 clock of rvalid) elsif(s_axi_lite_rvalid_i = '1' and s_axi_lite_rready = '1')then s_axi_lite_rdata <= (others => '0'); s_axi_lite_rvalid_i <= '0'; rst_rvalid_re <= '0'; -- CR576999 -- If read cycle then assert rvalid and rdata out to target -- CR605883 --elsif(s_pulse_re = '1')then elsif(arready_d12 = '1')then s_axi_lite_rdata <= lite_rdata_d2; s_axi_lite_rvalid_i <= '1'; rst_rvalid_re <= '1'; -- CR576999 end if; end if; end process REG_RD_OUT; end generate GEN_ASYNC_READ; end implementation; ------------------------------------------------------------------------------- -- axi_cdma_register ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_register.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; ------------------------------------------------------------------------------- entity axi_cdma_register is generic( C_CDMA_BUILD_MODE : integer range 0 to 1 := 0 ; C_NUM_REGISTERS : integer range 0 to 16 := 6 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ); port ( -- Input Clock axi_aclk : in std_logic ; -- Input Reset (active high) axi_reset : in std_logic ; -- AXI Interface Control axi2ip_wrce : in std_logic_vector (C_NUM_REGISTERS-1 downto 0) ; -- AXI Interface Write Data axi2ip_wrdata : in std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- Composite Interrupt Output introut : out std_logic ; -- Composite error Output error_out : out std_logic ; -- Soft Reset Set Control soft_reset_request : out std_logic ; -- Soft Reset Clear soft_reset_clr : in std_logic ; -- DMA Go Control dma_go : Out std_logic ; -- SG Mode control dma_sg_mode : Out std_logic ; -- Key Hole Read dma_keyhole_read : Out std_logic ; -- Key Hole Write dma_keyhole_write : Out std_logic ; -- Key Hole Write dma_cyclic : Out std_logic ; -- CDMASR Idle bit set idle_set : in std_logic ; -- CDMASR Idle bit clear idle_clr : in std_logic ; -- CDMASR Idle bit clear ioc_irq_set : in std_logic ; -- CDMASR Delay Interrupt set dly_irq_set : in std_logic ; -- CDMASR Delay Interrupt Counter value irqdelay_status : in std_logic_vector(7 downto 0) ; -- CDMASR Threshold Interrupt Counter value irqthresh_status : in std_logic_vector(7 downto 0) ; -- CDMASR Threshold Interrupt Counter value write enable irqthresh_wren : out std_logic ; -- CDMASR Delay Interrupt Counter value write enable irqdelay_wren : out std_logic ; -- Composite DataMover Internal Error flag dma_interr_set : in std_logic ; -- Composite DataMover Slave Error flag dma_slverr_set : in std_logic ; -- Composite DataMover Decode Error flag dma_decerr_set : in std_logic ; -- SG Descriptor Fetch internal error flag ftch_interr_set : in std_logic ; -- SG Descriptor Fetch slave error flag ftch_slverr_set : in std_logic ; -- SG Descriptor Fetch decode error flag ftch_decerr_set : in std_logic ; -- SG Descriptor Fetch error address ftch_error_addr : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- SG Descriptor Update internal error flag updt_interr_set : in std_logic ; -- SG Descriptor Fetch slave error flag updt_slverr_set : in std_logic ; -- SG Descriptor Fetch decode error flag updt_decerr_set : in std_logic ; -- SG Descriptor Fetch error address updt_error_addr : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- SG CURDESC Update (in from SG) update_curdesc : in std_logic ; -- SG CURDESC Update address value new_curdesc : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- Tailpointer Register Updated flag tailpntr_updated : out std_logic ; -- Current Descriptor Register Updated flag currdesc_updated : out std_logic ; -- CDMA Control Register value dmacr : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Status Register value dmasr : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Current Descriptor Register LS value curdesc_lsb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Current Descriptor Register MS value curdesc_msb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Tailpointer Register LS value taildesc_lsb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Tailpointer Register MS value taildesc_msb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Source Address Register LS value src_addr_lsb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Source Address Register MS value src_addr_msb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA destination Register LS value dest_addr_lsb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA destination Register MS value dest_addr_msb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA BTT Register value btt : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ); end axi_cdma_register; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_register is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant DMACR_INDEX : integer := 0; -- DMACR Register index constant DMASR_INDEX : integer := 1; -- DMASR Register index constant CURDESC_LSB_INDEX : integer := 2; -- CURDESC LSB Reg index constant CURDESC_MSB_INDEX : integer := 3; -- CURDESC MSB Reg index constant TAILDESC_LSB_INDEX : integer := 4; -- TAILDESC LSB Reg index constant TAILDESC_MSB_INDEX : integer := 5; -- TAILDESC MSB Reg index constant SA_LSB_INDEX : integer := 6; -- SA LSB Reg index constant SA_MSB_INDEX : integer := 7; -- SA MSB Reg index constant DA_LSB_INDEX : integer := 8; -- DA LSB Reg index constant DA_MSB_INDEX : integer := 9; -- DA MSB Reg index constant BTT_INDEX : integer := 10; -- BTT Reg index constant BTT_WIDTH : integer := 23; -- BTT Field width constant BTT_RSVD_WIDTH : integer := C_S_AXI_LITE_DATA_WIDTH - BTT_WIDTH; -- BTT Reserved field width constant BTT_RESERVED_BITS : std_logic_vector(BTT_RSVD_WIDTH-1 downto 0) := (others => '0'); -- Set the reserved value constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); Constant SIMPLE_DISABLE : boolean := (C_CDMA_BUILD_MODE = 0); -- 0 = Simple mode only -- Used to reset registers not -- used in Simple DMA only mode Constant ALWAYS_DISABLE : boolean := TRUE; -- Used to disable Constant DMACR_THRESH_WIDTH : integer := 8; Constant THRESH_DEFAULT : std_logic_vector(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) := STD_LOGIC_VECTOR( TO_UNSIGNED(C_CDMA_BUILD_MODE, DMACR_THRESH_WIDTH)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal dmacr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal dmasr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- DMASR Signals signal idle : std_logic := '0'; signal sig_composite_error : std_logic := '0'; signal dma_interr : std_logic := '0'; signal dma_slverr : std_logic := '0'; signal dma_decerr : std_logic := '0'; signal sg_interr : std_logic := '0'; signal sg_slverr : std_logic := '0'; signal sg_decerr : std_logic := '0'; signal ioc_irq : std_logic := '0'; signal dly_irq : std_logic := '0'; signal error_d1 : std_logic := '0'; signal error_re : std_logic := '0'; signal err_irq : std_logic := '0'; signal tailpntr_updated_d1, tailpntr_updated_d2 : std_logic; signal sig_sg_included : std_logic := '0'; signal sg_ftch_error : std_logic := '0'; signal sg_updt_error : std_logic := '0'; signal error_pointer_set : std_logic := '0'; signal different_delay : std_logic := '0'; signal different_thresh : std_logic := '0'; signal threshold_is_zero : std_logic := '0'; signal sig_irqdelay_status : std_logic_vector(7 downto 0) := (others => '0'); signal sig_irqthresh_status : std_logic_vector(7 downto 0) := (others => '0'); signal sig_sa_register_lsb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sa_register_msb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_da_register_lsb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_da_register_msb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_register : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dma_go : std_logic := '0'; signal sig_dma_go_set : std_logic := '0'; signal sig_dma_go_clr : std_logic := '0'; signal sig_dma_sg_mode : std_logic := '0'; signal sig_dly_irqen_masked : std_logic := '0'; signal sig_dly_irqen_reg : std_logic := '0'; signal sig_ioc_irqen_reg : std_logic := '0'; signal sig_err_irqen_reg : std_logic := '0'; signal sig_dma_khwrite_mode : std_logic; signal sig_dma_khread_mode : std_logic; signal sig_dma_cyclic_mode : std_logic; signal sig_btt_register_del : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin dmacr <= dmacr_i ; dmasr <= dmasr_i ; curdesc_lsb <= curdesc_lsb_i ; curdesc_msb <= curdesc_msb_i ; taildesc_lsb <= taildesc_lsb_i ; taildesc_msb <= taildesc_msb_i ; dma_sg_mode <= sig_dma_sg_mode ; dma_keyhole_write <= sig_dma_khwrite_mode; dma_keyhole_read <= sig_dma_khread_mode; dma_cyclic <= sig_dma_cyclic_mode; --------------------------------------------------------------------------- -- DMA Control Register --------------------------------------------------------------------------- -- DMACR - Interrupt Delay Value ------------------------------------------------------------------------------- DMACR_DELAY : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT); end if; end if; end process DMACR_DELAY; -- If written delay is different than previous value then assert write enable different_delay <= '1' when dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) else '0'; -- delay value different, drive write of delay value to interrupt controller NEW_DELAY_WRITE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then irqdelay_wren <= '0'; -- If AXI Lite write to DMACR and delay different than current -- setting then update delay value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_delay = '1')then irqdelay_wren <= '1'; else irqdelay_wren <= '0'; end if; end if; end process NEW_DELAY_WRITE; ------------------------------------------------------------------------------- -- DMACR - Interrupt Threshold Value ------------------------------------------------------------------------------- threshold_is_zero <= '1' when axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) = ZERO_THRESHOLD else '0'; DMACR_THRESH : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= THRESH_DEFAULT; -- On AXI Lite write elsif(axi2ip_wrce(DMACR_INDEX) = '1')then -- If value is 0 then set threshold to 1 if(threshold_is_zero='1')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- else set threshold to axi lite wrdata value else dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); end if; end if; end if; end process DMACR_THRESH; -- If written threshold is different than previous value then assert write enable different_thresh <= '1' when dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) else '0'; -- new treshold written therefore drive write of threshold out NEW_THRESH_WRITE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then irqthresh_wren <= '0'; -- If AXI Lite write to DMACR and threshold different than current -- setting then update threshold value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_thresh = '1')then irqthresh_wren <= '1'; else irqthresh_wren <= '0'; end if; end if; end process NEW_THRESH_WRITE; ------------------------------------------------------------------------------- -- DMACR - Key Hole READ Mode Bit (Bit 4) ------------------------------------------------------------------------------- DMAKHR_MODE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1') then dmacr_i(DMACR_KHREAD_BIT) <= '0'; dmacr_i(DMACR_CYCLIC_BIT) <= '0'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KHREAD_BIT) <= axi2ip_wrdata(DMACR_KHREAD_BIT); dmacr_i(DMACR_CYCLIC_BIT) <= axi2ip_wrdata(DMACR_CYCLIC_BIT); end if; end if; end process DMAKHR_MODE; sig_dma_cyclic_mode <= dmacr_i(DMACR_CYCLIC_BIT); sig_dma_khread_mode <= dmacr_i(DMACR_KHREAD_BIT); ------------------------------------------------------------------------------- -- DMACR - Key Hole WRITE Mode Bit (Bit 5) ------------------------------------------------------------------------------- DMAKHW_MODE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1') then dmacr_i(DMACR_KHWRITE_BIT) <= '0'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KHWRITE_BIT) <= axi2ip_wrdata(DMACR_KHWRITE_BIT); end if; end if; end process DMAKHW_MODE; sig_dma_khwrite_mode <= dmacr_i(DMACR_KHWRITE_BIT); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DMACR_SIMPLE -- -- If Generate Description: -- Implement the DMA Control Register discrete bits -- for Simple DMA only build case. The Delay interrupt -- enable bit is unused and always '0'. -- ------------------------------------------------------------ GEN_DMACR_SIMPLE : if (C_CDMA_BUILD_MODE = 0) generate begin ------------------------------------------------------------------------------- -- DMACR - Remainder of DMA Control Register ------------------------------------------------------------------------------- DMACR_REGISTER_SIMPLE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED7_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED7_BIT) <= ZERO_VALUE(DMACR_RESERVED15_BIT) -- bit 15 & axi2ip_wrdata(DMACR_ERR_IRQEN_BIT) -- bit 14 & '0' -- bit 13 (always 0 in Simple only) & axi2ip_wrdata(DMACR_IOC_IRQEN_BIT) -- bit 12 & ZERO_VALUE(DMACR_RESERVED11_BIT downto DMACR_RESERVED7_BIT);-- bits 11 downto 4 end if; end if; end process DMACR_REGISTER_SIMPLE; end generate GEN_DMACR_SIMPLE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DMACR_SG -- -- If Generate Description: -- Implement the DMA Control Register discrete bits -- for SG Enabled build case. The Delay interrupt -- enable bit is active when not in Simple Mode. -- ------------------------------------------------------------ GEN_DMACR_SG : if (C_CDMA_BUILD_MODE = 1) generate begin dmacr_i(DMACR_RESERVED15_BIT) <= '0' ; dmacr_i(DMACR_ERR_IRQEN_BIT) <= sig_err_irqen_reg; dmacr_i(DMACR_DLY_IRQEN_BIT) <= sig_dly_irqen_reg; dmacr_i(DMACR_IOC_IRQEN_BIT) <= sig_ioc_irqen_reg; dmacr_i(DMACR_RESERVED11_BIT downto DMACR_RESERVED7_BIT ) <= (others => '0') ; ------------------------------------------------------------------------------- -- DMACR - Delay Interrupt Enable Register bit ------------------------------------------------------------------------------- IMP_DMACR_DLY_IRQEN : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then sig_dly_irqen_reg <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then sig_dly_irqen_reg <= axi2ip_wrdata(DMACR_DLY_IRQEN_BIT); end if; end if; end process IMP_DMACR_DLY_IRQEN; ------------------------------------------------------------------------------- -- DMACR - IOC Interrupt Enable Register bit ------------------------------------------------------------------------------- IMP_DMACR_IOC_IRQEN : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1') then sig_ioc_irqen_reg <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then sig_ioc_irqen_reg <= axi2ip_wrdata(DMACR_IOC_IRQEN_BIT); end if; end if; end process IMP_DMACR_IOC_IRQEN; ------------------------------------------------------------------------------- -- DMACR - Error Interrupt Enable Register bit ------------------------------------------------------------------------------- IMP_DMACR_ERR_IRQEN : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1') then sig_err_irqen_reg <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then sig_err_irqen_reg <= axi2ip_wrdata(DMACR_ERR_IRQEN_BIT); end if; end if; end process IMP_DMACR_ERR_IRQEN; end generate GEN_DMACR_SG; ------------------------------------------------------------------------------- -- DMACR - Tail Pointer Enable Bit (Bit 1) -- Fixed at 0 for simple dma only -- Fixed at 1 (when SG included) for this release of -- axi cdma. ------------------------------------------------------------------------------- dmacr_i(DMACR_TAILPEN_BIT) <= '1' when C_CDMA_BUILD_MODE = 1 else '0'; ------------------------------------------------------------------------------- -- DMACR - Reset Bit (Bit 2) ------------------------------------------------------------------------------- DMACR_RESET : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or soft_reset_clr = '1')then dmacr_i(DMACR_RESET_BIT) <= '0'; Elsif (dmacr_i(DMACR_RESET_BIT) = '1') Then dmacr_i(DMACR_RESET_BIT) <= '1'; -- can't clear by write once set elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RESET_BIT) <= axi2ip_wrdata(DMACR_RESET_BIT); end if; end if; end process DMACR_RESET; soft_reset_request <= dmacr_i(DMACR_RESET_BIT); ------------------------------------------------------------------------------- -- DMACR - SG Mode Bit (Bit 3) ------------------------------------------------------------------------------- DMASG_MODE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then dmacr_i(DMACR_SGMODE_BIT) <= '0'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_SGMODE_BIT) <= axi2ip_wrdata(DMACR_SGMODE_BIT); end if; end if; end process DMASG_MODE; sig_dma_sg_mode <= dmacr_i(DMACR_SGMODE_BIT); ------------------------------------------------------------------------------- -- DMACR - Run/Stop Bit ------------------------------------------------------------------------------- -- Run/Stop removed from CDMA dmacr_i(DMACR_RESERVED0_BIT) <= '0'; --------------------------------------------------------------------------- -- DMA Status Idle bit (BIT 1) -- -- Set by cdma controller indicating DMA is active (= 0) -- or stopped (= 1). --------------------------------------------------------------------------- DMASR_IDLE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1'or idle_clr = '1')then idle <= '0'; elsif(idle_set = '1')then idle <= '1'; end if; end if; end process DMASR_IDLE; --------------------------------------------------------------------------- -- DMA Status SG Included (BIT 3) --------------------------------------------------------------------------- -- Set the DMASR.SGIncld bit sig_sg_included <= '1' when C_CDMA_BUILD_MODE = 1 else '0'; --------------------------------------------------------------------------- -- DMA Status Error bit (BIT 3) -- Note: any error will cause entire engine to halt --------------------------------------------------------------------------- sig_composite_error <= dma_interr or dma_slverr or dma_decerr or sg_interr or sg_slverr or sg_decerr; -- Scatter Gather Error --sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; -- SG Update Errors or DMA errors assert flag on descriptor update -- Used to latch current descriptor pointer --sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set -- or dma_interr or dma_slverr or dma_decerr; -- Map out to halt opposing channel error_out <= sig_composite_error; ERROR_DEL : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sg_ftch_error <= '0'; sg_updt_error <= '0'; else sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set or dma_interr or dma_slverr or dma_decerr; end if; end if; end process ERROR_DEL; --------------------------------------------------------------------------- -- DMA Status DMA Internal Error bit (BIT 4) --------------------------------------------------------------------------- DMASR_DMAINTERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then dma_interr <= '0'; elsif(dma_interr_set = '1' )then dma_interr <= '1'; end if; end if; end process DMASR_DMAINTERR; --------------------------------------------------------------------------- -- DMA Status DMA Slave Error bit (BIT 5) --------------------------------------------------------------------------- DMASR_DMASLVERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then dma_slverr <= '0'; elsif(dma_slverr_set = '1' )then dma_slverr <= '1'; end if; end if; end process DMASR_DMASLVERR; --------------------------------------------------------------------------- -- DMA Status DMA Decode Error bit (BIT 6) --------------------------------------------------------------------------- DMASR_DMADECERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then dma_decerr <= '0'; elsif(dma_decerr_set = '1' )then dma_decerr <= '1'; end if; end if; end process DMASR_DMADECERR; --------------------------------------------------------------------------- -- DMA Status SG Internal Error bit (BIT 8) --------------------------------------------------------------------------- DMASR_SGINTERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then sg_interr <= '0'; elsif(ftch_interr_set = '1' or updt_interr_set = '1')then sg_interr <= '1'; end if; end if; end process DMASR_SGINTERR; --------------------------------------------------------------------------- -- DMA Status SG Slave Error bit (BIT 9) --------------------------------------------------------------------------- DMASR_SGSLVERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then sg_slverr <= '0'; elsif(ftch_slverr_set = '1' or updt_slverr_set = '1')then sg_slverr <= '1'; end if; end if; end process DMASR_SGSLVERR; --------------------------------------------------------------------------- -- DMA Status SG Decode Error bit (BIT 10) --------------------------------------------------------------------------- DMASR_SGDECERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then sg_decerr <= '0'; elsif(ftch_decerr_set = '1' or updt_decerr_set = '1')then sg_decerr <= '1'; end if; end if; end process DMASR_SGDECERR; --------------------------------------------------------------------------- -- DMA Status IOC Interrupt status bit (BIT 12) --------------------------------------------------------------------------- DMASR_IOCIRQ : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then ioc_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then ioc_irq <= (ioc_irq and not(axi2ip_wrdata(DMASR_IOCIRQ_BIT))) or ioc_irq_set; elsif(ioc_irq_set = '1')then ioc_irq <= '1'; end if; end if; end process DMASR_IOCIRQ; --------------------------------------------------------------------------- -- DMA Status Delay Interrupt status bit (BIT 13) --------------------------------------------------------------------------- DMASR_DLYIRQ : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_sg_mode = '0' or -- clear if in Simple Mode SIMPLE_DISABLE)then dly_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then dly_irq <= (dly_irq and not(axi2ip_wrdata(DMASR_DLYIRQ_BIT))) or dly_irq_set; elsif(dly_irq_set = '1')then dly_irq <= '1'; end if; end if; end process DMASR_DLYIRQ; --------------------------------------------------------------------------- -- DMA Status Error Interrupt status bit (BIT 14) --------------------------------------------------------------------------- -- Delay error setting for generation of error strobe GEN_ERROR_RE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then error_d1 <= '0'; else error_d1 <= sig_composite_error; end if; end if; end process GEN_ERROR_RE; -- Generate rising edge pulse on error error_re <= sig_composite_error and not error_d1; DMASR_ERRIRQ : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then err_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then err_irq <= (err_irq and not(axi2ip_wrdata(DMASR_ERRIRQ_BIT))) or error_re; elsif(error_re = '1')then err_irq <= '1'; end if; end if; end process DMASR_ERRIRQ; --------------------------------------------------------------------------- -- DMA Status IRQ Threshold (BIT 23 - 16) --------------------------------------------------------------------------- sig_irqthresh_status <= (others => '0') when SIMPLE_DISABLE Else irqthresh_status; --------------------------------------------------------------------------- -- DMA Status IRQ Delay (BIT 31 - 24) --------------------------------------------------------------------------- sig_irqdelay_status <= (others => '0') when SIMPLE_DISABLE Else irqdelay_status; --------------------------------------------------------------------------- -- DMA Interrupt OUT --------------------------------------------------------------------------- REG_INTR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then introut <= '0'; else introut <= (dly_irq and dmacr_i(DMACR_DLY_IRQEN_BIT)) or (ioc_irq and dmacr_i(DMACR_IOC_IRQEN_BIT)) or (err_irq and dmacr_i(DMACR_ERR_IRQEN_BIT)); end if; end if; end process; --------------------------------------------------------------------------- -- DMA Status Register --------------------------------------------------------------------------- dmasr_i <= sig_irqdelay_status -- Bits 31 downto 24 & sig_irqthresh_status -- Bits 23 downto 16 & '0' -- Bit 15 & err_irq -- Bit 14 & dly_irq -- Bit 13 & ioc_irq -- Bit 12 & '0' -- Bit 11 & sg_decerr -- Bit 10 & sg_slverr -- Bit 9 & sg_interr -- Bit 8 & '0' -- Bit 7 & dma_decerr -- Bit 6 & dma_slverr -- Bit 5 & dma_interr -- Bit 4 & sig_sg_included -- Bit 3 & '0' -- Bit 2 & idle -- Bit 1 & '0'; -- Bit 0 --------------------------------------------------------------------------- -- Current Descriptor LSB Register --------------------------------------------------------------------------- CURDESC_LSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_sg_mode = '0' or SIMPLE_DISABLE)then curdesc_lsb_i <= (others => '0'); error_pointer_set <= '0'; currdesc_updated <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set <= '1'; currdesc_updated <= '0'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set <= '1'; -- currdesc_updated <= '0'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1')then curdesc_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set <= '0'; currdesc_updated <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_LSB_INDEX) = '1' and dmasr_i(DMASR_IDLE_BIT) = '1')then curdesc_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set <= '0'; currdesc_updated <= '1'; else currdesc_updated <= '0'; end if; end if; end if; end process CURDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC_LSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_sg_mode = '0' or SIMPLE_DISABLE)then taildesc_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then taildesc_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Current Descriptor MSB Register --------------------------------------------------------------------------- -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_SG_ADDR_EQL64 :if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin SA_MSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_sa_register_msb <= (others => '0'); elsif(axi2ip_wrce(SA_MSB_INDEX) = '1')then sig_sa_register_msb <= axi2ip_wrdata; end if; end if; end process SA_MSB_REGISTER; src_addr_msb <= sig_sa_register_msb; DA_MSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_da_register_msb <= (others => '0'); elsif(axi2ip_wrce(DA_MSB_INDEX) = '1')then sig_da_register_msb <= axi2ip_wrdata; end if; end if; end process DA_MSB_REGISTER; dest_addr_msb <= sig_da_register_msb; CURDESC_MSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_sg_mode = '0' or SIMPLE_DISABLE)then curdesc_msb_i <= (others => '0'); elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1')then curdesc_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_MSB_INDEX) = '1' and dmasr_i(DMASR_IDLE_BIT) = '1')then curdesc_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC_MSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_sg_mode = '0' or SIMPLE_DISABLE)then taildesc_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then taildesc_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC_MSB_REGISTER; end generate GEN_SG_ADDR_EQL64; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_SG_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin curdesc_msb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); sig_sa_register_msb <= (others => '0'); src_addr_msb <= sig_sa_register_msb; sig_da_register_msb <= (others => '0'); dest_addr_msb <= sig_da_register_msb; end generate GEN_SG_ADDR_EQL32; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_TAILUPDATE_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin TAILPNTR_UPDT_PROCESS : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; end generate GEN_TAILUPDATE_EQL32; -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_TAILUPDATE_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin TAILPNTR_UPDT_PROCESS : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; end generate GEN_TAILUPDATE_EQL64; TAILPNTR_UPDT_PROCESS11 : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS11; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); --------------------------------------------------------------------------- -- Simple DMA Source Address (SA) LSB Register --------------------------------------------------------------------------- SA_LSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_sa_register_lsb <= (others => '0'); elsif(axi2ip_wrce(SA_LSB_INDEX) = '1')then sig_sa_register_lsb <= axi2ip_wrdata; end if; end if; end process SA_LSB_REGISTER; src_addr_lsb <= sig_sa_register_lsb; --------------------------------------------------------------------------- -- Simple DMA Source Address (SA) MSB Register --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Simple DMA Destination Address (DA) LSB Register --------------------------------------------------------------------------- DA_LSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_da_register_lsb <= (others => '0'); elsif(axi2ip_wrce(DA_LSB_INDEX) = '1')then sig_da_register_lsb <= axi2ip_wrdata; end if; end if; end process DA_LSB_REGISTER; dest_addr_lsb <= sig_da_register_lsb; --------------------------------------------------------------------------- -- Simple DMA Destination Address (DA) MSB Register --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Simple DMA Bytes to Transfer (BTT) Register --------------------------------------------------------------------------- BTT_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_btt_register <= (others => '0'); elsif(axi2ip_wrce(BTT_INDEX) = '1')then sig_btt_register(BTT_WIDTH-1 downto 0) <= axi2ip_wrdata(BTT_WIDTH-1 downto 0); end if; end if; end process BTT_REGISTER; PULSE_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_btt_register_del <= '0'; else sig_btt_register_del <= axi2ip_wrce(BTT_INDEX); end if; end if; end process PULSE_REGISTER; --sig_dma_go_set <= axi2ip_wrce(BTT_INDEX); sig_dma_go_set <= axi2ip_wrce(BTT_INDEX) and (not sig_btt_register_del); btt <= BTT_RESERVED_BITS & sig_btt_register(BTT_WIDTH-1 downto 0); --------------------------------------------------------------------------- -- Simple DMA GO Control --------------------------------------------------------------------------- IMP_DMA_GO_REG : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_go_clr = '1')then sig_dma_go <= '0'; elsif(sig_dma_go_set = '1')then sig_dma_go <= '1'; end if; end if; end process IMP_DMA_GO_REG; sig_dma_go_clr <= idle_clr ; -- Clear the GO when IDLE is cleared dma_go <= sig_dma_go; -- assign the dma_go output end implementation; ------------------------------------------------------------------------------- -- axi_cdma_reg_module ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_reg_module.vhd -- Description: This entity is AXI DMA Register Module Top Level -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; use axi_cdma_v4_1_14.axi_cdma_lite_if; use axi_cdma_v4_1_14.axi_cdma_register; library lib_cdc_v1_0_2; ------------------------------------------------------------------------------- entity axi_cdma_reg_module is generic( C_CDMA_BUILD_MODE : integer range 0 to 1 := 0 ; -- Indicates the as-built topology of the AXI CDMA -- 0 = Simple DMA only support -- 1 = Simple DMA and Scatter Gather support included C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 6 ; -- Address width of the AXI Lite Interface (bits) C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; -- Data width of the AXI Lite Interface (bits) C_AXI_LITE_IS_ASYNC : integer range 0 to 1 := 0 ; -- Specifies if the AXI Lite Register interface needs to -- be asynchronous to the CDMA data transport path clocking -- 0 = Use same clocking as data path -- 1 = Use special AXI Lite clock for the axi lite interface C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 -- Address width of the SG AXI Interface (bits) ); port ( --------------------------------------------------------------------------------- -- AXI4-Lite Interface --------------------------------------------------------------------------------- -- AXI Lite Clock (needs to be the same as axi4-lite clock when -- C_AXI_LITE_IS_ASYNC = 0 ) -- AXI4-Lite axi_lite_aclk : in std_logic ;-- AXI4-Lite -- AXI Lite Bus Side Reset (synchronized to the axi_lite_aclk) axi_lite_reset : in std_logic ;-- AXI4-Lite -- AXI Lite Core side Reset (synchronized to the axi_aclk) axi_lite_cside_reset : in std_logic ;-- AXI4-Lite -- AXI Lite Write Address Channel -- AXI4-Lite s_axi_lite_awvalid : in std_logic ;-- AXI4-Lite s_axi_lite_awready : out std_logic ;-- AXI4-Lite s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Data Channel -- AXI4-Lite s_axi_lite_wvalid : in std_logic ;-- AXI4-Lite s_axi_lite_wready : out std_logic ;-- AXI4-Lite s_axi_lite_wdata : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Response Channel -- AXI4-Lite s_axi_lite_bresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite s_axi_lite_bvalid : out std_logic ;-- AXI4-Lite s_axi_lite_bready : in std_logic ;-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Address Channel -- AXI4-Lite s_axi_lite_arvalid : in std_logic ;-- AXI4-Lite s_axi_lite_arready : out std_logic ;-- AXI4-Lite s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI Lite Read Data Channel -- AXI4-Lite s_axi_lite_rvalid : out std_logic ;-- AXI4-Lite s_axi_lite_rready : in std_logic ;-- AXI4-Lite s_axi_lite_rdata : out std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite s_axi_lite_rresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite -- Primary Input Clock for everything other than AXI4-Lite axi_aclk : In std_logic ; -- Primary Input Reset synchronized to axi_aclk axi_reg_reset : In std_logic ; -- Composite Interrupt Output reg_introut : out std_logic ; -- Composite error Output reg_error_out : out std_logic ; -- Soft Reset Set Control reg_soft_reset_request : out std_logic ; -- Soft Reset Clear reg_soft_reset_clr : in std_logic ; -- DMA Go Control reg_dma_go : Out std_logic ; -- SG Mode control reg_dma_sg_mode : Out std_logic ; -- Key Hole read dma_keyhole_read : Out std_logic ; -- Key Hole write dma_keyhole_write : Out std_logic ; -- Cyclic feature dma_cyclic : Out std_logic ; -- CDMASR Idle bit set reg_idle_set : in std_logic ; -- CDMASR Idle bit clear reg_idle_clr : in std_logic ; -- CDMASR Interrupt on Complet set reg_ioc_irq_set : in std_logic ; -- CDMASR Delay Interrupt set reg_dly_irq_set : in std_logic ; -- CDMASR Delay Interrupt Counter value reg_irqdelay_status : in std_logic_vector(7 downto 0) ; -- CDMASR Threshold Interrupt Counter value reg_irqthresh_status : in std_logic_vector(7 downto 0) ; -- CDMASR Threshold Interrupt Counter value write enable reg_irqthresh_wren : out std_logic ; -- CDMASR Delay Interrupt Counter value write enable reg_irqdelay_wren : out std_logic ; -- Composite DataMover Internal Error flag reg_dma_interr_set : in std_logic ; -- Composite DataMover Slave Error flag reg_dma_slverr_set : in std_logic ; -- Composite DataMover Decode Error flag reg_dma_decerr_set : in std_logic ; -- SG Descriptor Fetch internal error flag reg_ftch_interr_set : in std_logic ; -- SG Descriptor Fetch slave error flag reg_ftch_slverr_set : in std_logic ; -- SG Descriptor Fetch decode error flag reg_ftch_decerr_set : in std_logic ; -- SG Descriptor Fetch error address reg_ftch_error_addr : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- SG Descriptor Update internal error flag reg_updt_interr_set : in std_logic ; -- SG Descriptor Fetch slave error flag reg_updt_slverr_set : in std_logic ; -- SG Descriptor Fetch decode error flag reg_updt_decerr_set : in std_logic ; -- SG Descriptor Fetch error address reg_updt_error_addr : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- SG CURDESC Update Write enable reg_new_curdesc_wren : in std_logic ; -- SG CURDESC Update address value reg_new_curdesc : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- Tailpointer Register Updated flag reg_tailpntr_updated : out std_logic ; -- Current Descriptor Register Updated flag reg_currdesc_updated : out std_logic ; -- CDMA Control Register value reg_dmacr : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- CDMA Status Register value reg_dmasr : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Current Descriptor Register value reg_curdesc : out std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- CDMA Tailpointer Register value reg_taildesc : out std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- CDMA Source Address Register value reg_src_addr : out std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- CDMA destination Register value reg_dest_addr : out std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- CDMA BTT Register value reg_btt : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ); end axi_cdma_reg_module; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_reg_module is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant CDMA_NUM_REG : Integer := 16; Constant DMACR_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000000001"; Constant DMASR_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000000010"; Constant CURDESC_LS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000000100"; Constant CURDESC_MS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000001000"; Constant TAILDESC_LS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000010000"; Constant TAILDESC_MS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000100000"; Constant SA_LS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000001000000"; Constant SA_MS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000010000000"; Constant DA_LS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000100000000"; Constant DA_MS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000001000000000"; Constant BTT_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000010000000000"; ------------------------------------------------------------------------------- -- Signal / Type Declarations ---------------------------- --------------------------------------------------- signal sig_axi2ip_wrce : std_logic_vector(CDMA_NUM_REG - 1 downto 0) := (others => '0'); signal sig_axi2ip_wrdata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_axi2ip_rdce : std_logic_vector(CDMA_NUM_REG - 1 downto 0) := (others => '0'); signal sig_ip2axi_rddata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dmacr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dmasr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_curdesc_lsb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_curdesc_msb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_taildesc_lsb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_taildesc_msb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_src_addr_lsb : std_logic_vector(c_s_axi_lite_data_width-1 downto 0) := (others => '0'); signal sig_dest_addr_lsb : std_logic_vector(c_s_axi_lite_data_width-1 downto 0) := (others => '0'); signal sig_src_addr_msb : std_logic_vector(c_s_axi_lite_data_width-1 downto 0) := (others => '0'); signal sig_dest_addr_msb : std_logic_vector(c_s_axi_lite_data_width-1 downto 0) := (others => '0'); signal sig_btt : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_axi_lite_bside_resetn : std_logic := '0'; signal sig_axi_lite_cside_resetn : std_logic := '0'; signal sig_interrupt_out : std_logic := '0'; signal sig_reg2out_irpt : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Reset Inversions for the AXI Lite IF sig_axi_lite_bside_resetn <= not(axi_lite_reset); sig_axi_lite_cside_resetn <= not(axi_lite_cside_reset); -- Register Outputs reg_dmacr <= sig_dmacr; reg_dmasr <= sig_dmasr; reg_curdesc (31 downto 0) <= sig_curdesc_lsb; reg_taildesc (31 downto 0) <= sig_taildesc_lsb; reg_src_addr (31 downto 0) <= sig_src_addr_lsb; reg_dest_addr (31 downto 0) <= sig_dest_addr_lsb; reg_btt <= sig_btt; -- Composite Interrupt Output reg_introut <= sig_interrupt_out ; GEN_64_BIT_ADDR : if (C_M_AXI_SG_ADDR_WIDTH = 64) generate begin reg_curdesc (63 downto 32) <= sig_curdesc_msb; reg_taildesc (63 downto 32) <= sig_taildesc_msb; reg_src_addr (63 downto 32) <= sig_src_addr_msb; reg_dest_addr (63 downto 32) <= sig_dest_addr_msb; end generate GEN_64_BIT_ADDR; ------------------------------------------------------------ -- Instance: I_AXI_LITE -- -- Description: -- Instance for the AXI Lite Interface Module -- ------------------------------------------------------------ I_AXI_LITE : entity axi_cdma_v4_1_14.axi_cdma_lite_if generic map( C_NUM_CE => CDMA_NUM_REG , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH ) port map( -- AXI Lite Clock and Reset s_axi_lite_aclk => axi_lite_aclk , s_axi_lite_aresetn => sig_axi_lite_bside_resetn , -- AXI Lite Write Address Channel s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bresp => s_axi_lite_bresp , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bready => s_axi_lite_bready , -- AXI Lite Read Address Channel s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_araddr => s_axi_lite_araddr , s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- User IP Interface -- IP side Interface clock and Reset inputs -- Used when C_AXI_LITE_IS_ASYNC = 1 ip2axi_aclk => axi_aclk , ip2axi_aresetn => sig_axi_lite_cside_resetn, axi2ip_wrce => sig_axi2ip_wrce , axi2ip_wrdata => sig_axi2ip_wrdata , axi2ip_rdce => sig_axi2ip_rdce , axi2ip_rdaddr => open , ip2axi_rddata => sig_ip2axi_rddata ); ------------------------------------------------------------------------------- -- AXI LITE READ MUX ------------------------------------------------------------------------------- AXI_LITE_READ_MUX : process(sig_axi2ip_rdce , sig_dmacr , sig_dmasr , sig_curdesc_lsb , sig_taildesc_lsb , sig_curdesc_msb , sig_taildesc_msb , sig_src_addr_lsb , sig_src_addr_msb , sig_dest_addr_lsb, sig_dest_addr_msb, sig_btt) begin -- Read Mux case sig_axi2ip_rdce is --------------------------------- When DMACR_REG_CE => sig_ip2axi_rddata <= sig_dmacr; --------------------------------- When DMASR_REG_CE => sig_ip2axi_rddata <= sig_dmasr; --------------------------------- When CURDESC_LS_REG_CE => sig_ip2axi_rddata <= sig_curdesc_lsb; --------------------------------- When CURDESC_MS_REG_CE => sig_ip2axi_rddata <= sig_curdesc_msb; --------------------------------- When TAILDESC_LS_REG_CE => sig_ip2axi_rddata <= sig_taildesc_lsb; --------------------------------- When TAILDESC_MS_REG_CE => sig_ip2axi_rddata <= sig_taildesc_msb; --------------------------------- When SA_LS_REG_CE => sig_ip2axi_rddata <= sig_src_addr_lsb; --------------------------------- When SA_MS_REG_CE => sig_ip2axi_rddata <= sig_src_addr_msb; --------------------------------- When DA_LS_REG_CE => sig_ip2axi_rddata <= sig_dest_addr_lsb; --------------------------------- When DA_MS_REG_CE => sig_ip2axi_rddata <= sig_dest_addr_msb; --------------------------------- When BTT_REG_CE => sig_ip2axi_rddata <= sig_btt; --------------------------------- when others => sig_ip2axi_rddata <= (others => '0'); end case; end process AXI_LITE_READ_MUX; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_IRPT_RESYNC -- -- If Generate Description: -- The AXI clock and the AXI Lite clock are the same so -- synchronization registers are not required. -- ------------------------------------------------------------ GEN_NO_IRPT_RESYNC : if (C_AXI_LITE_IS_ASYNC = 0) generate begin sig_interrupt_out <= sig_reg2out_irpt; end generate GEN_NO_IRPT_RESYNC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_IRPT_RESYNC -- -- If Generate Description: -- The AXI clock and the AXI Lite clock are not the same so -- synchronization registers are are required. -- ------------------------------------------------------------ GEN_IRPT_RESYNC : if (C_AXI_LITE_IS_ASYNC = 1) generate ATTRIBUTE async_reg : STRING; signal lsig_sync_reg1_cdc_tig : std_logic := '0'; signal lsig_sync_reg2 : std_logic := '0'; --ATTRIBUTE async_reg OF lsig_sync_reg1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF lsig_sync_reg2 : SIGNAL IS "true"; begin sig_interrupt_out <= lsig_sync_reg2; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SYNC_IRPT_SYNC_REG -- -- Process Description: -- Implements the syncronization registers for the AXI -- to AXI Lite clock domain crossing of the interrupt out. -- ------------------------------------------------------------- IMP_SYNC_IRPT_SYNC_REG : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_reg2out_irpt, prmry_vect_in => (others => '0'), scndry_aclk => axi_lite_aclk, scndry_resetn => '0', scndry_out => lsig_sync_reg2, scndry_vect_out => open ); -- IMP_SYNC_IRPT_SYNC_REG : process (axi_lite_aclk) -- begin -- if (axi_lite_aclk'event and axi_lite_aclk = '1') then -- -- if (axi_lite_reset = '1') then -- -- -- lsig_sync_reg1 <= '0'; -- -- lsig_sync_reg2 <= '0'; -- -- -- else -- -- lsig_sync_reg1_cdc_tig <= sig_reg2out_irpt; -- lsig_sync_reg2 <= lsig_sync_reg1_cdc_tig; -- -- -- end if; -- end if; -- end process IMP_SYNC_IRPT_SYNC_REG; end generate GEN_IRPT_RESYNC; ------------------------------------------------------------ -- Instance: I_REGISTER_BLOCK -- -- Description: -- Instance of the AXI CDMA register block. -- ------------------------------------------------------------ I_REGISTER_BLOCK : entity axi_cdma_v4_1_14.axi_cdma_register generic map ( C_CDMA_BUILD_MODE => C_CDMA_BUILD_MODE , C_NUM_REGISTERS => CDMA_NUM_REG , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ) port map( -- Secondary Clock / Reset axi_aclk => axi_aclk , axi_reset => axi_reg_reset , -- CPU Write Control (via AXI Lite) axi2ip_wrce => sig_axi2ip_wrce , axi2ip_wrdata => sig_axi2ip_wrdata , -- Composite Interrupt Output introut => sig_reg2out_irpt , -- Composite error Output error_out => reg_error_out , -- Soft Reset Control soft_reset_request => reg_soft_reset_request , soft_reset_clr => reg_soft_reset_clr , -- DMA Go Control dma_go => reg_dma_go , -- SG Mode control dma_sg_mode => reg_dma_sg_mode , -- Key Hole write dma_keyhole_write => dma_keyhole_write , -- Key Hole read dma_keyhole_read => dma_keyhole_read , -- Key Hole read dma_cyclic => dma_cyclic , -- DMASR Register bit control/status idle_set => reg_idle_set , idle_clr => reg_idle_clr , ioc_irq_set => reg_ioc_irq_set , dly_irq_set => reg_dly_irq_set , irqdelay_status => reg_irqdelay_status , irqthresh_status => reg_irqthresh_status , irqthresh_wren => reg_irqthresh_wren , irqdelay_wren => reg_irqdelay_wren , -- DataMover Errors dma_interr_set => reg_dma_interr_set , dma_slverr_set => reg_dma_slverr_set , dma_decerr_set => reg_dma_decerr_set , -- SG Descriptor Fetch errors ftch_interr_set => reg_ftch_interr_set , ftch_slverr_set => reg_ftch_slverr_set , ftch_decerr_set => reg_ftch_decerr_set , ftch_error_addr => reg_ftch_error_addr , -- SG Descriptor Update errors updt_interr_set => reg_updt_interr_set , updt_slverr_set => reg_updt_slverr_set , updt_decerr_set => reg_updt_decerr_set , updt_error_addr => reg_updt_error_addr , -- SG CURDESC Update (from SG) update_curdesc => reg_new_curdesc_wren , new_curdesc => reg_new_curdesc , -- SG Detected SW Register Update tailpntr_updated => reg_tailpntr_updated , currdesc_updated => reg_currdesc_updated , -- Register State Out dmacr => sig_dmacr , dmasr => sig_dmasr , curdesc_lsb => sig_curdesc_lsb , curdesc_msb => sig_curdesc_msb , taildesc_lsb => sig_taildesc_lsb , taildesc_msb => sig_taildesc_msb , src_addr_lsb => sig_src_addr_lsb , src_addr_msb => sig_src_addr_msb , dest_addr_lsb => sig_dest_addr_lsb , dest_addr_msb => sig_dest_addr_msb , btt => sig_btt ); end implementation; ------------------------------------------------------------------------------- -- axi_cdma_sfifo_autord.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_sfifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- synchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0_9; use lib_fifo_v1_0_9.sync_fifo_fg; ------------------------------------------------------------------------------- entity axi_cdma_sfifo_autord is generic ( C_DWIDTH : integer := 32; C_DEPTH : integer := 128; C_DATA_CNT_WIDTH : integer := 8; C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0; C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0; C_USE_BLKMEM : Integer range 0 to 1 := 1; -- 1 = Use Block RAM -- 0 = USE SRL C_FAMILY : String := "virtex7" ); port ( -- Inputs SFIFO_Sinit : In std_logic; -- Reset SFIFO_Clk : In std_logic; -- Clock SFIFO_Wr_en : In std_logic; -- Write enable SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- Write Data input SFIFO_Rd_en : In std_logic; -- Read Enable SFIFO_Clr_Rd_Data_Valid : In std_logic; -- Clear the Read data valid -- Outputs SFIFO_DValid : Out std_logic; -- Read Data Valid indication SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- Read Data out SFIFO_Full : Out std_logic; -- FIFO Full flag SFIFO_Empty : Out std_logic; -- FIFO empty flag SFIFO_Almost_full : Out std_logic; -- FIFO almost Full flag SFIFO_Almost_empty : Out std_logic; -- FIFO almost empty flag SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- Read count SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- Read count minus 1 SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- Write count SFIFO_Rd_ack : Out std_logic -- Read acknowledge ); end entity axi_cdma_sfifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_cdma_sfifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- Constant declarations -- none -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); signal raw_data_count_int : natural := 0; signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_SFIFO_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_sfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; begin -- Bit ordering translations write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little -- endian. SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. -- Other port usages and assignments SFIFO_Rd_ack <= sig_sfifo_rdack; SFIFO_Almost_empty <= corrected_almost_empty; SFIFO_Empty <= sig_SFIFO_empty; --corrected_empty; SFIFO_Wr_count <= raw_data_cnt_lil_end; SFIFO_Rd_count <= raw_data_count_corr; SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1; SFIFO_DValid <= sig_sfifo_rdack; --sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= SFIFO_Rd_en; -- or autoread; ------------------------------------------------------------ -- Instance: I_SYNC_FIFOGEN_FIFO -- -- Description: -- Instance for the synchronous fifo from proc common. -- ------------------------------------------------------------ I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_9.sync_fifo_fg generic map( C_FAMILY => C_FAMILY, -- requred for FIFO Gen C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH, C_ENABLE_RLOCS => 0, C_HAS_DCOUNT => 1, C_HAS_RD_ACK => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 1, C_HAS_WR_ERR => 0, C_MEMORY_TYPE => C_USE_BLKMEM, C_PORTS_DIFFER => 0, C_RD_ACK_LOW => 0, C_READ_DATA_WIDTH => C_DWIDTH, C_READ_DEPTH => C_DEPTH, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_WRITE_DATA_WIDTH => C_DWIDTH, C_WRITE_DEPTH => C_DEPTH, C_PRELOAD_REGS => 1, -- 1 = first word fall through C_PRELOAD_LATENCY => 0, -- 0 = first word fall through C_USE_EMBEDDED_REG => 1, -- 0 ; C_XPM_FIFO => 1 ) port map( Clk => SFIFO_Clk, Sinit => SFIFO_Sinit, Din => write_data_lil_end, Wr_en => SFIFO_Wr_en, Rd_en => fifo_read_enable, Dout => read_data_lil_end, Almost_full => open, Full => SFIFO_Full, Empty => sig_SFIFO_empty, Rd_ack => sig_sfifo_rdack, Wr_ack => open, Rd_err => open, Wr_err => open, Data_count => raw_data_cnt_lil_end ); ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Read Ack assert & hold logic Needed because.... ------------------------------------------------------------------------------- -- 1) The CoreGen Sync FIFO has to be read once to get valid -- data to the read data port. -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been used. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or SFIFO_Sinit or SFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_sfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- IMP_ACK_HOLD_FLOP : process (SFIFO_Clk) begin if (SFIFO_Clk'event and SFIFO_Clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_SFIFO_empty = '0') -- and the FIFO is not empty Else '0'; raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end); ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_ALMOST_EMPTY -- -- If Generate Description: -- This IFGen corrects the FIFO Read Count output for the -- auto read function and includes the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------ INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate -- local signals Signal raw_data_count_int_corr : integer := 0; Signal raw_data_count_int_corr_minus1 : integer := 0; begin ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT_IAE -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function and includes the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------- CORRECT_RD_CNT_IAE : process (sig_rddata_valid, sig_SFIFO_empty, raw_data_count_int) begin if (sig_rddata_valid = '0') then raw_data_count_int_corr <= 0; raw_data_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty raw_data_count_int_corr <= 1; raw_data_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty raw_data_count_int_corr <= 2; raw_data_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO raw_data_count_int_corr <= raw_data_count_int+1; raw_data_count_int_corr_minus1 <= raw_data_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT_IAE; raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr, C_DATA_CNT_WIDTH); raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1, C_DATA_CNT_WIDTH); end generate INCLUDE_ALMOST_EMPTY; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_ALMOST_EMPTY -- -- If Generate Description: -- This process corrects the FIFO Read Count output for the -- auto read function and omits the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------ OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate -- local signals Signal raw_data_count_int_corr : integer := 0; begin corrected_almost_empty <= '0'; -- always low ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function and omits the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_SFIFO_empty, raw_data_count_int) begin if (sig_rddata_valid = '0') then raw_data_count_int_corr <= 0; corrected_empty <= '1'; elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty raw_data_count_int_corr <= 1; corrected_empty <= '0'; Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty raw_data_count_int_corr <= 2; corrected_empty <= '0'; else -- rddata valid and modify rd count from FIFO raw_data_count_int_corr <= raw_data_count_int+1; corrected_empty <= '0'; end if; end process CORRECT_RD_CNT; raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr, C_DATA_CNT_WIDTH); end generate OMIT_ALMOST_EMPTY; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_ALMOST_FULL -- -- If Generate Description: -- This IfGen Includes the generation of the Amost_Full flag. -- -- ------------------------------------------------------------ INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate -- Local Constants Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1; begin SFIFO_Almost_full <= '1' When raw_data_count_int = ALMOST_FULL_VALUE Else '0'; end generate INCLUDE_ALMOST_FULL; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_ALMOST_FULL -- -- If Generate Description: -- This IfGen Omits the generation of the Amost_Full flag. -- -- ------------------------------------------------------------ OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate begin SFIFO_Almost_full <= '0'; -- always low end generate OMIT_ALMOST_FULL; end imp; ------------------------------------------------------------------------------- -- axi_cdma_sf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_sf.vhd -- -- Description: -- This file implements the AXI CDMA store and Forward module. -- The design utilizes the AXI DataMover's new address pipelining -- control interfaces. The design is such that predictive address -- pipelining can be supported on the AXI Read Bus without over-commiting -- the internal Data FIFO and potentially throttling the Read Data Channel -- if the Data FIFO goes full. On the AXI Write side, the Write Master is -- only allowed to post AXI WRite Requests if the associated write data needed -- to complete the Write Data transfer is present in the Data FIFO. In -- addition, the Write side logic is such that Write transfer requests can -- be pipelined to the AXI bus based on the Data FIFO contents but ahead of -- the actual Write Data transfers. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; use lib_pkg_v1_0_2.lib_pkg.clog2; library lib_srl_fifo_v1_0_2; use lib_srl_fifo_v1_0_2.srl_fifo_f; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_sfifo_autord; ------------------------------------------------------------------------------- entity axi_cdma_sf is generic ( C_WR_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter indicates the depth of the DataMover -- write address pipelining queues for the Main data transport -- channels. The effective address pipelining on the AXI4 -- Write Address Channel will be the value assigned plus 2. C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512; -- Sets the desired depth of the internal Data FIFO. C_MAX_BURST_LEN : Integer range 2 to 256 := 16; -- Indicates the max burst length being used by the external -- AXI4 Master for each AXI4 transfer request. C_DRE_IS_USED : Integer range 0 to 1 := 0; -- Indicates if the external Master is utilizing a DRE on -- the stream input to this module. C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the Stream Data Width for the Input and Output -- Data streams. C_FAMILY : String := "virtex7" -- Indicates the target FPGA Family. ); port ( -- Clock input aclk : in std_logic; -- Primary synchronization clock for the Master side -- interface and internal logic. It is also used -- for the User interface synchronization when -- C_STSCMD_IS_ASYNC = 0. -- Reset input reset : in std_logic; -- Reset used for the internal syncronization logic -- DataMover Read Side Address Pipelining Control Interface --------------- ok_to_post_rd_addr : Out Std_logic; -- Indicates that the transfer token pool has at least -- one token available to borrow rd_addr_posted : In std_logic; -- Indication that a read address has been posted to AXI4 rd_xfer_cmplt : In std_logic; -- Indicates that the Datamover has completed a Read Data -- transfer on the AXI4 -- Read Side Stream In from DataMover MM2S ----------------------------------- sf2sin_tready : Out Std_logic; -- DRE Stream READY input sin2sf_tvalid : In std_logic; -- DRE Stream VALID Output sin2sf_tdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- DRE Stream DATA input sin2sf_tkeep : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- DRE Stream STRB input sin2sf_tlast : In std_logic; -- DRE Xfer LAST input -- DataMover Write Side Address Pipelining Control Interface -------------- ok_to_post_wr_addr : Out Std_logic; -- Indicates that the internal FIFO has enough data -- physically present to supply one more max length -- burst transfer or a completion burst -- (tlast asserted) wr_addr_posted : In std_logic; -- Indication that a write address has been posted to AXI4 wr_xfer_cmplt : In Std_logic; -- Indicates that the Datamover has completed a Write Data -- transfer on the AXI4 wr_ld_nxt_len : in std_logic; -- Active high pulse indicating a new transfer LEN qualifier -- has been queued to the DataMover Write Data Controller wr_len : in std_logic_vector(7 downto 0); -- The actual LEN qualifier value that has been queued to the -- DataMover Write Data Controller -- Write Side Stream Out to DataMover S2MM ------------------------------- sout2sf_tready : In std_logic; -- Write READY input from the Stream Master sf2sout_tvalid : Out std_logic; -- Write VALID output to the Stream Master sf2sout_tdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- Write DATA output to the Stream Master sf2sout_tkeep : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- Write DATA output to the Stream Master sf2sout_tlast : Out std_logic -- Write LAST output to the Stream Master ); end entity axi_cdma_sf; architecture implementation of axi_cdma_sf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions --------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_dbcntr_width -- -- Function Description: -- simple function to set the width of the burst counter -- based on the parameterized max burst length. -- ------------------------------------------------------------------- function funct_get_dbcntr_width (max_burst_length : integer) return integer is Variable temp_width : integer := 0; begin case max_burst_length is when 2 => temp_width := 1; when 4 => temp_width := 2; when 8 => temp_width := 3; when 16 => temp_width := 4; when 32 => temp_width := 5; when 64 => temp_width := 6; when 128 => temp_width := 7; when others => -- 256 beats temp_width := 8; end case; Return (temp_width); end function funct_get_dbcntr_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_pwr2_depth -- -- Function Description: -- Rounds up to the next power of 2 depth value in an input -- range of 1 to 8192 -- ------------------------------------------------------------------- function funct_get_pwr2_depth (min_depth : integer) return integer is Variable var_temp_depth : Integer := 16; begin if (min_depth = 1) then var_temp_depth := 1; elsif (min_depth = 2) then var_temp_depth := 2; elsif (min_depth <= 4) then var_temp_depth := 4; elsif (min_depth <= 8) then var_temp_depth := 8; elsif (min_depth <= 16) then var_temp_depth := 16; elsif (min_depth <= 32) then var_temp_depth := 32; elsif (min_depth <= 64) then var_temp_depth := 64; elsif (min_depth <= 128) then var_temp_depth := 128; elsif (min_depth <= 256) then var_temp_depth := 256; elsif (min_depth <= 512) then var_temp_depth := 512; elsif (min_depth <= 1024) then var_temp_depth := 1024; elsif (min_depth <= 2048) then var_temp_depth := 2048; elsif (min_depth <= 4096) then var_temp_depth := 4096; else -- assume 8192 depth var_temp_depth := 8192; end if; Return (var_temp_depth); end function funct_get_pwr2_depth; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_fifo_cnt_width -- -- Function Description: -- simple function to set the width of the data fifo read -- and write count outputs. ------------------------------------------------------------------- function funct_get_fifo_cnt_width (fifo_depth : integer) return integer is Variable temp_width : integer := 8; begin if (fifo_depth = 1) then temp_width := 1; elsif (fifo_depth = 2) then temp_width := 2; elsif (fifo_depth <= 4) then temp_width := 3; elsif (fifo_depth <= 8) then temp_width := 4; elsif (fifo_depth <= 16) then temp_width := 5; elsif (fifo_depth <= 32) then temp_width := 6; elsif (fifo_depth <= 64) then temp_width := 7; elsif (fifo_depth <= 128) then temp_width := 8; elsif (fifo_depth <= 256) then temp_width := 9; elsif (fifo_depth <= 512) then temp_width := 10; elsif (fifo_depth <= 1024) then temp_width := 11; elsif (fifo_depth <= 2048) then temp_width := 12; elsif (fifo_depth <= 4096) then temp_width := 13; else -- assume 8192 depth temp_width := 14; end if; Return (temp_width); end function funct_get_fifo_cnt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_wrcnt_lsrip -- -- Function Description: -- Calculates the ls index of the upper slice of the data fifo -- write count needed to repesent one max burst worth of data -- present in the fifo. -- ------------------------------------------------------------------- function funct_get_wrcnt_lsrip (max_burst_dbeats : integer) return integer is Variable temp_ls_index : Integer := 0; begin if (max_burst_dbeats <= 2) then temp_ls_index := 1; elsif (max_burst_dbeats <= 4) then temp_ls_index := 2; elsif (max_burst_dbeats <= 8) then temp_ls_index := 3; elsif (max_burst_dbeats <= 16) then temp_ls_index := 4; elsif (max_burst_dbeats <= 32) then temp_ls_index := 5; elsif (max_burst_dbeats <= 64) then temp_ls_index := 6; elsif (max_burst_dbeats <= 128) then temp_ls_index := 7; else temp_ls_index := 8; end if; Return (temp_ls_index); end function funct_get_wrcnt_lsrip; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stall_thresh -- -- Function Description: -- Calculates the Stall threshold for the input side of the Data -- FIFO. If DRE is being used by the DataMover, then the threshold -- must be reduced to account for the potential of an extra write -- databeat per request (DRE alignment dependent). -- ------------------------------------------------------------------- function funct_get_stall_thresh (dre_is_used : integer; max_xfer_length : integer; data_fifo_depth : integer; pipeline_delay_clks : integer; fifo_settling_clks : integer) return integer is Constant DRE_PIPE_DELAY : integer := 2; -- clks Variable var_num_max_xfers_allowed : Integer := 0; Variable var_dre_dbeat_overhead : Integer := 0; Variable var_delay_fudge_factor : Integer := 0; Variable var_thresh_headroom : Integer := 0; Variable var_stall_thresh : Integer := 0; begin var_num_max_xfers_allowed := data_fifo_depth/max_xfer_length; var_dre_dbeat_overhead := var_num_max_xfers_allowed * dre_is_used; var_delay_fudge_factor := (dre_is_used * DRE_PIPE_DELAY) + pipeline_delay_clks + fifo_settling_clks; var_thresh_headroom := max_xfer_length + var_dre_dbeat_overhead + var_delay_fudge_factor; -- Scale the result to be in max transfer length increments var_stall_thresh := (data_fifo_depth - var_thresh_headroom)/max_xfer_length; Return (var_stall_thresh); end function funct_get_stall_thresh; -- Constants --------------------------------------------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BLK_MEM_FIFO : integer := 1; Constant SRL_FIFO : integer := 0; Constant NOT_NEEDED : integer := 0; Constant WSTB_WIDTH : integer := C_STREAM_DWIDTH/8; -- bits Constant TLAST_WIDTH : integer := 1; -- bits Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH; Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH); Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN); Constant DATA_FIFO_WIDTH : integer := C_STREAM_DWIDTH+ WSTB_WIDTH + TLAST_WIDTH; Constant DATA_OUT_MSB_INDEX : integer := C_STREAM_DWIDTH-1; Constant DATA_OUT_LSB_INDEX : integer := 0; Constant TSTRB_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1; Constant TSTRB_OUT_MSB_INDEX : integer := (TSTRB_OUT_LSB_INDEX+WSTB_WIDTH)-1; Constant TLAST_OUT_INDEX : integer := TSTRB_OUT_MSB_INDEX+1; Constant DBEAT_CNTR_WIDTH : integer := funct_get_dbcntr_width(C_MAX_BURST_LEN); Constant MAX_BURST_DBEATS : Unsigned(DBEAT_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(C_MAX_BURST_LEN-1, DBEAT_CNTR_WIDTH); Constant DBC_ONE : Unsigned(DBEAT_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, DBEAT_CNTR_WIDTH); Constant TOKEN_POOL_SIZE : integer := C_SF_FIFO_DEPTH / C_MAX_BURST_LEN; Constant TOKEN_CNTR_WIDTH : integer := clog2(TOKEN_POOL_SIZE)+1; Constant TOKEN_CNT_ZERO : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, TOKEN_CNTR_WIDTH); Constant TOKEN_CNT_ONE : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, TOKEN_CNTR_WIDTH); Constant TOKEN_CNT_MAX : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(TOKEN_POOL_SIZE, TOKEN_CNTR_WIDTH); Constant THRESH_COMPARE_WIDTH : integer := TOKEN_CNTR_WIDTH+2; Constant RD_PATH_PIPE_DEPTH : integer := 2; -- clocks excluding DRE Constant WRCNT_SETTLING_TIME : integer := 2; -- data fifo push or pop settling clocks Constant RD_ADDR_POST_STALL_THRESH : integer := funct_get_stall_thresh(C_DRE_IS_USED , C_MAX_BURST_LEN , C_SF_FIFO_DEPTH , RD_PATH_PIPE_DEPTH , WRCNT_SETTLING_TIME); Constant RD_ADDR_POST_STALL_THRESH_US : Unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := TO_UNSIGNED(RD_ADDR_POST_STALL_THRESH , THRESH_COMPARE_WIDTH); Constant WR_LEN_FIFO_DWIDTH : integer := 8; Constant WR_LEN_FIFO_DEPTH : integer := funct_get_pwr2_depth(C_WR_ADDR_PIPE_DEPTH + 2); Constant LEN_CNTR_WIDTH : integer := 8; Constant LEN_CNT_ZERO : Unsigned(LEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, LEN_CNTR_WIDTH); Constant LEN_CNT_ONE : Unsigned(LEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, LEN_CNTR_WIDTH); Constant WR_XFER_CNTR_WIDTH : integer := 8; Constant WR_XFER_CNT_ZERO : Unsigned(WR_XFER_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, WR_XFER_CNTR_WIDTH); Constant WR_XFER_CNT_ONE : Unsigned(WR_XFER_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, WR_XFER_CNTR_WIDTH); Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH); Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH); -- Signals --------------------------------------------------------------------------- signal sig_good_sin_strm_dbeat : std_logic := '0'; signal sig_strm_sin_ready : std_logic := '0'; signal sig_sout2sf_tready : std_logic := '0'; signal sig_sf2sout_tvalid : std_logic := '0'; signal sig_sf2sout_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_sf2sout_tkeep : std_logic_vector(WSTB_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2sout_tlast : std_logic := '0'; signal sig_push_data_fifo : std_logic := '0'; signal sig_pop_data_fifo : std_logic := '0'; signal sig_data_fifo_full : std_logic := '0'; signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_data_fifo_dvalid : std_logic := '0'; signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_cnt_unsgnd : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_wrcnt_mblen_slice : unsigned(DATA_FIFO_CNT_WIDTH-1 downto DF_WRCNT_RIP_LS_INDEX) := (others => '0'); signal sig_ok_to_post_rd_addr : std_logic := '0'; signal sig_rd_addr_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_taking_last_token : std_logic := '0'; signal sig_stall_rd_addr_posts : std_logic := '0'; signal sig_incr_token_cntr : std_logic := '0'; signal sig_decr_token_cntr : std_logic := '0'; signal sig_token_eq_max : std_logic := '0'; signal sig_token_eq_zero : std_logic := '0'; signal sig_token_eq_one : std_logic := '0'; signal sig_token_cntr : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_tokens_commited : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_commit_plus_actual : unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := (others => '0'); signal sig_ok_to_post_wr_addr : std_logic := '0'; signal sig_wr_addr_posted : std_logic := '0'; signal sig_wr_xfer_cmplt : std_logic := '0'; signal sig_wr_ld_nxt_len : std_logic := '0'; signal sig_push_len_fifo : std_logic := '0'; signal sig_pop_len_fifo : std_logic := '0'; signal sig_len_fifo_full : std_logic := '0'; signal sig_len_fifo_empty : std_logic := '0'; signal sig_len_fifo_data_in : std_logic_vector(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0'); signal sig_len_fifo_data_out : std_logic_vector(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0'); signal sig_len_fifo_len_out_un : unsigned(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0'); signal sig_uncom_wrcnt : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_sub_len_uncom_wrcnt : std_logic := '0'; signal sig_incr_uncom_wrcnt : std_logic := '0'; signal sig_resized_fifo_len : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_num_wr_dbeats_needed : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_enough_dbeats_rcvd : std_logic := '0'; begin --(architecture implementation) -- Read Side (MM2S) Control Flags port connections ok_to_post_rd_addr <= sig_ok_to_post_rd_addr ; sig_rd_addr_posted <= rd_addr_posted ; sig_rd_xfer_cmplt <= rd_xfer_cmplt ; -- Write Side (S2MM) Control Flags port connections ok_to_post_wr_addr <= sig_ok_to_post_wr_addr ; sig_wr_addr_posted <= wr_addr_posted ; sig_wr_xfer_cmplt <= wr_xfer_cmplt ; sig_wr_ld_nxt_len <= wr_ld_nxt_len ; sig_len_fifo_data_in <= wr_len ; -- Output Stream Port connections sig_sout2sf_tready <= sout2sf_tready ; sf2sout_tvalid <= sig_sf2sout_tvalid ; sf2sout_tdata <= sig_sf2sout_tdata ; sf2sout_tkeep <= sig_sf2sout_tkeep ; sf2sout_tlast <= sig_sf2sout_tlast and sig_sf2sout_tvalid ; -- Input Stream port connections sf2sin_tready <= sig_strm_sin_ready; sig_strm_sin_ready <= not(sig_data_fifo_full); -- Throttle if Read Side Data fifo goes full. -- This should never happen if read address -- posting control is working properly. sig_good_sin_strm_dbeat <= sin2sf_tvalid and sig_strm_sin_ready; ---------------------------------------------------------------- -- Token Counter Logic -- Predicting fifo space availability at some point in the -- future is based on managing a virtual pool of transfer tokens. -- A token represents 1 max length burst worth of space in the -- Data FIFO. ---------------------------------------------------------------- -- calculate how many tokens are commited to pending transfers sig_tokens_commited <= TOKEN_CNT_MAX - sig_token_cntr; -- Decrement the token counter when a token is -- borrowed sig_decr_token_cntr <= '1' when (sig_rd_addr_posted = '1' and sig_token_eq_zero = '0') else '0'; -- Increment the token counter when a -- token is returned. sig_incr_token_cntr <= '1' when (sig_rd_xfer_cmplt = '1' and sig_token_eq_max = '0') else '0'; -- Detect when the xfer token count is at max value sig_token_eq_max <= '1' when (sig_token_cntr = TOKEN_CNT_MAX) Else '0'; -- Detect when the xfer token count is at one sig_token_eq_one <= '1' when (sig_token_cntr = TOKEN_CNT_ONE) Else '0'; -- Detect when the xfer token count is at zero sig_token_eq_zero <= '1' when (sig_token_cntr = TOKEN_CNT_ZERO) Else '0'; -- Look ahead to see if the xfer token pool is going empty sig_taking_last_token <= '1' When (sig_token_eq_one = '1' and sig_rd_addr_posted = '1') Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TOKEN_CMTR -- -- Process Description: -- Implements the Token counter -- ------------------------------------------------------------- IMP_TOKEN_CMTR : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' ) then sig_token_cntr <= TOKEN_CNT_MAX; elsif (sig_incr_token_cntr = '1' and sig_decr_token_cntr = '0') then sig_token_cntr <= sig_token_cntr + TOKEN_CNT_ONE; elsif (sig_incr_token_cntr = '0' and sig_decr_token_cntr = '1') then sig_token_cntr <= sig_token_cntr - TOKEN_CNT_ONE; else null; -- hold current value end if; end if; end process IMP_TOKEN_CMTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TOKEN_AVAIL_FLAG -- -- Process Description: -- Implements the flag indicating that the AXI Read Master -- can post a read address request on the AXI4 bus. -- -- Read address posting can occur if: -- -- - The write side LEN fifo is not empty. -- - The commited plus actual Data FIFO space is less than -- the stall threshold (a max length read burst can fit -- in the data FIFO without overflow). -- - The max allowed commited read count has not been reached. -- -- The flag is cleared after each address has been posted to -- ensure a second unauthotized post occurs. ------------------------------------------------------------- IMP_TOKEN_AVAIL_FLAG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' or sig_rd_addr_posted = '1') then sig_ok_to_post_rd_addr <= '0'; else sig_ok_to_post_rd_addr <= not(sig_stall_rd_addr_posts) and -- the commited Data FIFO space is approaching full not(sig_token_eq_zero) and -- max allowed pending reads has not been reached not(sig_taking_last_token); -- the max allowed pending reads is about to be reached end if; end if; end process IMP_TOKEN_AVAIL_FLAG; ---------------------------------------------------------------- -- Data FIFO Logic ------------------------------------------ ---------------------------------------------------------------- -- FIFO Output to output stream attachments sig_sf2sout_tvalid <= sig_data_fifo_dvalid ; sig_sf2sout_tdata <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto DATA_OUT_LSB_INDEX); sig_sf2sout_tkeep <= sig_data_fifo_data_out(TSTRB_OUT_MSB_INDEX downto TSTRB_OUT_LSB_INDEX); sig_sf2sout_tlast <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ; -- Stall Threshold calculations sig_fifo_wr_cnt_unsgnd <= UNSIGNED(sig_data_fifo_wr_cnt); sig_wrcnt_mblen_slice <= sig_fifo_wr_cnt_unsgnd(DATA_FIFO_CNT_WIDTH-1 downto DF_WRCNT_RIP_LS_INDEX); sig_commit_plus_actual <= RESIZE(sig_tokens_commited, THRESH_COMPARE_WIDTH) + RESIZE(sig_wrcnt_mblen_slice, THRESH_COMPARE_WIDTH); -- Compare the commited read space plus the actual used space against the -- stall threshold. Assert the read address posting stall flag if the -- threshold is met or exceeded. sig_stall_rd_addr_posts <= '1' when (sig_commit_plus_actual > RD_ADDR_POST_STALL_THRESH_US) Else '0'; -- FIFO Rd/WR Controls sig_push_data_fifo <= sig_good_sin_strm_dbeat; sig_pop_data_fifo <= sig_sout2sf_tready and sig_data_fifo_dvalid; -- Concatonate the Stream inputs into the single FIFO data in value sig_data_fifo_data_in <= sin2sf_tlast & sin2sf_tkeep & sin2sf_tdata; ------------------------------------------------------------ -- Instance: I_DATA_FIFO -- -- Description: -- Implements the Store and Forward data FIFO (synchronous) -- ------------------------------------------------------------ I_DATA_FIFO : entity axi_cdma_v4_1_14.axi_cdma_sfifo_autord generic map ( C_DWIDTH => DATA_FIFO_WIDTH , C_DEPTH => DATA_FIFO_DEPTH , C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH , C_NEED_ALMOST_EMPTY => NOT_NEEDED , C_NEED_ALMOST_FULL => NOT_NEEDED , C_USE_BLKMEM => BLK_MEM_FIFO , C_FAMILY => C_FAMILY ) port map ( -- Inputs SFIFO_Sinit => reset , SFIFO_Clk => aclk , SFIFO_Wr_en => sig_push_data_fifo , SFIFO_Din => sig_data_fifo_data_in , SFIFO_Rd_en => sig_pop_data_fifo , SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Outputs SFIFO_DValid => sig_data_fifo_dvalid , SFIFO_Dout => sig_data_fifo_data_out , SFIFO_Full => sig_data_fifo_full , SFIFO_Empty => open , SFIFO_Almost_full => open , SFIFO_Almost_empty => open , SFIFO_Rd_count => open , SFIFO_Rd_count_minus1 => open , SFIFO_Wr_count => sig_data_fifo_wr_cnt , SFIFO_Rd_ack => open ); -------------------------------------------------------------------- -- Write Side Control Logic -------------------------------------------------------------------- -- Convert the LEN fifo data output to unsigned sig_len_fifo_len_out_un <= unsigned(sig_len_fifo_data_out); -- Resize the unsigned LEN output to the Data FIFO writecount width sig_resized_fifo_len <= RESIZE(sig_len_fifo_len_out_un , DATA_FIFO_CNT_WIDTH); -- The actual number of databeats needed for the queued write transfer -- is the current LEN fifo output plus 1. sig_num_wr_dbeats_needed <= sig_resized_fifo_len + UNCOM_WRCNT_1; -- Compare the uncommited receved data beat count to that needed -- for the next queued write request. sig_enough_dbeats_rcvd <= '1' When (sig_num_wr_dbeats_needed <= sig_uncom_wrcnt) else '0'; -- Increment the uncommited databeat counter on a good input -- stream databeat (Read Side of SF) sig_incr_uncom_wrcnt <= sig_good_sin_strm_dbeat; -- Subtract the current number of databeats needed from the -- uncommited databeat counter when the associated transfer -- address/qualifiers have been posted to the AXI Write -- Address Channel sig_sub_len_uncom_wrcnt <= sig_wr_addr_posted; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_UNCOM_DBEAT_CNTR -- -- Process Description: -- Implements the counter that keeps track of the received read -- data beat count that has not been commited to a transfer on -- the write side with a Write Address posting. -- ------------------------------------------------------------- IMP_UNCOM_DBEAT_CNTR : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then sig_uncom_wrcnt <= UNCOM_WRCNT_0; elsif (sig_incr_uncom_wrcnt = '1' and sig_sub_len_uncom_wrcnt = '1') then sig_uncom_wrcnt <= sig_uncom_wrcnt - sig_resized_fifo_len; elsif (sig_incr_uncom_wrcnt = '1' and sig_sub_len_uncom_wrcnt = '0') then sig_uncom_wrcnt <= sig_uncom_wrcnt + UNCOM_WRCNT_1; elsif (sig_incr_uncom_wrcnt = '0' and sig_sub_len_uncom_wrcnt = '1') then sig_uncom_wrcnt <= sig_uncom_wrcnt - sig_num_wr_dbeats_needed; else null; -- hold current value end if; end if; end process IMP_UNCOM_DBEAT_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_ADDR_POST_FLAG -- -- Process Description: -- Implements the flag indicating that the pending write -- transfer's data beat count has been received on the input -- side of the Data FIFO. This means the Write side can post -- the associated write address to the AXI4 bus and the -- associated write data transfer can complete without CDMA -- throttling the Write Data Channel. -- -- The flag is cleared immediately after an address is posted -- to prohibit a second unauthorized posting while the control -- logic stabilizes to the next LEN FIFO value --. ------------------------------------------------------------- IMP_WR_ADDR_POST_FLAG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' or sig_wr_addr_posted = '1') then sig_ok_to_post_wr_addr <= '0'; else sig_ok_to_post_wr_addr <= not(sig_len_fifo_empty) and sig_enough_dbeats_rcvd; end if; end if; end process IMP_WR_ADDR_POST_FLAG; ------------------------------------------------------------- -- LEN FIFO logic sig_push_len_fifo <= sig_wr_ld_nxt_len and not(sig_len_fifo_full); sig_pop_len_fifo <= wr_addr_posted and not(sig_len_fifo_empty); ------------------------------------------------------------ -- Instance: I_WR_LEN_FIFO -- -- Description: -- Implement the LEN FIFO using SRL FIFO elements -- ------------------------------------------------------------ I_WR_LEN_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f generic map ( C_DWIDTH => WR_LEN_FIFO_DWIDTH , C_DEPTH => WR_LEN_FIFO_DEPTH , C_FAMILY => C_FAMILY ) port map ( Clk => aclk , Reset => reset , FIFO_Write => sig_push_len_fifo , Data_In => sig_len_fifo_data_in , FIFO_Read => sig_pop_len_fifo , Data_Out => sig_len_fifo_data_out , FIFO_Empty => sig_len_fifo_empty , FIFO_Full => sig_len_fifo_full , Addr => open ); end implementation; ------------------------------------------------------------------------------- -- axi_cdma_simple_cntlr ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_simple_cntlr.vhd -- Description: This entity is reset module entity for the AXI DMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_cdma_simple_cntlr is Generic ( C_DM_CMD_WIDTH : integer := 72; C_DM_DATA_WIDTH : integer := 32; C_DM_MM2S_STATUS_WIDTH : integer := 8; C_DM_S2MM_STATUS_WIDTH : integer := 8; C_ADDR_WIDTH : integer := 32; C_BTT_WIDTH : integer := 23; C_FAMILY : String := "virtex7" ); port ( -- Clock Input axi_aclk : in std_logic ; -- Reset Input (active high) axi_reset : in std_logic ; -- Halt request from the Reset module rst2cntlr_halt : in std_logic ; -- Halt complete status to the Reset module cntlr2rst_halt_cmplt : out std_logic ; -- Register Module transfer Start Control reg2cntlr_go : in std_logic ; -- Register Module SG Mode Control reg2cntlr_sg_mode : in std_logic ; -- MM2S Type of Burst, 1 is increment, 0 is fixed burst_type_read : in std_logic; -- S2MM Type of Burst, 1 is increment, 0 is fixed burst_type_write : in std_logic; -- Transfer Source address from the Register Module reg2cntlr_src_addr : in std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- Transfer Destination address from the Register Module reg2cntlr_dest_addr : in std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- Transfer BTT from the Register Module reg2cntlr_btt : in std_logic_vector(C_BTT_WIDTH-1 downto 0); -- Register Module Status Register Idle Bit set control cntlr2reg_idle_set : out std_logic ; -- Register Module Status Register Idle Bit clear control cntlr2reg_idle_clr : out std_logic ; -- Register Module Status Register Interrupt on Complete Bit set control cntlr2reg_iocirpt_set : out std_logic ; -- Register Module DataMover decode Error Status bit set control cntlr2reg_decerr_set : out std_logic ; -- Register Module DataMover slave Error Status bit set control cntlr2reg_slverr_set : out std_logic ; -- Register Module DataMover internal Error Status bit set control cntlr2reg_interr_set : out std_logic ; -- DataMover MM2S Command ready (AXI Stream) mm2s2cntl_cmd_tready : in std_logic ; -- DataMover MM2S Command tvalid (AXI Stream) cntl2mm2s_cmd_tvalid : out std_logic ; -- DataMover MM2S Command Data (AXI Stream) cntl2mm2s_cmd_tdata : out std_logic_vector(C_DM_CMD_WIDTH-1 downto 0); -- DataMover MM2S Status ready (AXI Stream) cntl2mm2s_sts_tready : out std_logic ; -- DataMover MM2S Status valid (AXI Stream) mm2s2cntl_sts_tvalid : in std_logic ; -- DataMover MM2S Status Data (AXI Stream) mm2s2cntl_sts_tdata : in std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); -- DataMover MM2S Status strb (AXI Stream) mm2s2cntl_sts_tstrb : in std_logic_vector((C_DM_MM2S_STATUS_WIDTH/8)-1 downto 0); -- DataMover MM2S error discrete mm2s2cntl_err : in std_logic ; -- DataMover S2MM Command ready (AXI Stream) s2mm2cntl_cmd_tready : in std_logic ; -- DataMover S2MM Command tvalid (AXI Stream) cntl2s2mm_cmd_tvalid : out std_logic ; -- DataMover S2MM Command Data (AXI Stream) cntl2s2mm_cmd_tdata : out std_logic_vector(C_DM_CMD_WIDTH-1 downto 0); -- DataMover S2MM Status ready (AXI Stream) cntl2s2mm_sts_tready : out std_logic ; -- DataMover S2MM Status valid (AXI Stream) s2mm2cntl_sts_tvalid : in std_logic ; -- DataMover S2MM Status Data (AXI Stream) s2mm2cntl_sts_tdata : in std_logic_vector(C_DM_S2MM_STATUS_WIDTH-1 downto 0); -- DataMover S2MM error discrete s2mm2cntl_sts_tstrb : in std_logic_vector((C_DM_S2MM_STATUS_WIDTH/8)-1 downto 0); -- DataMover S2MM error discrete s2mm2cntl_err : in std_logic ); end axi_cdma_simple_cntlr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_simple_cntlr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_calc_offset_bits -- -- Function Description: -- Calculates the width of the destination address offset bits -- needed for populating the MM2S Command DSA field. -- ------------------------------------------------------------------- function funct_calc_offset_bits (data_width : integer) return integer is Variable lvar_bits_needed : Integer := 0; begin case data_width is when 32 => lvar_bits_needed := 2; when 64 => lvar_bits_needed := 3; when 128 => lvar_bits_needed := 4; when 256 => lvar_bits_needed := 5; when 512 => lvar_bits_needed := 6; when others => -- 256 bits lvar_bits_needed := 5; end case; Return (lvar_bits_needed); end function funct_calc_offset_bits; ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant NO_SYNCHRONIZERS : integer := 0; Constant POSITIVE_EDGE_TRIGGER : integer := 1; Constant NEGATIVE_EDGE_TRIGGER : integer := 0; Constant TWO_CLKS : integer := 2; Constant ONE_CLK : integer := 1; Constant CMD_TAG_WIDTH : integer := 4; Constant CMD_DSA_WIDTH : integer := 6; Constant DSA_ADDR_OFFSET_WIDTH : integer := funct_calc_offset_bits(C_DM_DATA_WIDTH); Constant CMD_RSVD : std_logic_vector(3 downto 0) := (others => '0'); Constant CMD_DSA_ZEROED : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); Constant BTT_ZERO : std_logic_vector(C_BTT_WIDTH-1 downto 0) := (others => '0'); Constant STS_INTERR_INDEX : integer := 4; Constant STS_DECERR_INDEX : integer := 5; Constant STS_SLVERR_INDEX : integer := 6; Constant STS_OK_INDEX : integer := 7; ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type cdma_sm_type is ( INIT , WAIT_FOR_GO , LD_DM_CMD , GET_MM2S_STATUS, GET_S2MM_STATUS, SCORE_STATUS , XFER_DONE , ERROR_TRAP ); ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal sig_sm_state : cdma_sm_type := INIT; signal sig_sm_state_ns : cdma_sm_type := INIT; signal sig_sm_ld_cmd : std_logic := '0'; signal sig_sm_ld_cmd_ns : std_logic := '0'; signal sig_sm_set_idle : std_logic := '0'; signal sig_sm_set_idle_ns : std_logic := '0'; signal sig_sm_clr_idle : std_logic := '0'; signal sig_sm_clr_idle_ns : std_logic := '0'; signal sig_sm_set_ioc : std_logic := '0'; signal sig_sm_set_ioc_ns : std_logic := '0'; signal sig_sm_set_err : std_logic := '0'; signal sig_sm_set_err_ns : std_logic := '0'; signal sig_sm_pop_mm2s_sts : std_logic := '0'; signal sig_sm_pop_mm2s_sts_ns : std_logic := '0'; signal sig_sm_pop_s2mm_sts : std_logic := '0'; signal sig_sm_pop_s2mm_sts_ns : std_logic := '0'; signal sig_mm2s_s2mm_cmd_rdy : std_logic := '0'; signal sig_cdma_xfer_go : std_logic := '0'; signal sig_mm2s_cmd : std_logic_vector(C_DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_cmd_valid : std_logic := '0'; signal sig_mm2s_cmd_ready : std_logic := '0'; signal sig_mm2s_sts_tready : std_logic ; signal sig_mm2s_sts_tvalid : std_logic ; signal sig_mm2s_sts_tdata : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); signal sig_mm2s_sts_tstrb : std_logic_vector((C_DM_MM2S_STATUS_WIDTH/8)-1 downto 0); signal sig_s2mm_cmd : std_logic_vector(C_DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_cmd_valid : std_logic := '0'; signal sig_s2mm_cmd_ready : std_logic := '0'; signal sig_s2mm_sts_tready : std_logic ; signal sig_s2mm_sts_tvalid : std_logic ; signal sig_s2mm_sts_tdata : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); signal sig_s2mm_sts_tstrb : std_logic_vector((C_DM_MM2S_STATUS_WIDTH/8)-1 downto 0); signal sig_cmd_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_cntr : unsigned(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_dsa_offset : std_logic_vector(DSA_ADDR_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_dsa_field : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_status_reg : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_status_reg : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_slverr : std_logic := '0'; signal sig_mm2s_decerr : std_logic := '0'; signal sig_mm2s_interr : std_logic := '0'; signal sig_mm2s_ok : std_logic := '0'; signal sig_s2mm_slverr : std_logic := '0'; signal sig_s2mm_decerr : std_logic := '0'; signal sig_s2mm_interr : std_logic := '0'; signal sig_s2mm_ok : std_logic := '0'; signal sig_mm2s2cntl_err : std_logic := '0'; signal sig_s2mm2cntl_err : std_logic := '0'; signal sig_halt_request : std_logic := '0'; signal sig_halt_cmplt_reg : std_logic := '0'; signal sig_composite_error : std_logic := '0'; signal type_of_burst : std_logic; signal type_of_burst_wr : std_logic; signal ZERO_WORD : std_logic_vector (31 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Misc logic ------------------------------------------------------------------------------- -- GO signal to start the transfer from the Register Module sig_cdma_xfer_go <= reg2cntlr_go; -- See if DataMover is ready for next command sig_mm2s_s2mm_cmd_rdy <= sig_mm2s_cmd_ready and sig_s2mm_cmd_ready; -- Since only 1 parent command per CDMA transfer is allowed, a revolving -- TAG count is not needed for debug support. sig_cmd_tag <= (others => '0'); ------------------------------------------------------------------------------- -- MM2S Command Generation ------------------------------------------------------------------------------- cntl2mm2s_cmd_tdata <= sig_mm2s_cmd ; cntl2mm2s_cmd_tvalid <= sig_mm2s_cmd_valid ; sig_mm2s_cmd_ready <= mm2s2cntl_cmd_tready ; sig_mm2s_cmd_valid <= sig_sm_ld_cmd ; type_of_burst <= '1' and (not burst_type_read); -- Formulate the MM2S Command sig_mm2s_cmd <= CMD_RSVD & -- reserved sig_cmd_tag & -- Tag reg2cntlr_src_addr & -- Address '1' & -- DRR bit '1' & -- EOF bit sig_mm2s_dsa_field & -- DSA Field Assignment type_of_burst & -- '1' & -- Incrementing burst type reg2cntlr_btt ; -- BTT -- Rip the Destnation address offset bits --ORIGINAL : if C_DM_DATA_WIDTH <= 64 generate --begin -- Rip the Destnation address offset bits sig_mm2s_dsa_offset <= reg2cntlr_dest_addr(DSA_ADDR_OFFSET_WIDTH-1 downto 0); --end generate ORIGINAL; --NEWDRE : if C_DM_DATA_WIDTH > 64 generate --begin -- -- Rip the Destnation address offset bits -- sig_mm2s_dsa_offset <= (others => '0'); --end generate NEWDRE; -- Size the dest addr offset to the DSA field width sig_mm2s_dsa_field <= STD_LOGIC_VECTOR(RESIZE(UNSIGNED(sig_mm2s_dsa_offset), CMD_DSA_WIDTH)); ------------------------------------------------------------------------------- -- MM2S Status Reg and logic ------------------------------------------------------------------------------- cntl2mm2s_sts_tready <= sig_sm_pop_mm2s_sts ; sig_mm2s_sts_tvalid <= mm2s2cntl_sts_tvalid ; sig_mm2s_sts_tdata <= mm2s2cntl_sts_tdata ; sig_mm2s_sts_tstrb <= mm2s2cntl_sts_tstrb ; -- DataMover MM2S Error discrete sig_mm2s2cntl_err <= mm2s2cntl_err ; -- Rip the status bits from the status register sig_mm2s_interr <= sig_mm2s_status_reg(STS_INTERR_INDEX); sig_mm2s_decerr <= sig_mm2s_status_reg(STS_DECERR_INDEX); sig_mm2s_slverr <= sig_mm2s_status_reg(STS_SLVERR_INDEX); sig_mm2s_ok <= sig_mm2s_status_reg(STS_OK_INDEX) ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_MM2S_STATUS_REG -- -- Process Description: -- Implements the MM2S status reply holding register. -- ------------------------------------------------------------- IMP_MM2S_STATUS_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_sm_set_idle = '1') then sig_mm2s_status_reg <= (others => '0'); elsif (sig_sm_pop_mm2s_sts = '1') then sig_mm2s_status_reg <= sig_mm2s_sts_tdata; else null; -- hold current state end if; end if; end process IMP_MM2S_STATUS_REG; ------------------------------------------------------------------------------- -- S2MM Command Generation ------------------------------------------------------------------------------- cntl2s2mm_cmd_tdata <= sig_s2mm_cmd ; cntl2s2mm_cmd_tvalid <= sig_s2mm_cmd_valid ; sig_s2mm_cmd_ready <= s2mm2cntl_cmd_tready ; sig_s2mm_cmd_valid <= sig_sm_ld_cmd ; type_of_burst_wr <= '1' and (not burst_type_write); -- Formulate the S2MM Command sig_s2mm_cmd <= CMD_RSVD & -- reserved sig_cmd_tag & -- Tag reg2cntlr_dest_addr & -- Address '1' & -- DRR bit '1' & -- EOF bit CMD_DSA_ZEROED & -- DSA Field Assignment type_of_burst_wr & -- 1 is increment, 0 is fixed -- '1' & -- Incrementing burst type reg2cntlr_btt ; -- BTT ------------------------------------------------------------------------------- -- S2MM Status Reg and logic ------------------------------------------------------------------------------- cntl2s2mm_sts_tready <= sig_sm_pop_s2mm_sts ; sig_s2mm_sts_tvalid <= s2mm2cntl_sts_tvalid ; sig_s2mm_sts_tdata <= s2mm2cntl_sts_tdata ; sig_s2mm_sts_tstrb <= s2mm2cntl_sts_tstrb ; -- DataMover S2MM Error discrete sig_s2mm2cntl_err <= s2mm2cntl_err ; -- Rip the status bits from the status register sig_s2mm_interr <= sig_s2mm_status_reg(STS_INTERR_INDEX); sig_s2mm_decerr <= sig_s2mm_status_reg(STS_DECERR_INDEX); sig_s2mm_slverr <= sig_s2mm_status_reg(STS_SLVERR_INDEX); sig_s2mm_ok <= sig_s2mm_status_reg(STS_OK_INDEX) ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_S2MM_STATUS_REG -- -- Process Description: -- Implements the MM2S status reply holding register. -- ------------------------------------------------------------- IMP_S2MM_STATUS_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_sm_set_idle = '1') then sig_s2mm_status_reg <= (others => '0'); elsif (sig_sm_pop_s2mm_sts = '1') then sig_s2mm_status_reg <= sig_s2mm_sts_tdata; else null; -- hold current state end if; end if; end process IMP_S2MM_STATUS_REG; ------------------------------------------------------------------------------- -- Bit Set logic to Register Module ------------------------------------------------------------------------------- -- Idle bit set and clear cntlr2reg_idle_set <= sig_sm_set_idle; cntlr2reg_idle_clr <= sig_sm_clr_idle; -- Set the interrupt on Complete cntlr2reg_iocirpt_set <= sig_sm_set_ioc; -- Decode error set logic cntlr2reg_decerr_set <= sig_sm_set_err and (sig_s2mm_decerr or sig_mm2s_decerr); -- Slave error set logic cntlr2reg_slverr_set <= sig_sm_set_err and (sig_s2mm_slverr or sig_mm2s_slverr); -- Slave error set logic cntlr2reg_interr_set <= sig_sm_set_err and (sig_s2mm_interr or sig_s2mm2cntl_err or sig_mm2s_interr or sig_mm2s2cntl_err); -- Composite error flag used by the state machine sig_composite_error <= sig_s2mm_decerr or sig_mm2s_decerr or sig_s2mm_slverr or sig_mm2s_slverr or sig_s2mm_interr or sig_s2mm2cntl_err or sig_mm2s_interr or sig_mm2s2cntl_err; ------------------------------------------------------------------------------- -- HALT Logic (Soft Reset) ------------------------------------------------------------------------------- -- HALT logic cntlr2rst_halt_cmplt <= sig_halt_cmplt_reg; sig_halt_request <= rst2cntlr_halt; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_CMPLT_REG -- -- Process Description: -- Implements the MM2S status reply holding register. -- ------------------------------------------------------------- IMP_HALT_CMPLT_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_halt_cmplt_reg <= '0'; elsif (sig_sm_set_idle = '1' and sig_halt_request = '1') then sig_halt_cmplt_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_HALT_CMPLT_REG; ------------------------------------------------------------------------------- -- Simple DMA State Machine ------------------------------------------------------------------------------- ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_CDMA_SM_COMB -- -- Process Description: -- Implements the combinatorial portion of the CDMA simple -- DMA state machine. -- ------------------------------------------------------------- IMP_CDMA_SM_COMB : process (sig_sm_state , sig_cdma_xfer_go , sig_mm2s_s2mm_cmd_rdy, sig_mm2s_sts_tvalid , sig_s2mm_sts_tvalid , sig_composite_error ) begin -- assign the default values sig_sm_state_ns <= INIT ; sig_sm_ld_cmd_ns <= '0' ; sig_sm_set_idle_ns <= '0' ; sig_sm_clr_idle_ns <= '0' ; sig_sm_set_ioc_ns <= '0' ; sig_sm_set_err_ns <= '0' ; sig_sm_pop_mm2s_sts_ns <= '0' ; sig_sm_pop_s2mm_sts_ns <= '0' ; case sig_sm_state is --------------------------------- when INIT => sig_sm_state_ns <= WAIT_FOR_GO ; sig_sm_set_idle_ns <= '1' ; --------------------------------- when WAIT_FOR_GO => if (sig_cdma_xfer_go = '1' and sig_mm2s_s2mm_cmd_rdy = '1') then sig_sm_state_ns <= LD_DM_CMD ; sig_sm_clr_idle_ns <= '1' ; else sig_sm_state_ns <= WAIT_FOR_GO ; end if; --------------------------------- when LD_DM_CMD => sig_sm_state_ns <= GET_MM2S_STATUS ; sig_sm_ld_cmd_ns <= '1' ; --------------------------------- when GET_MM2S_STATUS => if (sig_mm2s_sts_tvalid = '1') then sig_sm_state_ns <= GET_S2MM_STATUS ; sig_sm_pop_mm2s_sts_ns <= '1' ; else sig_sm_state_ns <= GET_MM2S_STATUS ; end if; --------------------------------- when GET_S2MM_STATUS => if (sig_s2mm_sts_tvalid = '1') then sig_sm_state_ns <= SCORE_STATUS ; sig_sm_pop_s2mm_sts_ns <= '1' ; else sig_sm_state_ns <= GET_S2MM_STATUS ; end if; --------------------------------- when SCORE_STATUS => sig_sm_state_ns <= XFER_DONE ; sig_sm_set_err_ns <= '1' ; --------------------------------- when XFER_DONE => sig_sm_set_ioc_ns <= '1' ; sig_sm_set_idle_ns <= '1' ; if (sig_composite_error = '1') then sig_sm_state_ns <= ERROR_TRAP ; else sig_sm_state_ns <= WAIT_FOR_GO ; end if; --------------------------------- when ERROR_TRAP => sig_sm_state_ns <= ERROR_TRAP ; --------------------------------- when others => sig_sm_state_ns <= INIT ; end case; end process IMP_CDMA_SM_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CDMA_SM_REG -- -- Process Description: -- Implements the registered portion of the CDMA simple -- DMA state machine. -- ------------------------------------------------------------- IMP_CDMA_SM_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_halt_request = '1') then sig_sm_state <= INIT ; sig_sm_ld_cmd <= '0' ; sig_sm_set_idle <= '1' ; sig_sm_clr_idle <= '0' ; sig_sm_set_ioc <= '0' ; sig_sm_set_err <= '0' ; sig_sm_pop_mm2s_sts <= '0' ; sig_sm_pop_s2mm_sts <= '0' ; else sig_sm_state <= sig_sm_state_ns ; sig_sm_ld_cmd <= sig_sm_ld_cmd_ns ; sig_sm_set_idle <= sig_sm_set_idle_ns ; sig_sm_clr_idle <= sig_sm_clr_idle_ns ; sig_sm_set_ioc <= sig_sm_set_ioc_ns ; sig_sm_set_err <= sig_sm_set_err_ns ; sig_sm_pop_mm2s_sts <= sig_sm_pop_mm2s_sts_ns ; sig_sm_pop_s2mm_sts <= sig_sm_pop_s2mm_sts_ns ; end if; end if; end process IMP_CDMA_SM_REG; end implementation; ------------------------------------------------------------------------------- -- axi_cdma_simple_wrap ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_simple_wrap.vhd -- -- Description: -- -- This file is the module wrapper for the AXI CDMA core when parameterized -- for only Simple Mode DMA operations. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- VHDL Libraries -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.max2; library axi_datamover_v5_1_16; use axi_datamover_v5_1_16.axi_datamover; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; use axi_cdma_v4_1_14.axi_cdma_reset; use axi_cdma_v4_1_14.axi_cdma_reg_module; use axi_cdma_v4_1_14.axi_cdma_simple_cntlr; use axi_cdma_v4_1_14.axi_cdma_sf; ------------------------------------------------------------------------------- entity axi_cdma_simple_wrap is generic( ----------------------------------------------------------------------- -- AXI Lite Register Interface Parameters ----------------------------------------------------------------------- C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 6; -- Address width of the AXI Lite Interface (bits) C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32; -- Data width of the AXI Lite Interface (bits) C_AXI_LITE_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the AXI Lite Register interface needs to -- be asynchronous to the CDMA data transport path clocking -- 0 = Use same clocking as data path -- 1 = Use special AXI Lite clock for the axi lite interface ----------------------------------------------------------------------- -- DataMover Memory Map Master Interface Parameters ----------------------------------------------------------------------- C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32; -- DataMover Master AXI Memory Map Address Width (bits) C_M_AXI_DATA_WIDTH : integer range 32 to 1024 := 32; -- DataMover Master AXI Memory Map Data Width (bits) C_M_AXI_MAX_BURST_LEN : integer range 2 to 256 := 16; -- DataMover Maximum burst length to use for AXI MMAP requests -- Allowed values are 16, 32, 64, 128, and 256 (data beats) C_INCLUDE_DRE : integer range 0 to 1 := 0; -- Include or exclude DataMover Data Realignment (DRE) -- NOTE: DRE is only available for 32 and 64 bit data widths -- 0 = Exclude DRE -- 1 = Include DRE C_USE_DATAMOVER_LITE : integer range 0 to 1 := 0; -- Enable DataMover Lite mode -- NOTE: Data widths limited to 32 and 64 bits, max burst -- limited to 16, 32, and 64 data beats, no DRE, 4K address -- guarding must be done by SW programmer. -- 0 = Normal DataMover mode -- 1 = Lite dataMover mode C_READ_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- read address pipelining queues for the Main data transport -- channels. The effective address pipelining on the AXI4 Read -- Address Channel will be the value assigned plus 2. C_WRITE_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- write address pipelining queues for the Main data transport -- channel. The effective address pipelining on the AXI4 Write -- Address Channel will be the value assigned plus 2. ----------------------------------------------------------------------- -- Store and Forward Parameters ----------------------------------------------------------------------- C_INCLUDE_SF : integer range 0 to 1 := 1; -- This parameter includes includes/omits Store and Forward. C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 128 ; -- This parameter sets the depth of the Store and Forward FIFO. ----------------------------------------------------------------------- -- Soft Reset Assertion Time ----------------------------------------------------------------------- C_SOFT_RST_TIME_CLKS : integer range 1 to 64 := 8; -- Specifies the time of the soft reset assertion in -- axi_aclk clock periods. ----------------------------------------------------------------------- -- Target FPGA Family Parameter ----------------------------------------------------------------------- C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( ----------------------------------------------------------------------- -- Primary Clock ----------------------------------------------------------------------- axi_aclk : in std_logic ; ----------------------------------------------------------------------- -- Primary Reset Input (active low) ----------------------------------------------------------------------- axi_resetn : in std_logic ; ----------------------------------------------------------------------- -- AXI Lite clock ----------------------------------------------------------------------- axi_lite_aclk : in std_logic ; ----------------------------------------------------------------------- -- AXI Lite reset ----------------------------------------------------------------------- axi_lite_resetn : in std_logic ; ----------------------------------------------------------------------- -- Interrupt output ----------------------------------------------------------------------- cdma_introut : out std_logic ; ----------------------------------------------------------------------- -- Error Discrete output ----------------------------------------------------------------------- cdma_error_out : out std_logic ; --------------------------------------------------------------------------------- -- AXI Lite Register Access Interface --------------------------------------------------------------------------------- -- AXI Lite Write Address Channel -- AXI4-Lite s_axi_lite_awready : out std_logic ;-- AXI4-Lite s_axi_lite_awvalid : in std_logic ;-- AXI4-Lite s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Data Channel -- AXI4-Lite s_axi_lite_wready : out std_logic ;-- AXI4-Lite s_axi_lite_wvalid : in std_logic ;-- AXI4-Lite s_axi_lite_wdata : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Response Channel -- AXI4-Lite s_axi_lite_bready : in std_logic ;-- AXI4-Lite s_axi_lite_bvalid : out std_logic ;-- AXI4-Lite s_axi_lite_bresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Address Channel -- AXI4-Lite s_axi_lite_arready : out std_logic ;-- AXI4-Lite s_axi_lite_arvalid : in std_logic ;-- AXI4-Lite s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Data Channel -- AXI4-Lite s_axi_lite_rready : in std_logic ;-- AXI4-Lite s_axi_lite_rvalid : out std_logic ;-- AXI4-Lite s_axi_lite_rdata : out std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite s_axi_lite_rresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite ---------------------------------------------------------------------------- -- AXI DataMover Read Channel ---------------------------------------------------------------------------- -- DataMover MMap Read Address Channel -- AXI4 m_axi_arready : in std_logic ;-- AXI4 m_axi_arvalid : out std_logic ;-- AXI4 m_axi_araddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- DataMover MMap Read Data Channel -- AXI4 m_axi_rready : out std_logic ;-- AXI4 m_axi_rvalid : in std_logic ;-- AXI4 m_axi_rdata : in std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_rresp : in std_logic_vector(1 downto 0) ;-- AXI4 m_axi_rlast : in std_logic ;-- AXI4 ----------------------------------------------------------------------------- -- AXI DataMover Write Channel ----------------------------------------------------------------------------- -- DataMover Write Address Channel -- AXI4 m_axi_awready : in std_logic ;-- AXI4 m_axi_awvalid : out std_logic ;-- AXI4 m_axi_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_awlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_awsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_awburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_awprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_awcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- DataMover Write Data Channel -- AXI4 m_axi_wready : in std_logic ;-- AXI4 m_axi_wvalid : out std_logic ;-- AXI4 m_axi_wdata : out std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_DATA_WIDTH/8)-1 downto 0);-- AXI4 m_axi_wlast : out std_logic ;-- AXI4 -- AXI4 -- DataMover Write Response Channel -- AXI4 m_axi_bready : out std_logic ;-- AXI4 m_axi_bvalid : in std_logic ;-- AXI4 m_axi_bresp : in std_logic_vector(1 downto 0) ;-- AXI4 -- Debug test vector (Xilinx use only) axi_cdma_tstvec : out std_logic_vector(31 downto 0) ); ----------------------------------------------------------------- -- End of PSFUtil MPD attributes ----------------------------------------------------------------- end axi_cdma_simple_wrap; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_simple_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width > 64) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- General Use Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant ADDR_ZEROS : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); -- AXI CDMA Build Mode (Simple Only or with SG); 0 = Simple only constant CDMA_BUILD_MODE : integer := 0; -- AXI DataMover Include Status FIFO constant DM_INCLUDE_STS_FIFO : integer := 1; -- AXI DataMover Command / Status FIFO Depth constant DM_CMDSTS_FIFO_DEPTH : integer := 1; -- AXI MM2S DataMover Full mode value constant MM2S_FULL_MODE : integer := 1; -- AXI MM2S DataMover Lite mode value constant MM2S_LITE_MODE : integer := 2; -- AXI S2MM DataMover Full mode value constant S2MM_FULL_MODE : integer := 1; -- AXI S2MM DataMover Lite mode value constant S2MM_LITE_MODE : integer := 2; -- AXI DataMover clocking mode constant DM_USE_SYNC_CLOCKS : integer := 0; -- AXI DataMover BTT Used width (Set the to the max allowed) constant DM_BTT_WIDTH : integer := 23; -- AXI DataMover S2MM DRE Enable (set to disabled) constant DM_S2MM_DRE_DISABLED : integer := 0;--func_include_dre (C_INCLUDE_DRE, C_M_AXI_DATA_WIDTH); -- AXI DataMover Include Store and Forward constant DM_OMIT_S2MM_STORE_FORWARD : integer := 0; constant DM_ENABLE_S2MM_STORE_FORWARD : integer := 1; Constant STORE_FORWARD_CNTL : integer := DM_OMIT_S2MM_STORE_FORWARD; -- AXI DataMover Stream Backend width constant DM_STREAM_DWIDTH : integer := C_M_AXI_DATA_WIDTH; -- AXI DataMover Base status vector width constant BASE_STATUS_WIDTH : integer := 8; -- AXI DataMover S2MM status stream data width delta -- if Store and Forward enabled Constant SF_ADDED_STS_WIDTH : integer := 24; -- AXI DataMover status stream data width (S2MM is based on mode of operation) constant DM_MM2S_STATUS_WIDTH : integer := BASE_STATUS_WIDTH; constant DM_S2MM_STATUS_WIDTH : integer := BASE_STATUS_WIDTH + (STORE_FORWARD_CNTL * SF_ADDED_STS_WIDTH); -- DataMover Command Stream data Width in bits constant DM_CMD_WIDTH : integer := 72+(C_M_AXI_ADDR_WIDTH-32); -- constant DM_CMD_WIDTH : integer := 104; -- CDMA Interupt Delay value zeroed Constant IRQ_DLY_THRESH_ZEROS : std_logic_vector(7 downto 0) := (others => '0'); -- AXI DataMover pipeline depth constants Constant DM_READ_ADDR_PIPE_DEPTH : integer := C_READ_ADDR_PIPE_DEPTH; Constant DM_WRITE_ADDR_PIPE_DEPTH : integer := C_WRITE_ADDR_PIPE_DEPTH; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_max -- -- Function Description: -- Returns the greater of two integers. -- ------------------------------------------------------------------- function funct_get_max (value_in_1 : integer; value_in_2 : integer) return integer is Variable max_value : Integer := 0; begin If (value_in_1 > value_in_2) Then max_value := value_in_1; else max_value := value_in_2; End if; Return (max_value); end function funct_get_max; -------------------------------------- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; -- Calculates the minimum needed depth of the CDMA Store and Forward FIFO Constant PIPEDEPTH_BURST_LEN_PROD : integer := (funct_get_max(4, 4)+2) * C_M_AXI_MAX_BURST_LEN; -- Assigns the depth of the CDMA Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Reset Module signals signal sig_rst2lite_bside_reset : std_logic := '0'; signal sig_rst2lite_cside_reset : std_logic := '0'; signal sig_rst2reg_reset : std_logic := '0'; signal sig_rst2cntlr_reset : std_logic := '0'; signal sig_rst2dm_resetn : std_logic := '0'; signal sig_rst2cntlr_halt : std_logic := '0'; signal sig_cntlr2rst_halt_cmplt : std_logic := '0'; signal sig_dm_mm2s_halt : std_logic := '0'; signal sig_dm_mm2s_halt_cmplt : std_logic := '0'; signal sig_dm_s2mm_halt : std_logic := '0'; signal sig_dm_s2mm_halt_cmplt : std_logic := '0'; -- Register Module Signals signal sig_reg2cntlr_src_addr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_dest_addr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_btt : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_go : std_logic := '0'; signal sig_cntlr2reg_idle_set : std_logic := '0'; signal sig_cntlr2reg_idle_clr : std_logic := '0'; signal sig_cntlr2reg_decerr_set : std_logic := '0'; signal sig_cntlr2reg_slverr_set : std_logic := '0'; signal sig_cntlr2reg_interr_set : std_logic := '0'; signal sig_cntlr2reg_ioc_set : std_logic := '0'; signal sig_cntlr2reg_iocirpt_set : std_logic := '0'; signal sig_reg2rst_soft_reset : std_logic := '0'; signal sig_rst2reg_soft_reset_clr : std_logic := '0'; signal sig_reg2cntlr_sg_mode : std_logic := '0'; -- DataMover MM2S error discrete signal sig_dm_mm2s_err : std_logic := '0'; -- DataMover MM2S command Stream signal sig_cntl2mm2s_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_cmd_tready : std_logic := '0'; signal sig_cntl2mm2s_cmd_tvalid : std_logic := '0'; -- DataMover MM2S status Stream signal sig_mm2s2cntl_sts_tdata : std_logic_vector(DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_sts_tkeep : std_logic_vector((DM_MM2S_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_sts_tvalid : std_logic := '0'; signal sig_cntl2mm2s_sts_tready : std_logic := '0'; -- DataMover S2MM error discrete signal sig_dm_s2mm_err : std_logic := '0'; -- DataMover S2MM command Stream signal sig_cntl2s2mm_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cntl2s2mm_cmd_tvalid : std_logic := '0'; signal sig_s2mm2cntl_cmd_tready : std_logic := '0'; -- DataMover S2MM status Stream signal sig_s2mm2cntl_sts_tdata : std_logic_vector(BASE_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm2cntl_sts_tkeep : std_logic_vector((BASE_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm2cntl_sts_tvalid : std_logic := '0'; signal sig_cntl2s2mm_sts_tready : std_logic := '0'; -- DataMover stream loopback hookup signal sig_mm2s_axis_tready : std_logic := '0'; signal sig_mm2s_axis_tvalid : std_logic := '0'; signal sig_mm2s_axis_tdata : std_logic_vector(DM_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_axis_tkeep : std_logic_vector((DM_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_axis_tlast : std_logic := '0'; signal sig_s2mm_axis_tready : std_logic := '0'; signal sig_s2mm_axis_tvalid : std_logic := '0'; signal sig_s2mm_axis_tdata : std_logic_vector(DM_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_axis_tkeep : std_logic_vector((DM_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_axis_tlast : std_logic := '0'; signal sig_dm_s2mm_sts_tdata : std_logic_vector(DM_S2MM_STATUS_WIDTH-1 downto 0) := (others => '0'); -- fullfull Store and forward status data width signal sig_dm_s2mm_sts_tkeep : std_logic_vector((DM_S2MM_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); -- Store and forward status strobe width -- DataMover Address Pipe Controls signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_mm2s_addr_req_posted : std_logic := '0'; signal sig_mm2s_rd_xfer_cmplt : std_logic := '0'; signal sig_s2mm_allow_addr_req : std_logic := '0'; signal sig_s2mm_addr_req_posted : std_logic := '0'; signal sig_s2mm_wr_xfer_cmplt : std_logic := '0'; signal sig_s2mm_ld_nxt_len : std_logic := '0'; signal sig_s2mm_wr_len : std_logic_vector(7 downto 0) := (others => '0'); -- store and Forward module Reset signal sig_sf_reset : std_logic := '0'; signal burst_type : std_logic; signal dma_keyhole_write : std_logic; signal dma_keyhole_read : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- AXI CDMA Test Vector (For Xilinx Internal Use Only) ------------------------------------------------------------------------------- -- Simple Mode axi_cdma_tstvec(0) <= sig_reg2cntlr_go ; axi_cdma_tstvec(1) <= sig_cntlr2reg_idle_set ; axi_cdma_tstvec(2) <= sig_cntlr2reg_idle_clr ; axi_cdma_tstvec(3) <= sig_cntlr2reg_iocirpt_set ; axi_cdma_tstvec(4) <= sig_cntlr2reg_decerr_set ; axi_cdma_tstvec(5) <= sig_cntlr2reg_slverr_set ; axi_cdma_tstvec(6) <= sig_cntlr2reg_interr_set ; axi_cdma_tstvec(31 downto 7) <= (others => '0') ; -- Create a postive reset for the Store and Forward module -- from the inverted DataMover active low reset. -- CR591254 change -- sig_sf_reset <= not(sig_rst2dm_resetn) ; sig_sf_reset <= sig_rst2cntlr_reset ; ------------------------------------------------------------------------------- -- Module Instances ------------------------------------------------------------------------------- ------------------------------------------------------------ -- Instance: I_SIMPLE_RST_MODULE -- -- Description: -- Instance for the Reset Module used with Simple Mode -- operation. It manages both hard and soft reset generation -- and synchronization when the AXI Lite clock and reset are -- asynchronous to the Primary clock and reset. -- ------------------------------------------------------------ I_SIMPLE_RST_MODULE : entity axi_cdma_v4_1_14.axi_cdma_reset generic map( C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_SOFT_RST_TIME_CLKS => C_SOFT_RST_TIME_CLKS ) port map( -- Primary Clock and Reset Sources axi_aclk => axi_aclk , axi_resetn => axi_resetn , -- AXI Lite Clock and Reset Sources axi_lite_aclk => axi_lite_aclk , axi_lite_resetn => axi_lite_resetn , -- CDMA Module hard reset outputs rst2lite_bside_reset => sig_rst2lite_bside_reset , rst2lite_cside_reset => sig_rst2lite_cside_reset , rst2reg_reset => sig_rst2reg_reset , rst2cntlr_reset => sig_rst2cntlr_reset , rst2dm_resetn => sig_rst2dm_resetn , -- Soft Reset Request from Register module reg2rst_soft_reset_in => sig_reg2rst_soft_reset , rst2reg_soft_reset_clr => sig_rst2reg_soft_reset_clr , -- CDMA Controller halt rst2cntlr_halt => sig_rst2cntlr_halt , cntlr2rst_halt_cmplt => sig_cntlr2rst_halt_cmplt , -- CDMA SG halt rst2sg_halt => open , sg2rst_halt_cmplt => LOGIC_HIGH , -- CDMA DatMover MM2S Halt rst2dm_mm2s_halt => sig_dm_mm2s_halt , dm2rst_mm2s_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- CDMA DatMover S2MM Halt rst2dm_s2mm_halt => sig_dm_s2mm_halt , dm2rst_s2mm_halt_cmplt => sig_dm_s2mm_halt_cmplt ); ------------------------------------------------------------ -- Instance: I_SIMPLE_REG_MODULE -- -- Description: -- Instance for the Register Module used with Simple Mode -- operation. -- ------------------------------------------------------------ I_SIMPLE_REG_MODULE : entity axi_cdma_v4_1_14.axi_cdma_reg_module generic map( C_CDMA_BUILD_MODE => CDMA_BUILD_MODE , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH ) port map( -- AXI Lite Bus Side Clock and Reset axi_lite_aclk => axi_lite_aclk , axi_lite_reset => sig_rst2lite_bside_reset , -- AXI Lite Core side Reset axi_lite_cside_reset => sig_rst2lite_cside_reset , -- AXI Lite Write Address Channel s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bresp => s_axi_lite_bresp , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bready => s_axi_lite_bready , -- AXI Lite Read Address Channel s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_araddr => s_axi_lite_araddr , -- AXI Lite Read Data Channel s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- Register Clock and Reset axi_aclk => axi_aclk , axi_reg_reset => sig_rst2reg_reset , -- Composite Interrupt Output reg_introut => cdma_introut , -- Composite error Output reg_error_out => cdma_error_out , -- Soft Reset Control reg_soft_reset_request => sig_reg2rst_soft_reset , reg_soft_reset_clr => sig_rst2reg_soft_reset_clr , -- DMA Go Control reg_dma_go => sig_reg2cntlr_go , -- SG Mode control reg_dma_sg_mode => sig_reg2cntlr_sg_mode , -- Key Hole write dma_keyhole_write => dma_keyhole_write , -- Key Hole read dma_keyhole_read => dma_keyhole_read , -- CDMASR Control reg_idle_set => sig_cntlr2reg_idle_set , reg_idle_clr => sig_cntlr2reg_idle_clr , reg_ioc_irq_set => sig_cntlr2reg_iocirpt_set , reg_dly_irq_set => LOGIC_LOW , reg_irqdelay_status => IRQ_DLY_THRESH_ZEROS , reg_irqthresh_status => IRQ_DLY_THRESH_ZEROS , reg_irqthresh_wren => open , reg_irqdelay_wren => open , -- DataMover Errors reg_dma_decerr_set => sig_cntlr2reg_decerr_set , reg_dma_slverr_set => sig_cntlr2reg_slverr_set , reg_dma_interr_set => sig_cntlr2reg_interr_set , -- SG Descriptor Fetch errors reg_ftch_interr_set => LOGIC_LOW , reg_ftch_slverr_set => LOGIC_LOW , reg_ftch_decerr_set => LOGIC_LOW , reg_ftch_error_addr => ADDR_ZEROS , -- SG Descriptor Update errors reg_updt_interr_set => LOGIC_LOW , reg_updt_slverr_set => LOGIC_LOW , reg_updt_decerr_set => LOGIC_LOW , reg_updt_error_addr => ADDR_ZEROS , -- SG CURDESC Update reg_new_curdesc_wren => LOGIC_LOW , reg_new_curdesc => ADDR_ZEROS , -- SG Detected SW Register Update reg_tailpntr_updated => open , reg_currdesc_updated => open , -- Register State Out reg_dmacr => open , reg_dmasr => open , reg_curdesc => open , reg_taildesc => open , reg_src_addr => sig_reg2cntlr_src_addr , reg_dest_addr => sig_reg2cntlr_dest_addr , reg_btt => sig_reg2cntlr_btt ); ------------------------------------------------------------ -- Instance: I_SIMPLE_DMA_CNTLR -- -- Description: -- -- Control Logic module for the Simple Mode CDMA operation. -- ------------------------------------------------------------ I_SIMPLE_DMA_CNTLR : entity axi_cdma_v4_1_14.axi_cdma_simple_cntlr generic map( C_DM_CMD_WIDTH => DM_CMD_WIDTH , C_DM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_DM_MM2S_STATUS_WIDTH => DM_MM2S_STATUS_WIDTH , C_DM_S2MM_STATUS_WIDTH => BASE_STATUS_WIDTH , C_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_BTT_WIDTH => DM_BTT_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Clock and reset axi_aclk => axi_aclk , axi_reset => sig_rst2cntlr_reset , -- Halt request rst2cntlr_halt => sig_rst2cntlr_halt , cntlr2rst_halt_cmplt => sig_cntlr2rst_halt_cmplt , -- Register Module Start and Mode Controls reg2cntlr_go => sig_reg2cntlr_go , reg2cntlr_sg_mode => sig_reg2cntlr_sg_mode , burst_type_write => dma_keyhole_write , burst_type_read => dma_keyhole_read , -- Register Module command qualifiers reg2cntlr_src_addr => sig_reg2cntlr_src_addr , reg2cntlr_dest_addr => sig_reg2cntlr_dest_addr , reg2cntlr_btt => sig_reg2cntlr_btt(DM_BTT_WIDTH-1 downto 0) , -- General Status Bit controls cntlr2reg_idle_set => sig_cntlr2reg_idle_set , cntlr2reg_idle_clr => sig_cntlr2reg_idle_clr , cntlr2reg_iocirpt_set => sig_cntlr2reg_iocirpt_set , -- DataMover Error Status bit controls cntlr2reg_decerr_set => sig_cntlr2reg_decerr_set , cntlr2reg_slverr_set => sig_cntlr2reg_slverr_set , cntlr2reg_interr_set => sig_cntlr2reg_interr_set , -- DataMover MM2S Command Interface Ports (AXI Stream) mm2s2cntl_cmd_tready => sig_mm2s2cntl_cmd_tready , cntl2mm2s_cmd_tvalid => sig_cntl2mm2s_cmd_tvalid , cntl2mm2s_cmd_tdata => sig_cntl2mm2s_cmd_tdata , -- DataMover MM2S Status Interface Ports (AXI Stream) cntl2mm2s_sts_tready => sig_cntl2mm2s_sts_tready , mm2s2cntl_sts_tvalid => sig_mm2s2cntl_sts_tvalid , mm2s2cntl_sts_tdata => sig_mm2s2cntl_sts_tdata , mm2s2cntl_sts_tstrb => sig_mm2s2cntl_sts_tkeep , -- DataMover MM2S error discrete mm2s2cntl_err => sig_dm_mm2s_err , -- DataMover S2MM Command Interface Ports (AXI Stream) cntl2s2mm_cmd_tdata => sig_cntl2s2mm_cmd_tdata , cntl2s2mm_cmd_tvalid => sig_cntl2s2mm_cmd_tvalid , s2mm2cntl_cmd_tready => sig_s2mm2cntl_cmd_tready , -- DataMover S2MM Status Interface Ports (AXI Stream) s2mm2cntl_sts_tdata => sig_s2mm2cntl_sts_tdata , s2mm2cntl_sts_tstrb => sig_s2mm2cntl_sts_tkeep , s2mm2cntl_sts_tvalid => sig_s2mm2cntl_sts_tvalid , cntl2s2mm_sts_tready => sig_cntl2s2mm_sts_tready , -- DataMover S2MM error discrete s2mm2cntl_err => sig_dm_s2mm_err ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DM_LITE -- -- If Generate Description: -- Instantiates the AXI DataMover in the Lite configuration. -- -- ------------------------------------------------------------ GEN_DM_LITE : if (C_USE_DATAMOVER_LITE = 1) generate begin ------------------------------------------------------------ -- Instance: I_DATAMOVER -- -- Description: -- -- Data Path DataMover -- Reads data from the AXI MMAP Read Channel and Writes the data -- to the AXI MMAP Write Channel via commands from the Controller -- Module. -- -- ------------------------------------------------------------ I_DATAMOVER_LITE : entity axi_datamover_v5_1_16.axi_datamover generic map( C_INCLUDE_MM2S => MM2S_LITE_MODE , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_M_AXIS_MM2S_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_MM2S_STSFIFO => DM_INCLUDE_STS_FIFO , C_MM2S_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_MM2S_DRE => C_INCLUDE_DRE , C_MM2S_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_MM2S_BTT_USED => DM_BTT_WIDTH , C_MM2S_ADDR_PIPE_DEPTH => DM_READ_ADDR_PIPE_DEPTH , C_MM2S_INCLUDE_SF => 0 , C_ENABLE_CACHE_USER => 0, C_ENABLE_SKID_BUF => "11000" , C_CMD_WIDTH => DM_CMD_WIDTH , C_INCLUDE_S2MM => S2MM_LITE_MODE , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_S_AXIS_S2MM_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_S2MM_STSFIFO => DM_INCLUDE_STS_FIFO , C_S2MM_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_S2MM_DRE => DM_S2MM_DRE_DISABLED , C_S2MM_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_S2MM_BTT_USED => DM_BTT_WIDTH , C_S2MM_SUPPORT_INDET_BTT => STORE_FORWARD_CNTL , C_S2MM_ADDR_PIPE_DEPTH => DM_WRITE_ADDR_PIPE_DEPTH , C_S2MM_INCLUDE_SF => 0 , C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => axi_aclk , m_axi_mm2s_aresetn => sig_rst2dm_resetn , -- MM2S Soft Shutdown mm2s_halt => sig_dm_mm2s_halt , mm2s_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- MM2S Error output discrete mm2s_err => sig_dm_mm2s_err , -- Memory Map to Stream Command FIFO and Status FIFO Async CLK/RST -------------- m_axis_mm2s_cmdsts_aclk => LOGIC_LOW , m_axis_mm2s_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => sig_cntl2mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => sig_mm2s2cntl_cmd_tready , s_axis_mm2s_cmd_tdata => sig_cntl2mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => sig_mm2s2cntl_sts_tvalid , m_axis_mm2s_sts_tready => sig_cntl2mm2s_sts_tready , m_axis_mm2s_sts_tdata => sig_mm2s2cntl_sts_tdata , m_axis_mm2s_sts_tkeep => sig_mm2s2cntl_sts_tkeep , -- Address Posting contols mm2s_allow_addr_req => sig_mm2s_allow_addr_req , mm2s_addr_req_posted => sig_mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => sig_mm2s_rd_xfer_cmplt , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_araddr , m_axi_mm2s_arlen => m_axi_arlen , m_axi_mm2s_arsize => m_axi_arsize , m_axi_mm2s_arburst => m_axi_arburst , m_axi_mm2s_arprot => m_axi_arprot , m_axi_mm2s_arcache => m_axi_arcache , m_axi_mm2s_aruser => open, --m_axi_mm2s_aruser , m_axi_mm2s_arvalid => m_axi_arvalid , m_axi_mm2s_arready => m_axi_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_rdata , m_axi_mm2s_rresp => m_axi_rresp , m_axi_mm2s_rlast => m_axi_rlast , m_axi_mm2s_rvalid => m_axi_rvalid , m_axi_mm2s_rready => m_axi_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => sig_mm2s_axis_tdata , m_axis_mm2s_tkeep => sig_mm2s_axis_tkeep , m_axis_mm2s_tlast => sig_mm2s_axis_tlast , m_axis_mm2s_tvalid => sig_mm2s_axis_tvalid , m_axis_mm2s_tready => sig_mm2s_axis_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => axi_aclk , m_axi_s2mm_aresetn => sig_rst2dm_resetn , -- S2MM Soft Shutdown s2mm_halt => sig_dm_s2mm_halt , s2mm_halt_cmplt => sig_dm_s2mm_halt_cmplt , -- S2MM Error output discrete s2mm_err => sig_dm_s2mm_err , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => LOGIC_LOW , m_axis_s2mm_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => sig_cntl2s2mm_cmd_tvalid , s_axis_s2mm_cmd_tready => sig_s2mm2cntl_cmd_tready , s_axis_s2mm_cmd_tdata => sig_cntl2s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => sig_s2mm2cntl_sts_tvalid , m_axis_s2mm_sts_tready => sig_cntl2s2mm_sts_tready , m_axis_s2mm_sts_tdata => sig_s2mm2cntl_sts_tdata , m_axis_s2mm_sts_tkeep => sig_s2mm2cntl_sts_tkeep , -- Address posting controls s2mm_allow_addr_req => sig_s2mm_allow_addr_req , s2mm_addr_req_posted => sig_s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => sig_s2mm_ld_nxt_len , s2mm_wr_len => sig_s2mm_wr_len , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_awaddr , m_axi_s2mm_awlen => m_axi_awlen , m_axi_s2mm_awsize => m_axi_awsize , m_axi_s2mm_awburst => m_axi_awburst , m_axi_s2mm_awprot => m_axi_awprot , m_axi_s2mm_awcache => m_axi_awcache , m_axi_s2mm_awuser => open, --m_axi_s2mm_awuser , m_axi_s2mm_awvalid => m_axi_awvalid , m_axi_s2mm_awready => m_axi_awready , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_wdata , m_axi_s2mm_wstrb => m_axi_wstrb , m_axi_s2mm_wlast => m_axi_wlast , m_axi_s2mm_wvalid => m_axi_wvalid , m_axi_s2mm_wready => m_axi_wready , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_bresp , m_axi_s2mm_bvalid => m_axi_bvalid , m_axi_s2mm_bready => m_axi_bready , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => sig_s2mm_axis_tdata , s_axis_s2mm_tkeep => sig_s2mm_axis_tkeep , s_axis_s2mm_tlast => sig_s2mm_axis_tlast , s_axis_s2mm_tvalid => sig_s2mm_axis_tvalid , s_axis_s2mm_tready => sig_s2mm_axis_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); end generate GEN_DM_LITE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DM_FULL -- -- If Generate Description: -- Instance for FULL mode DataMover -- -- ------------------------------------------------------------ GEN_DM_FULL : if (C_USE_DATAMOVER_LITE = 0) generate begin -- Rip the basic status output from the DataMover S2MM status reply stream sig_s2mm2cntl_sts_tdata <= sig_dm_s2mm_sts_tdata(BASE_STATUS_WIDTH-1 downto 0); sig_s2mm2cntl_sts_tkeep <= sig_dm_s2mm_sts_tkeep((BASE_STATUS_WIDTH/8)-1 downto 0); ------------------------------------------------------------ -- Instance: I_DATAMOVER -- -- Description: -- -- Data Path DataMover -- Reads data from the AXI MMAP Read Channel and Writes the data -- to the AXI MMAP Write Channel via commands from the Controller -- Module. -- -- ------------------------------------------------------------ I_DATAMOVER_FULL : entity axi_datamover_v5_1_16.axi_datamover generic map( C_INCLUDE_MM2S => MM2S_FULL_MODE , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_M_AXIS_MM2S_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_MM2S_STSFIFO => DM_INCLUDE_STS_FIFO , C_MM2S_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_MM2S_DRE => C_INCLUDE_DRE , C_MM2S_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_MM2S_BTT_USED => DM_BTT_WIDTH , C_MM2S_ADDR_PIPE_DEPTH => DM_READ_ADDR_PIPE_DEPTH , C_MM2S_INCLUDE_SF => 0 , C_ENABLE_CACHE_USER => 0, C_ENABLE_SKID_BUF => "11000" , C_CMD_WIDTH => DM_CMD_WIDTH , C_INCLUDE_S2MM => S2MM_FULL_MODE , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_S_AXIS_S2MM_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_S2MM_STSFIFO => DM_INCLUDE_STS_FIFO , C_S2MM_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_S2MM_DRE => DM_S2MM_DRE_DISABLED , C_S2MM_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_S2MM_BTT_USED => DM_BTT_WIDTH , C_S2MM_SUPPORT_INDET_BTT => STORE_FORWARD_CNTL , C_S2MM_ADDR_PIPE_DEPTH => DM_WRITE_ADDR_PIPE_DEPTH , C_S2MM_INCLUDE_SF => 0 , C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => axi_aclk , m_axi_mm2s_aresetn => sig_rst2dm_resetn , -- MM2S Soft Shutdown mm2s_halt => sig_dm_mm2s_halt , mm2s_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- MM2S Error output discrete mm2s_err => sig_dm_mm2s_err , -- Memory Map to Stream Command FIFO and Status FIFO Async CLK/RST -------------- m_axis_mm2s_cmdsts_aclk => LOGIC_LOW , m_axis_mm2s_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => sig_cntl2mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => sig_mm2s2cntl_cmd_tready , s_axis_mm2s_cmd_tdata => sig_cntl2mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => sig_mm2s2cntl_sts_tvalid , m_axis_mm2s_sts_tready => sig_cntl2mm2s_sts_tready , m_axis_mm2s_sts_tdata => sig_mm2s2cntl_sts_tdata , m_axis_mm2s_sts_tkeep => sig_mm2s2cntl_sts_tkeep , -- Address Posting contols mm2s_allow_addr_req => sig_mm2s_allow_addr_req , mm2s_addr_req_posted => sig_mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => sig_mm2s_rd_xfer_cmplt , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_araddr , m_axi_mm2s_arlen => m_axi_arlen , m_axi_mm2s_arsize => m_axi_arsize , m_axi_mm2s_arburst => m_axi_arburst , m_axi_mm2s_arprot => m_axi_arprot , m_axi_mm2s_arcache => m_axi_arcache , m_axi_mm2s_aruser => open, --m_axi_arcache , m_axi_mm2s_arvalid => m_axi_arvalid , m_axi_mm2s_arready => m_axi_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_rdata , m_axi_mm2s_rresp => m_axi_rresp , m_axi_mm2s_rlast => m_axi_rlast , m_axi_mm2s_rvalid => m_axi_rvalid , m_axi_mm2s_rready => m_axi_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => sig_mm2s_axis_tdata , m_axis_mm2s_tkeep => sig_mm2s_axis_tkeep , m_axis_mm2s_tlast => sig_mm2s_axis_tlast , m_axis_mm2s_tvalid => sig_mm2s_axis_tvalid , m_axis_mm2s_tready => sig_mm2s_axis_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => axi_aclk , m_axi_s2mm_aresetn => sig_rst2dm_resetn , -- S2MM Soft Shutdown s2mm_halt => sig_dm_s2mm_halt , s2mm_halt_cmplt => sig_dm_s2mm_halt_cmplt , -- S2MM Error output discrete s2mm_err => sig_dm_s2mm_err , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => LOGIC_LOW , m_axis_s2mm_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => sig_cntl2s2mm_cmd_tvalid , s_axis_s2mm_cmd_tready => sig_s2mm2cntl_cmd_tready , s_axis_s2mm_cmd_tdata => sig_cntl2s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => sig_s2mm2cntl_sts_tvalid , m_axis_s2mm_sts_tready => sig_cntl2s2mm_sts_tready , -- m_axis_s2mm_sts_tdata => sig_s2mm2cntl_sts_tdata , m_axis_s2mm_sts_tdata => sig_dm_s2mm_sts_tdata , -- m_axis_s2mm_sts_tkeep => sig_s2mm2cntl_sts_tstrb , m_axis_s2mm_sts_tkeep => sig_dm_s2mm_sts_tkeep , -- Address posting controls s2mm_allow_addr_req => sig_s2mm_allow_addr_req , s2mm_addr_req_posted => sig_s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => sig_s2mm_ld_nxt_len , s2mm_wr_len => sig_s2mm_wr_len , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_awaddr , m_axi_s2mm_awlen => m_axi_awlen , m_axi_s2mm_awsize => m_axi_awsize , m_axi_s2mm_awburst => m_axi_awburst , m_axi_s2mm_awprot => m_axi_awprot , m_axi_s2mm_awcache => m_axi_awcache , m_axi_s2mm_awuser => open, --m_axi_awcache , m_axi_s2mm_awvalid => m_axi_awvalid , m_axi_s2mm_awready => m_axi_awready , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_wdata , m_axi_s2mm_wstrb => m_axi_wstrb , m_axi_s2mm_wlast => m_axi_wlast , m_axi_s2mm_wvalid => m_axi_wvalid , m_axi_s2mm_wready => m_axi_wready , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_bresp , m_axi_s2mm_bvalid => m_axi_bvalid , m_axi_s2mm_bready => m_axi_bready , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => sig_s2mm_axis_tdata , s_axis_s2mm_tkeep => sig_s2mm_axis_tkeep , s_axis_s2mm_tlast => sig_s2mm_axis_tlast , s_axis_s2mm_tvalid => sig_s2mm_axis_tvalid , s_axis_s2mm_tready => sig_s2mm_axis_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); end generate GEN_DM_FULL; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_SF -- -- If Generate Description: -- This IfGen includes the Store and Forward module -- -- ------------------------------------------------------------ GEN_INCLUDE_SF : if (C_INCLUDE_SF = 1) generate begin ------------------------------------------------------------ -- Instance: I_STORE_FORWARD -- -- Description: -- This is the instance for the AXI CDMA Store and Forward -- Module. -- ------------------------------------------------------------ I_STORE_FORWARD : entity axi_cdma_v4_1_14.axi_cdma_sf generic map ( C_WR_ADDR_PIPE_DEPTH => DM_WRITE_ADDR_PIPE_DEPTH, C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => C_M_AXI_MAX_BURST_LEN , C_DRE_IS_USED => C_INCLUDE_DRE , C_STREAM_DWIDTH => C_M_AXI_DATA_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock input aclk => axi_aclk , -- Reset input reset => sig_sf_reset , -- DataMover Read Side Address Pipelining control Interface ---- ok_to_post_rd_addr => sig_mm2s_allow_addr_req , rd_addr_posted => sig_mm2s_addr_req_posted , rd_xfer_cmplt => sig_mm2s_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S --------------------- sf2sin_tready => sig_mm2s_axis_tready , sin2sf_tvalid => sig_mm2s_axis_tvalid , sin2sf_tdata => sig_mm2s_axis_tdata , sin2sf_tkeep => sig_mm2s_axis_tkeep , sin2sf_tlast => sig_mm2s_axis_tlast , -- DataMover Write Side Address Pipelining control Interface --- ok_to_post_wr_addr => sig_s2mm_allow_addr_req , wr_addr_posted => sig_s2mm_addr_req_posted , wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , wr_ld_nxt_len => sig_s2mm_ld_nxt_len , wr_len => sig_s2mm_wr_len , -- Write Side Stream Out to DataMover S2MM --------------------- sout2sf_tready => sig_s2mm_axis_tready , sf2sout_tvalid => sig_s2mm_axis_tvalid , sf2sout_tdata => sig_s2mm_axis_tdata , sf2sout_tkeep => sig_s2mm_axis_tkeep , sf2sout_tlast => sig_s2mm_axis_tlast ); end generate GEN_INCLUDE_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_SF -- -- If Generate Description: -- This IfGen includes the Store and Forward module -- -- ------------------------------------------------------------ GEN_OMIT_SF : if (C_INCLUDE_SF = 0) generate begin sig_mm2s_allow_addr_req <= LOGIC_HIGH; sig_s2mm_allow_addr_req <= LOGIC_HIGH; sig_mm2s_axis_tready <= sig_s2mm_axis_tready ; sig_s2mm_axis_tvalid <= sig_mm2s_axis_tvalid ; sig_s2mm_axis_tdata <= sig_mm2s_axis_tdata ; sig_s2mm_axis_tkeep <= sig_mm2s_axis_tkeep ; sig_s2mm_axis_tlast <= sig_mm2s_axis_tlast ; end generate GEN_OMIT_SF; end implementation; ------------------------------------------------------------------------------- -- axi_cdma_sg_cntlr ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_sg_cntlr.vhd -- Description: This entity is reset module entity for the AXI DMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pulse_gen; ------------------------------------------------------------------------------- entity axi_cdma_sg_cntlr is Generic ( C_SG_ADDR_WIDTH : integer := 32; C_SG_FETCH_DWIDTH : integer := 32; C_SG_PTR_UPDATE_DWIDTH : integer := 32; C_SG_STS_UPDATE_DWIDTH : integer := 33; C_DM_CMD_WIDTH : integer := 72; C_DM_DATA_WIDTH : integer := 32; C_DM_MM2S_STATUS_WIDTH : integer := 8; C_DM_S2MM_STATUS_WIDTH : integer := 8; C_FAMILY : String := "virtex7" ); port ( -- Clock Input axi_aclk : in std_logic ; -- Reset Input (active high) axi_reset : in std_logic ; -- Halt request from the Reset module rst2sgcntl_halt : in std_logic ; -- Halt complete status to the Reset module sgcntl2rst_halt_cmplt : out std_logic ; -- SG Queue Flush Request sgcntlr2sg_desc_flush : out std_logic ; -- Register Module SG Mode Control reg2sgcntl_sg_mode : in std_logic ; -- MM2S Type of Burst, 1 is increment, 0 is fixed burst_type_read : in std_logic; -- S2MM Type of Burst, 1 is increment, 0 is fixed burst_type_write : in std_logic; -- Register Module Tail pointer updated flag reg2sgcntl_tailpntr_updated : in std_logic ; -- Register Module Current Desciptor pointer updated flag reg2sgcntl_currdesc_updated : in std_logic ; -- Run/Stop Control to SG sgcntlr2sg_run_stop : out std_logic ; -- Idle bit set to Register Module Status Register sgcntl2reg_idle_set : out std_logic ; -- Idle bit clear to Register Module Status Register sgcntl2reg_idle_clr : out std_logic ; -- SOF control to SG sgcntl2sg_pkt_sof : out std_logic ; -- EOF control to SG sgcntl2sg_pkt_eof : out std_logic ; -- Interrupt on complete status bit set from SG sg2sgcntl_ioc_irq_set : in std_logic ; -- Delay Interrupt status bit set from SG sg2sgcntl_dly_irq_set : in std_logic ; -- Interrupt on complete status bit set to Register Module sgcntl2reg_ioc_irq_set : out std_logic ; -- Delay Interrupt status bit set to Register Module sgcntl2reg_dly_irq_set : out std_logic ; -- Descriptor Fetch Stream Interface from SG sgcntl2sg_ftch_tready : out std_logic ; -- Axi4-Stream sg2sgcntlr_ftch_tvalid : in std_logic ; -- Axi4-Stream sg2sgcntlr_ftch_tvalid_new : in std_logic ; -- Axi4-Stream sg2sgcntlr_ftch_tdata : in std_logic_vector(C_SG_FETCH_DWIDTH-1 downto 0) ; -- Axi4-Stream sg2sgcntlr_ftch_tdata_new : in std_logic_vector(127+(3*(C_SG_ADDR_WIDTH-32)) downto 0) ; -- Axi4-Stream sg2sgcntlr_ftch_tlast : in std_logic ; -- Axi4-Stream sig_sg2sgcntlr_ftch_desc_available : in std_logic; -- Descriptor Pointer Update Stream to SG sg2sgcntlr_updptr_tready : in std_logic ; -- Axi4-Stream sgcntl2sg_updptr_tvalid : out std_logic ; -- Axi4-Stream sgcntl2sg_updptr_tdata : out std_logic_vector(C_SG_PTR_UPDATE_DWIDTH-1 downto 0) ;-- Axi4-Stream sgcntl2sg_updptr_tlast : out std_logic ; -- Axi4-Stream -- Descriptor Status Update Stream to SG sg2sgcntlr_updsts_tready : in std_logic ; -- Axi4-Stream sgcntl2sg_updsts_tvalid : out std_logic ; -- Axi4-Stream sgcntl2sg_updsts_tdata : out std_logic_vector(C_SG_STS_UPDATE_DWIDTH-1 downto 0) ;-- Axi4-Stream sgcntl2sg_updsts_tlast : out std_logic ; -- Axi4-Stream -- Descriptor Fetch Idle status from SG sg2sgcntlr_ftch_idle : in std_logic ; -- Descriptor Fetch error early from SG sg2sgcntlr_ftch_err_early : in std_logic ; -- Descriptor Fetch stale descriptor error from SG sg2sgcntlr_ftch_stale_desc : in std_logic ; -- Descriptor Fetch error from SG sg2sgcntlr_ftch_error : in std_logic ; -- Descriptor update Idle status from SG sg2sgcntlr_updt_idle : in std_logic ; -- Descriptor interrupt on complete bit set from SG sg2sgcntlr_updt_ioc_irq_set : in std_logic ; -- Descriptor Update error from SG sg2sgcntlr_updt_error : in std_logic ; -- Echo of Main DataMover internal error from SG sg2sgcntlr_dma_interr_set : in std_logic ; -- Echo of Main DataMover Slave error from SG sg2sgcntlr_dma_slverr_set : in std_logic ; -- Echo of Main DataMover Decode error from SG sg2sgcntlr_dma_decerr_set : in std_logic ; -- Echo of Main DataMover internal error to the Register Module sgcntlr2reg_dma_interr_set : out std_logic ; -- Echo of Main DataMover Slave error to the Register Module sgcntlr2reg_dma_slverr_set : out std_logic ; -- Echo of Main DataMover Decode error to the Register Module sgcntlr2reg_dma_decerr_set : out std_logic ; -- Current Descriptor write control to Register Module sgcntlr2reg_new_curdesc_wren : out std_logic ; -- Current Descriptor to Register Module sgcntlr2reg_new_curdesc : out std_logic_vector(C_SG_ADDR_WIDTH-1 downto 0) ; -- DataMover MM2S Command Interface Ports (AXI Stream) mm2s2sgcntl_cmd_tready : in std_logic ; -- DM MM2S CMD IF sgcntl2mm2s_cmd_tvalid : out std_logic ; -- DM MM2S CMD IF sgcntl2mm2s_cmd_tdata : out std_logic_vector(C_DM_CMD_WIDTH-1 downto 0); -- DM MM2S CMD IF -- DataMover MM2S Status Interface Ports (AXI Stream) sgcntl2mm2s_sts_tready : out std_logic ; -- DM MM2S Status IF mm2s2sgcntl_sts_tvalid : in std_logic ; -- DM MM2S Status IF mm2s2sgcntl_sts_tdata : in std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); -- DM MM2S Status IF mm2s2sgcntl_sts_tstrb : in std_logic_vector((C_DM_MM2S_STATUS_WIDTH/8)-1 downto 0); -- DM MM2S Status IF -- DataMover MM2S error discrete mm2s2sgcntl_err : in std_logic ; -- DataMover MM2S Halt request input sgcntl2mm2s_halt : Out std_logic ; -- DataMover MM2S Halt complete flag mm2s2sgcntl_halt_cmplt : In std_logic ; -- DataMover S2MM Command Interface Ports (AXI Stream) s2mm2sgcntl_cmd_tready : in std_logic ; -- DM S2MM CMD IF sgcntl2s2mm_cmd_tvalid : out std_logic ; -- DM S2MM CMD IF sgcntl2s2mm_cmd_tdata : out std_logic_vector(C_DM_CMD_WIDTH-1 downto 0); -- DM S2MM CMD IF -- DataMover S2MM Status Interface Ports (AXI Stream) sgcntl2s2mm_sts_tready : out std_logic ; -- DM S2MM Status IF s2mm2sgcntl_sts_tvalid : in std_logic ; -- DM S2MM Status IF s2mm2sgcntl_sts_tdata : in std_logic_vector(C_DM_S2MM_STATUS_WIDTH-1 downto 0); -- DM S2MM Status IF s2mm2sgcntl_sts_tstrb : in std_logic_vector((C_DM_S2MM_STATUS_WIDTH/8)-1 downto 0);-- DM S2MM Status IF -- DataMover S2MM error discrete s2mm2sgcntl_err : in std_logic ; -- DataMover S2MM Halt request input sgcntl2s2mm_halt : Out std_logic ; -- DataMover S2MM Halt complete flag s2mm2sgcntl_halt_cmplt : In std_logic ); end axi_cdma_sg_cntlr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_sg_cntlr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_calc_offset_bits -- -- Function Description: -- Calculates the width of the destination address offset bits -- needed for populating the MM2S Command DSA field. -- ------------------------------------------------------------------- function funct_calc_offset_bits (data_width : integer) return integer is Variable lvar_bits_needed : Integer := 0; begin case data_width is when 32 => lvar_bits_needed := 2; when 64 => lvar_bits_needed := 3; when 128 => lvar_bits_needed := 4; when 256 => lvar_bits_needed := 5; when 512 => lvar_bits_needed := 6; when others => -- 256 bits lvar_bits_needed := 5; end case; Return (lvar_bits_needed); end function funct_calc_offset_bits; ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant DM_CMD_PEND_WIDTH : integer := 5; -- bits Constant DM_CMD_PEND_ONE : unsigned(DM_CMD_PEND_WIDTH-1 downto 0) := TO_UNSIGNED(1,DM_CMD_PEND_WIDTH); Constant DM_CMD_PEND_ZERO : unsigned(DM_CMD_PEND_WIDTH-1 downto 0) := TO_UNSIGNED(0,DM_CMD_PEND_WIDTH); Constant NO_SYNCHRONIZERS : integer := 0; Constant POSITIVE_EDGE_TRIGGER : integer := 1; Constant NEGATIVE_EDGE_TRIGGER : integer := 0; Constant TWO_CLKS : integer := 2; Constant ONE_CLK : integer := 1; Constant CMD_TAG_WIDTH : integer := 4; Constant CMD_DSA_WIDTH : integer := 6; Constant DSA_ADDR_OFFSET_WIDTH : integer := funct_calc_offset_bits(C_DM_DATA_WIDTH); Constant CMD_RSVD : std_logic_vector(3 downto 0) := (others => '0'); Constant CMD_DSA_ZEROED : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); Constant STS_TAG_MS_INDEX : integer := CMD_TAG_WIDTH-1; Constant STS_INTERR_INDEX : integer := STS_TAG_MS_INDEX+1; Constant STS_DECERR_INDEX : integer := STS_INTERR_INDEX+1; Constant STS_SLVERR_INDEX : integer := STS_DECERR_INDEX+1; Constant STS_OK_INDEX : integer := STS_SLVERR_INDEX+1; Constant DM_ADDR_FIELD_WIDTH : integer := 32; Constant DM_BTT_FIELD_WIDTH : integer := 23; Constant BTT_ZERO : std_logic_vector(DM_BTT_FIELD_WIDTH-1 downto 0) := (others => '0'); Constant TAG_CNT_ONE : unsigned(CMD_TAG_WIDTH-1 downto 0) := TO_UNSIGNED(1,CMD_TAG_WIDTH); Constant DESCR_DBEAT_CNT_WIDTH : integer := 3; -- bits Constant DESCR_DBEAT_CNT_ONE : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1,DESCR_DBEAT_CNT_WIDTH); -- Descriptor Load databeat positions Constant CDA_LS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(0,DESCR_DBEAT_CNT_WIDTH); Constant CDA_MS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1,DESCR_DBEAT_CNT_WIDTH); -- Constant SA_LS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(2,DESCR_DBEAT_CNT_WIDTH); Constant SA_LS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1,DESCR_DBEAT_CNT_WIDTH); Constant SA_MS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(3,DESCR_DBEAT_CNT_WIDTH); -- Constant DA_LS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(4,DESCR_DBEAT_CNT_WIDTH); Constant DA_LS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(2,DESCR_DBEAT_CNT_WIDTH); Constant DA_MS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(5,DESCR_DBEAT_CNT_WIDTH); -- Constant BTT : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(6,DESCR_DBEAT_CNT_WIDTH); Constant BTT : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(3,DESCR_DBEAT_CNT_WIDTH); Constant STATUS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(7,DESCR_DBEAT_CNT_WIDTH); -- Status update word reserved field Constant STATUS_USED_WIDTH : integer := 1 -- Update IOC bit + 1 -- Cmplt bit + 1 -- DMADecErr bit + 1 -- DMASlvErr bit + 1 ; -- DMAIntErr bit Constant STATUS_RSVD_WIDTH : integer := C_SG_STS_UPDATE_DWIDTH - STATUS_USED_WIDTH; Constant STATUS_RSVD : std_logic_vector(STATUS_RSVD_WIDTH-1 downto 0) := (others => '0'); Constant FTCH_UPDT_CNTR_WIDTH : integer := 5; -- 5 bits wide Constant FTCH_UPDT_ZERO : unsigned(FTCH_UPDT_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, FTCH_UPDT_CNTR_WIDTH); Constant FTCH_UPDT_ONE : unsigned(FTCH_UPDT_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, FTCH_UPDT_CNTR_WIDTH); Constant UPDT_FLTR_WIDTH : integer := 8; -- 8 clocks Constant UPDT_FLTR_CNTR_WIDTH : integer := 4; -- 4 bits wide Constant UPDT_FLTR_CNTR_LD_VALUE : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(UPDT_FLTR_WIDTH, UPDT_FLTR_CNTR_WIDTH); Constant UPDT_FLTR_CNTR_ZERO : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, UPDT_FLTR_CNTR_WIDTH); Constant UPDT_FLTR_CNTR_ONE : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, UPDT_FLTR_CNTR_WIDTH); Constant FETCH_LIMIT : integer := 4; -- limit of allowed prefetches for DM Cmds Constant FTCH_LIMITER_CNTR_WIDTH : integer := 4; -- 4 bits wide (16 values) Constant FTCH_LIMIT_VALUE : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(FETCH_LIMIT, FTCH_LIMITER_CNTR_WIDTH); Constant FTCH_LIMITER_CNTR_ZERO : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, FTCH_LIMITER_CNTR_WIDTH); Constant FTCH_LIMITER_CNTR_ONE : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, FTCH_LIMITER_CNTR_WIDTH); ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- -- Define the Fetch State Machine type and states type sg_fetch_sm_type is (FTCH_IDLE , CHK_SG_DM_RDY , LOAD_DESC , XFER_DONE ); -- Define the Status State Machine type and states type sg_status_sm_type is (STS_IDLE , GET_MM2S_STATUS , GET_S2MM_STATUS , DO_UPDATE ); -- Update Stream arbiter type type update_arb_type is (ARB_IDLE , ARB_GRANT_FETCH , ARB_GRANT_STATUS ); -- shutdown sequencer type type shtdwn_type is (SHTDWN_IDLE , HALT_FTCH_DM , WAIT_FTCH_IDLE , WAIT_FTCH_UPDATE , WAIT_DM_HALT_CMPLT , WAIT_STS_IDLE , WAIT_STS_UPDATE , WAIT_SG_UPDATE , SHTDWN_CMPLT ); ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- -- Fetch State machine signal sig_ftch_sm_state : sg_fetch_sm_type := FTCH_IDLE; signal sig_ftch_sm_state_ns : sg_fetch_sm_type := FTCH_IDLE; signal sig_ftch_sm_set_getdesc : std_logic := '0'; signal sig_ftch_sm_set_getdesc_ns : std_logic := '0'; signal sig_ftch_sm_ld_dm_cmd : std_logic := '0'; signal sig_ftch_sm_ld_dm_cmd_ns : std_logic := '0'; signal sig_ftch_sm_push_updt : std_logic := '0'; signal sig_ftch_sm_push_updt_ns : std_logic := '0'; signal sig_ftch_sm_done : std_logic := '0'; signal sig_ftch_sm_done_ns : std_logic := '0'; -- Status State machine signal sig_sts_sm_state : sg_status_sm_type := STS_IDLE; signal sig_sts_sm_state_ns : sg_status_sm_type := STS_IDLE; signal sig_sts_sm_pop_mm2s_sts : std_logic := '0'; signal sig_sts_sm_pop_mm2s_sts_ns : std_logic := '0'; signal sig_sts_sm_pop_s2mm_sts : std_logic := '0'; signal sig_sts_sm_pop_s2mm_sts_ns : std_logic := '0'; signal sig_sts_sm_push_updt : std_logic := '0'; signal sig_sts_sm_push_updt_ns : std_logic := '0'; -- High level control signal sig_sg_active : std_logic := '1'; signal sig_sg_run : std_logic := '0'; signal sig_idle_clr : std_logic := '0'; signal sig_idle_set : std_logic := '0'; signal sig_dm_cmd_pend_cntr : unsigned(DM_CMD_PEND_WIDTH-1 downto 0) := (others => '0'); signal sig_inc_cmd_pending : std_logic := '0'; signal sig_decr_cmd_pending : std_logic := '0'; signal sig_dm_cmd_pend_eq0 : std_logic := '0'; signal sig_composite_idle : std_logic := '0'; -- Soft shutdown support signal sig_halt_request : std_logic := '0'; signal sig_halt_cmplt_reg : std_logic := '0'; -- DataMover Cmd/Status IF signal sig_cmd_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_tag_cntr : unsigned(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_dsa_offset : std_logic_vector(DSA_ADDR_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_dsa_field : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_dm_slice : std_logic_vector(DM_BTT_FIELD_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_s2mm_cmd_rdy : std_logic := '0'; signal sig_mm2s_cmd : std_logic_vector(C_DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_cmd_valid : std_logic := '0'; signal sig_mm2s_cmd_ready : std_logic := '0'; signal sig_mm2s_sts_tready : std_logic ; signal sig_mm2s_sts_tvalid : std_logic ; signal sig_mm2s_sts_tdata : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); signal sig_s2mm_cmd : std_logic_vector(C_DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_cmd_valid : std_logic := '0'; signal sig_s2mm_cmd_ready : std_logic := '0'; signal sig_s2mm_sts_tready : std_logic ; signal sig_s2mm_sts_tvalid : std_logic ; signal sig_s2mm_sts_tdata : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); -- DataMover Status Scoring and Update signal sig_mm2s_status_reg : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_status_reg : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_slverr : std_logic := '0'; signal sig_mm2s_decerr : std_logic := '0'; signal sig_mm2s_interr : std_logic := '0'; signal sig_s2mm_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_slverr : std_logic := '0'; signal sig_s2mm_decerr : std_logic := '0'; signal sig_s2mm_interr : std_logic := '0'; signal sig_mm2s2cntl_err : std_logic := '0'; signal sig_s2mm2cntl_err : std_logic := '0'; signal sig_composite_interr : std_logic := '0'; signal sig_composite_slverr : std_logic := '0'; signal sig_composite_decerr : std_logic := '0'; signal sig_tag_error : std_logic := '0'; -- SG Update Ready signals signal sig_fetch_updptr_tready : std_logic := '0'; signal sig_status_updsts_tready : std_logic := '0'; -- Descriptor Fetch support signal sig_fetch_dbeat_cnt : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_good_fetch_dbeat : std_logic := '0'; signal sig_fetch_go : std_logic := '0'; signal sig_fetch_done : std_logic := '0'; signal sig_fetch_last : std_logic := '0'; -- Descriptor fetch holding registers signal sig_curr_desc_pntr_reg : std_logic_vector(C_SG_FETCH_DWIDTH-1 downto 0) := (others => '0'); signal sig_curr_desc_pntr_reg_64 : std_logic_vector(C_SG_FETCH_DWIDTH-1 downto 0) := (others => '0'); signal sig_src_addr_reg : std_logic_vector(C_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_dest_addr_reg : std_logic_vector(C_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_reg : std_logic_vector(C_SG_FETCH_DWIDTH-1 downto 0) := (others => '0'); -- Descriptor fetch SG update support signal sig_ld_fetch_update_reg : std_logic := '0'; signal sig_pop_fetch_update_reg : std_logic := '0'; signal sig_fetch_update_reg : std_logic_vector(C_SG_PTR_UPDATE_DWIDTH-1 downto 0) := (others => '0'); signal sig_fetch_update_full_1 : std_logic := '0'; signal sig_fetch_update_empty_1 : std_logic := '0'; signal sig_fetch_update_full : std_logic := '0'; signal sig_fetch_update_empty : std_logic := '0'; signal sig_fetch_update_last : std_logic := '0'; -- Status SG Update support signal sig_ld_dm_status_reg : std_logic := '0'; signal sig_pop_dm_status_reg : std_logic := '0'; signal sig_dm_status_reg : std_logic_vector(C_SG_STS_UPDATE_DWIDTH-1 downto 0) := (others => '0'); signal sig_dm_status_full : std_logic := '0'; signal sig_dm_status_empty : std_logic := '0'; -- Controlled Shutdown support Signal sig_shtdwn_sm_state : shtdwn_type := SHTDWN_IDLE; Signal sig_shtdwn_sm_state_ns : shtdwn_type := SHTDWN_IDLE; signal sig_shtdwn_sm_flush_sg : std_logic := '0'; signal sig_shtdwn_sm_flush_sg_ns : std_logic := '0'; signal sig_shtdwn_sm_set_ftch_halt : std_logic := '0'; signal sig_shtdwn_sm_set_ftch_halt_ns : std_logic := '0'; signal sig_shtdwn_sm_set_dm_halt : std_logic := '0'; signal sig_shtdwn_sm_set_dm_halt_ns : std_logic := '0'; signal sig_shtdwn_sm_set_sts_halt : std_logic := '0'; signal sig_shtdwn_sm_set_sts_halt_ns : std_logic := '0'; signal sig_shtdwn_sm_set_cmplt : std_logic := '0'; signal sig_shtdwn_sm_set_cmplt_ns : std_logic := '0'; signal sig_do_shutdown : std_logic := '0'; signal sig_sg_error : std_logic := '0'; signal sig_halt_fetch : std_logic := '0'; signal sig_halt_status : std_logic := '0'; signal sig_halt_dm : std_logic := '0'; signal sig_dmhalt_cmplt : std_logic := '0'; signal sig_flush_sg : std_logic := '0'; signal sig_ftchsm_idle : std_logic := '0'; signal sig_stssm_idle : std_logic := '0'; -- SG Idle detection enhancement signal sig_ftch_updt_cntr : unsigned(FTCH_UPDT_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_incr_ftch_updt_cntr : std_logic := '0'; signal sig_decr_ftch_updt_cntr : std_logic := '0'; signal sig_ftch_updt_cntr_eq0 : std_logic := '0'; signal sig_final_updt_idle : std_logic := '0'; signal sig_update_idle_rising : std_logic := '0'; signal sig_shutdown_idle : std_logic := '0'; signal sig_shutdown_idle_rising : std_logic := '0'; signal sig_updt_filter_cntr : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_updt_filter_cntr_eq0 : std_logic := '0'; signal sig_ld_updt_filter_cntr : std_logic := '0'; -- SG Fetch Limiter (lock up avoidance) signal sig_ftch_limit_cntr : unsigned(FTCH_LIMITER_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_incr_ftch_limit_cntr : std_logic := '0'; signal sig_decr_ftch_limit_cntr : std_logic := '0'; signal sig_ftch_limit_cntr_eq0 : std_logic := '0'; signal sig_ftch_limit_cntr_eqlimit : std_logic := '0'; signal type_of_burst_write : std_logic; signal type_of_burst : std_logic; signal ZERO_WORD : std_logic_vector (31 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- SG Run/Stop ------------------------------------------------------------------------------- sgcntlr2sg_run_stop <= sig_sg_run ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SG_RUN_FLOP -- -- Process Description: -- Implements the flop for the SG Run control. The Run/Stop -- control is set when SG Mode is enabled and the Current -- Descriptor Register is updated by SW (in the Reg Module). -- ------------------------------------------------------------- IMP_SG_RUN_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or reg2sgcntl_sg_mode = '0') then sig_sg_run <= '0'; elsif (reg2sgcntl_currdesc_updated = '1') then sig_sg_run <= '1'; else null; -- Hold Current State end if; end if; end process IMP_SG_RUN_FLOP; ------------------------------------------------------------------------------- -- SG Xfer "Really" Done Detection ------------------------------------------------------------------------------- sig_idle_set <= (not(sig_do_shutdown) and -- not in a shutdown sequence sig_update_idle_rising and -- update engine done sig_ftch_updt_cntr_eq0 and -- the last update queued sig_updt_filter_cntr_eq0 and sg2sgcntlr_ftch_idle) or -- not in update filter period sig_shutdown_idle_rising; -- in shutdown and complete sig_incr_ftch_updt_cntr <= sig_ftch_sm_set_getdesc ; sig_decr_ftch_updt_cntr <= sig_pop_dm_status_reg ; sig_ftch_updt_cntr_eq0 <= '1' when sig_ftch_updt_cntr = FTCH_UPDT_ZERO Else '0'; sig_final_updt_idle <= sig_ftch_updt_cntr_eq0 and -- all fetches have corresponding updates sg2sgcntlr_updt_idle ; -- and the SG Update engine is idle ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FTCH_UPDT_CNTR -- -- Process Description: -- Implements a counter to keep track of the number of -- descriptor fetches and updates. This is used to detirmine -- when SG operation are really completed. -- ------------------------------------------------------------- IMP_FTCH_UPDT_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_ftch_updt_cntr <= FTCH_UPDT_ZERO; elsif (sig_incr_ftch_updt_cntr = '1' and sig_decr_ftch_updt_cntr = '0') then sig_ftch_updt_cntr <= sig_ftch_updt_cntr + FTCH_UPDT_ONE; Elsif (sig_ftch_updt_cntr_eq0 = '0' and sig_decr_ftch_updt_cntr = '1' and sig_incr_ftch_updt_cntr = '0') Then sig_ftch_updt_cntr <= sig_ftch_updt_cntr - FTCH_UPDT_ONE; else null; -- Hold Current State end if; end if; end process IMP_FTCH_UPDT_CNTR; ------------------------------------------------------------ -- Instance: I_GEN_SG_IDLE_RISE -- -- Description: -- Generates a pulse signaling the last SG update -- operation has completed. -- ------------------------------------------------------------ I_GEN_SG_IDLE_RISE : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => POSITIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => ONE_CLK ) port map ( Clk_In => axi_aclk , Rst_In => axi_reset , Sig_in => sg2sgcntlr_updt_idle , Pulse_Out => sig_update_idle_rising ); ------------------------------------------------------------------------------- -- Update Filter Counter -- -- Used to filter the lag between the acceptance of an update by the SG -- and the Update Idle flag being reset by the SG (going to not idle). -- ------------------------------------------------------------------------------- -- Start the filter counter when a status update is accepted -- by the SG Update interface sig_ld_updt_filter_cntr <= sig_pop_dm_status_reg; sig_updt_filter_cntr_eq0 <= '1' when (sig_updt_filter_cntr = UPDT_FLTR_CNTR_ZERO) else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_UPDT_FILTER_CNTR -- -- Process Description: -- Implements a counter to filter the time lag between an update -- being accepted by the SG and the Update Idle Flag being reset -- by the SG. -- ------------------------------------------------------------- IMP_UPDT_FILTER_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_updt_filter_cntr <= UPDT_FLTR_CNTR_ZERO; Elsif (sig_ld_updt_filter_cntr = '1') Then sig_updt_filter_cntr <= UPDT_FLTR_CNTR_LD_VALUE; Elsif (sig_updt_filter_cntr_eq0 = '0') Then sig_updt_filter_cntr <= sig_updt_filter_cntr - UPDT_FLTR_CNTR_ONE; else null; -- Hold Current State end if; end if; end process IMP_UPDT_FILTER_CNTR; ------------------------------------------------------------------------------- -- Idle Set and Clear logic ------------------------------------------------------------------------------- -- The SG operation starts when the Tail Pointer is written by SW in the -- Register Module sgcntl2reg_idle_set <= sig_idle_set ; sgcntl2reg_idle_clr <= sig_idle_clr ; ------------------------------------------------------------ -- Instance: I_GEN_IDLE_CLR -- -- Description: -- Generates the Idle Clear pulse of 1 clock width. -- ------------------------------------------------------------ I_GEN_IDLE_CLR : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => POSITIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => ONE_CLK ) port map ( Clk_In => axi_aclk , Rst_In => axi_reset , Sig_in => reg2sgcntl_tailpntr_updated, Pulse_Out => sig_idle_clr ); sig_shutdown_idle <= (sig_do_shutdown and -- In a shutdown sequence and sig_halt_cmplt_reg ); -- shutdown complete ------------------------------------------------------------ -- Instance: I_GEN_IDLE_SET -- -- Description: -- Generates the Idle Set pulse of 1 clock width. -- ------------------------------------------------------------ I_GEN_IDLE_SET : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => POSITIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => ONE_CLK ) port map ( Clk_In => axi_aclk , Rst_In => axi_reset , Sig_in => sig_shutdown_idle , Pulse_Out => sig_shutdown_idle_rising ); -- Controls for the DataMover Command pending counter sig_inc_cmd_pending <= sig_ftch_sm_ld_dm_cmd; sig_decr_cmd_pending <= sig_sts_sm_push_updt ; sig_dm_cmd_pend_eq0 <= '1' when (sig_dm_cmd_pend_cntr = DM_CMD_PEND_ZERO) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DM_CMD_PEND_CNTR -- -- Process Description: -- -- ------------------------------------------------------------- IMP_DM_CMD_PEND_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_dm_cmd_pend_cntr <= DM_CMD_PEND_ZERO; elsif (sig_inc_cmd_pending = '1' and sig_decr_cmd_pending = '0') then sig_dm_cmd_pend_cntr <= sig_dm_cmd_pend_cntr + DM_CMD_PEND_ONE; elsif (sig_inc_cmd_pending = '0' and sig_decr_cmd_pending = '1' and sig_dm_cmd_pend_eq0 = '0') then sig_dm_cmd_pend_cntr <= sig_dm_cmd_pend_cntr - DM_CMD_PEND_ONE; else null; -- Hold Current State end if; end if; end process IMP_DM_CMD_PEND_CNTR; ------------------------------------------------------------------------------- -- SOF/EOF control logic ------------------------------------------------------------------------------- -- Since CDMA does not need to support SOF/EOF concept (no Stream IF) then -- every descriptor processed is by definition a EOF/SOF case (from the -- viewpoint of the DataMover and the SG engine). sgcntl2sg_pkt_sof <= sig_idle_clr or -- Used to stop Delay Timer when a descr is ready or sig_shtdwn_sm_set_cmplt ; -- Stop delay timer on shutdown completion sgcntl2sg_pkt_eof <= sig_idle_set ; -- Used to start Delay timer ------------------------------------------------------------------------------- -- IOC and Delay Interrupt set control logic ------------------------------------------------------------------------------- -- Just pass these through the SG Controller for now. These were -- brought through the SG Controller just in case the need arose -- for some protection from the register module during simple DMA -- mode. sgcntl2reg_ioc_irq_set <= sg2sgcntl_ioc_irq_set; sgcntl2reg_dly_irq_set <= sg2sgcntl_dly_irq_set; ------------------------------------------------------------------------------- -- Current Descriptor Update to Register module control logic ------------------------------------------------------------------------------- -- Update the Register module with the latest Descriptor's Current -- DEscriptor Address when the SG Fetch Update occurs. sgcntlr2reg_new_curdesc_wren <= sig_ftch_sm_push_updt ; sgcntlr2reg_new_curdesc (31 downto 0) <= sig_curr_desc_pntr_reg ; ------------------------------------------------------------------------------- -- SG DMA Error set control logic ------------------------------------------------------------------------------- -- Just pass these through the SG Controller for now. These were -- brought through the SG Controller just in case the need arose -- for some protection from the register module during simple DMA -- mode. sgcntlr2reg_dma_interr_set <= sg2sgcntlr_dma_interr_set ; sgcntlr2reg_dma_slverr_set <= sg2sgcntlr_dma_slverr_set ; sgcntlr2reg_dma_decerr_set <= sg2sgcntlr_dma_decerr_set ; ------------------------------------------------------------------------------- -- Misc logic ------------------------------------------------------------------------------- -- See if DataMover is ready for next command sig_mm2s_s2mm_cmd_rdy <= sig_mm2s_cmd_ready and sig_s2mm_cmd_ready; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SG_ACTIVE_FLAG -- -- Process Description: -- Internal flag for enable and disable of state machines. -- ------------------------------------------------------------- IMP_SG_ACTIVE_FLAG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_idle_set = '1') then -- sig_sg_active <= '0'; elsif (sig_idle_clr = '1') then -- sig_sg_active <= '1'; else null; -- Hold Current State end if; end if; end process IMP_SG_ACTIVE_FLAG; ------------------------------------------------------------------------------- -- FETCH Prefetch Limiter Logic ------------------------------------------------------------------------------- sig_incr_ftch_limit_cntr <= sig_ftch_sm_set_getdesc ; sig_decr_ftch_limit_cntr <= sig_pop_dm_status_reg ; sig_ftch_limit_cntr_eq0 <= '1' when sig_ftch_limit_cntr = FTCH_LIMITER_CNTR_ZERO Else '0'; sig_ftch_limit_cntr_eqlimit <= '1' when sig_ftch_limit_cntr = FTCH_LIMIT_VALUE Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FTCH_LIMIT_CNTR -- -- Process Description: -- Implements a counter to keep track of the number of -- descriptor fetches and updates. This is used to limit the -- difference to a fixed value to keep the SG Update Queue from -- going full. The SG Update Queue full can lead to SG lockup. -- ------------------------------------------------------------- IMP_FTCH_LIMIT_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_ftch_limit_cntr <= FTCH_LIMITER_CNTR_ZERO; elsif (sig_incr_ftch_limit_cntr = '1' and sig_decr_ftch_limit_cntr = '0') then sig_ftch_limit_cntr <= sig_ftch_limit_cntr + FTCH_LIMITER_CNTR_ONE; Elsif (sig_ftch_limit_cntr_eq0 = '0' and sig_decr_ftch_limit_cntr = '1' and sig_incr_ftch_limit_cntr = '0') Then sig_ftch_limit_cntr <= sig_ftch_limit_cntr - FTCH_LIMITER_CNTR_ONE; else null; -- Hold Current State end if; end if; end process IMP_FTCH_LIMIT_CNTR; ------------------------------------------------------------------------------- -- Descriptor Fetch Logic ------------------------------------------------------------------------------- sgcntl2sg_ftch_tready <= sig_fetch_go or sig_halt_fetch ; -- force tready high on a shutdown -- sig_fetch_last <= sg2sgcntlr_ftch_tlast; sig_fetch_last <= '1'; --sg2sgcntlr_ftch_tlast; -- sig_good_fetch_dbeat <= sig_fetch_go and sig_good_fetch_dbeat <= sg2sgcntlr_ftch_tvalid_new; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FETCH_GO_FLOP -- -- Process Description: -- Implements the fetch go and done flags -- ------------------------------------------------------------- IMP_FETCH_GO_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_ftch_sm_done = '1') then -- sig_fetch_go <= '0'; -- sig_fetch_done <= '0'; elsif (sig_ftch_sm_set_getdesc_ns = '1') then -- sig_fetch_go <= '1'; -- sig_fetch_done <= '0'; Elsif (sig_good_fetch_dbeat = '1' and sig_fetch_last = '1') Then -- sig_fetch_go <= '0'; -- sig_fetch_done <= '1'; else null; -- hold current state end if; end if; end process IMP_FETCH_GO_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FETCH_DB_CNTR -- -- Process Description: -- Implements the descriptor fetch data beat counter -- ------------------------------------------------------------- IMP_FETCH_DB_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_ftch_sm_set_getdesc_ns = '1') then sig_fetch_dbeat_cnt <= (others => '0'); elsif (sig_good_fetch_dbeat = '1' and sig_fetch_done = '0' and sig_fetch_last = '0') then sig_fetch_dbeat_cnt <= sig_fetch_dbeat_cnt + DESCR_DBEAT_CNT_ONE ; else null; -- Hold Current State end if; end if; end process IMP_FETCH_DB_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CURR_DESC_PNTR_REG -- -- Process Description: -- Implements the Next descriptor pointer reg. It is -- cleared when the fetch process is complete. -- ------------------------------------------------------------- -- IMP_CURR_DESC_PNTR_REG : process (axi_aclk) -- begin -- if (axi_aclk'event and axi_aclk = '1') then -- if (axi_reset = '1' or -- sig_ftch_sm_done = '1') then -- sig_curr_desc_pntr_reg <= (others => '0'); -- elsif (sig_good_fetch_dbeat = '1' and -- sig_fetch_dbeat_cnt = CDA_LS) then sig_curr_desc_pntr_reg <= sg2sgcntlr_ftch_tdata_new (127 downto 96); -- else -- null; -- Hold Current State -- end if; -- end if; -- end process IMP_CURR_DESC_PNTR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SRC_ADDR_REG -- -- Process Description: -- Implements the Source Address register. It is -- cleared when the fetch process is complete. -- ------------------------------------------------------------- -- IMP_SRC_ADDR_REG : process (axi_aclk) -- begin -- if (axi_aclk'event and axi_aclk = '1') then -- if (axi_reset = '1' or -- sig_ftch_sm_done = '1') then -- sig_src_addr_reg <= (others => '0'); -- elsif (sig_good_fetch_dbeat = '1' and -- sig_fetch_dbeat_cnt = SA_LS) then sig_src_addr_reg (31 downto 0) <= sg2sgcntlr_ftch_tdata_new (31 downto 0); -- else -- null; -- Hold Current State -- end if; -- end if; -- end process IMP_SRC_ADDR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DEST_ADDR_REG -- -- Process Description: -- Implements the Destination Address register. It is -- cleared when the fetch process is complete. -- ------------------------------------------------------------- -- IMP_DEST_ADDR_REG : process (axi_aclk) -- begin -- if (axi_aclk'event and axi_aclk = '1') then -- if (axi_reset = '1' or -- sig_ftch_sm_done = '1') then -- sig_dest_addr_reg <= (others => '0'); -- elsif (sig_good_fetch_dbeat = '1' and -- sig_fetch_dbeat_cnt = DA_LS) then sig_dest_addr_reg(31 downto 0) <= sg2sgcntlr_ftch_tdata_new (63 downto 32); -- else -- null; -- Hold Current State -- end if; -- end if; -- end process IMP_DEST_ADDR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_BTT_REG -- -- Process Description: -- Implements the BTT register. It is -- cleared when the fetch process is complete. -- ------------------------------------------------------------- -- IMP_BTT_REG : process (axi_aclk) -- begin -- if (axi_aclk'event and axi_aclk = '1') then -- if (axi_reset = '1' or -- sig_ftch_sm_done = '1') then -- sig_btt_reg <= (others => '0'); -- elsif (sig_good_fetch_dbeat = '1' and -- sig_fetch_dbeat_cnt = BTT) then sig_btt_reg <= sg2sgcntlr_ftch_tdata_new (95 downto 64); -- else -- null; -- Hold Current State -- end if; -- end if; -- end process IMP_BTT_REG; ------------------------------------------------------------------------------- -- Rip the needed BTT bits for the DataMover from the descriptor BTT register ------------------------------------------------------------------------------- sig_btt_dm_slice <= sig_btt_reg(DM_BTT_FIELD_WIDTH-1 downto 0); ------------------------------------------------------------------------------- -- Command TAG Generator (just an incrementing counter) -- The Command tag is used for test and debug to track command execution flow -- through the DataMover. ------------------------------------------------------------------------------- sig_cmd_tag <= STD_LOGIC_VECTOR(sig_cmd_tag_cntr); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DM_TAG_CNTR -- -- Process Description: -- Command tag generator. This is just a simple counter -- that increments every time a command is loaded into the -- DataMover. Counter rollover is ok. -- ------------------------------------------------------------- DM_TAG_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or reg2sgcntl_sg_mode = '0') then sig_cmd_tag_cntr <= (others => '0'); elsif (sig_ftch_sm_ld_dm_cmd = '1') then sig_cmd_tag_cntr <= sig_cmd_tag_cntr + TAG_CNT_ONE; else null; -- hold current state end if; end if; end process DM_TAG_CNTR; ------------------------------------------------------------------------------- -- MM2S Command Generation ------------------------------------------------------------------------------- sgcntl2mm2s_cmd_tdata <= sig_mm2s_cmd ; sgcntl2mm2s_cmd_tvalid <= sig_mm2s_cmd_valid ; sig_mm2s_cmd_ready <= mm2s2sgcntl_cmd_tready ; sig_mm2s_cmd_valid <= sig_ftch_sm_ld_dm_cmd ; type_of_burst <= '1' and (not burst_type_read); -- Formulate the MM2S Command sig_mm2s_cmd <= CMD_RSVD & -- reserved sig_cmd_tag & -- Tag sig_src_addr_reg & -- Address '1' & -- DRR bit '1' & -- EOF bit sig_mm2s_dsa_field & -- DSA Field Assignment type_of_burst & -- '1' & -- Incrementing burst type sig_btt_dm_slice ; -- BTT --ORIGINAL : if C_DM_DATA_WIDTH <= 64 generate --begin -- Rip the Destnation address offset bits sig_mm2s_dsa_offset <= sig_dest_addr_reg(DSA_ADDR_OFFSET_WIDTH-1 downto 0); --end generate ORIGINAL; --NEWDRE : if C_DM_DATA_WIDTH > 64 generate --begin -- -- Rip the Destnation address offset bits -- sig_mm2s_dsa_offset <= (others => '0'); --end generate NEWDRE; -- Size the dest addr offset to the DSA field width sig_mm2s_dsa_field <= STD_LOGIC_VECTOR(RESIZE(UNSIGNED(sig_mm2s_dsa_offset), CMD_DSA_WIDTH)); ------------------------------------------------------------------------------- -- MM2S Status Reg and logic ------------------------------------------------------------------------------- sgcntl2mm2s_sts_tready <= sig_sts_sm_pop_mm2s_sts or sig_halt_dm ; -- allow status to flush on shutdown sig_mm2s_sts_tvalid <= mm2s2sgcntl_sts_tvalid ; sig_mm2s_sts_tdata <= mm2s2sgcntl_sts_tdata ; -- DataMover MM2S Error discrete sig_mm2s2cntl_err <= mm2s2sgcntl_err ; -- Rip the status bits from the status register sig_mm2s_tag <= sig_mm2s_status_reg(STS_TAG_MS_INDEX downto 0); sig_mm2s_interr <= sig_mm2s_status_reg(STS_INTERR_INDEX); sig_mm2s_decerr <= sig_mm2s_status_reg(STS_DECERR_INDEX); sig_mm2s_slverr <= sig_mm2s_status_reg(STS_SLVERR_INDEX); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_MM2S_STATUS_REG -- -- Process Description: -- Implements the MM2S status reply holding register. -- ------------------------------------------------------------- IMP_MM2S_STATUS_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_sts_sm_push_updt = '1') then sig_mm2s_status_reg <= (others => '0'); elsif (sig_sts_sm_pop_mm2s_sts = '1') then sig_mm2s_status_reg <= sig_mm2s_sts_tdata; else null; -- hold current state end if; end if; end process IMP_MM2S_STATUS_REG; ------------------------------------------------------------------------------- -- S2MM Command Generation ------------------------------------------------------------------------------- sgcntl2s2mm_cmd_tdata <= sig_s2mm_cmd ; sgcntl2s2mm_cmd_tvalid <= sig_s2mm_cmd_valid ; sig_s2mm_cmd_ready <= s2mm2sgcntl_cmd_tready ; sig_s2mm_cmd_valid <= sig_ftch_sm_ld_dm_cmd ; type_of_burst_write <= '1' and (not burst_type_write); -- Formulate the S2MM Command sig_s2mm_cmd <= CMD_RSVD & -- reserved sig_cmd_tag & -- Tag sig_dest_addr_reg & -- Address '1' & -- DRR bit '1' & -- EOF bit CMD_DSA_ZEROED & -- DSA Field Assignment type_of_burst_write & -- '1' & -- Incrementing burst type sig_btt_dm_slice ; -- BTT ------------------------------------------------------------------------------- -- S2MM Status Reg and logic ------------------------------------------------------------------------------- sgcntl2s2mm_sts_tready <= sig_sts_sm_pop_s2mm_sts or sig_halt_dm ; -- allow status to flush on shutdown; sig_s2mm_sts_tvalid <= s2mm2sgcntl_sts_tvalid ; sig_s2mm_sts_tdata <= s2mm2sgcntl_sts_tdata ; -- DataMover S2MM Error discrete sig_s2mm2cntl_err <= s2mm2sgcntl_err ; -- Rip the status bits from the status register sig_s2mm_tag <= sig_s2mm_status_reg(STS_TAG_MS_INDEX downto 0); sig_s2mm_interr <= sig_s2mm_status_reg(STS_INTERR_INDEX); sig_s2mm_decerr <= sig_s2mm_status_reg(STS_DECERR_INDEX); sig_s2mm_slverr <= sig_s2mm_status_reg(STS_SLVERR_INDEX); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_S2MM_STATUS_REG -- -- Process Description: -- Implements the MM2S status reply holding register. -- ------------------------------------------------------------- IMP_S2MM_STATUS_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_sts_sm_push_updt = '1') then sig_s2mm_status_reg <= (others => '0'); elsif (sig_sts_sm_pop_s2mm_sts = '1') then sig_s2mm_status_reg <= sig_s2mm_sts_tdata; else null; -- hold current state end if; end if; end process IMP_S2MM_STATUS_REG; ------------------------------------------------------------------------------- -- Fetch Update words formulation ------------------------------------------------------------------------------- -- Assign the Fetch update outputs to the SG Fetch Update port sgcntl2sg_updptr_tvalid <= sig_fetch_update_full ; sgcntl2sg_updptr_tdata <= sig_fetch_update_reg ; sgcntl2sg_updptr_tlast <= sig_fetch_update_last ; sig_fetch_updptr_tready <= sg2sgcntlr_updptr_tready ; sig_fetch_update_last <= sig_fetch_update_full;-- and -- not(sig_fetch_update_full_1); sig_ld_fetch_update_reg <= sig_ftch_sm_push_updt and sig_fetch_update_empty ; sig_pop_fetch_update_reg <= sig_fetch_update_full and sig_fetch_updptr_tready ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FETCH_UPDATE_FLAGS -- -- Process Description: -- Implements the Fetch Update Register status flags. -- Note that this simulates a 2-deep register requiring -- 2 pops to become empty (not full). -- ------------------------------------------------------------- IMP_FETCH_UPDATE_FLAGS : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_pop_fetch_update_reg = '1' or sig_halt_fetch = '1') then -- sig_fetch_update_full_1 <= '0'; sig_fetch_update_full <= '0'; -- sig_fetch_update_empty_1 <= '1'; sig_fetch_update_empty <= '1'; elsif (sig_ld_fetch_update_reg = '1') then -- sig_fetch_update_full_1 <= '1'; sig_fetch_update_full <= '1'; -- sig_fetch_update_empty_1 <= '0'; sig_fetch_update_empty <= '0'; -- elsif (sig_pop_fetch_update_reg = '1') then -- sig_fetch_update_full_1 <= '0'; -- sig_fetch_update_full <= sig_fetch_update_full_1; -- sig_fetch_update_empty_1 <= '1'; -- sig_fetch_update_empty <= sig_fetch_update_empty_1; else null; -- Hold Current State end if; end if; end process IMP_FETCH_UPDATE_FLAGS; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FETCH_UPDATE_REG -- -- Process Description: -- Implements the fetch update register for the current -- descriptor address write to the SG Update port. -- ------------------------------------------------------------- IMP_FETCH_UPDATE_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_pop_fetch_update_reg = '1') then sig_fetch_update_reg (31 downto 0) <= (others => '0'); elsif (sig_ld_fetch_update_reg = '1') then sig_fetch_update_reg (31 downto 0) <= sig_curr_desc_pntr_reg; -- Curr Descr Pointer LS else null; -- Hold Current State end if; end if; end process IMP_FETCH_UPDATE_REG; GEN_64_ADDR : if (C_SG_ADDR_WIDTH = 64) generate begin IMP_FETCH_UPDATE_REG1 : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_pop_fetch_update_reg = '1') then sig_fetch_update_reg (63 downto 32) <= (others => '0'); elsif (sig_ld_fetch_update_reg = '1') then sig_fetch_update_reg (63 downto 32) <= sig_curr_desc_pntr_reg_64; -- Curr Descr Pointer LS else null; -- Hold Current State end if; end if; end process IMP_FETCH_UPDATE_REG1; sgcntlr2reg_new_curdesc (63 downto 32) <= sig_curr_desc_pntr_reg_64 ; sig_src_addr_reg (63 downto 32) <= sg2sgcntlr_ftch_tdata_new (159 downto 128); sig_dest_addr_reg(63 downto 32) <= sg2sgcntlr_ftch_tdata_new (191 downto 160); sig_curr_desc_pntr_reg_64 <= sg2sgcntlr_ftch_tdata_new (223 downto 192); end generate GEN_64_ADDR; ------------------------------------------------------------------------------- -- Status DM Error merging ------------------------------------------------------------------------------- -- If the MM2S Status tag does not match the S2MM Status tag, -- this is a nasty internal error where a status reply has been -- dropped by the DataMover. This is a unique condition for the -- CDMA application. sig_tag_error <= '0' When (sig_halt_dm = '1') else '1' when (sig_s2mm_tag /= sig_mm2s_tag) Else '0'; sig_composite_interr <= (sig_s2mm_interr or sig_mm2s_interr or sig_tag_error) and not(sig_halt_dm) ; sig_composite_slverr <= (sig_s2mm_slverr or sig_mm2s_slverr) and not(sig_halt_dm) ; sig_composite_decerr <= (sig_s2mm_decerr or sig_mm2s_decerr) and not(sig_halt_dm) ; ------------------------------------------------------------------------------- -- Status Update Register Logic ------------------------------------------------------------------------------- -- Assign Output Stream port to SG Status Update interface sgcntl2sg_updsts_tvalid <= sig_dm_status_full; sgcntl2sg_updsts_tdata <= sig_dm_status_reg ; sgcntl2sg_updsts_tlast <= '1' ; sig_status_updsts_tready <= sg2sgcntlr_updsts_tready ; sig_ld_dm_status_reg <= sig_sts_sm_push_updt and sig_dm_status_empty; sig_pop_dm_status_reg <= sig_dm_status_full and sig_status_updsts_tready ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DM_STATUS_REG -- -- Process Description: -- Implements the composite transfer status register for the -- descriptor. -- ------------------------------------------------------------- IMP_DM_STATUS_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_pop_dm_status_reg = '1' or sig_halt_status = '1') then sig_dm_status_reg <= (others => '0'); sig_dm_status_full <= '0'; sig_dm_status_empty <= '1'; elsif (sig_ld_dm_status_reg = '1') then sig_dm_status_reg <= '1' & -- SG Update IOC bit '1' & -- Descriptor Cmplt bit sig_composite_decerr & -- DM Decode Error sig_composite_slverr & -- DM Slave Error sig_composite_interr & -- DM Internal Error STATUS_RSVD ; -- Unused (zeros) sig_dm_status_full <= '1'; sig_dm_status_empty <= '0'; else null; -- Hold Current State end if; end if; end process IMP_DM_STATUS_REG; ------------------------------------------------------------------------------- -- Descriptor Fetch State Machine ------------------------------------------------------------------------------- ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FETCH_SM_COMB -- -- Process Description: -- Implements the combinatorial portion of the Descriptor -- Fetch from SG state machine. -- ------------------------------------------------------------- IMP_FETCH_SM_COMB : process (sig_ftch_sm_state , sig_halt_fetch , sig_sg_active , sig_sg2sgcntlr_ftch_desc_available , sig_mm2s_s2mm_cmd_rdy , sig_fetch_update_empty , -- sig_fetch_done , sig_ftch_limit_cntr_eqlimit ) begin -- assign the default values sig_ftch_sm_state_ns <= FTCH_IDLE ; sig_ftch_sm_set_getdesc_ns <= '0' ; sig_ftch_sm_ld_dm_cmd_ns <= '0' ; sig_ftch_sm_push_updt_ns <= '0' ; sig_ftch_sm_done_ns <= '0' ; case sig_ftch_sm_state is --------------------------------- when FTCH_IDLE => If (sig_sg_active = '1' and sig_halt_fetch = '0') Then -- Start operations sig_ftch_sm_state_ns <= CHK_SG_DM_RDY ; Else -- wait here sig_ftch_sm_state_ns <= FTCH_IDLE ; End if; --------------------------------- when CHK_SG_DM_RDY => if (sig_halt_fetch = '1') then sig_ftch_sm_state_ns <= XFER_DONE ; elsif (sig_ftch_limit_cntr_eqlimit = '0' and sig_sg2sgcntlr_ftch_desc_available = '1' and sig_mm2s_s2mm_cmd_rdy = '1' and sig_fetch_update_empty = '1') then sig_ftch_sm_state_ns <= LOAD_DESC ; sig_ftch_sm_set_getdesc_ns <= '1' ; sig_ftch_sm_ld_dm_cmd_ns <= '1' ; sig_ftch_sm_push_updt_ns <= '1' ; else sig_ftch_sm_state_ns <= CHK_SG_DM_RDY ; end if; --------------------------------- when LOAD_DESC => sig_ftch_sm_set_getdesc_ns <= '0' ; if (sig_halt_fetch = '1') then sig_ftch_sm_state_ns <= XFER_DONE ; else --if (sig_fetch_done = '1') then sig_ftch_sm_state_ns <= XFER_DONE ; -- sig_ftch_sm_ld_dm_cmd_ns <= '1' ; -- sig_ftch_sm_push_updt_ns <= '1' ; -- else -- sig_ftch_sm_state_ns <= LOAD_DESC ; end if; --------------------------------- when XFER_DONE => sig_ftch_sm_state_ns <= FTCH_IDLE ; sig_ftch_sm_done_ns <= '1' ; --------------------------------- when others => sig_ftch_sm_state_ns <= FTCH_IDLE ; end case; end process IMP_FETCH_SM_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FETCH_SM_REG -- -- Process Description: -- Implements the registered portion of the descriptor Fetch -- State Machine. -- ------------------------------------------------------------- IMP_FETCH_SM_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_ftch_sm_state <= FTCH_IDLE ; sig_ftch_sm_set_getdesc <= '0' ; sig_ftch_sm_ld_dm_cmd <= '0' ; sig_ftch_sm_push_updt <= '0' ; sig_ftch_sm_done <= '0' ; -- sig_fetch_go <= '0' ; sig_fetch_done <= '0' ; else sig_ftch_sm_state <= sig_ftch_sm_state_ns ; sig_ftch_sm_set_getdesc <= sig_ftch_sm_set_getdesc_ns ; -- sig_fetch_go <= sig_ftch_sm_set_getdesc_ns ; sig_fetch_done <= sig_fetch_go; sig_ftch_sm_ld_dm_cmd <= sig_ftch_sm_ld_dm_cmd_ns ; sig_ftch_sm_push_updt <= sig_ftch_sm_push_updt_ns ; sig_ftch_sm_done <= sig_ftch_sm_done_ns ; end if; end if; end process IMP_FETCH_SM_REG; sig_fetch_go <= sig_ftch_sm_set_getdesc_ns ; ------------------------------------------------------------------------------- -- Status Update State Machine ------------------------------------------------------------------------------- ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_STATUS_SM_COMB -- -- Process Description: -- Implements the combinatorial portion of the Status Update -- State Machine. -- ------------------------------------------------------------- IMP_STATUS_SM_COMB : process (sig_sts_sm_state , sig_halt_status , sig_sg_active , sig_dm_cmd_pend_eq0 , sig_mm2s_sts_tvalid , sig_s2mm_sts_tvalid , sig_dm_status_empty ) begin -- assign the default values sig_sts_sm_state_ns <= STS_IDLE ; sig_sts_sm_pop_mm2s_sts_ns <= '0' ; sig_sts_sm_pop_s2mm_sts_ns <= '0' ; sig_sts_sm_push_updt_ns <= '0' ; case sig_sts_sm_state is --------------------------------- when STS_IDLE => If (sig_sg_active = '1' and sig_halt_status = '0') Then -- Start operations sig_sts_sm_state_ns <= GET_MM2S_STATUS ; Else -- wait here sig_sts_sm_state_ns <= STS_IDLE ; End if; --------------------------------- when GET_MM2S_STATUS => if (sig_halt_status = '1') then sig_sts_sm_state_ns <= STS_IDLE ; elsif (sig_mm2s_sts_tvalid = '1') then sig_sts_sm_state_ns <= GET_S2MM_STATUS ; sig_sts_sm_pop_mm2s_sts_ns <= '1' ; else sig_sts_sm_state_ns <= GET_MM2S_STATUS ; end if; --------------------------------- when GET_S2MM_STATUS => if (sig_halt_status = '1') then sig_sts_sm_state_ns <= STS_IDLE ; elsif (sig_s2mm_sts_tvalid = '1') then sig_sts_sm_state_ns <= DO_UPDATE ; sig_sts_sm_pop_s2mm_sts_ns <= '1' ; else sig_sts_sm_state_ns <= GET_S2MM_STATUS ; end if; --------------------------------- when DO_UPDATE => If (sig_dm_status_empty = '1') Then sig_sts_sm_state_ns <= STS_IDLE ; sig_sts_sm_push_updt_ns <= '1' ; Else sig_sts_sm_state_ns <= DO_UPDATE ; End if; --------------------------------- when others => -- shouldn't ever get here sig_sts_sm_state_ns <= STS_IDLE ; end case; end process IMP_STATUS_SM_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STATUS_SM_REG -- -- Process Description: -- Implements the registered portion of the Status Update -- State Machine. -- ------------------------------------------------------------- IMP_STATUS_SM_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_sts_sm_state <= STS_IDLE ; sig_sts_sm_pop_mm2s_sts <= '0' ; sig_sts_sm_pop_s2mm_sts <= '0' ; sig_sts_sm_push_updt <= '0' ; else sig_sts_sm_state <= sig_sts_sm_state_ns ; sig_sts_sm_pop_mm2s_sts <= sig_sts_sm_pop_mm2s_sts_ns ; sig_sts_sm_pop_s2mm_sts <= sig_sts_sm_pop_s2mm_sts_ns ; sig_sts_sm_push_updt <= sig_sts_sm_push_updt_ns ; end if; end if; end process IMP_STATUS_SM_REG; ------------------------------------------------------------------------------- -- controlled Shutdown State Machine and related logic ------------------------------------------------------------------------------- -- Reset Module HALT request and complete reply sig_halt_request <= rst2sgcntl_halt ; sgcntl2rst_halt_cmplt <= sig_halt_cmplt_reg; -- SG Descriptor Queue flush request sgcntlr2sg_desc_flush <= sig_flush_sg ; -- DataMover Halt requests sgcntl2mm2s_halt <= sig_halt_dm ; sgcntl2s2mm_halt <= sig_halt_dm ; -- Composite DataMover halt complete flag sig_dmhalt_cmplt <= mm2s2sgcntl_halt_cmplt and s2mm2sgcntl_halt_cmplt ; -- Fetch State Machine Idle flag sig_ftchsm_idle <= '1' when (sig_ftch_sm_state = FTCH_IDLE) Else '0'; -- Status State Machine Idle flag sig_stssm_idle <= '1' when (sig_sts_sm_state = STS_IDLE) Else '0'; -- Composite error flag indicating that an error occured -- during a descriptor fetch or update operation sig_sg_error <= sg2sgcntlr_ftch_error or sg2sgcntlr_updt_error ; -- Formulate the shutdown request decision logic sig_do_shutdown <= sig_halt_request or sig_sg_error or sg2sgcntlr_ftch_stale_desc or sg2sgcntlr_dma_interr_set or sg2sgcntlr_dma_slverr_set or sg2sgcntlr_dma_decerr_set ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_CMPLT_REG -- -- Process Description: -- Implements the Halt Complete register. -- This is sticky and is only cleared by a reset. -- ------------------------------------------------------------- IMP_HALT_CMPLT_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_halt_cmplt_reg <= '0'; elsif (sig_shtdwn_sm_set_cmplt_ns = '1') then sig_halt_cmplt_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_HALT_CMPLT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DM_HALT_FLOP -- -- Process Description: -- Implements the sticky flag that requests a DataMover -- HALT. -- This is sticky and is only cleared by a reset. -- ------------------------------------------------------------- IMP_DM_HALT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_halt_dm <= '0'; elsif (sig_shtdwn_sm_set_dm_halt_ns = '1') then sig_halt_dm <= '1'; else null; -- Hold Current State end if; end if; end process IMP_DM_HALT_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FTCH_HALT_FLOP -- -- Process Description: -- Implements the sticky flag that requests a DataMover -- HALT. -- This is sticky and is only cleared by a reset. -- ------------------------------------------------------------- IMP_FTCH_HALT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_halt_fetch <= '0'; elsif (sig_shtdwn_sm_set_ftch_halt_ns = '1') then sig_halt_fetch <= '1'; else null; -- Hold Current State end if; end if; end process IMP_FTCH_HALT_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DM_SG_FLUSH -- -- Process Description: -- Implements the sticky flag that requests a SG -- Queue flush. The Shutdown state Machine controls -- when it is set. -- This is sticky and is only cleared by a reset. -- ------------------------------------------------------------- IMP_DM_SG_FLUSH : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_flush_sg <= '0'; elsif (sig_shtdwn_sm_flush_sg_ns = '1') then sig_flush_sg <= '1'; else null; -- Hold Current State end if; end if; end process IMP_DM_SG_FLUSH; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STS_HALT_FLOP -- -- Process Description: -- Implements the sticky flag that requests a Status State -- Machine halt. -- This is sticky and is only cleared by a reset. -- ------------------------------------------------------------- IMP_STS_HALT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_halt_status <= '0'; elsif (sig_shtdwn_sm_set_sts_halt_ns = '1') then sig_halt_status <= '1'; else null; -- Hold Current State end if; end if; end process IMP_STS_HALT_FLOP; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_SHTDWN_SM_COMB -- -- Process Description: -- Implements the combinatorial portion of the Shutdown -- State Machine. The shutdown sequence is activated by -- either a soft reset request from the reset module or -- by a detected error condition. -- ------------------------------------------------------------- IMP_SHTDWN_SM_COMB : process (sig_shtdwn_sm_state , sig_do_shutdown , sg2sgcntlr_ftch_idle , sg2sgcntlr_updt_idle , sig_ftchsm_idle , sig_stssm_idle , sig_dm_status_empty , sig_fetch_update_empty , sig_dmhalt_cmplt , sig_sg_error ) begin -- assign the default values sig_shtdwn_sm_state_ns <= SHTDWN_IDLE ; sig_shtdwn_sm_flush_sg_ns <= '0'; sig_shtdwn_sm_set_ftch_halt_ns <= '0'; sig_shtdwn_sm_set_dm_halt_ns <= '0'; sig_shtdwn_sm_set_sts_halt_ns <= '0'; sig_shtdwn_sm_set_cmplt_ns <= '0'; case sig_shtdwn_sm_state is --------------------------------- when SHTDWN_IDLE => if (sig_do_shutdown = '1') then -- start shutdown sequence sig_shtdwn_sm_state_ns <= HALT_FTCH_DM; sig_shtdwn_sm_set_ftch_halt_ns <= '1'; sig_shtdwn_sm_set_dm_halt_ns <= '1'; else -- Stay here sig_shtdwn_sm_state_ns <= SHTDWN_IDLE ; end if; --------------------------------- when HALT_FTCH_DM => sig_shtdwn_sm_state_ns <= WAIT_FTCH_IDLE ; sig_shtdwn_sm_flush_sg_ns <= '1' ; --------------------------------- when WAIT_FTCH_IDLE => if (sig_ftchsm_idle = '1') then sig_shtdwn_sm_state_ns <= WAIT_FTCH_UPDATE ; else sig_shtdwn_sm_state_ns <= WAIT_FTCH_IDLE ; end if; --------------------------------- when WAIT_FTCH_UPDATE => if (sg2sgcntlr_ftch_idle = '1' and sig_ftchsm_idle = '1') then sig_shtdwn_sm_state_ns <= WAIT_DM_HALT_CMPLT ; else sig_shtdwn_sm_state_ns <= WAIT_FTCH_UPDATE ; end if; --------------------------------- when WAIT_DM_HALT_CMPLT => if (sig_dmhalt_cmplt = '1') then sig_shtdwn_sm_state_ns <= WAIT_STS_IDLE ; sig_shtdwn_sm_set_sts_halt_ns <= '1' ; else sig_shtdwn_sm_state_ns <= WAIT_DM_HALT_CMPLT ; end if; --------------------------------- when WAIT_STS_IDLE => if (sig_stssm_idle = '1') then sig_shtdwn_sm_state_ns <= WAIT_STS_UPDATE ; else sig_shtdwn_sm_state_ns <= WAIT_STS_IDLE ; end if; --------------------------------- when WAIT_STS_UPDATE => if (sig_dm_status_empty = '1') then sig_shtdwn_sm_state_ns <= WAIT_SG_UPDATE ; else sig_shtdwn_sm_state_ns <= WAIT_STS_UPDATE ; end if; --------------------------------- when WAIT_SG_UPDATE => if (sg2sgcntlr_updt_idle = '1') then sig_shtdwn_sm_state_ns <= SHTDWN_CMPLT ; sig_shtdwn_sm_set_cmplt_ns <= '1'; else sig_shtdwn_sm_state_ns <= WAIT_SG_UPDATE ; end if; --------------------------------- when SHTDWN_CMPLT => sig_shtdwn_sm_state_ns <= SHTDWN_CMPLT ; sig_shtdwn_sm_set_cmplt_ns <= '1'; --------------------------------- when others => -- shouldn't ever get here sig_shtdwn_sm_state_ns <= SHTDWN_IDLE ; end case; end process IMP_SHTDWN_SM_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SHTDWN_SM_REG -- -- Process Description: -- Implements the registered portion of the shutdown -- State Machine. -- ------------------------------------------------------------- IMP_SHTDWN_SM_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_shtdwn_sm_state <= SHTDWN_IDLE ; sig_shtdwn_sm_flush_sg <= '0' ; sig_shtdwn_sm_set_ftch_halt <= '0' ; sig_shtdwn_sm_set_dm_halt <= '0' ; sig_shtdwn_sm_set_sts_halt <= '0' ; sig_shtdwn_sm_set_cmplt <= '0' ; else sig_shtdwn_sm_state <= sig_shtdwn_sm_state_ns ; sig_shtdwn_sm_flush_sg <= sig_shtdwn_sm_flush_sg_ns ; sig_shtdwn_sm_set_ftch_halt <= sig_shtdwn_sm_set_ftch_halt_ns ; sig_shtdwn_sm_set_dm_halt <= sig_shtdwn_sm_set_dm_halt_ns ; sig_shtdwn_sm_set_sts_halt <= sig_shtdwn_sm_set_sts_halt_ns ; sig_shtdwn_sm_set_cmplt <= sig_shtdwn_sm_set_cmplt_ns ; end if; end if; end process IMP_SHTDWN_SM_REG; end implementation; ------------------------------------------------------------------------------- -- axi_cdma_sg_wrap ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_sg_wrap.vhd -- -- Description: -- -- This file is the module wrapper for the AXI CDMA core when parameterized -- for only Simple Mode DMA operations. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- VHDL Libraries -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; library axi_datamover_v5_1_16; use axi_datamover_v5_1_16.axi_datamover; library axi_sg_v4_1_7; use axi_sg_v4_1_7.axi_sg; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; use axi_cdma_v4_1_14.axi_cdma_reset; use axi_cdma_v4_1_14.axi_cdma_reg_module; use axi_cdma_v4_1_14.axi_cdma_simple_cntlr; use axi_cdma_v4_1_14.axi_cdma_sg_cntlr; use axi_cdma_v4_1_14.axi_cdma_sf; ------------------------------------------------------------------------------- entity axi_cdma_sg_wrap is generic( ----------------------------------------------------------------------- -- AXI Lite Register Interface Parameters ----------------------------------------------------------------------- C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 6; -- Address width of the AXI Lite Interface (bits) C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32; -- Data width of the AXI Lite Interface (bits) C_AXI_LITE_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the AXI Lite Register interface needs to -- be asynchronous to the CDMA data transport path clocking -- 0 = Use same clocking as data path -- 1 = Use special AXI Lite clock for the axi lite interface ----------------------------------------------------------------------- -- DataMover Memory Map Master Interface Parameters ----------------------------------------------------------------------- C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32; -- DataMover Master AXI Memory Map Address Width (bits) C_M_AXI_DATA_WIDTH : integer range 32 to 1024 := 32; -- DataMover Master AXI Memory Map Data Width (bits) C_M_AXI_MAX_BURST_LEN : integer range 2 to 256 := 16; -- DataMover Maximum burst length to use for AXI MMAP requests -- Allowed values are 16, 32, 64, 128, and 256 (data beats) C_INCLUDE_DRE : integer range 0 to 1 := 0; -- Include or exclude DataMover Data Realignment (DRE) -- NOTE: DRE is only available for 32 and 64 bit data widths -- 0 = Exclude DRE -- 1 = Include DRE C_USE_DATAMOVER_LITE : integer range 0 to 1 := 0; -- Enable DataMover Lite mode -- NOTE: Data widths limited to 32 and 64 bits, max burst -- limited to 16, 32, and 64 data beats, no DRE, 4K address -- guarding must be done by SW programmer. -- 0 = Normal DataMover mode -- 1 = Lite dataMover mode C_READ_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- read address pipelining queues for the Main data transport -- channels. The effective address pipelining on the AXI4 Read -- Address Channel will be the value assigned plus 2. C_WRITE_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- write address pipelining queues for the Main data transport -- channel. The effective address pipelining on the AXI4 Write -- Address Channel will be the value assigned plus 2. ----------------------------------------------------------------------- -- Store and Forward Parameters ----------------------------------------------------------------------- C_INCLUDE_SF : integer range 0 to 1 := 1; -- This parameter includes includes/omits Store and Forward. C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 128 ; -- This parameter sets the depth of the Store and Forward FIFO. ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather -- R/W Port (bits) C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather -- R/W Port (bits) C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125; -- Interrupt Delay Timer resolution in clock ticks of axi_aclk ----------------------------------------------------------------------- -- Soft Reset Assertion Time ----------------------------------------------------------------------- C_SOFT_RST_TIME_CLKS : integer range 1 to 64 := 8; -- Specifies the time of the soft reset assertion in -- axi_aclk clock periods. C_ACTUAL_ADDR : integer range 32 to 64 := 32; ----------------------------------------------------------------------- -- Target FPGA Family Parameter ----------------------------------------------------------------------- C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( ----------------------------------------------------------------------- -- Primary Clock ----------------------------------------------------------------------- axi_aclk : in std_logic ; ----------------------------------------------------------------------- -- Primary Reset Input (active low synchronous to axi_aclk) ----------------------------------------------------------------------- axi_resetn : in std_logic ; ----------------------------------------------------------------------- -- AXI Lite clock ----------------------------------------------------------------------- axi_lite_aclk : in std_logic ; ----------------------------------------------------------------------- -- AXI Lite reset (active low synchronous to axi_lite_aclk) ----------------------------------------------------------------------- axi_lite_resetn : in std_logic ; ----------------------------------------------------------------------- -- Interrupt output ----------------------------------------------------------------------- cdma_introut : out std_logic ; ----------------------------------------------------------------------- -- Error Discrete output ----------------------------------------------------------------------- cdma_error_out : out std_logic ; --------------------------------------------------------------------------------- -- AXI Lite Register Access Interface --------------------------------------------------------------------------------- -- AXI Lite Write Address Channel -- AXI4-Lite s_axi_lite_awready : out std_logic ;-- AXI4-Lite s_axi_lite_awvalid : in std_logic ;-- AXI4-Lite s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Data Channel -- AXI4-Lite s_axi_lite_wready : out std_logic ;-- AXI4-Lite s_axi_lite_wvalid : in std_logic ;-- AXI4-Lite s_axi_lite_wdata : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Response Channel -- AXI4-Lite s_axi_lite_bready : in std_logic ;-- AXI4-Lite s_axi_lite_bvalid : out std_logic ;-- AXI4-Lite s_axi_lite_bresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Address Channel -- AXI4-Lite s_axi_lite_arready : out std_logic ;-- AXI4-Lite s_axi_lite_arvalid : in std_logic ;-- AXI4-Lite s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Data Channel -- AXI4-Lite s_axi_lite_rready : in std_logic ;-- AXI4-Lite s_axi_lite_rvalid : out std_logic ;-- AXI4-Lite s_axi_lite_rdata : out std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite s_axi_lite_rresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite ---------------------------------------------------------------------------- -- AXI DataMover Read Channel ---------------------------------------------------------------------------- -- DataMover MMap Read Address Channel -- AXI4 m_axi_arready : in std_logic ;-- AXI4 m_axi_arvalid : out std_logic ;-- AXI4 m_axi_araddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- DataMover MMap Read Data Channel -- AXI4 m_axi_rready : out std_logic ;-- AXI4 m_axi_rvalid : in std_logic ;-- AXI4 m_axi_rdata : in std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_rresp : in std_logic_vector(1 downto 0) ;-- AXI4 m_axi_rlast : in std_logic ;-- AXI4 ----------------------------------------------------------------------------- -- AXI DataMover Write Channel ----------------------------------------------------------------------------- -- DataMover Write Address Channel -- AXI4 m_axi_awready : in std_logic ;-- AXI4 m_axi_awvalid : out std_logic ;-- AXI4 m_axi_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_awlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_awsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_awburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_awprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_awcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- DataMover Write Data Channel -- AXI4 m_axi_wready : in std_logic ;-- AXI4 m_axi_wvalid : out std_logic ;-- AXI4 m_axi_wdata : out std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_DATA_WIDTH/8)-1 downto 0);-- AXI4 m_axi_wlast : out std_logic ;-- AXI4 -- AXI4 -- DataMover Write Response Channel -- AXI4 m_axi_bready : out std_logic ;-- AXI4 m_axi_bvalid : in std_logic ;-- AXI4 m_axi_bresp : in std_logic_vector(1 downto 0) ;-- AXI4 ---------------------------------------------------------------------------- -- AXI Scatter Gather Interface ---------------------------------------------------------------------------- -- Scatter Gather Write Address Channel -- AXI4 m_axi_sg_awready : in std_logic ;-- AXI4 m_axi_sg_awvalid : out std_logic ;-- AXI4 m_axi_sg_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_sg_awlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_sg_awsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_awburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_sg_awprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_awcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- Scatter Gather Write Data Channel -- AXI4 m_axi_sg_wready : in std_logic ;-- AXI4 m_axi_sg_wvalid : out std_logic ;-- AXI4 m_axi_sg_wdata : out std_logic_vector -- AXI4 (C_M_AXI_SG_DATA_WIDTH-1 downto 0);-- AXI4 m_axi_sg_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0);-- AXI4 m_axi_sg_wlast : out std_logic ;-- AXI4 -- AXI4 -- Scatter Gather Write Response Channel -- AXI4 m_axi_sg_bready : out std_logic ;-- AXI4 m_axi_sg_bvalid : in std_logic ;-- AXI4 m_axi_sg_bresp : in std_logic_vector(1 downto 0) ;-- AXI4 -- AXI4 -- Scatter Gather Read Address Channel -- AXI4 m_axi_sg_arready : in std_logic ;-- AXI4 m_axi_sg_arvalid : out std_logic ;-- AXI4 m_axi_sg_araddr : out std_logic_vector -- AXI4 (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_sg_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_sg_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_sg_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- Scatter Gather Read Data Channel -- AXI4 m_axi_sg_rready : out std_logic ;-- AXI4 m_axi_sg_rvalid : in std_logic ;-- AXI4 m_axi_sg_rdata : in std_logic_vector -- AXI4 (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_sg_rresp : in std_logic_vector(1 downto 0) ;-- AXI4 m_axi_sg_rlast : in std_logic ;-- AXI4 -- Debug test vector (Xilinx use only) axi_cdma_tstvec : out std_logic_vector(31 downto 0) ); ----------------------------------------------------------------- -- End of PSFUtil MPD attributes ----------------------------------------------------------------- end axi_cdma_sg_wrap; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_sg_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width > 64) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- General Use Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; -- AXI CDMA Build Mode (This Wrapper is with SG); 1 = Scatter Gather Enabled constant CDMA_BUILD_MODE : integer := 1; -- Indicates that ACLK is synchronous to ch1_aclk and ch2_aclk Constant ACLK_IS_SYNC : integer := 0; -- SG Channel 1 Include/omit Constant INCLUDE_CH1 : integer := 1; -- SG Channel 2 Include/omit Constant OMIT_CH2 : integer := 0; -- SG Include Update Engine Constant INCLUDE_UPDATE_ENG : integer := 1; -- SG Include Interrupt Controller Constant INCLUDE_IRPT_CNTLR : integer := 1; -- SG Include Delay Timer Constant INCLUDE_DLY_TIMER : integer := 1; -- SG Fetch Master Stream Width Constant M_AXIS_SG_TDATA_WIDTH : integer := 32; -- SG Pointer Update Stream Width Constant S_AXIS_UPDPTR_TDATA_WIDTH : integer := 32; -- SG Status Update Stream Width Constant C_S_AXIS_UPDSTS_TDATA_WIDTH : integer := 33; -- SG Update Slave Stream Width Constant S_AXIS_SG_DATA_WIDTH : integer := 34; -- SG Fetch Descriptor Queue Depth Constant SG_FTCH_DESC2QUEUE : integer := 4; -- SG Update Descriptor Queue Depth --Constant SG_UPDT_DESC2QUEUE : integer := 8; Constant SG_UPDT_DESC2QUEUE : integer := 4; -- SG Ch1 number of descriptor words to fetch Constant SG_CH1_WORDS_TO_FETCH : integer := 8; -- SG Ch1 number of descriptor words to update Constant SG_CH1_WORDS_TO_UPDATE : integer := 1; -- SG Ch1 First Update word offset from desctiptor start Constant SG_CH1_FIRST_UPDATE_WORD : integer := 7; -- SG Ch1 Stale Descriptor Error enable Constant SG_CH1_ENBL_STALE_ERROR : integer := 1; -- SG Ch2 words to fetch (Not used in CDMA application) Constant SG_CH2_WORDS_TO_FETCH : integer := 4; -- SG Ch2 words to update (Not used in CDMA application) Constant SG_CH2_WORDS_TO_UPDATE : integer := 1; -- SG Ch2 First Update word offset from desctiptor start (Not used in CDMA application) Constant SG_CH2_FIRST_UPDATE_WORD : integer := 0; -- SG Ch2 Stale Descriptor Error enable (Not used in CDMA application) Constant SG_CH2_ENBL_STALE_ERROR : integer := 0; -- AXI DataMover Include Status FIFO constant DM_INCLUDE_STS_FIFO : integer := 1; -- AXI DataMover Command / Status FIFO Depth constant DM_SG_CMDSTS_FIFO_DEPTH : integer := 1; -- AXI MM2S DataMover Full mode value constant MM2S_FULL_MODE : integer := 1; -- AXI MM2S DataMover Lite mode value constant MM2S_LITE_MODE : integer := 2; -- AXI S2MM DataMover Full mode value constant S2MM_FULL_MODE : integer := 1; -- AXI S2MM DataMover LITE mode value constant S2MM_LITE_MODE : integer := 4; -- AXI DataMover clocking mode constant DM_USE_SYNC_CLOCKS : integer := 0; -- AXI DataMover BTT Used width (Set the to the max allowed) constant DM_BTT_WIDTH : integer := 23; -- AXI DataMover S2MM DRE Enable (set to disabled) constant DM_S2MM_DRE_DISABLED : integer := 0 ;--func_include_dre (C_INCLUDE_DRE, C_M_AXI_DATA_WIDTH); -- AXI DataMover Include Store and Forward constant DM_OMIT_S2MM_STORE_FORWARD : integer := 0; constant DM_ENABLE_S2MM_STORE_FORWARD : integer := 1; Constant STORE_FORWARD_CNTL : integer := DM_OMIT_S2MM_STORE_FORWARD; -- AXI DataMover Stream Backend width constant DM_STREAM_DWIDTH : integer := C_M_AXI_DATA_WIDTH; -- AXI DataMover Base status vector width constant BASE_STATUS_WIDTH : integer := 8; -- AXI DataMover S2MM status stream data width delta -- if Store and Forward enabled Constant SF_ADDED_STS_WIDTH : integer := 24; -- AXI DataMover status stream data width (S2MM is based on mode of operation) constant DM_MM2S_STATUS_WIDTH : integer := BASE_STATUS_WIDTH; constant DM_S2MM_STATUS_WIDTH : integer := BASE_STATUS_WIDTH + (STORE_FORWARD_CNTL * SF_ADDED_STS_WIDTH); -- DataMover Command Stream data Width in bits constant DM_CMD_WIDTH : integer := 72+(C_M_AXI_ADDR_WIDTH-32); -- constant DM_CMD_WIDTH : integer := 104; -- SG Delay and Threshold field widths Constant DLY_THRESH_WIDTH : integer := 8; -- SG Delay and Threshold zero values Constant IRQ_DLY_THRESH_ZEROS : std_logic_vector(DLY_THRESH_WIDTH-1 downto 0) := (others => '0'); -- SG Address zero value Constant SG_ADDR_ZEROS : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); -- SG Descriptor Fetch data width Constant SG_FETCH_DWIDTH : integer := M_AXIS_SG_TDATA_WIDTH; -- SG Descriptor Update data width Constant SG_UPDATE_DWIDTH : integer := S_AXIS_SG_DATA_WIDTH; -- SG Pointer Update data value of zeroes Constant SG_UPDPTR_DATA_ZEROS : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); -- SG Status Update data value of zeroes Constant SG_UPDSTS_DATA_ZEROS : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); -- AXI DataMover pipeline depth constants Constant DM_READ_ADDR_PIPE_DEPTH : integer := C_READ_ADDR_PIPE_DEPTH; Constant DM_WRITE_ADDR_PIPE_DEPTH : integer := C_WRITE_ADDR_PIPE_DEPTH; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_max -- -- Function Description: -- Returns the greater of two integers. -- ------------------------------------------------------------------- function funct_get_max (value_in_1 : integer; value_in_2 : integer) return integer is Variable max_value : Integer := 0; begin If (value_in_1 > value_in_2) Then max_value := value_in_1; else max_value := value_in_2; End if; Return (max_value); end function funct_get_max; ------------------------------------------------------------------- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- -- Calculates the minimum needed depth of the CDMA Store and Forward FIFO Constant PIPEDEPTH_BURST_LEN_PROD : integer := (funct_get_max(4, 4)+2) * C_M_AXI_MAX_BURST_LEN; -- Assigns the depth of the CDMA Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Reset Module signals signal sig_rst2lite_bside_reset : std_logic := '0'; signal sig_rst2lite_cside_reset : std_logic := '0'; signal sig_rst2reg_reset : std_logic := '0'; signal sig_rst2cntlr_reset : std_logic := '0'; signal sig_rst2sgcntlr_reset : std_logic := '0'; signal sig_rst2sg_resetn : std_logic := '0'; signal sig_rst2dm_resetn : std_logic := '0'; signal sig_rst2cntlr_halt : std_logic := '0'; signal sig_cntlr2rst_halt_cmplt : std_logic := '0'; signal sig_dm_mm2s_halt : std_logic := '0'; signal sig_dm_mm2s_halt_cmplt : std_logic := '0'; signal sig_dm_s2mm_halt : std_logic := '0'; signal sig_dm_s2mm_halt_cmplt : std_logic := '0'; -- Register Module Signals signal sig_reg2cntlr_src_addr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_dest_addr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_btt : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_go : std_logic := '0'; signal sig_cntlr2reg_idle_set : std_logic := '0'; signal sig_cntlr2reg_idle_clr : std_logic := '0'; signal sig_cntlr2reg_decerr_set : std_logic := '0'; signal sig_cntlr2reg_slverr_set : std_logic := '0'; signal sig_cntlr2reg_interr_set : std_logic := '0'; signal sig_cntlr2reg_ioc_set : std_logic := '0'; signal sig_cntlr2reg_iocirpt_set : std_logic := '0'; signal sig_reg2rst_soft_reset : std_logic := '0'; signal sig_rst2reg_soft_reset_clr : std_logic := '0'; signal sig_reg2cntlr_sg_mode : std_logic := '0'; -- DataMover MM2S error discrete signal sig_dm_mm2s_err : std_logic := '0'; -- DataMover MM2S command Stream signal sig_cntl2mm2s_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_cmd_tready : std_logic := '0'; signal sig_cntl2mm2s_cmd_tvalid : std_logic := '0'; -- DataMover MM2S status Stream signal sig_mm2s2cntl_sts_tdata : std_logic_vector(DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_sts_tstrb : std_logic_vector((DM_MM2S_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_sts_tvalid : std_logic := '0'; signal sig_cntl2mm2s_sts_tready : std_logic := '0'; -- DataMover S2MM error discrete signal sig_dm_s2mm_err : std_logic := '0'; -- DataMover S2MM command Stream signal sig_cntl2s2mm_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cntl2s2mm_cmd_tvalid : std_logic := '0'; signal sig_s2mm2cntl_cmd_tready : std_logic := '0'; -- DataMover S2MM status Stream signal sig_s2mm2cntl_sts_tdata : std_logic_vector(DM_S2MM_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm2cntl_sts_tstrb : std_logic_vector((DM_S2MM_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm2cntl_sts_tvalid : std_logic := '0'; signal sig_cntl2s2mm_sts_tready : std_logic := '0'; -- DataMover stream loopback hookup signal sig_mm2s_axis_tdata : std_logic_vector(DM_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_axis_tkeep : std_logic_vector((DM_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_axis_tlast : std_logic := '0'; signal sig_mm2s_axis_tvalid : std_logic := '0'; signal sig_mm2s_axis_tready : std_logic := '0'; -- SG/Register signals signal sig_reg2sg_dmacr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2sg_dmasr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2sg_curdesc : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2sg_taildesc : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2sgcntlr_currdesc_updated : std_logic := '0'; signal sig_reg2sg_tailpntr_updated : std_logic := '0'; signal sig_reg2sg_irqdelay_wren : std_logic := '0'; signal sig_reg2sg_irqthresh_wren : std_logic := '0'; signal sig_sg2reg_ftch_interr_set : std_logic := '0'; signal sig_sg2reg_ftch_slverr_set : std_logic := '0'; signal sig_sg2reg_ftch_decerr_set : std_logic := '0'; signal sig_sg2reg_updt_interr_set : std_logic := '0'; signal sig_sg2reg_updt_slverr_set : std_logic := '0'; signal sig_sg2reg_updt_decerr_set : std_logic := '0'; signal sig_sg2sgcntlr_ftch_error : std_logic := '0'; signal sig_sg2reg_ftch_error_addr : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_sg2sgcntlr_updt_error : std_logic := '0'; signal sig_sg2reg_updt_error_addr : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_sg2reg_irqdelay_status : std_logic_vector(DLY_THRESH_WIDTH-1 downto 0) := (others => '0'); signal sig_sg2reg_irqthresh_status : std_logic_vector(DLY_THRESH_WIDTH-1 downto 0) := (others => '0'); -- SG Controller signals signal sig_rst2sgcntl_halt : std_logic := '0'; signal sig_sgcntl2rst_halt_cmplt : std_logic := '0'; signal sig_sgcntl2reg_idle_set : std_logic := '0'; signal sig_sgcntl2reg_idle_clr : std_logic := '0'; signal sig_sgcntl2sg_pkt_sof : std_logic := '0'; signal sig_sgcntl2sg_pkt_eof : std_logic := '0'; signal sig_sg2sgcntl_ioc_irq_set : std_logic := '0'; signal sig_sg2sgcntl_dly_irq_set : std_logic := '0'; signal sig_sgcntl2reg_ioc_irq_set : std_logic := '0'; signal sig_sgcntl2reg_dly_irq_set : std_logic := '0'; signal sig_sg2sgcntlr_ftch_tdata : std_logic_vector(SG_FETCH_DWIDTH-1 downto 0) := (others => '0'); signal sig_sg2sgcntlr_ftch_tdata_new : std_logic_vector(127+(3*(C_M_AXI_ADDR_WIDTH-32)) downto 0) := (others => '0'); signal sig_sg2sgcntlr_ftch_tvalid : std_logic := '0'; signal sig_sg2sgcntlr_ftch_tvalid_new : std_logic := '0'; signal sig_sgcntl2sg_ftch_tready : std_logic := '0'; signal sig_sg2sgcntlr_ftch_tlast : std_logic := '0'; signal sig_sg2sgcntlr_ftch_desc_available : std_logic := '0'; signal sig_sg2sgcntlr_updptr_tready : std_logic := '0'; signal sig_sgcntl2sg_updptr_tvalid : std_logic := '0'; signal sig_sgcntl2sg_updptr_tdata : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_sgcntl2sg_updptr_tlast : std_logic := '0'; signal sig_sg2sgcntlr_updsts_tready : std_logic := '0'; signal sig_sgcntl2sg_updsts_tvalid : std_logic := '0'; signal sig_sgcntl2sg_updsts_tdata : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sgcntl2sg_updsts_tlast : std_logic := '0'; signal sig_sgcntlr2sg_run_stop : std_logic := '0'; signal sig_sgcntlr2sg_desc_flush : std_logic := '0'; signal sig_sg2sgcntlr_ftch_idle : std_logic := '0'; signal sig_sg2sgcntlr_ftch_err_early : std_logic := '0'; signal sig_sg2sgcntlr_ftch_stale_desc : std_logic := '0'; signal sig_sg2sgcntlr_updt_idle : std_logic := '0'; signal sig_sg2sgcntlr_updt_ioc_irq_set : std_logic := '0'; signal sig_sg2sgcntlr_dma_interr_set : std_logic := '0'; signal sig_sg2sgcntlr_dma_slverr_set : std_logic := '0'; signal sig_sg2sgcntlr_dma_decerr_set : std_logic := '0'; signal sig_sgcntlr2reg_dma_interr_set : std_logic := '0'; signal sig_sgcntlr2reg_dma_slverr_set : std_logic := '0'; signal sig_sgcntlr2reg_dma_decerr_set : std_logic := '0'; signal sig_sgcntlr2reg_new_curdesc_wren : std_logic := '0'; signal sig_sgcntlr2reg_new_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); -- Shared SG and Simple Mode register IF signals signal sig_composite_idle_set : std_logic := '0'; signal sig_composite_idle_clr : std_logic := '0'; signal sig_composite_iocirpt_set : std_logic := '0'; signal sig_composite_dm_decerr_set : std_logic := '0'; signal sig_composite_dm_slverr_set : std_logic := '0'; signal sig_composite_dm_interr_set : std_logic := '0'; -- DataMover input signals multiplexed between Simple and SG Mode controllers signal sig_dm_mm2s_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_dm_mm2s_cmd_tvalid : std_logic := '0'; signal sig_dm_mm2s_sts_tready : std_logic := '0'; signal sig_dm_s2mm_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_dm_s2mm_cmd_tvalid : std_logic := '0'; signal sig_dm_s2mm_sts_tready : std_logic := '0'; -- DataMover output signals shared between the Simple and SG Contorllers signal sig_dm_mm2s_cmd_tready : std_logic := '0'; signal sig_dm_mm2s_sts_tvalid : std_logic := '0'; signal sig_dm_mm2s_sts_tdata : std_logic_vector(DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_dm_mm2s_sts_tkeep : std_logic_vector((DM_MM2S_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_dm_s2mm_cmd_tready : std_logic := '0'; signal sig_dm_s2mm_sts_tvalid : std_logic := '0'; -- SG DataMover Interface signals -- DataMover MM2S error discrete signal sig_mm2s2sgcntl_err : std_logic := '0'; -- DataMover MM2S command Stream signal sig_sgcntl2mm2s_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_sgcntl2mm2s_cmd_tvalid : std_logic := '0'; signal sig_mm2s2sgcntl_cmd_tready : std_logic := '0'; -- DataMover MM2S status Stream signal sig_mm2s2sgcntl_sts_tdata : std_logic_vector(DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s2sgcntl_sts_tstrb : std_logic_vector((DM_MM2S_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s2sgcntl_sts_tvalid : std_logic := '0'; signal sig_sgcntl2mm2s_sts_tready : std_logic := '0'; -- DataMover S2MM error discrete signal sig_s2mm2sgcntl_err : std_logic := '0'; -- DataMover S2MM command Stream signal sig_sgcntl2s2mm_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_sgcntl2s2mm_cmd_tvalid : std_logic := '0'; signal sig_s2mm2sgcntl_cmd_tready : std_logic := '0'; -- DataMover S2MM status Stream signal sig_s2mm2sgcntl_sts_tdata : std_logic_vector(BASE_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm2sgcntl_sts_tstrb : std_logic_vector((BASE_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm2sgcntl_sts_tvalid : std_logic := '0'; signal sig_sgcntl2s2mm_sts_tready : std_logic := '0'; -- DataMover halt control signal sig_rst2mm2s_halt : std_logic := '0'; signal sig_rst2s2mm_halt : std_logic := '0'; signal sig_sgcntl2mm2s_halt : std_logic := '0'; signal sig_sgcntl2s2mm_halt : std_logic := '0'; -- DataMover Input Stream signal sig_s2mm_axis_tready : std_logic := '0'; signal sig_s2mm_axis_tvalid : std_logic := '0'; signal sig_s2mm_axis_tdata : std_logic_vector(DM_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_axis_tkeep : std_logic_vector((DM_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_axis_tlast : std_logic := '0'; signal sig_dm_s2mm_sts_tdata : std_logic_vector(DM_S2MM_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_dm_s2mm_sts_tkeep : std_logic_vector((DM_S2MM_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); -- DataMover Address Pipe Controls signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_mm2s_addr_req_posted : std_logic := '0'; signal sig_mm2s_rd_xfer_cmplt : std_logic := '0'; signal sig_s2mm_allow_addr_req : std_logic := '0'; signal sig_s2mm_addr_req_posted : std_logic := '0'; signal sig_s2mm_wr_xfer_cmplt : std_logic := '0'; signal sig_s2mm_ld_nxt_len : std_logic := '0'; signal sig_s2mm_wr_len : std_logic_vector(7 downto 0) := (others => '0'); -- store and Forward module Reset signal sig_sf_reset : std_logic := '0'; signal dma_keyhole_write : std_logic; signal dma_keyhole_read : std_logic; signal dma_cyclic : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- AXI CDMA Test Vector (For Xilinx Internal Use Only) ------------------------------------------------------------------------------- -- Simple Mode (bits 7 downto 0) axi_cdma_tstvec(0) <= sig_reg2cntlr_go ; axi_cdma_tstvec(1) <= sig_cntlr2reg_idle_set ; axi_cdma_tstvec(2) <= sig_cntlr2reg_idle_clr ; axi_cdma_tstvec(3) <= sig_cntlr2reg_iocirpt_set ; axi_cdma_tstvec(4) <= sig_cntlr2reg_decerr_set ; axi_cdma_tstvec(5) <= sig_cntlr2reg_slverr_set ; axi_cdma_tstvec(6) <= sig_cntlr2reg_interr_set ; axi_cdma_tstvec(7) <= '0' ; -- SG Mode bits 31 downto 8) axi_cdma_tstvec(8) <= sig_sgcntlr2sg_run_stop ; axi_cdma_tstvec(9) <= sig_sgcntl2sg_pkt_sof ; axi_cdma_tstvec(10) <= sig_sgcntl2sg_pkt_eof ; axi_cdma_tstvec(11) <= sig_sgcntl2reg_ioc_irq_set ; axi_cdma_tstvec(12) <= sig_sgcntl2reg_dly_irq_set ; axi_cdma_tstvec(13) <= sig_sg2sgcntlr_ftch_error ; axi_cdma_tstvec(14) <= sig_sg2sgcntlr_ftch_stale_desc ; axi_cdma_tstvec(15) <= sig_sg2reg_ftch_interr_set ; axi_cdma_tstvec(16) <= sig_sg2reg_ftch_slverr_set ; axi_cdma_tstvec(17) <= sig_sg2reg_ftch_decerr_set ; axi_cdma_tstvec(18) <= sig_sg2sgcntlr_updt_error ; axi_cdma_tstvec(19) <= sig_sg2reg_updt_interr_set ; axi_cdma_tstvec(20) <= sig_sg2reg_updt_slverr_set ; axi_cdma_tstvec(21) <= sig_sg2reg_updt_decerr_set ; axi_cdma_tstvec(22) <= sig_sgcntlr2reg_dma_interr_set ; axi_cdma_tstvec(23) <= sig_sgcntlr2reg_dma_slverr_set ; axi_cdma_tstvec(24) <= sig_sgcntlr2reg_dma_decerr_set ; axi_cdma_tstvec(31 downto 25) <= (others => '0') ; -- Create a postive reset for the Store and Forward module -- from the inverted DataMover active low reset. -- CR591254 change -- sig_sf_reset <= not(sig_rst2dm_resetn) ; sig_sf_reset <= sig_rst2cntlr_reset ; ------------------------------------------------------------------------------- -- Module Instances ------------------------------------------------------------------------------- ------------------------------------------------------------ -- Instance: I_RST_MODULE -- -- Description: -- Instance for the Reset Module used with Simple Mode -- operation. It manages both hard and soft reset generation. -- ------------------------------------------------------------ I_RST_MODULE : entity axi_cdma_v4_1_14.axi_cdma_reset generic map( C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_SOFT_RST_TIME_CLKS => C_SOFT_RST_TIME_CLKS ) port map( -- Primary Clock and Reset Sources axi_aclk => axi_aclk , axi_resetn => axi_resetn , -- AXI Lite Clock and Reset Sources axi_lite_aclk => axi_lite_aclk , axi_lite_resetn => axi_lite_resetn , -- CDMA Module hard reset outputs rst2lite_bside_reset => sig_rst2lite_bside_reset , rst2lite_cside_reset => sig_rst2lite_cside_reset , rst2reg_reset => sig_rst2reg_reset , rst2cntlr_reset => sig_rst2cntlr_reset , rst2sgcntlr_reset => sig_rst2sgcntlr_reset , rst2sg_resetn => sig_rst2sg_resetn , rst2dm_resetn => sig_rst2dm_resetn , -- Soft Reset Request from Register module reg2rst_soft_reset_in => sig_reg2rst_soft_reset , rst2reg_soft_reset_clr => sig_rst2reg_soft_reset_clr , -- CDMA Simple Controller halt rst2cntlr_halt => sig_rst2cntlr_halt , cntlr2rst_halt_cmplt => sig_cntlr2rst_halt_cmplt , -- CDMA SG Controller halt rst2sg_halt => sig_rst2sgcntl_halt , sg2rst_halt_cmplt => sig_sgcntl2rst_halt_cmplt , -- CDMA DatMover MM2S Halt rst2dm_mm2s_halt => sig_rst2mm2s_halt , dm2rst_mm2s_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- CDMA DatMover S2MM Halt rst2dm_s2mm_halt => sig_rst2s2mm_halt , dm2rst_s2mm_halt_cmplt => sig_dm_s2mm_halt_cmplt ); ------------------------------------------------------------- -- Combinational Process -- -- Label: REG_IF_MUX -- -- Process Description: -- This process implements a mux for Register Module input -- signals that are shared between the Simple DMA mode and -- SG mode operations. -- ------------------------------------------------------------- REG_IF_MUX : process (sig_reg2cntlr_sg_mode, sig_sgcntl2reg_idle_set , sig_sgcntl2reg_idle_clr , sig_sgcntl2reg_ioc_irq_set , sig_sgcntlr2reg_dma_decerr_set , sig_sgcntlr2reg_dma_slverr_set , sig_sgcntlr2reg_dma_interr_set , sig_cntlr2reg_idle_set , sig_cntlr2reg_idle_clr , sig_cntlr2reg_iocirpt_set , sig_cntlr2reg_decerr_set , sig_cntlr2reg_slverr_set , sig_cntlr2reg_interr_set ) begin case sig_reg2cntlr_sg_mode is when '1' => -- SG Mode Enabled sig_composite_idle_set <= sig_sgcntl2reg_idle_set ; sig_composite_idle_clr <= sig_sgcntl2reg_idle_clr ; sig_composite_iocirpt_set <= sig_sgcntl2reg_ioc_irq_set ; sig_composite_dm_decerr_set <= sig_sgcntlr2reg_dma_decerr_set ; sig_composite_dm_slverr_set <= sig_sgcntlr2reg_dma_slverr_set ; sig_composite_dm_interr_set <= sig_sgcntlr2reg_dma_interr_set ; when others => -- Simple DMA Enabled sig_composite_idle_set <= sig_cntlr2reg_idle_set ; sig_composite_idle_clr <= sig_cntlr2reg_idle_clr ; sig_composite_iocirpt_set <= sig_cntlr2reg_iocirpt_set ; sig_composite_dm_decerr_set <= sig_cntlr2reg_decerr_set ; sig_composite_dm_slverr_set <= sig_cntlr2reg_slverr_set ; sig_composite_dm_interr_set <= sig_cntlr2reg_interr_set ; end case; end process REG_IF_MUX; ------------------------------------------------------------ -- Instance: I_HYBRID_REG_MODULE -- -- Description: -- Instance for the Register Module used with Simple and -- SG Mode operations. -- ------------------------------------------------------------ I_HYBRID_REG_MODULE : entity axi_cdma_v4_1_14.axi_cdma_reg_module generic map( C_CDMA_BUILD_MODE => CDMA_BUILD_MODE , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH ) port map( -- AXI Lite Clock and Reset axi_lite_aclk => axi_lite_aclk , axi_lite_reset => sig_rst2lite_bside_reset , -- AXI Lite Core side Reset axi_lite_cside_reset => sig_rst2lite_cside_reset , -- AXI Lite Write Address Channel s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bresp => s_axi_lite_bresp , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bready => s_axi_lite_bready , -- AXI Lite Read Address Channel s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_araddr => s_axi_lite_araddr , -- AXI Lite Read Data Channel s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- Register Clock and Reset axi_aclk => axi_aclk , axi_reg_reset => sig_rst2reg_reset , -- Composite Interrupt Output reg_introut => cdma_introut , -- Composite error Output reg_error_out => cdma_error_out , -- Soft Reset Control reg_soft_reset_request => sig_reg2rst_soft_reset , reg_soft_reset_clr => sig_rst2reg_soft_reset_clr , -- Simple DMA Go Control reg_dma_go => sig_reg2cntlr_go , -- SG Mode control reg_dma_sg_mode => sig_reg2cntlr_sg_mode , -- Key Hole write dma_keyhole_write => dma_keyhole_write , -- Key Hole read dma_keyhole_read => dma_keyhole_read , -- Key Hole read dma_cyclic => dma_cyclic , -- CDMASR Control inputs reg_idle_set => sig_composite_idle_set , reg_idle_clr => sig_composite_idle_clr , reg_ioc_irq_set => sig_composite_iocirpt_set , reg_dly_irq_set => sig_sgcntl2reg_dly_irq_set , -- Status from SG indicating current Delay and Thresh cntr values reg_irqdelay_status => sig_sg2reg_irqdelay_status , reg_irqthresh_status => sig_sg2reg_irqthresh_status , -- Controls to SG to load new DMACR Delay and Thresh values reg_irqthresh_wren => sig_reg2sg_irqthresh_wren , reg_irqdelay_wren => sig_reg2sg_irqdelay_wren , -- DataMover Errors reg_dma_decerr_set => sig_composite_dm_decerr_set , reg_dma_slverr_set => sig_composite_dm_slverr_set , reg_dma_interr_set => sig_composite_dm_interr_set , -- SG Descriptor Fetch errors reg_ftch_interr_set => sig_sg2reg_ftch_interr_set , reg_ftch_slverr_set => sig_sg2reg_ftch_slverr_set , reg_ftch_decerr_set => sig_sg2reg_ftch_decerr_set , reg_ftch_error_addr => sig_sg2reg_ftch_error_addr , -- SG Descriptor Update errors reg_updt_interr_set => sig_sg2reg_updt_interr_set , reg_updt_slverr_set => sig_sg2reg_updt_slverr_set , reg_updt_decerr_set => sig_sg2reg_updt_decerr_set , reg_updt_error_addr => sig_sg2reg_updt_error_addr , -- From SG Controller CURDESC Update reg_new_curdesc_wren => sig_sgcntlr2reg_new_curdesc_wren , reg_new_curdesc => sig_sgcntlr2reg_new_curdesc , -- To SG TAILDESC Update (also used to start SG operations in SGMode) reg_tailpntr_updated => sig_reg2sg_tailpntr_updated , reg_currdesc_updated => sig_reg2sgcntlr_currdesc_updated, -- Register State Out reg_dmacr => sig_reg2sg_dmacr , reg_dmasr => sig_reg2sg_dmasr , reg_curdesc => sig_reg2sg_curdesc , reg_taildesc => sig_reg2sg_taildesc , reg_src_addr => sig_reg2cntlr_src_addr , reg_dest_addr => sig_reg2cntlr_dest_addr , reg_btt => sig_reg2cntlr_btt ); ------------------------------------------------------------ -- Instance: I_SIMPLE_DMA_CNTLR -- -- Description: -- -- Control Logic module for the Simple Mode CDMA operation. -- ------------------------------------------------------------ I_SIMPLE_DMA_CNTLR : entity axi_cdma_v4_1_14.axi_cdma_simple_cntlr generic map( C_DM_CMD_WIDTH => DM_CMD_WIDTH , C_DM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_DM_MM2S_STATUS_WIDTH => DM_MM2S_STATUS_WIDTH , C_DM_S2MM_STATUS_WIDTH => BASE_STATUS_WIDTH , C_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_BTT_WIDTH => DM_BTT_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Clock and reset axi_aclk => axi_aclk , axi_reset => sig_rst2cntlr_reset , -- Halt request rst2cntlr_halt => sig_rst2cntlr_halt , cntlr2rst_halt_cmplt => sig_cntlr2rst_halt_cmplt , -- Register Module Start and Mode Controls reg2cntlr_go => sig_reg2cntlr_go , reg2cntlr_sg_mode => sig_reg2cntlr_sg_mode , burst_type_read => dma_keyhole_read , burst_type_write => dma_keyhole_write , -- Register Module command qualifiers reg2cntlr_src_addr => sig_reg2cntlr_src_addr , reg2cntlr_dest_addr => sig_reg2cntlr_dest_addr , reg2cntlr_btt => sig_reg2cntlr_btt(DM_BTT_WIDTH-1 downto 0) , -- General Status Bit controls cntlr2reg_idle_set => sig_cntlr2reg_idle_set , cntlr2reg_idle_clr => sig_cntlr2reg_idle_clr , cntlr2reg_iocirpt_set => sig_cntlr2reg_iocirpt_set , -- DataMover Error Status bit controls cntlr2reg_decerr_set => sig_cntlr2reg_decerr_set , cntlr2reg_slverr_set => sig_cntlr2reg_slverr_set , cntlr2reg_interr_set => sig_cntlr2reg_interr_set , -- DataMover MM2S Command Interface Ports (AXI Stream) mm2s2cntl_cmd_tready => sig_mm2s2cntl_cmd_tready , cntl2mm2s_cmd_tvalid => sig_cntl2mm2s_cmd_tvalid , cntl2mm2s_cmd_tdata => sig_cntl2mm2s_cmd_tdata , -- DataMover MM2S Status Interface Ports (AXI Stream) cntl2mm2s_sts_tready => sig_cntl2mm2s_sts_tready , mm2s2cntl_sts_tvalid => sig_mm2s2cntl_sts_tvalid , mm2s2cntl_sts_tdata => sig_dm_mm2s_sts_tdata , mm2s2cntl_sts_tstrb => sig_dm_mm2s_sts_tkeep , -- DataMover MM2S error discrete mm2s2cntl_err => sig_dm_mm2s_err , -- DataMover S2MM Command Interface Ports (AXI Stream) cntl2s2mm_cmd_tdata => sig_cntl2s2mm_cmd_tdata , cntl2s2mm_cmd_tvalid => sig_cntl2s2mm_cmd_tvalid , s2mm2cntl_cmd_tready => sig_s2mm2cntl_cmd_tready , -- DataMover S2MM Status Interface Ports (AXI Stream) s2mm2cntl_sts_tdata => sig_s2mm2sgcntl_sts_tdata , s2mm2cntl_sts_tstrb => sig_s2mm2sgcntl_sts_tstrb , s2mm2cntl_sts_tvalid => sig_s2mm2cntl_sts_tvalid , cntl2s2mm_sts_tready => sig_cntl2s2mm_sts_tready , -- DataMover S2MM error discrete s2mm2cntl_err => sig_dm_s2mm_err ); ------------------------------------------------------------ -- Instance: I_SG_CNTLR -- -- Description: -- -- Control Logic module for the Scatter Gather Mode CDMA -- operation. -- ------------------------------------------------------------ I_SG_CNTLR : entity axi_cdma_v4_1_14.axi_cdma_sg_cntlr generic map( C_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_SG_FETCH_DWIDTH => SG_FETCH_DWIDTH , C_SG_PTR_UPDATE_DWIDTH => C_M_AXI_SG_ADDR_WIDTH, --S_AXIS_UPDPTR_TDATA_WIDTH , C_SG_STS_UPDATE_DWIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_DM_CMD_WIDTH => DM_CMD_WIDTH , C_DM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_DM_MM2S_STATUS_WIDTH => DM_MM2S_STATUS_WIDTH , C_DM_S2MM_STATUS_WIDTH => BASE_STATUS_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Clock and reset axi_aclk => axi_aclk , axi_reset => sig_rst2sgcntlr_reset , -- Halt request rst2sgcntl_halt => sig_rst2sgcntl_halt , sgcntl2rst_halt_cmplt => sig_sgcntl2rst_halt_cmplt , sgcntlr2sg_desc_flush => sig_sgcntlr2sg_desc_flush , -- MM2S Type of Burst, 1 is increment, 0 is fixed burst_type_read => dma_keyhole_read , -- S2MM Type of Burst, 1 is increment, 0 is fixed burst_type_write => dma_keyhole_write , -- Register Module Start and Mode Controls reg2sgcntl_sg_mode => sig_reg2cntlr_sg_mode , reg2sgcntl_tailpntr_updated => sig_reg2sg_tailpntr_updated , reg2sgcntl_currdesc_updated => sig_reg2sgcntlr_currdesc_updated , -- Misc Controls to SG sgcntlr2sg_run_stop => sig_sgcntlr2sg_run_stop , -- Idle bit control to Register sgcntl2reg_idle_set => sig_sgcntl2reg_idle_set , sgcntl2reg_idle_clr => sig_sgcntl2reg_idle_clr , -- SOF/EOF control to SG sgcntl2sg_pkt_sof => sig_sgcntl2sg_pkt_sof , sgcntl2sg_pkt_eof => sig_sgcntl2sg_pkt_eof , -- Interrupt status from SG sg2sgcntl_ioc_irq_set => sig_sg2sgcntl_ioc_irq_set , sg2sgcntl_dly_irq_set => sig_sg2sgcntl_dly_irq_set , -- Interrupt control to Register sgcntl2reg_ioc_irq_set => sig_sgcntl2reg_ioc_irq_set , sgcntl2reg_dly_irq_set => sig_sgcntl2reg_dly_irq_set , -- Descriptor Fetch Stream from SG sgcntl2sg_ftch_tready => sig_sgcntl2sg_ftch_tready , sg2sgcntlr_ftch_tvalid => sig_sg2sgcntlr_ftch_tvalid , sg2sgcntlr_ftch_tvalid_new => sig_sg2sgcntlr_ftch_tvalid_new , sg2sgcntlr_ftch_tdata => sig_sg2sgcntlr_ftch_tdata , sg2sgcntlr_ftch_tdata_new => sig_sg2sgcntlr_ftch_tdata_new , sg2sgcntlr_ftch_tlast => sig_sg2sgcntlr_ftch_tlast , sig_sg2sgcntlr_ftch_desc_available => sig_sg2sgcntlr_ftch_desc_available , -- Descriptor Pointer Update Stream to SG sg2sgcntlr_updptr_tready => sig_sg2sgcntlr_updptr_tready , sgcntl2sg_updptr_tvalid => sig_sgcntl2sg_updptr_tvalid , sgcntl2sg_updptr_tdata => sig_sgcntl2sg_updptr_tdata , sgcntl2sg_updptr_tlast => sig_sgcntl2sg_updptr_tlast , -- Descriptor Status Update Stream to SG sg2sgcntlr_updsts_tready => sig_sg2sgcntlr_updsts_tready , sgcntl2sg_updsts_tvalid => sig_sgcntl2sg_updsts_tvalid , sgcntl2sg_updsts_tdata => sig_sgcntl2sg_updsts_tdata , sgcntl2sg_updsts_tlast => sig_sgcntl2sg_updsts_tlast , -- Descriptor Fetch status from SG sg2sgcntlr_ftch_idle => sig_sg2sgcntlr_ftch_idle , sg2sgcntlr_ftch_err_early => sig_sg2sgcntlr_ftch_err_early , sg2sgcntlr_ftch_stale_desc => sig_sg2sgcntlr_ftch_stale_desc , sg2sgcntlr_ftch_error => sig_sg2sgcntlr_ftch_error , -- Descriptor Update status from SG sg2sgcntlr_updt_idle => sig_sg2sgcntlr_updt_idle , sg2sgcntlr_updt_ioc_irq_set => sig_sg2sgcntlr_updt_ioc_irq_set , sg2sgcntlr_updt_error => sig_sg2sgcntlr_updt_error , -- Echo of Main DataMover detected errors from SG sg2sgcntlr_dma_interr_set => sig_sg2sgcntlr_dma_interr_set , sg2sgcntlr_dma_slverr_set => sig_sg2sgcntlr_dma_slverr_set , sg2sgcntlr_dma_decerr_set => sig_sg2sgcntlr_dma_decerr_set , -- Main DM Error bit controls to Register sgcntlr2reg_dma_interr_set => sig_sgcntlr2reg_dma_interr_set , sgcntlr2reg_dma_slverr_set => sig_sgcntlr2reg_dma_slverr_set , sgcntlr2reg_dma_decerr_set => sig_sgcntlr2reg_dma_decerr_set , -- Current DEscriptor Update control to Register sgcntlr2reg_new_curdesc_wren => sig_sgcntlr2reg_new_curdesc_wren , sgcntlr2reg_new_curdesc => sig_sgcntlr2reg_new_curdesc , -- DataMover MM2S Command Interface Ports (AXI Stream) mm2s2sgcntl_cmd_tready => sig_mm2s2sgcntl_cmd_tready , sgcntl2mm2s_cmd_tvalid => sig_sgcntl2mm2s_cmd_tvalid , sgcntl2mm2s_cmd_tdata => sig_sgcntl2mm2s_cmd_tdata , -- DataMover MM2S Status Interface Ports (AXI Stream) sgcntl2mm2s_sts_tready => sig_sgcntl2mm2s_sts_tready , mm2s2sgcntl_sts_tvalid => sig_mm2s2sgcntl_sts_tvalid , mm2s2sgcntl_sts_tdata => sig_dm_mm2s_sts_tdata , mm2s2sgcntl_sts_tstrb => sig_dm_mm2s_sts_tkeep , -- DataMover MM2S error discrete mm2s2sgcntl_err => sig_dm_mm2s_err , -- DataMover MM2S Halt sgcntl2mm2s_halt => sig_sgcntl2mm2s_halt , mm2s2sgcntl_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- DataMover S2MM Command Interface Ports (AXI Stream) s2mm2sgcntl_cmd_tready => sig_s2mm2sgcntl_cmd_tready , sgcntl2s2mm_cmd_tvalid => sig_sgcntl2s2mm_cmd_tvalid , sgcntl2s2mm_cmd_tdata => sig_sgcntl2s2mm_cmd_tdata , -- DataMover S2MM Status Interface Ports (AXI Stream) sgcntl2s2mm_sts_tready => sig_sgcntl2s2mm_sts_tready , s2mm2sgcntl_sts_tvalid => sig_s2mm2sgcntl_sts_tvalid , s2mm2sgcntl_sts_tdata => sig_s2mm2sgcntl_sts_tdata , s2mm2sgcntl_sts_tstrb => sig_s2mm2sgcntl_sts_tstrb , -- DataMover S2MM error discrete s2mm2sgcntl_err => sig_dm_s2mm_err , -- DataMover MM2S Halt sgcntl2s2mm_halt => sig_sgcntl2s2mm_halt , s2mm2sgcntl_halt_cmplt => sig_dm_s2mm_halt_cmplt ); ------------------------------------------------------------------------------- -- Scatter Gather Engine ------------------------------------------------------------------------------- I_SG_ENGINE : entity axi_sg_v4_1_7.axi_sg generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE , C_SG_UPDT_DESC2QUEUE => SG_UPDT_DESC2QUEUE , C_SG_CH1_WORDS_TO_FETCH => SG_CH1_WORDS_TO_FETCH , C_SG_CH1_WORDS_TO_UPDATE => SG_CH1_WORDS_TO_UPDATE , C_SG_CH1_FIRST_UPDATE_WORD => SG_CH1_FIRST_UPDATE_WORD , C_SG_CH1_ENBL_STALE_ERROR => SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_WORDS_TO_FETCH => SG_CH2_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_UPDATE => SG_CH2_WORDS_TO_UPDATE , C_SG_CH2_FIRST_UPDATE_WORD => SG_CH2_FIRST_UPDATE_WORD , C_SG_CH2_ENBL_STALE_ERROR => SG_CH2_ENBL_STALE_ERROR , C_INCLUDE_CH1 => INCLUDE_CH1 , C_INCLUDE_CH2 => OMIT_CH2 , C_INCLUDE_DESC_UPDATE => INCLUDE_UPDATE_ENG , C_INCLUDE_INTRPT => INCLUDE_IRPT_CNTLR , C_INCLUDE_DLYTMR => INCLUDE_DLY_TIMER , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION , C_AXIS_IS_ASYNC => 0 , C_ENABLE_MULTI_CHANNEL => 0 , C_ENABLE_CDMA => 1 , C_NUM_S2MM_CHANNELS => 1 , C_NUM_MM2S_CHANNELS => 1 , C_ACTUAL_ADDR => C_ACTUAL_ADDR , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => axi_aclk , m_axi_mm2s_aclk => axi_aclk , p_reset_n => '1' , m_axi_sg_aresetn => sig_rst2sg_resetn , dm_resetn => sig_rst2dm_resetn , sg_ctl => "00000000" , -- Scatter Gather Write Address Channel m_axi_sg_awaddr => m_axi_sg_awaddr , m_axi_sg_awlen => m_axi_sg_awlen , m_axi_sg_awsize => m_axi_sg_awsize , m_axi_sg_awburst => m_axi_sg_awburst , m_axi_sg_awprot => m_axi_sg_awprot , m_axi_sg_awcache => m_axi_sg_awcache , m_axi_sg_awuser => open, --m_axi_sg_awcache , m_axi_sg_awvalid => m_axi_sg_awvalid , m_axi_sg_awready => m_axi_sg_awready , -- Scatter Gather Write Data Channel m_axi_sg_wdata => m_axi_sg_wdata , m_axi_sg_wstrb => m_axi_sg_wstrb , m_axi_sg_wlast => m_axi_sg_wlast , m_axi_sg_wvalid => m_axi_sg_wvalid , m_axi_sg_wready => m_axi_sg_wready , -- Scatter Gather Write Response Channel m_axi_sg_bresp => m_axi_sg_bresp , m_axi_sg_bvalid => m_axi_sg_bvalid , m_axi_sg_bready => m_axi_sg_bready , -- Scatter Gather Read Address Channel m_axi_sg_araddr => m_axi_sg_araddr , m_axi_sg_arlen => m_axi_sg_arlen , m_axi_sg_arsize => m_axi_sg_arsize , m_axi_sg_arburst => m_axi_sg_arburst , m_axi_sg_arprot => m_axi_sg_arprot , m_axi_sg_arcache => m_axi_sg_arcache , m_axi_sg_aruser => open, --m_axi_sg_arcache , m_axi_sg_arvalid => m_axi_sg_arvalid , m_axi_sg_arready => m_axi_sg_arready , -- Memory Map to Stream Scatter Gather Read Data Channel m_axi_sg_rdata => m_axi_sg_rdata , m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rlast => m_axi_sg_rlast , m_axi_sg_rvalid => m_axi_sg_rvalid , m_axi_sg_rready => m_axi_sg_rready , -- Channel 1 Control and Status ch1_run_stop => sig_sgcntlr2sg_run_stop , ch1_cyclic => dma_cyclic, ch1_desc_flush => sig_sgcntlr2sg_desc_flush , ch1_cntrl_strm_stop => '0' , ch1_ftch_idle => sig_sg2sgcntlr_ftch_idle , ch1_ftch_interr_set => sig_sg2reg_ftch_interr_set , ch1_ftch_slverr_set => sig_sg2reg_ftch_slverr_set , ch1_ftch_decerr_set => sig_sg2reg_ftch_decerr_set , ch1_ftch_err_early => sig_sg2sgcntlr_ftch_err_early , ch1_ftch_stale_desc => sig_sg2sgcntlr_ftch_stale_desc , ch1_updt_idle => sig_sg2sgcntlr_updt_idle , ch1_updt_ioc_irq_set => sig_sg2sgcntlr_updt_ioc_irq_set , ch1_updt_interr_set => sig_sg2reg_updt_interr_set , ch1_updt_slverr_set => sig_sg2reg_updt_slverr_set , ch1_updt_decerr_set => sig_sg2reg_updt_decerr_set , ch1_dma_interr_set => sig_sg2sgcntlr_dma_interr_set , ch1_dma_slverr_set => sig_sg2sgcntlr_dma_slverr_set , ch1_dma_decerr_set => sig_sg2sgcntlr_dma_decerr_set , ch1_tailpntr_enabled => sig_reg2sg_dmacr(DMACR_TAILPEN_BIT) , ch1_taildesc_wren => sig_reg2sg_tailpntr_updated , ch1_taildesc => sig_reg2sg_taildesc , ch1_curdesc => sig_reg2sg_curdesc , -- Channel 1 Interrupt Coalescing Signals ch1_irqthresh_rstdsbl => LOGIC_LOW , ch1_dlyirq_dsble => sig_reg2sg_dmasr(DMASR_DLYIRQ_BIT) , ch1_irqdelay_wren => sig_reg2sg_irqdelay_wren , ch1_irqdelay => sig_reg2sg_dmacr(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) , ch1_irqthresh_wren => sig_reg2sg_irqthresh_wren , ch1_irqthresh => sig_reg2sg_dmacr(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) , ch1_packet_sof => sig_sgcntl2sg_pkt_sof , ch1_packet_eof => sig_sgcntl2sg_pkt_eof , ch1_ioc_irq_set => sig_sg2sgcntl_ioc_irq_set , ch1_dly_irq_set => sig_sg2sgcntl_dly_irq_set , ch1_irqdelay_status => sig_sg2reg_irqdelay_status , ch1_irqthresh_status => sig_sg2reg_irqthresh_status , -- Channel 1 AXI Fetch Stream Out m_axis_ch1_ftch_aclk => axi_aclk , m_axis_ch1_ftch_tdata => sig_sg2sgcntlr_ftch_tdata , m_axis_ch1_ftch_tdata_new => sig_sg2sgcntlr_ftch_tdata_new , m_axis_ch1_ftch_tvalid => sig_sg2sgcntlr_ftch_tvalid , m_axis_ch1_ftch_tvalid_new => sig_sg2sgcntlr_ftch_tvalid_new , m_axis_ch1_ftch_tready => sig_sgcntl2sg_ftch_tready , m_axis_ch1_ftch_tlast => sig_sg2sgcntlr_ftch_tlast , m_axis_ftch1_desc_available => sig_sg2sgcntlr_ftch_desc_available, -- Channel 1 Pointer Update Stream In s_axis_ch1_updt_aclk => axi_aclk , s_axis_ch1_updtptr_tdata => sig_sgcntl2sg_updptr_tdata , s_axis_ch1_updtptr_tvalid => sig_sgcntl2sg_updptr_tvalid , s_axis_ch1_updtptr_tready => sig_sg2sgcntlr_updptr_tready , s_axis_ch1_updtptr_tlast => sig_sgcntl2sg_updptr_tlast , -- Channel 1 Status Update Stream In s_axis_ch1_updtsts_tdata => sig_sgcntl2sg_updsts_tdata , s_axis_ch1_updtsts_tvalid => sig_sgcntl2sg_updsts_tvalid , s_axis_ch1_updtsts_tready => sig_sg2sgcntlr_updsts_tready , s_axis_ch1_updtsts_tlast => sig_sgcntl2sg_updsts_tlast , -- Channel 2 Control and Status ch2_run_stop => LOGIC_LOW , ch2_cyclic => '0', ch2_desc_flush => LOGIC_LOW , ch2_ftch_idle => open , ch2_ftch_interr_set => open , ch2_ftch_slverr_set => open , ch2_ftch_decerr_set => open , ch2_ftch_err_early => open , ch2_ftch_stale_desc => open , ch2_updt_idle => open , ch2_updt_ioc_irq_set => open , ch2_updt_interr_set => open , ch2_updt_slverr_set => open , ch2_updt_decerr_set => open , ch2_dma_interr_set => open , ch2_dma_slverr_set => open , ch2_dma_decerr_set => open , ch2_tailpntr_enabled => LOGIC_LOW , ch2_taildesc_wren => LOGIC_LOW , ch2_taildesc_wren_pkt => LOGIC_LOW , ch2_taildesc => SG_ADDR_ZEROS , ch2_curdesc => SG_ADDR_ZEROS , -- Channel 2 Interrupt Coalescing Signals ch2_irqthresh_rstdsbl => LOGIC_LOW , ch2_dlyirq_dsble => LOGIC_LOW , ch2_irqdelay_wren => LOGIC_LOW , ch2_irqdelay => IRQ_DLY_THRESH_ZEROS , ch2_irqthresh_wren => LOGIC_LOW , ch2_irqthresh => IRQ_DLY_THRESH_ZEROS , ch2_packet_sof => LOGIC_LOW , ch2_packet_eof => LOGIC_LOW , ch2_ioc_irq_set => open , ch2_dly_irq_set => open , ch2_irqdelay_status => open , ch2_irqthresh_status => open , -- Channel 2 AXI Fetch Stream Out m_axis_ch2_ftch_aclk => axi_aclk , m_axis_ch2_ftch_tdata => open , m_axis_ch2_ftch_tvalid => open , m_axis_ch2_ftch_tready => LOGIC_LOW , m_axis_ch2_ftch_tlast => open , -- Channel 2 Pointer Update Stream In s_axis_ch2_updt_aclk => axi_aclk , s_axis_ch2_updtptr_tdata => SG_UPDPTR_DATA_ZEROS , s_axis_ch2_updtptr_tvalid => LOGIC_LOW , s_axis_ch2_updtptr_tready => open , s_axis_ch2_updtptr_tlast => LOGIC_LOW , -- Channel 2 Status Update Stream In s_axis_ch2_updtsts_tdata => SG_UPDSTS_DATA_ZEROS , s_axis_ch2_updtsts_tvalid => LOGIC_LOW , s_axis_ch2_updtsts_tready => open , s_axis_ch2_updtsts_tlast => LOGIC_LOW , -- Error addresses ftch_error => sig_sg2sgcntlr_ftch_error , ftch_error_addr => sig_sg2reg_ftch_error_addr , updt_error => sig_sg2sgcntlr_updt_error , updt_error_addr => sig_sg2reg_updt_error_addr ); ------------------------------------------------------------- -- Combinational Process -- -- Label: DM_IF_MUX -- -- Process Description: -- THis process implements a multiplexer for the input controls -- to the Main dataMover that are driven by the Simple Mode -- Controller or the SG Mode Controller. -- ------------------------------------------------------------- DM_IF_MUX : process (sig_reg2cntlr_sg_mode , sig_sgcntl2mm2s_cmd_tdata , sig_sgcntl2mm2s_cmd_tvalid , sig_sgcntl2mm2s_sts_tready , sig_sgcntl2s2mm_cmd_tdata , sig_sgcntl2s2mm_cmd_tvalid , sig_sgcntl2s2mm_sts_tready , sig_cntl2mm2s_cmd_tdata , sig_cntl2mm2s_cmd_tvalid , sig_cntl2mm2s_sts_tready , sig_cntl2s2mm_cmd_tdata , sig_cntl2s2mm_cmd_tvalid , sig_cntl2s2mm_sts_tready , sig_sgcntl2mm2s_halt , sig_sgcntl2s2mm_halt , sig_rst2mm2s_halt , sig_rst2s2mm_halt ) begin case sig_reg2cntlr_sg_mode is when '1' => -- SG Mode Enabled sig_dm_mm2s_cmd_tdata <= sig_sgcntl2mm2s_cmd_tdata ; sig_dm_mm2s_cmd_tvalid <= sig_sgcntl2mm2s_cmd_tvalid ; sig_dm_mm2s_sts_tready <= sig_sgcntl2mm2s_sts_tready ; sig_dm_s2mm_cmd_tdata <= sig_sgcntl2s2mm_cmd_tdata ; sig_dm_s2mm_cmd_tvalid <= sig_sgcntl2s2mm_cmd_tvalid ; sig_dm_s2mm_sts_tready <= sig_sgcntl2s2mm_sts_tready ; sig_dm_mm2s_halt <= sig_sgcntl2mm2s_halt ; sig_dm_s2mm_halt <= sig_sgcntl2s2mm_halt ; when others => -- Simple DMA Enabled sig_dm_mm2s_cmd_tdata <= sig_cntl2mm2s_cmd_tdata ; sig_dm_mm2s_cmd_tvalid <= sig_cntl2mm2s_cmd_tvalid ; sig_dm_mm2s_sts_tready <= sig_cntl2mm2s_sts_tready ; sig_dm_s2mm_cmd_tdata <= sig_cntl2s2mm_cmd_tdata ; sig_dm_s2mm_cmd_tvalid <= sig_cntl2s2mm_cmd_tvalid ; sig_dm_s2mm_sts_tready <= sig_cntl2s2mm_sts_tready ; sig_dm_mm2s_halt <= sig_rst2mm2s_halt ; sig_dm_s2mm_halt <= sig_rst2s2mm_halt ; end case; end process DM_IF_MUX; ------------------------------------------------------------- -- Combinational Process -- -- Label: DM_RDY_VLD_DEMUX -- -- Process Description: -- This process implements a demultiplexer for the DataMover -- Ready/Valid signals driven back to the Simple Mode Controller -- and the SG Mode Controller. Data and Strobes are not -- Demux'd. -- ------------------------------------------------------------- DM_RDY_VLD_DEMUX : process (sig_reg2cntlr_sg_mode , sig_dm_mm2s_cmd_tready , sig_dm_mm2s_sts_tvalid , sig_dm_s2mm_cmd_tready , sig_dm_s2mm_sts_tvalid ) begin case sig_reg2cntlr_sg_mode is when '1' => -- SG Mode Enabled sig_mm2s2cntl_cmd_tready <= '0'; sig_mm2s2sgcntl_cmd_tready <= sig_dm_mm2s_cmd_tready; sig_mm2s2cntl_sts_tvalid <= '0'; sig_mm2s2sgcntl_sts_tvalid <= sig_dm_mm2s_sts_tvalid; sig_s2mm2cntl_cmd_tready <= '0'; sig_s2mm2sgcntl_cmd_tready <= sig_dm_s2mm_cmd_tready; sig_s2mm2cntl_sts_tvalid <= '0'; sig_s2mm2sgcntl_sts_tvalid <= sig_dm_s2mm_sts_tvalid; when others => -- Simple DMA Mode Enabled sig_mm2s2cntl_cmd_tready <= sig_dm_mm2s_cmd_tready; sig_mm2s2sgcntl_cmd_tready <= '0'; sig_mm2s2cntl_sts_tvalid <= sig_dm_mm2s_sts_tvalid; sig_mm2s2sgcntl_sts_tvalid <= '0'; sig_s2mm2cntl_cmd_tready <= sig_dm_s2mm_cmd_tready; sig_s2mm2sgcntl_cmd_tready <= '0'; sig_s2mm2cntl_sts_tvalid <= sig_dm_s2mm_sts_tvalid; sig_s2mm2sgcntl_sts_tvalid <= '0'; end case; end process DM_RDY_VLD_DEMUX; --------------------------------------------------------------------------------------- -- DataMover and support -- Rip the basic status output from the DataMover S2MM status reply stream sig_s2mm2sgcntl_sts_tdata <= sig_dm_s2mm_sts_tdata(BASE_STATUS_WIDTH-1 downto 0); sig_s2mm2sgcntl_sts_tstrb <= sig_dm_s2mm_sts_tkeep((BASE_STATUS_WIDTH/8)-1 downto 0); ------------------------------------------------------------ -- Instance: I_DATAMOVER -- -- Description: -- -- Data Path DataMover -- Reads data from the AXI MMAP Read Channel and Writes the data -- to the AXI MMAP Write Channel via commands from the Controller -- Module. -- -- ------------------------------------------------------------ I_DATAMOVER : entity axi_datamover_v5_1_16.axi_datamover generic map( C_INCLUDE_MM2S => MM2S_FULL_MODE , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_M_AXIS_MM2S_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_MM2S_STSFIFO => DM_INCLUDE_STS_FIFO , C_MM2S_STSCMD_FIFO_DEPTH => DM_SG_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_MM2S_DRE => C_INCLUDE_DRE , C_MM2S_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_MM2S_BTT_USED => DM_BTT_WIDTH , C_MM2S_ADDR_PIPE_DEPTH => DM_READ_ADDR_PIPE_DEPTH , C_MM2S_INCLUDE_SF => 0 , C_ENABLE_CACHE_USER => 0 , C_ENABLE_SKID_BUF => "11000" , C_CMD_WIDTH => DM_CMD_WIDTH , C_INCLUDE_S2MM => S2MM_FULL_MODE , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_S_AXIS_S2MM_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_S2MM_STSFIFO => DM_INCLUDE_STS_FIFO , C_S2MM_STSCMD_FIFO_DEPTH => DM_SG_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_S2MM_DRE => DM_S2MM_DRE_DISABLED , C_S2MM_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_S2MM_BTT_USED => DM_BTT_WIDTH , C_S2MM_SUPPORT_INDET_BTT => STORE_FORWARD_CNTL , C_S2MM_ADDR_PIPE_DEPTH => DM_WRITE_ADDR_PIPE_DEPTH , C_S2MM_INCLUDE_SF => 0 , C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => axi_aclk , m_axi_mm2s_aresetn => sig_rst2dm_resetn , -- MM2S Soft Shutdown mm2s_halt => sig_dm_mm2s_halt , mm2s_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- MM2S Error output discrete mm2s_err => sig_dm_mm2s_err , -- Memory Map to Stream Command FIFO and Status FIFO Async CLK/RST -------------- m_axis_mm2s_cmdsts_aclk => LOGIC_LOW , m_axis_mm2s_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => sig_dm_mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => sig_dm_mm2s_cmd_tready , s_axis_mm2s_cmd_tdata => sig_dm_mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => sig_dm_mm2s_sts_tvalid , m_axis_mm2s_sts_tready => sig_dm_mm2s_sts_tready , m_axis_mm2s_sts_tdata => sig_dm_mm2s_sts_tdata , m_axis_mm2s_sts_tkeep => sig_dm_mm2s_sts_tkeep , m_axis_mm2s_sts_tlast => open , -- Address Posting contols mm2s_allow_addr_req => sig_mm2s_allow_addr_req , mm2s_addr_req_posted => sig_mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => sig_mm2s_rd_xfer_cmplt , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_araddr , m_axi_mm2s_arlen => m_axi_arlen , m_axi_mm2s_arsize => m_axi_arsize , m_axi_mm2s_arburst => m_axi_arburst , m_axi_mm2s_arprot => m_axi_arprot , m_axi_mm2s_arcache => m_axi_arcache , m_axi_mm2s_aruser => open, --m_axi_arcache , m_axi_mm2s_arvalid => m_axi_arvalid , m_axi_mm2s_arready => m_axi_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_rdata , m_axi_mm2s_rresp => m_axi_rresp , m_axi_mm2s_rlast => m_axi_rlast , m_axi_mm2s_rvalid => m_axi_rvalid , m_axi_mm2s_rready => m_axi_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => sig_mm2s_axis_tdata , m_axis_mm2s_tkeep => sig_mm2s_axis_tkeep , m_axis_mm2s_tlast => sig_mm2s_axis_tlast , m_axis_mm2s_tvalid => sig_mm2s_axis_tvalid , m_axis_mm2s_tready => sig_mm2s_axis_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => axi_aclk , m_axi_s2mm_aresetn => sig_rst2dm_resetn , -- S2MM Soft Shutdown s2mm_halt => sig_dm_s2mm_halt , s2mm_halt_cmplt => sig_dm_s2mm_halt_cmplt , -- S2MM Error output discrete s2mm_err => sig_dm_s2mm_err , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => LOGIC_LOW , m_axis_s2mm_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => sig_dm_s2mm_cmd_tvalid , s_axis_s2mm_cmd_tready => sig_dm_s2mm_cmd_tready , s_axis_s2mm_cmd_tdata => sig_dm_s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => sig_dm_s2mm_sts_tvalid , m_axis_s2mm_sts_tready => sig_dm_s2mm_sts_tready , m_axis_s2mm_sts_tdata => sig_dm_s2mm_sts_tdata , m_axis_s2mm_sts_tkeep => sig_dm_s2mm_sts_tkeep , m_axis_s2mm_sts_tlast => open , -- Address posting controls s2mm_allow_addr_req => sig_s2mm_allow_addr_req , s2mm_addr_req_posted => sig_s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => sig_s2mm_ld_nxt_len , s2mm_wr_len => sig_s2mm_wr_len , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_awaddr , m_axi_s2mm_awlen => m_axi_awlen , m_axi_s2mm_awsize => m_axi_awsize , m_axi_s2mm_awburst => m_axi_awburst , m_axi_s2mm_awprot => m_axi_awprot , m_axi_s2mm_awcache => m_axi_awcache , m_axi_s2mm_awuser => open, --m_axi_awcache , m_axi_s2mm_awvalid => m_axi_awvalid , m_axi_s2mm_awready => m_axi_awready , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_wdata , m_axi_s2mm_wstrb => m_axi_wstrb , m_axi_s2mm_wlast => m_axi_wlast , m_axi_s2mm_wvalid => m_axi_wvalid , m_axi_s2mm_wready => m_axi_wready , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_bresp , m_axi_s2mm_bvalid => m_axi_bvalid , m_axi_s2mm_bready => m_axi_bready , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => sig_s2mm_axis_tdata , s_axis_s2mm_tkeep => sig_s2mm_axis_tkeep , s_axis_s2mm_tlast => sig_s2mm_axis_tlast , s_axis_s2mm_tvalid => sig_s2mm_axis_tvalid , s_axis_s2mm_tready => sig_s2mm_axis_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_SF -- -- If Generate Description: -- This IfGen includes the Store and Forward module -- -- ------------------------------------------------------------ GEN_INCLUDE_SF : if (C_INCLUDE_SF = 1) generate begin ------------------------------------------------------------ -- Instance: I_STORE_FORWARD -- -- Description: -- This is the instance for the AXI CDMA Store and Forward -- Module. -- ------------------------------------------------------------ I_STORE_FORWARD : entity axi_cdma_v4_1_14.axi_cdma_sf generic map ( C_WR_ADDR_PIPE_DEPTH => DM_WRITE_ADDR_PIPE_DEPTH, C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => C_M_AXI_MAX_BURST_LEN , C_DRE_IS_USED => C_INCLUDE_DRE , C_STREAM_DWIDTH => C_M_AXI_DATA_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock input aclk => axi_aclk , -- Reset input reset => sig_sf_reset , -- DataMover Read Side Address Pipelining control Interface ---- ok_to_post_rd_addr => sig_mm2s_allow_addr_req , rd_addr_posted => sig_mm2s_addr_req_posted , rd_xfer_cmplt => sig_mm2s_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S --------------------- sf2sin_tready => sig_mm2s_axis_tready , sin2sf_tvalid => sig_mm2s_axis_tvalid , sin2sf_tdata => sig_mm2s_axis_tdata , sin2sf_tkeep => sig_mm2s_axis_tkeep , sin2sf_tlast => sig_mm2s_axis_tlast , -- DataMover Write Side Address Pipelining control Interface --- ok_to_post_wr_addr => sig_s2mm_allow_addr_req , wr_addr_posted => sig_s2mm_addr_req_posted , wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , wr_ld_nxt_len => sig_s2mm_ld_nxt_len , wr_len => sig_s2mm_wr_len , -- Write Side Stream Out to DataMover S2MM -------------------- sout2sf_tready => sig_s2mm_axis_tready , sf2sout_tvalid => sig_s2mm_axis_tvalid , sf2sout_tdata => sig_s2mm_axis_tdata , sf2sout_tkeep => sig_s2mm_axis_tkeep , sf2sout_tlast => sig_s2mm_axis_tlast ); end generate GEN_INCLUDE_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_SF -- -- If Generate Description: -- This IfGen includes the Store and Forward module -- -- ------------------------------------------------------------ GEN_OMIT_SF : if (C_INCLUDE_SF = 0) generate begin sig_mm2s_allow_addr_req <= LOGIC_HIGH; sig_s2mm_allow_addr_req <= LOGIC_HIGH; sig_mm2s_axis_tready <= sig_s2mm_axis_tready ; sig_s2mm_axis_tvalid <= sig_mm2s_axis_tvalid ; sig_s2mm_axis_tdata <= sig_mm2s_axis_tdata ; sig_s2mm_axis_tkeep <= sig_mm2s_axis_tkeep ; sig_s2mm_axis_tlast <= sig_mm2s_axis_tlast ; end generate GEN_OMIT_SF; end implementation; ------------------------------------------------------------------------------- -- axi_cdma ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma.vhd -- Description: This entity is the top level entity for the AXI CDMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; use axi_cdma_v4_1_14.axi_cdma_simple_wrap; use axi_cdma_v4_1_14.axi_cdma_sg_wrap; ------------------------------------------------------------------------------- entity axi_cdma is generic( ----------------------------------------------------------------------- -- AXI Lite Register Interface Parameters ----------------------------------------------------------------------- C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 6; -- Address width of the AXI Lite Interface (bits) C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32; -- Data width of the AXI Lite Interface (bits) C_AXI_LITE_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the AXI Lite Register interface needs to -- be asynchronous to the CDMA data transport path clocking -- 0 = s_axi_lite_aclk is the same as m_axi_aclk -- 1 = s_axi_lite_aclk is asynchronous to the m_axi_aclk ----------------------------------------------------------------------- -- DataMover Parameters ----------------------------------------------------------------------- C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32; -- DataMover Master AXI Memory Map Address Width (bits) C_M_AXI_DATA_WIDTH : integer range 32 to 1024 := 32; -- DataMover Master AXI Memory Map Data Width (bits) C_M_AXI_MAX_BURST_LEN : integer range 2 to 256 := 16; -- DataMover Maximum burst length to use for AXI MMAP requests -- Allowed values are 16, 32, 64, 128, and 256 (data beats) C_INCLUDE_DRE : integer range 0 to 1 := 0; -- Include or exclude DataMover Data Realignment (DRE) -- NOTE: DRE is only available for 32 and 64 bit data widths -- 0 = Exclude DRE -- 1 = Include DRE C_USE_DATAMOVER_LITE : integer range 0 to 1 := 0; -- Enable DataMover Lite mode -- NOTE: Data widths limited to 32 and 64 bits, max burst -- limited to 16, 32, and 64 data beats, no DRE, 4K address -- guarding must be done by SW programmer. -- 0 = Normal DataMover mode -- 1 = Lite dataMover mode C_READ_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- read address pipelining queues for the Main data transport -- channels. The effective address pipelining on the AXI4 Read -- Address Channel will be the value assigned plus 2. C_WRITE_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- write address pipelining queues for the Main data transport -- channel. The effective address pipelining on the AXI4 Write -- Address Channel will be the value assigned plus 2. ----------------------------------------------------------------------- -- Store and Forward Parameters ----------------------------------------------------------------------- C_INCLUDE_SF : integer range 0 to 1 := 1; -- 0 = Omit Store and Forward functionality -- 1 = Include Store and Forward functionality ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_INCLUDE_SG : integer range 0 to 1 := 0; -- 0 = Omit Scatter Gather functionality -- 1 = Include Scatter Gather functionality C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather -- R/W Port (bits) C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather -- R/W Port (bits) C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125; -- Interrupt Delay Timer resolution in clock ticks of axi_clk ----------------------------------------------------------------------- -- Target FPGA Family Parameter ----------------------------------------------------------------------- C_FAMILY : string := "virtex7"; -- Target FPGA Device Family C_INSTANCE : string := "axi_cdma" ); port ( ----------------------------------------------------------------------- -- Primary Clock ----------------------------------------------------------------------- m_axi_aclk : in std_logic ;-- AXI4 ----------------------------------------------------------------------- -- Primary Reset Input (active low) ----------------------------------------------------------------------- -- m_axi_aresetn : in std_logic ;-- AXI4 ----------------------------------------------------------------------- -- AXI Lite clock ----------------------------------------------------------------------- s_axi_lite_aclk : in std_logic ;-- AXI4-Lite ----------------------------------------------------------------------- -- AXI Lite reset ----------------------------------------------------------------------- s_axi_lite_aresetn : in std_logic ;-- AXI4-Lite ----------------------------------------------------------------------- -- Interrupt output ----------------------------------------------------------------------- cdma_introut : out std_logic ;-- Interupt Out --------------------------------------------------------------------------------- -- AXI4-Lite Register Access Interface --------------------------------------------------------------------------------- -- AXI Lite Write Address Channel -- AXI4-Lite s_axi_lite_awready : out std_logic ;-- AXI4-Lite s_axi_lite_awvalid : in std_logic ;-- AXI4-Lite s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite (5 downto 0);-- AXI4-Lite -- s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Data Channel -- AXI4-Lite s_axi_lite_wready : out std_logic ;-- AXI4-Lite s_axi_lite_wvalid : in std_logic ;-- AXI4-Lite s_axi_lite_wdata : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Response Channel -- AXI4-Lite s_axi_lite_bready : in std_logic ;-- AXI4-Lite s_axi_lite_bvalid : out std_logic ;-- AXI4-Lite s_axi_lite_bresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Address Channel -- AXI4-Lite s_axi_lite_arready : out std_logic ;-- AXI4-Lite s_axi_lite_arvalid : in std_logic ;-- AXI4-Lite s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite (5 downto 0);-- AXI4-Lite -- s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Data Channel -- AXI4-Lite s_axi_lite_rready : in std_logic ;-- AXI4-Lite s_axi_lite_rvalid : out std_logic ;-- AXI4-Lite s_axi_lite_rdata : out std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite s_axi_lite_rresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite ---------------------------------------------------------------------------- -- AXI4 DataMover Read Channel ---------------------------------------------------------------------------- -- DataMover MMap Read Address Channel -- AXI4 m_axi_arready : in std_logic ;-- AXI4 m_axi_arvalid : out std_logic ;-- AXI4 m_axi_araddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- DataMover MMap Read Data Channel -- AXI4 m_axi_rready : out std_logic ;-- AXI4 m_axi_rvalid : in std_logic ;-- AXI4 m_axi_rdata : in std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_rresp : in std_logic_vector(1 downto 0) ;-- AXI4 m_axi_rlast : in std_logic ;-- AXI4 ----------------------------------------------------------------------------- -- AXI4 DataMover Write Channel ----------------------------------------------------------------------------- -- DataMover Write Address Channel -- AXI4 m_axi_awready : in std_logic ; -- AXI4 m_axi_awvalid : out std_logic ; -- AXI4 m_axi_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ; -- AXI4 m_axi_awlen : out std_logic_vector(7 downto 0) ; -- AXI4 m_axi_awsize : out std_logic_vector(2 downto 0) ; -- AXI4 m_axi_awburst : out std_logic_vector(1 downto 0) ; -- AXI4 m_axi_awprot : out std_logic_vector(2 downto 0) ; -- AXI4 m_axi_awcache : out std_logic_vector(3 downto 0) ; -- AXI4 -- AXI4 -- DataMover Write Data Channel -- AXI4 m_axi_wready : in std_logic ; -- AXI4 m_axi_wvalid : out std_logic ; -- AXI4 m_axi_wdata : out std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ; -- AXI4 m_axi_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_DATA_WIDTH/8)-1 downto 0);-- AXI4 m_axi_wlast : out std_logic ; -- AXI4 -- AXI4 -- DataMover Write Response Channel -- AXI4 m_axi_bready : out std_logic ; -- AXI4 m_axi_bvalid : in std_logic ; -- AXI4 m_axi_bresp : in std_logic_vector(1 downto 0) ; -- AXI4 ---------------------------------------------------------------------------- -- AXI4 Scatter Gather Interface ---------------------------------------------------------------------------- -- Scatter Gather Write Address Channel -- AXI4 m_axi_sg_awready : in std_logic := '0' ;-- AXI4 m_axi_sg_awvalid : out std_logic ;-- AXI4 m_axi_sg_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_sg_awlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_sg_awsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_awburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_sg_awprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_awcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- Scatter Gather Write Data Channel -- AXI4 m_axi_sg_wready : in std_logic := '0' ;-- AXI4 m_axi_sg_wvalid : out std_logic ;-- AXI4 m_axi_sg_wdata : out std_logic_vector -- AXI4 (C_M_AXI_SG_DATA_WIDTH-1 downto 0);-- AXI4 m_axi_sg_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0);-- AXI4 m_axi_sg_wlast : out std_logic ;-- AXI4 -- AXI4 -- Scatter Gather Write Response Channel -- AXI4 m_axi_sg_bready : out std_logic ;-- AXI4 m_axi_sg_bvalid : in std_logic := '0' ;-- AXI4 m_axi_sg_bresp : in std_logic_vector(1 downto 0) := (others => '0') ;-- AXI4 -- AXI4 -- Scatter Gather Read Address Channel -- AXI4 m_axi_sg_arready : in std_logic := '0' ;-- AXI4 m_axi_sg_arvalid : out std_logic ;-- AXI4 m_axi_sg_araddr : out std_logic_vector -- AXI4 (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_sg_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_sg_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_sg_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- Scatter Gather Read Data Channel -- AXI4 m_axi_sg_rready : out std_logic ;-- AXI4 m_axi_sg_rvalid : in std_logic := '0' ;-- AXI4 m_axi_sg_rdata : in std_logic_vector -- AXI4 (C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0') ;-- AXI4 m_axi_sg_rresp : in std_logic_vector(1 downto 0) := (others => '0') ;-- AXI4 m_axi_sg_rlast : in std_logic := '0' ;-- AXI4 ----------------------------------------------------------------------- -- Test Support for Xilinx internal use ----------------------------------------------------------------------- cdma_tvect_out : Out std_logic_vector(31 downto 0) ); ----------------------------------------------------------------- -- Start of PSFUtil MPD attributes ----------------------------------------------------------------- --attribute IP_GROUP : string; --attribute IP_GROUP of axi_cdma : entity is "LOGICORE"; --attribute IPTYPE : string; --attribute IPTYPE of axi_cdma : entity is "PERIPHERAL"; --attribute RUN_NGCBUILD : string; --attribute RUN_NGCBUILD of axi_cdma : entity is "TRUE"; ----------------------------------------------------------------- -- End of PSFUtil MPD attributes ----------------------------------------------------------------- end axi_cdma; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_max -- -- Function Description: -- Returns the greater of two integers. -- ------------------------------------------------------------------- function funct_get_max (value_in_1 : integer; value_in_2 : integer) return integer is Variable max_value : Integer := 0; begin If (value_in_1 > value_in_2) Then max_value := value_in_1; else max_value := value_in_2; End if; Return (max_value); end function funct_get_max; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- function width_calc (value_in : integer) return integer is variable addr_value : integer := 32; begin if (value_in > 32) then addr_value := 64; else addr_value :=32; end if; return(addr_value); end function width_calc; ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant SOFT_RST_TIME_CLKS : integer := 8; -- Specifies the time of the soft reset assertion in -- m_axi_aclk clock periods. -- Calculates the minimum needed depth of the CDMA Store and Forward FIFO Constant PIPEDEPTH_BURST_LEN_PROD : integer := (funct_get_max(C_READ_ADDR_PIPE_DEPTH, C_WRITE_ADDR_PIPE_DEPTH)+2) * C_M_AXI_MAX_BURST_LEN; -- Assigns the depth of the CDMA Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); constant ADDR_WIDTH : integer := width_calc (C_M_AXI_ADDR_WIDTH); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- No signals Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- signal m_axi_aresetn : std_logic; signal m_axi_sg_awaddr_internal : std_logic_vector (ADDR_WIDTH-1 downto 0) ; signal m_axi_sg_araddr_internal : std_logic_vector (ADDR_WIDTH-1 downto 0) ; signal m_axi_araddr_internal : std_logic_vector (ADDR_WIDTH-1 downto 0) ; signal m_axi_awaddr_internal : std_logic_vector (ADDR_WIDTH-1 downto 0) ; begin m_axi_aresetn <= '1'; m_axi_araddr <= m_axi_araddr_internal (C_M_AXI_ADDR_WIDTH-1 downto 0); m_axi_awaddr <= m_axi_awaddr_internal (C_M_AXI_ADDR_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SIMPLE_MODE -- -- If Generate Description: -- This IfGen Implements the CDMA with Simple Mode -- -- ------------------------------------------------------------ GEN_SIMPLE_MODE : if (C_INCLUDE_SG = 0) generate begin ----------------------------------------------------------- -- Housekeep the Scatter Gather output signals that are not -- used in Simple DMA mode. ----------------------------------------------------------- -- Scatter Gather Write Address Channel m_axi_sg_awvalid <= '0' ; m_axi_sg_awaddr <= (others => '0'); m_axi_sg_awlen <= (others => '0'); m_axi_sg_awsize <= (others => '0'); m_axi_sg_awburst <= (others => '0'); m_axi_sg_awprot <= (others => '0'); m_axi_sg_awcache <= (others => '0'); -- Scatter Gather Write Data Channel m_axi_sg_wvalid <= '0'; m_axi_sg_wdata <= (others => '0'); m_axi_sg_wstrb <= (others => '0'); m_axi_sg_wlast <= '0' ; -- Scatter Gather Write Response Channel m_axi_sg_bready <= '0' ; -- Scatter Gather Read Address Channel m_axi_sg_arvalid <= '0' ; m_axi_sg_araddr <= (others => '0'); m_axi_sg_arlen <= (others => '0'); m_axi_sg_arsize <= (others => '0'); m_axi_sg_arburst <= (others => '0'); m_axi_sg_arprot <= (others => '0'); m_axi_sg_arcache <= (others => '0'); -- Memory Map to Stream Scatter Gather Read Data Channel m_axi_sg_rready <= '0' ; ------------------------------------------------------------ -- Instance: I_SIMPLE_MODE_WRAP -- -- Description: -- Instance for the CDMA Simple Mode Wrapper -- ------------------------------------------------------------ I_SIMPLE_MODE_WRAP : entity axi_cdma_v4_1_14.axi_cdma_simple_wrap generic map ( C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_M_AXI_ADDR_WIDTH => ADDR_WIDTH, --C_M_AXI_ADDR_WIDTH , C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_M_AXI_MAX_BURST_LEN => C_M_AXI_MAX_BURST_LEN , C_INCLUDE_DRE => C_INCLUDE_DRE , C_USE_DATAMOVER_LITE => C_USE_DATAMOVER_LITE , C_READ_ADDR_PIPE_DEPTH => C_READ_ADDR_PIPE_DEPTH , C_WRITE_ADDR_PIPE_DEPTH => C_WRITE_ADDR_PIPE_DEPTH , C_INCLUDE_SF => C_INCLUDE_SF , C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_SOFT_RST_TIME_CLKS => SOFT_RST_TIME_CLKS , C_FAMILY => C_FAMILY ) port map ( -- Primary Clock and Reset axi_aclk => m_axi_aclk , axi_resetn => m_axi_aresetn , -- AXI Lite clock and Reset axi_lite_aclk => s_axi_lite_aclk , axi_lite_resetn => s_axi_lite_aresetn , -- Interrupt output cdma_introut => cdma_introut , -- Error Discrete output cdma_error_out => open , -- AXI Lite Write Address Channel s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bready => s_axi_lite_bready , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bresp => s_axi_lite_bresp , -- AXI Lite Read Address Channel s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_araddr => s_axi_lite_araddr , -- AXI Lite Read Data Channel s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- DataMover MMap Read Address Channel m_axi_arready => m_axi_arready , m_axi_arvalid => m_axi_arvalid , m_axi_araddr => m_axi_araddr_internal, --m_axi_araddr , m_axi_arlen => m_axi_arlen , m_axi_arsize => m_axi_arsize , m_axi_arburst => m_axi_arburst , m_axi_arprot => m_axi_arprot , m_axi_arcache => m_axi_arcache , -- DataMover MMap Read Data Channel m_axi_rready => m_axi_rready , m_axi_rvalid => m_axi_rvalid , m_axi_rdata => m_axi_rdata , m_axi_rresp => m_axi_rresp , m_axi_rlast => m_axi_rlast , -- DataMover Write Address Channel m_axi_awready => m_axi_awready , m_axi_awvalid => m_axi_awvalid , m_axi_awaddr => m_axi_awaddr_internal, --m_axi_awaddr , m_axi_awlen => m_axi_awlen , m_axi_awsize => m_axi_awsize , m_axi_awburst => m_axi_awburst , m_axi_awprot => m_axi_awprot , m_axi_awcache => m_axi_awcache , -- DataMover Write Data Channel m_axi_wready => m_axi_wready , m_axi_wvalid => m_axi_wvalid , m_axi_wdata => m_axi_wdata , m_axi_wstrb => m_axi_wstrb , m_axi_wlast => m_axi_wlast , -- DataMover Write Response Channel m_axi_bready => m_axi_bready , m_axi_bvalid => m_axi_bvalid , m_axi_bresp => m_axi_bresp , -- Debug test vector (Xilinx use only) axi_cdma_tstvec => cdma_tvect_out ); end generate GEN_SIMPLE_MODE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SG_MODE -- -- If Generate Description: -- This IfGen Implements the CDMA with Scatter Gather Mode -- -- ------------------------------------------------------------ GEN_SG_MODE : if (C_INCLUDE_SG = 1) generate begin ------------------------------------------------------------ -- Instance: I_SG_MODE_WRAP -- -- Description: -- Instance for the CDMA Scatter Gather Mode Wrapper -- ------------------------------------------------------------ I_SG_MODE_WRAP : entity axi_cdma_v4_1_14.axi_cdma_sg_wrap generic map ( C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_M_AXI_ADDR_WIDTH => ADDR_WIDTH, --C_M_AXI_ADDR_WIDTH , C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_M_AXI_MAX_BURST_LEN => C_M_AXI_MAX_BURST_LEN , C_INCLUDE_DRE => C_INCLUDE_DRE , C_READ_ADDR_PIPE_DEPTH => C_READ_ADDR_PIPE_DEPTH , C_WRITE_ADDR_PIPE_DEPTH => C_WRITE_ADDR_PIPE_DEPTH , C_INCLUDE_SF => C_INCLUDE_SF , C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_M_AXI_SG_ADDR_WIDTH => ADDR_WIDTH, --C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION , C_SOFT_RST_TIME_CLKS => SOFT_RST_TIME_CLKS , C_ACTUAL_ADDR => C_M_AXI_SG_ADDR_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Primary Clock and Reset axi_aclk => m_axi_aclk , axi_resetn => m_axi_aresetn , -- AXI Lite clock and Reset axi_lite_aclk => s_axi_lite_aclk , axi_lite_resetn => s_axi_lite_aresetn , -- Interrupt output cdma_introut => cdma_introut , -- Error Discrete output cdma_error_out => open , -- AXI Lite Write Address Channel s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bready => s_axi_lite_bready , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bresp => s_axi_lite_bresp , -- AXI Lite Read Address Channel s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_araddr => s_axi_lite_araddr , -- AXI Lite Read Data Channel s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- DataMover MMap Read Address Channel m_axi_arready => m_axi_arready , m_axi_arvalid => m_axi_arvalid , m_axi_araddr => m_axi_araddr_internal, --m_axi_araddr , m_axi_arlen => m_axi_arlen , m_axi_arsize => m_axi_arsize , m_axi_arburst => m_axi_arburst , m_axi_arprot => m_axi_arprot , m_axi_arcache => m_axi_arcache , -- DataMover MMap Read Data Channel m_axi_rready => m_axi_rready , m_axi_rvalid => m_axi_rvalid , m_axi_rdata => m_axi_rdata , m_axi_rresp => m_axi_rresp , m_axi_rlast => m_axi_rlast , -- DataMover Write Address Channel m_axi_awready => m_axi_awready , m_axi_awvalid => m_axi_awvalid , m_axi_awaddr => m_axi_awaddr_internal, --m_axi_awaddr , m_axi_awlen => m_axi_awlen , m_axi_awsize => m_axi_awsize , m_axi_awburst => m_axi_awburst , m_axi_awprot => m_axi_awprot , m_axi_awcache => m_axi_awcache , -- DataMover Write Data Channel m_axi_wready => m_axi_wready , m_axi_wvalid => m_axi_wvalid , m_axi_wdata => m_axi_wdata , m_axi_wstrb => m_axi_wstrb , m_axi_wlast => m_axi_wlast , -- DataMover Write Response Channel m_axi_bready => m_axi_bready , m_axi_bvalid => m_axi_bvalid , m_axi_bresp => m_axi_bresp , -- Scatter Gather Write Address Channel m_axi_sg_awready => m_axi_sg_awready , m_axi_sg_awvalid => m_axi_sg_awvalid , m_axi_sg_awaddr => m_axi_sg_awaddr_internal, --m_axi_sg_awaddr , m_axi_sg_awlen => m_axi_sg_awlen , m_axi_sg_awsize => m_axi_sg_awsize , m_axi_sg_awburst => m_axi_sg_awburst , m_axi_sg_awprot => m_axi_sg_awprot , m_axi_sg_awcache => m_axi_sg_awcache , -- Scatter Gather Write Data Channel m_axi_sg_wready => m_axi_sg_wready , m_axi_sg_wvalid => m_axi_sg_wvalid , m_axi_sg_wdata => m_axi_sg_wdata , m_axi_sg_wstrb => m_axi_sg_wstrb , m_axi_sg_wlast => m_axi_sg_wlast , -- Scatter Gather Write Response Channel m_axi_sg_bready => m_axi_sg_bready , m_axi_sg_bvalid => m_axi_sg_bvalid , m_axi_sg_bresp => m_axi_sg_bresp , -- Scatter Gather Read Address Channel m_axi_sg_arready => m_axi_sg_arready , m_axi_sg_arvalid => m_axi_sg_arvalid , m_axi_sg_araddr => m_axi_sg_araddr_internal, --m_axi_sg_araddr , m_axi_sg_arlen => m_axi_sg_arlen , m_axi_sg_arsize => m_axi_sg_arsize , m_axi_sg_arburst => m_axi_sg_arburst , m_axi_sg_arprot => m_axi_sg_arprot , m_axi_sg_arcache => m_axi_sg_arcache , -- Scatter Gather Read Data Channel m_axi_sg_rready => m_axi_sg_rready , m_axi_sg_rvalid => m_axi_sg_rvalid , m_axi_sg_rdata => m_axi_sg_rdata , m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rlast => m_axi_sg_rlast , -- Debug test vector (Xilinx use only) axi_cdma_tstvec => cdma_tvect_out ); m_axi_sg_araddr <= m_axi_sg_araddr_internal (C_M_AXI_ADDR_WIDTH-1 downto 0); m_axi_sg_awaddr <= m_axi_sg_awaddr_internal (C_M_AXI_ADDR_WIDTH-1 downto 0); end generate GEN_SG_MODE; end implementation;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_6/impl/ip/hdl/vhdl/convolve_kernel_adEe.vhd
3
7926
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.2 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity convolve_kernel_adEe_AddSubnS_0 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(63 downto 0); b: in std_logic_vector(63 downto 0); s: out std_logic_vector(63 downto 0)); end entity; architecture behav of convolve_kernel_adEe_AddSubnS_0 is component convolve_kernel_adEe_AddSubnS_0_fadder is port ( faa : IN STD_LOGIC_VECTOR (22-1 downto 0); fab : IN STD_LOGIC_VECTOR (22-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (22-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component convolve_kernel_adEe_AddSubnS_0_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (20-1 downto 0); fab : IN STD_LOGIC_VECTOR (20-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (20-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(63 downto 0); signal b_reg : std_logic_vector(63 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(21 downto 0); signal b0_cb : std_logic_vector(21 downto 0); signal a1_cb : std_logic_vector(43 downto 22); signal b1_cb : std_logic_vector(43 downto 22); signal a2_cb : std_logic_vector(63 downto 44); signal b2_cb : std_logic_vector(63 downto 44); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(21 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; type ramtypei1 is array (1 downto 0) of std_logic_vector(19 downto 0); signal a2_cb_regi2 : ramtypei1; signal b2_cb_regi2 : ramtypei1; -- wires for each full adder sum signal fas : std_logic_vector(63 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout2_co2 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); signal faccout1_co1_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo1 is array (1 downto 0) of std_logic_vector(21 downto 0); signal s0_ca_rego0 : ramtypeo1; type ramtypeo0 is array (0 downto 0) of std_logic_vector(21 downto 0); signal s1_ca_rego1 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(63 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= std_logic_vector(resize(unsigned(a), 64)); b_reg <= std_logic_vector(resize(unsigned(b), 64)); -- small adder input assigments a0_cb <= a_reg(21 downto 0); b0_cb <= b_reg(21 downto 0); a1_cb <= a_reg(43 downto 22); b1_cb <= b_reg(43 downto 22); a2_cb <= a_reg(63 downto 44); b2_cb <= b_reg(63 downto 44); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; a2_cb_regi2 (0) <= a2_cb; b2_cb_regi2 (0) <= b2_cb; a2_cb_regi2 (1) <= a2_cb_regi2 (0); b2_cb_regi2 (1) <= b2_cb_regi2 (0); end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; faccout1_co1_reg <= faccout1_co1; end if; end if; end process; -- small adder generation u0 : convolve_kernel_adEe_AddSubnS_0_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(21 downto 0), facout => faccout0_co0); u1 : convolve_kernel_adEe_AddSubnS_0_fadder port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(43 downto 22), facout => faccout1_co1); u2 : convolve_kernel_adEe_AddSubnS_0_fadder_f port map (faa => a2_cb_regi2(1), fab => b2_cb_regi2(1), facin => faccout1_co1_reg, fas => fas(63 downto 44), facout => faccout2_co2); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(21 downto 0); s1_ca_rego1 (0) <= fas(43 downto 22); s0_ca_rego0 (1) <= s0_ca_rego0 (0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(21 downto 0) <= s0_ca_rego0(1); s_tmp(43 downto 22) <= s1_ca_rego1(0); s_tmp(63 downto 44) <= fas(63 downto 44); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity convolve_kernel_adEe_AddSubnS_0_fadder is generic(N : natural :=22); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of convolve_kernel_adEe_AddSubnS_0_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity convolve_kernel_adEe_AddSubnS_0_fadder_f is generic(N : natural :=20); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of convolve_kernel_adEe_AddSubnS_0_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity convolve_kernel_adEe is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of convolve_kernel_adEe is component convolve_kernel_adEe_AddSubnS_0 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin convolve_kernel_adEe_AddSubnS_0_U : component convolve_kernel_adEe_AddSubnS_0 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.cache/ip/2017.3/7cc4809675563003/ip_design_zed_audio_ctrl_0_0_sim_netlist.vhdl
1
177327
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017 -- Date : Tue Oct 17 19:49:31 2017 -- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ ip_design_zed_audio_ctrl_0_0_sim_netlist.vhdl -- Design : ip_design_zed_audio_ctrl_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder is port ( \DataTx_R_reg[0]\ : out STD_LOGIC; \DataTx_R_reg[0]_0\ : out STD_LOGIC; \DataTx_R_reg[0]_1\ : out STD_LOGIC; \DataTx_R_reg[0]_2\ : out STD_LOGIC; \DataTx_R_reg[0]_3\ : out STD_LOGIC; \DataTx_R_reg[0]_4\ : out STD_LOGIC; data_rdy_bit_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_AWREADY : out STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \DataTx_L_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); data_rdy_bit_reg_0 : out STD_LOGIC; \s_axi_rdata_i_reg[31]\ : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rvalid_i_reg : out STD_LOGIC; s_axi_bvalid_i_reg : out STD_LOGIC; S_AXI_ACLK : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ARVALID : in STD_LOGIC; s_axi_bvalid_i_reg_0 : in STD_LOGIC; \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_WVALID_0 : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC; S_AXI_ARESETN : in STD_LOGIC; S_AXI_ARADDR : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_AWADDR : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_AWVALID : in STD_LOGIC; S_AXI_WVALID : in STD_LOGIC; data_rdy_bit : in STD_LOGIC; \DataTx_R_reg[31]\ : in STD_LOGIC_VECTOR ( 31 downto 0 ); \DataTx_L_reg[31]\ : in STD_LOGIC_VECTOR ( 31 downto 0 ); \DataRx_R_reg[23]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \DataRx_L_reg[23]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ : in STD_LOGIC; S_AXI_RREADY : in STD_LOGIC; s_axi_rvalid_i_reg_0 : in STD_LOGIC; S_AXI_BREADY : in STD_LOGIC; s_axi_bvalid_i_reg_1 : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder is signal Bus_RNW_reg_i_1_n_0 : STD_LOGIC; signal \^datatx_r_reg[0]\ : STD_LOGIC; signal \^datatx_r_reg[0]_0\ : STD_LOGIC; signal \^datatx_r_reg[0]_1\ : STD_LOGIC; signal \^datatx_r_reg[0]_2\ : STD_LOGIC; signal \^datatx_r_reg[0]_3\ : STD_LOGIC; signal \^datatx_r_reg[0]_4\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2_n_0\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_3_n_0\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_4_n_0\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_5_n_0\ : STD_LOGIC; signal S_AXI_ARREADY_INST_0_i_1_n_0 : STD_LOGIC; signal ce_expnd_i_0 : STD_LOGIC; signal ce_expnd_i_1 : STD_LOGIC; signal ce_expnd_i_2 : STD_LOGIC; signal ce_expnd_i_3 : STD_LOGIC; signal ce_expnd_i_4 : STD_LOGIC; signal cs_ce_clr : STD_LOGIC; signal s_axi_bvalid_i0 : STD_LOGIC; signal \s_axi_rdata_i[0]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[0]_i_3_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[0]_i_4_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[10]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[11]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[12]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[13]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[14]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[15]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[16]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[17]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[18]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[19]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[1]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[20]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[21]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[22]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[23]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[23]_i_3_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[23]_i_4_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[2]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[3]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[4]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[5]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[6]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[7]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[8]_i_2_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[9]_i_2_n_0\ : STD_LOGIC; signal s_axi_rvalid_i0 : STD_LOGIC; signal start : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of S_AXI_ARREADY_INST_0 : label is "soft_lutpair2"; attribute SOFT_HLUTNM of S_AXI_AWREADY_INST_0 : label is "soft_lutpair2"; attribute SOFT_HLUTNM of data_rdy_bit_i_2 : label is "soft_lutpair1"; attribute SOFT_HLUTNM of s_axi_bvalid_i_i_2 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \s_axi_rdata_i[0]_i_4\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \s_axi_rdata_i[23]_i_2\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \s_axi_rdata_i[23]_i_3\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of s_axi_rvalid_i_i_2 : label is "soft_lutpair0"; begin \DataTx_R_reg[0]\ <= \^datatx_r_reg[0]\; \DataTx_R_reg[0]_0\ <= \^datatx_r_reg[0]_0\; \DataTx_R_reg[0]_1\ <= \^datatx_r_reg[0]_1\; \DataTx_R_reg[0]_2\ <= \^datatx_r_reg[0]_2\; \DataTx_R_reg[0]_3\ <= \^datatx_r_reg[0]_3\; \DataTx_R_reg[0]_4\ <= \^datatx_r_reg[0]_4\; Bus_RNW_reg_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FEFFFFFF02020202" ) port map ( I0 => S_AXI_ARVALID, I1 => Q(0), I2 => Q(1), I3 => S_AXI_AWVALID, I4 => S_AXI_WVALID, I5 => \^datatx_r_reg[0]_4\, O => Bus_RNW_reg_i_1_n_0 ); Bus_RNW_reg_reg: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => '1', D => Bus_RNW_reg_i_1_n_0, Q => \^datatx_r_reg[0]_4\, R => '0' ); \DataTx_L[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000004" ) port map ( I0 => \^datatx_r_reg[0]_0\, I1 => \^datatx_r_reg[0]_1\, I2 => \^datatx_r_reg[0]_4\, I3 => \^datatx_r_reg[0]_2\, I4 => \^datatx_r_reg[0]_3\, I5 => \^datatx_r_reg[0]\, O => \DataTx_L_reg[0]\(0) ); \DataTx_R[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000004" ) port map ( I0 => \^datatx_r_reg[0]_1\, I1 => \^datatx_r_reg[0]_0\, I2 => \^datatx_r_reg[0]_4\, I3 => \^datatx_r_reg[0]_2\, I4 => \^datatx_r_reg[0]_3\, I5 => \^datatx_r_reg[0]\, O => E(0) ); \GEN_BKEND_CE_REGISTERS[0].ce_out_i[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"020202020202FF02" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2_n_0\, I1 => S_AXI_ARADDR(0), I2 => S_AXI_ARADDR(1), I3 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_3_n_0\, I4 => S_AXI_AWADDR(0), I5 => S_AXI_AWADDR(1), O => ce_expnd_i_4 ); \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => start, D => ce_expnd_i_4, Q => \^datatx_r_reg[0]_3\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"08080808FF080808" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2_n_0\, I1 => S_AXI_ARADDR(0), I2 => S_AXI_ARADDR(1), I3 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_3_n_0\, I4 => S_AXI_AWADDR(0), I5 => S_AXI_AWADDR(1), O => ce_expnd_i_3 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => start, D => ce_expnd_i_3, Q => \^datatx_r_reg[0]_2\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[2].ce_out_i[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"08080808FF080808" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2_n_0\, I1 => S_AXI_ARADDR(1), I2 => S_AXI_ARADDR(0), I3 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_3_n_0\, I4 => S_AXI_AWADDR(1), I5 => S_AXI_AWADDR(0), O => ce_expnd_i_2 ); \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => start, D => ce_expnd_i_2, Q => \^datatx_r_reg[0]_1\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF80808080808080" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2_n_0\, I1 => S_AXI_ARADDR(0), I2 => S_AXI_ARADDR(1), I3 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_3_n_0\, I4 => S_AXI_AWADDR(0), I5 => S_AXI_AWADDR(1), O => ce_expnd_i_1 ); \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => S_AXI_ARVALID, I1 => Q(0), I2 => Q(1), I3 => S_AXI_ARADDR(2), O => \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2_n_0\ ); \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000040" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_WVALID, I2 => S_AXI_AWVALID, I3 => Q(1), I4 => Q(0), I5 => S_AXI_AWADDR(2), O => \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_3_n_0\ ); \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => start, D => ce_expnd_i_1, Q => \^datatx_r_reg[0]_0\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"FD" ) port map ( I0 => S_AXI_ARESETN, I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0), I2 => S_AXI_ARREADY_INST_0_i_1_n_0, O => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"03020202" ) port map ( I0 => S_AXI_ARVALID, I1 => Q(0), I2 => Q(1), I3 => S_AXI_AWVALID, I4 => S_AXI_WVALID, O => start ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAEAA" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_4_n_0\, I1 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_5_n_0\, I2 => S_AXI_AWADDR(1), I3 => S_AXI_AWADDR(2), I4 => S_AXI_AWADDR(0), O => ce_expnd_i_0 ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000400" ) port map ( I0 => S_AXI_ARADDR(0), I1 => S_AXI_ARADDR(2), I2 => S_AXI_ARADDR(1), I3 => S_AXI_ARVALID, I4 => Q(0), I5 => Q(1), O => \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_4_n_0\ ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"00001000" ) port map ( I0 => Q(0), I1 => Q(1), I2 => S_AXI_AWVALID, I3 => S_AXI_WVALID, I4 => S_AXI_ARVALID, O => \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_5_n_0\ ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => start, D => ce_expnd_i_0, Q => \^datatx_r_reg[0]\, R => cs_ce_clr ); S_AXI_ARREADY_INST_0: unisim.vcomponents.LUT3 generic map( INIT => X"F8" ) port map ( I0 => \^datatx_r_reg[0]_4\, I1 => S_AXI_ARREADY_INST_0_i_1_n_0, I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0), O => S_AXI_ARREADY ); S_AXI_ARREADY_INST_0_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^datatx_r_reg[0]\, I1 => \^datatx_r_reg[0]_3\, I2 => \^datatx_r_reg[0]_2\, I3 => \^datatx_r_reg[0]_0\, I4 => \^datatx_r_reg[0]_1\, O => S_AXI_ARREADY_INST_0_i_1_n_0 ); S_AXI_AWREADY_INST_0: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => \^datatx_r_reg[0]_4\, I1 => S_AXI_ARREADY_INST_0_i_1_n_0, I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0), O => S_AXI_AWREADY ); data_rdy_bit_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^datatx_r_reg[0]\, I1 => \^datatx_r_reg[0]_3\, I2 => \^datatx_r_reg[0]_2\, I3 => \^datatx_r_reg[0]_4\, O => data_rdy_bit_reg_0 ); data_rdy_bit_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFEFFFF" ) port map ( I0 => \^datatx_r_reg[0]_3\, I1 => \^datatx_r_reg[0]_2\, I2 => \^datatx_r_reg[0]_1\, I3 => \^datatx_r_reg[0]_0\, I4 => \^datatx_r_reg[0]\, I5 => \^datatx_r_reg[0]_4\, O => data_rdy_bit_reg ); s_axi_bvalid_i_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"BA" ) port map ( I0 => s_axi_bvalid_i0, I1 => S_AXI_BREADY, I2 => s_axi_bvalid_i_reg_1, O => s_axi_bvalid_i_reg ); s_axi_bvalid_i_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"0000AE00" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0), I1 => S_AXI_ARREADY_INST_0_i_1_n_0, I2 => \^datatx_r_reg[0]_4\, I3 => Q(1), I4 => Q(0), O => s_axi_bvalid_i0 ); \s_axi_rdata_i[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFAAEAAAEAAAEAAA" ) port map ( I0 => \s_axi_rdata_i[0]_i_2_n_0\, I1 => data_rdy_bit, I2 => \^datatx_r_reg[0]\, I3 => \s_axi_rdata_i[0]_i_3_n_0\, I4 => \^datatx_r_reg[0]_0\, I5 => \DataTx_R_reg[31]\(0), O => \s_axi_rdata_i_reg[31]\(0) ); \s_axi_rdata_i[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF888F888F888" ) port map ( I0 => \s_axi_rdata_i[0]_i_4_n_0\, I1 => \DataTx_L_reg[31]\(0), I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(0), I4 => \DataRx_L_reg[23]\(0), I5 => \s_axi_rdata_i[23]_i_2_n_0\, O => \s_axi_rdata_i[0]_i_2_n_0\ ); \s_axi_rdata_i[0]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^datatx_r_reg[0]_4\, I1 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, O => \s_axi_rdata_i[0]_i_3_n_0\ ); \s_axi_rdata_i[0]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I1 => \^datatx_r_reg[0]_4\, I2 => \^datatx_r_reg[0]_1\, O => \s_axi_rdata_i[0]_i_4_n_0\ ); \s_axi_rdata_i[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(10), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(10), I4 => \s_axi_rdata_i[10]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(10) ); \s_axi_rdata_i[10]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(10), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(10), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[10]_i_2_n_0\ ); \s_axi_rdata_i[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(11), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(11), I4 => \s_axi_rdata_i[11]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(11) ); \s_axi_rdata_i[11]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(11), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(11), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[11]_i_2_n_0\ ); \s_axi_rdata_i[12]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(12), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(12), I4 => \s_axi_rdata_i[12]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(12) ); \s_axi_rdata_i[12]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(12), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(12), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[12]_i_2_n_0\ ); \s_axi_rdata_i[13]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(13), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(13), I4 => \s_axi_rdata_i[13]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(13) ); \s_axi_rdata_i[13]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(13), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(13), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[13]_i_2_n_0\ ); \s_axi_rdata_i[14]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(14), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(14), I4 => \s_axi_rdata_i[14]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(14) ); \s_axi_rdata_i[14]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(14), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(14), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[14]_i_2_n_0\ ); \s_axi_rdata_i[15]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(15), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(15), I4 => \s_axi_rdata_i[15]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(15) ); \s_axi_rdata_i[15]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(15), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(15), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[15]_i_2_n_0\ ); \s_axi_rdata_i[16]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(16), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(16), I4 => \s_axi_rdata_i[16]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(16) ); \s_axi_rdata_i[16]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(16), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(16), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[16]_i_2_n_0\ ); \s_axi_rdata_i[17]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(17), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(17), I4 => \s_axi_rdata_i[17]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(17) ); \s_axi_rdata_i[17]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(17), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(17), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[17]_i_2_n_0\ ); \s_axi_rdata_i[18]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(18), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(18), I4 => \s_axi_rdata_i[18]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(18) ); \s_axi_rdata_i[18]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(18), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(18), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[18]_i_2_n_0\ ); \s_axi_rdata_i[19]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(19), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(19), I4 => \s_axi_rdata_i[19]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(19) ); \s_axi_rdata_i[19]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(19), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(19), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[19]_i_2_n_0\ ); \s_axi_rdata_i[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(1), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(1), I4 => \s_axi_rdata_i[1]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(1) ); \s_axi_rdata_i[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(1), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(1), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[1]_i_2_n_0\ ); \s_axi_rdata_i[20]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(20), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(20), I4 => \s_axi_rdata_i[20]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(20) ); \s_axi_rdata_i[20]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(20), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(20), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[20]_i_2_n_0\ ); \s_axi_rdata_i[21]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(21), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(21), I4 => \s_axi_rdata_i[21]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(21) ); \s_axi_rdata_i[21]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(21), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(21), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[21]_i_2_n_0\ ); \s_axi_rdata_i[22]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(22), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(22), I4 => \s_axi_rdata_i[22]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(22) ); \s_axi_rdata_i[22]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(22), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(22), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[22]_i_2_n_0\ ); \s_axi_rdata_i[23]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(23), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(23), I4 => \s_axi_rdata_i[23]_i_4_n_0\, O => \s_axi_rdata_i_reg[31]\(23) ); \s_axi_rdata_i[23]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I1 => \^datatx_r_reg[0]_4\, I2 => \^datatx_r_reg[0]_3\, O => \s_axi_rdata_i[23]_i_2_n_0\ ); \s_axi_rdata_i[23]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I1 => \^datatx_r_reg[0]_4\, I2 => \^datatx_r_reg[0]_2\, O => \s_axi_rdata_i[23]_i_3_n_0\ ); \s_axi_rdata_i[23]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(23), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(23), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[23]_i_4_n_0\ ); \s_axi_rdata_i[24]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(24), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(24), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i_reg[31]\(24) ); \s_axi_rdata_i[25]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(25), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(25), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i_reg[31]\(25) ); \s_axi_rdata_i[26]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(26), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(26), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i_reg[31]\(26) ); \s_axi_rdata_i[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(27), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(27), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i_reg[31]\(27) ); \s_axi_rdata_i[28]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(28), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(28), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i_reg[31]\(28) ); \s_axi_rdata_i[29]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(29), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(29), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i_reg[31]\(29) ); \s_axi_rdata_i[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(2), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(2), I4 => \s_axi_rdata_i[2]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(2) ); \s_axi_rdata_i[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(2), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(2), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[2]_i_2_n_0\ ); \s_axi_rdata_i[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(30), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(30), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i_reg[31]\(30) ); \s_axi_rdata_i[31]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(31), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(31), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i_reg[31]\(31) ); \s_axi_rdata_i[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(3), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(3), I4 => \s_axi_rdata_i[3]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(3) ); \s_axi_rdata_i[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(3), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(3), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[3]_i_2_n_0\ ); \s_axi_rdata_i[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(4), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(4), I4 => \s_axi_rdata_i[4]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(4) ); \s_axi_rdata_i[4]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(4), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(4), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[4]_i_2_n_0\ ); \s_axi_rdata_i[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(5), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(5), I4 => \s_axi_rdata_i[5]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(5) ); \s_axi_rdata_i[5]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(5), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(5), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[5]_i_2_n_0\ ); \s_axi_rdata_i[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(6), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(6), I4 => \s_axi_rdata_i[6]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(6) ); \s_axi_rdata_i[6]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(6), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(6), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[6]_i_2_n_0\ ); \s_axi_rdata_i[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(7), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(7), I4 => \s_axi_rdata_i[7]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(7) ); \s_axi_rdata_i[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(7), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(7), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[7]_i_2_n_0\ ); \s_axi_rdata_i[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(8), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(8), I4 => \s_axi_rdata_i[8]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(8) ); \s_axi_rdata_i[8]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(8), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(8), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[8]_i_2_n_0\ ); \s_axi_rdata_i[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFF888" ) port map ( I0 => \DataRx_L_reg[23]\(9), I1 => \s_axi_rdata_i[23]_i_2_n_0\, I2 => \s_axi_rdata_i[23]_i_3_n_0\, I3 => \DataRx_R_reg[23]\(9), I4 => \s_axi_rdata_i[9]_i_2_n_0\, O => \s_axi_rdata_i_reg[31]\(9) ); \s_axi_rdata_i[9]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"F800000088000000" ) port map ( I0 => \DataTx_L_reg[31]\(9), I1 => \^datatx_r_reg[0]_1\, I2 => \DataTx_R_reg[31]\(9), I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I4 => \^datatx_r_reg[0]_4\, I5 => \^datatx_r_reg[0]_0\, O => \s_axi_rdata_i[9]_i_2_n_0\ ); s_axi_rvalid_i_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"BA" ) port map ( I0 => s_axi_rvalid_i0, I1 => S_AXI_RREADY, I2 => s_axi_rvalid_i_reg_0, O => s_axi_rvalid_i_reg ); s_axi_rvalid_i_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"0000EA00" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0), I1 => S_AXI_ARREADY_INST_0_i_1_n_0, I2 => \^datatx_r_reg[0]_4\, I3 => Q(0), I4 => Q(1), O => s_axi_rvalid_i0 ); \state[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FFF4" ) port map ( I0 => Q(1), I1 => S_AXI_ARVALID, I2 => s_axi_bvalid_i0, I3 => s_axi_bvalid_i_reg_0, O => D(0) ); \state[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFF4454" ) port map ( I0 => Q(0), I1 => Q(1), I2 => S_AXI_WVALID_0, I3 => S_AXI_ARVALID, I4 => \state_reg[1]\, I5 => s_axi_rvalid_i0, O => D(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_iis_deser is port ( lrclk_d1 : out STD_LOGIC; sclk_d1 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \rdata_reg_reg[23]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \bit_cntr_reg[4]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sdata_reg_reg : out STD_LOGIC; \FSM_onehot_iis_state_reg[0]\ : out STD_LOGIC; data_rdy_bit_reg : out STD_LOGIC; \FSM_onehot_iis_state_reg[0]_0\ : out STD_LOGIC; \DataRx_L_reg[23]\ : out STD_LOGIC_VECTOR ( 23 downto 0 ); \DataRx_R_reg[23]\ : out STD_LOGIC_VECTOR ( 23 downto 0 ); Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACLK : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); data_rdy_bit : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\ : in STD_LOGIC; S_AXI_ARESETN : in STD_LOGIC; SDATA_I : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_iis_deser; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_iis_deser is signal \^datarx_l_reg[23]\ : STD_LOGIC_VECTOR ( 23 downto 0 ); signal \^datarx_r_reg[23]\ : STD_LOGIC_VECTOR ( 23 downto 0 ); signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \FSM_sequential_iis_state[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_iis_state[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_iis_state[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_iis_state[2]_i_2_n_0\ : STD_LOGIC; signal \FSM_sequential_iis_state[2]_i_3_n_0\ : STD_LOGIC; signal \FSM_sequential_iis_state[2]_i_4_n_0\ : STD_LOGIC; signal \bit_cntr[4]_i_1_n_0\ : STD_LOGIC; signal \bit_cntr_reg__0\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal bit_rdy : STD_LOGIC; signal data_rdy_bit_i_4_n_0 : STD_LOGIC; signal eqOp : STD_LOGIC; signal iis_state : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of iis_state : signal is "yes"; signal ldata_reg : STD_LOGIC; signal ldata_reg0 : STD_LOGIC; signal \^lrclk_d1\ : STD_LOGIC; signal \plusOp__1\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal rdata_reg0 : STD_LOGIC; signal \^sclk_d1\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \DataRx_L[23]_i_2\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \FSM_onehot_iis_state[4]_i_5\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \FSM_sequential_iis_state[2]_i_4\ : label is "soft_lutpair8"; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_sequential_iis_state_reg[0]\ : label is "reset:000,wait_left:001,skip_left:010,read_left:011,wait_right:100,skip_right:101,read_right:110"; attribute KEEP : string; attribute KEEP of \FSM_sequential_iis_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_iis_state_reg[1]\ : label is "reset:000,wait_left:001,skip_left:010,read_left:011,wait_right:100,skip_right:101,read_right:110"; attribute KEEP of \FSM_sequential_iis_state_reg[1]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_sequential_iis_state_reg[2]\ : label is "reset:000,wait_left:001,skip_left:010,read_left:011,wait_right:100,skip_right:101,read_right:110"; attribute KEEP of \FSM_sequential_iis_state_reg[2]\ : label is "yes"; attribute SOFT_HLUTNM of \bit_cntr[0]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \bit_cntr[1]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \bit_cntr[2]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \bit_cntr[3]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \bit_cntr[4]_i_2__0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \bit_cntr[4]_i_3\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of sdata_reg_i_2 : label is "soft_lutpair10"; begin \DataRx_L_reg[23]\(23 downto 0) <= \^datarx_l_reg[23]\(23 downto 0); \DataRx_R_reg[23]\(23 downto 0) <= \^datarx_r_reg[23]\(23 downto 0); E(0) <= \^e\(0); lrclk_d1 <= \^lrclk_d1\; sclk_d1 <= \^sclk_d1\; \DataRx_L[23]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => eqOp, I1 => iis_state(2), I2 => iis_state(1), I3 => iis_state(0), O => \^e\(0) ); \DataRx_L[23]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000020" ) port map ( I0 => \bit_cntr_reg__0\(3), I1 => \bit_cntr_reg__0\(0), I2 => \bit_cntr_reg__0\(4), I3 => \bit_cntr_reg__0\(1), I4 => \bit_cntr_reg__0\(2), O => eqOp ); \FSM_onehot_iis_state[4]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => \^lrclk_d1\, I1 => Q(1), I2 => \out\(1), O => \FSM_onehot_iis_state_reg[0]_0\ ); \FSM_onehot_iis_state[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"DF" ) port map ( I0 => \^lrclk_d1\, I1 => Q(1), I2 => \out\(0), O => \FSM_onehot_iis_state_reg[0]\ ); \FSM_sequential_iis_state[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"75777F7745444044" ) port map ( I0 => iis_state(0), I1 => \FSM_sequential_iis_state[2]_i_2_n_0\, I2 => iis_state(1), I3 => iis_state(2), I4 => \FSM_sequential_iis_state[2]_i_3_n_0\, I5 => iis_state(0), O => \FSM_sequential_iis_state[0]_i_1_n_0\ ); \FSM_sequential_iis_state[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"3A7B3F7B0A480048" ) port map ( I0 => iis_state(0), I1 => \FSM_sequential_iis_state[2]_i_2_n_0\, I2 => iis_state(1), I3 => iis_state(2), I4 => \FSM_sequential_iis_state[2]_i_3_n_0\, I5 => iis_state(1), O => \FSM_sequential_iis_state[1]_i_1_n_0\ ); \FSM_sequential_iis_state[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"3FB33FB30F800080" ) port map ( I0 => iis_state(0), I1 => \FSM_sequential_iis_state[2]_i_2_n_0\, I2 => iis_state(1), I3 => iis_state(2), I4 => \FSM_sequential_iis_state[2]_i_3_n_0\, I5 => iis_state(2), O => \FSM_sequential_iis_state[2]_i_1_n_0\ ); \FSM_sequential_iis_state[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFA33FF000A330F" ) port map ( I0 => bit_rdy, I1 => \FSM_sequential_iis_state[2]_i_4_n_0\, I2 => iis_state(2), I3 => iis_state(0), I4 => iis_state(1), I5 => eqOp, O => \FSM_sequential_iis_state[2]_i_2_n_0\ ); \FSM_sequential_iis_state[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"22A222A2EEAE22A2" ) port map ( I0 => bit_rdy, I1 => iis_state(2), I2 => iis_state(0), I3 => iis_state(1), I4 => Q(1), I5 => \^lrclk_d1\, O => \FSM_sequential_iis_state[2]_i_3_n_0\ ); \FSM_sequential_iis_state[2]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => Q(1), I1 => \^lrclk_d1\, O => \FSM_sequential_iis_state[2]_i_4_n_0\ ); \FSM_sequential_iis_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \FSM_sequential_iis_state[0]_i_1_n_0\, Q => iis_state(0), R => '0' ); \FSM_sequential_iis_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \FSM_sequential_iis_state[1]_i_1_n_0\, Q => iis_state(1), R => '0' ); \FSM_sequential_iis_state_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \FSM_sequential_iis_state[2]_i_1_n_0\, Q => iis_state(2), R => '0' ); \bit_cntr[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \bit_cntr_reg__0\(0), O => \plusOp__1\(0) ); \bit_cntr[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bit_cntr_reg__0\(0), I1 => \bit_cntr_reg__0\(1), O => \plusOp__1\(1) ); \bit_cntr[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \bit_cntr_reg__0\(1), I1 => \bit_cntr_reg__0\(0), I2 => \bit_cntr_reg__0\(2), O => \plusOp__1\(2) ); \bit_cntr[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6CCC" ) port map ( I0 => \bit_cntr_reg__0\(1), I1 => \bit_cntr_reg__0\(3), I2 => \bit_cntr_reg__0\(0), I3 => \bit_cntr_reg__0\(2), O => \plusOp__1\(3) ); \bit_cntr[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D7" ) port map ( I0 => iis_state(1), I1 => iis_state(0), I2 => iis_state(2), O => \bit_cntr[4]_i_1_n_0\ ); \bit_cntr[4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => Q(0), I1 => \^sclk_d1\, O => bit_rdy ); \bit_cntr[4]_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^sclk_d1\, I1 => Q(0), O => \bit_cntr_reg[4]_0\(0) ); \bit_cntr[4]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"78F0F0F0" ) port map ( I0 => \bit_cntr_reg__0\(3), I1 => \bit_cntr_reg__0\(2), I2 => \bit_cntr_reg__0\(4), I3 => \bit_cntr_reg__0\(1), I4 => \bit_cntr_reg__0\(0), O => \plusOp__1\(4) ); \bit_cntr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => bit_rdy, D => \plusOp__1\(0), Q => \bit_cntr_reg__0\(0), R => \bit_cntr[4]_i_1_n_0\ ); \bit_cntr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => bit_rdy, D => \plusOp__1\(1), Q => \bit_cntr_reg__0\(1), R => \bit_cntr[4]_i_1_n_0\ ); \bit_cntr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => bit_rdy, D => \plusOp__1\(2), Q => \bit_cntr_reg__0\(2), R => \bit_cntr[4]_i_1_n_0\ ); \bit_cntr_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => bit_rdy, D => \plusOp__1\(3), Q => \bit_cntr_reg__0\(3), R => \bit_cntr[4]_i_1_n_0\ ); \bit_cntr_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => bit_rdy, D => \plusOp__1\(4), Q => \bit_cntr_reg__0\(4), R => \bit_cntr[4]_i_1_n_0\ ); data_rdy_bit_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"CC00EA0000000000" ) port map ( I0 => data_rdy_bit, I1 => \^e\(0), I2 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\, I3 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\, I4 => data_rdy_bit_i_4_n_0, I5 => S_AXI_ARESETN, O => data_rdy_bit_reg ); data_rdy_bit_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"0000000090000000" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, I1 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I2 => eqOp, I3 => iis_state(2), I4 => iis_state(1), I5 => iis_state(0), O => data_rdy_bit_i_4_n_0 ); \ldata_reg[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => iis_state(1), I1 => iis_state(0), I2 => iis_state(2), O => ldata_reg ); \ldata_reg[23]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00004000" ) port map ( I0 => iis_state(2), I1 => iis_state(0), I2 => iis_state(1), I3 => Q(0), I4 => \^sclk_d1\, O => ldata_reg0 ); \ldata_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => SDATA_I, Q => \^datarx_l_reg[23]\(0), R => ldata_reg ); \ldata_reg_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(9), Q => \^datarx_l_reg[23]\(10), R => ldata_reg ); \ldata_reg_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(10), Q => \^datarx_l_reg[23]\(11), R => ldata_reg ); \ldata_reg_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(11), Q => \^datarx_l_reg[23]\(12), R => ldata_reg ); \ldata_reg_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(12), Q => \^datarx_l_reg[23]\(13), R => ldata_reg ); \ldata_reg_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(13), Q => \^datarx_l_reg[23]\(14), R => ldata_reg ); \ldata_reg_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(14), Q => \^datarx_l_reg[23]\(15), R => ldata_reg ); \ldata_reg_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(15), Q => \^datarx_l_reg[23]\(16), R => ldata_reg ); \ldata_reg_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(16), Q => \^datarx_l_reg[23]\(17), R => ldata_reg ); \ldata_reg_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(17), Q => \^datarx_l_reg[23]\(18), R => ldata_reg ); \ldata_reg_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(18), Q => \^datarx_l_reg[23]\(19), R => ldata_reg ); \ldata_reg_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(0), Q => \^datarx_l_reg[23]\(1), R => ldata_reg ); \ldata_reg_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(19), Q => \^datarx_l_reg[23]\(20), R => ldata_reg ); \ldata_reg_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(20), Q => \^datarx_l_reg[23]\(21), R => ldata_reg ); \ldata_reg_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(21), Q => \^datarx_l_reg[23]\(22), R => ldata_reg ); \ldata_reg_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(22), Q => \^datarx_l_reg[23]\(23), R => ldata_reg ); \ldata_reg_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(1), Q => \^datarx_l_reg[23]\(2), R => ldata_reg ); \ldata_reg_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(2), Q => \^datarx_l_reg[23]\(3), R => ldata_reg ); \ldata_reg_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(3), Q => \^datarx_l_reg[23]\(4), R => ldata_reg ); \ldata_reg_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(4), Q => \^datarx_l_reg[23]\(5), R => ldata_reg ); \ldata_reg_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(5), Q => \^datarx_l_reg[23]\(6), R => ldata_reg ); \ldata_reg_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(6), Q => \^datarx_l_reg[23]\(7), R => ldata_reg ); \ldata_reg_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(7), Q => \^datarx_l_reg[23]\(8), R => ldata_reg ); \ldata_reg_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => ldata_reg0, D => \^datarx_l_reg[23]\(8), Q => \^datarx_l_reg[23]\(9), R => ldata_reg ); lrclk_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => Q(1), Q => \^lrclk_d1\, R => '0' ); \rdata_reg[23]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00004000" ) port map ( I0 => iis_state(0), I1 => iis_state(1), I2 => iis_state(2), I3 => Q(0), I4 => \^sclk_d1\, O => rdata_reg0 ); \rdata_reg[23]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"4040FF4040404040" ) port map ( I0 => Q(0), I1 => \^sclk_d1\, I2 => \out\(2), I3 => \out\(0), I4 => Q(1), I5 => \^lrclk_d1\, O => \rdata_reg_reg[23]_0\(0) ); \rdata_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => SDATA_I, Q => \^datarx_r_reg[23]\(0), R => ldata_reg ); \rdata_reg_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(9), Q => \^datarx_r_reg[23]\(10), R => ldata_reg ); \rdata_reg_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(10), Q => \^datarx_r_reg[23]\(11), R => ldata_reg ); \rdata_reg_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(11), Q => \^datarx_r_reg[23]\(12), R => ldata_reg ); \rdata_reg_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(12), Q => \^datarx_r_reg[23]\(13), R => ldata_reg ); \rdata_reg_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(13), Q => \^datarx_r_reg[23]\(14), R => ldata_reg ); \rdata_reg_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(14), Q => \^datarx_r_reg[23]\(15), R => ldata_reg ); \rdata_reg_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(15), Q => \^datarx_r_reg[23]\(16), R => ldata_reg ); \rdata_reg_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(16), Q => \^datarx_r_reg[23]\(17), R => ldata_reg ); \rdata_reg_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(17), Q => \^datarx_r_reg[23]\(18), R => ldata_reg ); \rdata_reg_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(18), Q => \^datarx_r_reg[23]\(19), R => ldata_reg ); \rdata_reg_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(0), Q => \^datarx_r_reg[23]\(1), R => ldata_reg ); \rdata_reg_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(19), Q => \^datarx_r_reg[23]\(20), R => ldata_reg ); \rdata_reg_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(20), Q => \^datarx_r_reg[23]\(21), R => ldata_reg ); \rdata_reg_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(21), Q => \^datarx_r_reg[23]\(22), R => ldata_reg ); \rdata_reg_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(22), Q => \^datarx_r_reg[23]\(23), R => ldata_reg ); \rdata_reg_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(1), Q => \^datarx_r_reg[23]\(2), R => ldata_reg ); \rdata_reg_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(2), Q => \^datarx_r_reg[23]\(3), R => ldata_reg ); \rdata_reg_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(3), Q => \^datarx_r_reg[23]\(4), R => ldata_reg ); \rdata_reg_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(4), Q => \^datarx_r_reg[23]\(5), R => ldata_reg ); \rdata_reg_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(5), Q => \^datarx_r_reg[23]\(6), R => ldata_reg ); \rdata_reg_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(6), Q => \^datarx_r_reg[23]\(7), R => ldata_reg ); \rdata_reg_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(7), Q => \^datarx_r_reg[23]\(8), R => ldata_reg ); \rdata_reg_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => rdata_reg0, D => \^datarx_r_reg[23]\(8), Q => \^datarx_r_reg[23]\(9), R => ldata_reg ); sclk_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => Q(0), Q => \^sclk_d1\, R => '0' ); sdata_reg_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => Q(0), I1 => \^sclk_d1\, O => sdata_reg_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_iis_ser is port ( SDATA_O : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACLK : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); sclk_d1 : in STD_LOGIC; lrclk_d1 : in STD_LOGIC; \DataTx_L_reg[23]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \DataTx_R_reg[23]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \clk_cntr_reg[4]\ : in STD_LOGIC; lrclk_d1_reg : in STD_LOGIC; lrclk_d1_reg_0 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); sclk_d1_reg : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_iis_ser; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_iis_ser is signal \FSM_onehot_iis_state[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_iis_state[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_iis_state[3]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_iis_state[4]_i_1_n_0\ : STD_LOGIC; signal \FSM_onehot_iis_state[4]_i_2_n_0\ : STD_LOGIC; signal \^sdata_o\ : STD_LOGIC; signal \bit_cntr[4]_i_1__0_n_0\ : STD_LOGIC; signal \bit_cntr_reg__0\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal eqOp : STD_LOGIC; signal ldata_reg : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of ldata_reg : signal is "yes"; signal \ldata_reg[0]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[10]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[11]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[12]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[13]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[14]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[15]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[16]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[17]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[18]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[19]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[1]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[20]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[21]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[22]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[23]_i_1__0_n_0\ : STD_LOGIC; signal \ldata_reg[23]_i_2__0_n_0\ : STD_LOGIC; signal \ldata_reg[2]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[3]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[4]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[5]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[6]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[7]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[8]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg[9]_i_1_n_0\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[0]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[10]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[11]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[12]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[13]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[14]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[15]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[16]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[17]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[18]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[19]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[1]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[20]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[21]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[22]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[2]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[3]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[4]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[5]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[6]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[7]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[8]\ : STD_LOGIC; signal \ldata_reg_reg_n_0_[9]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP of \^out\ : signal is "yes"; signal p_0_in2_in : STD_LOGIC; attribute RTL_KEEP of p_0_in2_in : signal is "yes"; signal p_1_in : STD_LOGIC_VECTOR ( 23 downto 0 ); signal p_2_in : STD_LOGIC; signal \plusOp__2\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \rdata_reg_reg_n_0_[0]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[10]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[11]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[12]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[13]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[14]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[15]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[16]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[17]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[18]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[19]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[1]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[20]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[21]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[22]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[23]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[2]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[3]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[4]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[5]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[6]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[7]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[8]\ : STD_LOGIC; signal \rdata_reg_reg_n_0_[9]\ : STD_LOGIC; signal sdata_reg_i_1_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FSM_onehot_iis_state[4]_i_4\ : label is "soft_lutpair11"; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_onehot_iis_state_reg[0]\ : label is "reset:00001,wait_left:00010,write_left:00100,wait_right:01000,write_right:10000"; attribute KEEP : string; attribute KEEP of \FSM_onehot_iis_state_reg[0]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_iis_state_reg[1]\ : label is "reset:00001,wait_left:00010,write_left:00100,wait_right:01000,write_right:10000"; attribute KEEP of \FSM_onehot_iis_state_reg[1]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_iis_state_reg[2]\ : label is "reset:00001,wait_left:00010,write_left:00100,wait_right:01000,write_right:10000"; attribute KEEP of \FSM_onehot_iis_state_reg[2]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_iis_state_reg[3]\ : label is "reset:00001,wait_left:00010,write_left:00100,wait_right:01000,write_right:10000"; attribute KEEP of \FSM_onehot_iis_state_reg[3]\ : label is "yes"; attribute FSM_ENCODED_STATES of \FSM_onehot_iis_state_reg[4]\ : label is "reset:00001,wait_left:00010,write_left:00100,wait_right:01000,write_right:10000"; attribute KEEP of \FSM_onehot_iis_state_reg[4]\ : label is "yes"; attribute SOFT_HLUTNM of \bit_cntr[0]_i_1__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \bit_cntr[1]_i_1__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \bit_cntr[2]_i_1__0\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \bit_cntr[3]_i_1__0\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \bit_cntr[4]_i_3__0\ : label is "soft_lutpair11"; begin SDATA_O <= \^sdata_o\; \out\(2 downto 0) <= \^out\(2 downto 0); \FSM_onehot_iis_state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAABA" ) port map ( I0 => ldata_reg, I1 => p_0_in2_in, I2 => \^out\(2), I3 => \^out\(1), I4 => \^out\(0), O => \FSM_onehot_iis_state[1]_i_1_n_0\ ); \FSM_onehot_iis_state[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0ACA" ) port map ( I0 => p_0_in2_in, I1 => \^out\(0), I2 => \FSM_onehot_iis_state[4]_i_1_n_0\, I3 => ldata_reg, O => \FSM_onehot_iis_state[2]_i_1_n_0\ ); \FSM_onehot_iis_state[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => p_0_in2_in, I1 => ldata_reg, I2 => \^out\(0), O => \FSM_onehot_iis_state[3]_i_1_n_0\ ); \FSM_onehot_iis_state[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFEEFFFFFEEEFFFF" ) port map ( I0 => ldata_reg, I1 => lrclk_d1_reg, I2 => \^out\(2), I3 => eqOp, I4 => lrclk_d1_reg_0, I5 => p_0_in2_in, O => \FSM_onehot_iis_state[4]_i_1_n_0\ ); \FSM_onehot_iis_state[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0010" ) port map ( I0 => ldata_reg, I1 => p_0_in2_in, I2 => \^out\(1), I3 => \^out\(0), O => \FSM_onehot_iis_state[4]_i_2_n_0\ ); \FSM_onehot_iis_state[4]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"02000000" ) port map ( I0 => \bit_cntr_reg__0\(0), I1 => \bit_cntr_reg__0\(1), I2 => \bit_cntr_reg__0\(2), I3 => \bit_cntr_reg__0\(4), I4 => \bit_cntr_reg__0\(3), O => eqOp ); \FSM_onehot_iis_state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => S_AXI_ACLK, CE => \FSM_onehot_iis_state[4]_i_1_n_0\, D => '0', Q => ldata_reg, R => '0' ); \FSM_onehot_iis_state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \FSM_onehot_iis_state[4]_i_1_n_0\, D => \FSM_onehot_iis_state[1]_i_1_n_0\, Q => \^out\(0), R => '0' ); \FSM_onehot_iis_state_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \FSM_onehot_iis_state[2]_i_1_n_0\, Q => p_0_in2_in, R => '0' ); \FSM_onehot_iis_state_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \FSM_onehot_iis_state[4]_i_1_n_0\, D => \FSM_onehot_iis_state[3]_i_1_n_0\, Q => \^out\(1), R => '0' ); \FSM_onehot_iis_state_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \FSM_onehot_iis_state[4]_i_1_n_0\, D => \FSM_onehot_iis_state[4]_i_2_n_0\, Q => \^out\(2), R => '0' ); \bit_cntr[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \bit_cntr_reg__0\(0), O => \plusOp__2\(0) ); \bit_cntr[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bit_cntr_reg__0\(0), I1 => \bit_cntr_reg__0\(1), O => \plusOp__2\(1) ); \bit_cntr[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \bit_cntr_reg__0\(1), I1 => \bit_cntr_reg__0\(0), I2 => \bit_cntr_reg__0\(2), O => \plusOp__2\(2) ); \bit_cntr[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \bit_cntr_reg__0\(2), I1 => \bit_cntr_reg__0\(0), I2 => \bit_cntr_reg__0\(1), I3 => \bit_cntr_reg__0\(3), O => \plusOp__2\(3) ); \bit_cntr[4]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \^out\(2), I1 => p_0_in2_in, O => \bit_cntr[4]_i_1__0_n_0\ ); \bit_cntr[4]_i_3__0\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \bit_cntr_reg__0\(3), I1 => \bit_cntr_reg__0\(1), I2 => \bit_cntr_reg__0\(0), I3 => \bit_cntr_reg__0\(2), I4 => \bit_cntr_reg__0\(4), O => \plusOp__2\(4) ); \bit_cntr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => sclk_d1_reg(0), D => \plusOp__2\(0), Q => \bit_cntr_reg__0\(0), R => \bit_cntr[4]_i_1__0_n_0\ ); \bit_cntr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => sclk_d1_reg(0), D => \plusOp__2\(1), Q => \bit_cntr_reg__0\(1), R => \bit_cntr[4]_i_1__0_n_0\ ); \bit_cntr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => sclk_d1_reg(0), D => \plusOp__2\(2), Q => \bit_cntr_reg__0\(2), R => \bit_cntr[4]_i_1__0_n_0\ ); \bit_cntr_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => sclk_d1_reg(0), D => \plusOp__2\(3), Q => \bit_cntr_reg__0\(3), R => \bit_cntr[4]_i_1__0_n_0\ ); \bit_cntr_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => sclk_d1_reg(0), D => \plusOp__2\(4), Q => \bit_cntr_reg__0\(4), R => \bit_cntr[4]_i_1__0_n_0\ ); \ldata_reg[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0800" ) port map ( I0 => \DataTx_L_reg[23]\(0), I1 => \^out\(0), I2 => Q(1), I3 => lrclk_d1, O => \ldata_reg[0]_i_1_n_0\ ); \ldata_reg[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[9]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(10), O => \ldata_reg[10]_i_1_n_0\ ); \ldata_reg[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[10]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(11), O => \ldata_reg[11]_i_1_n_0\ ); \ldata_reg[12]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[11]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(12), O => \ldata_reg[12]_i_1_n_0\ ); \ldata_reg[13]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[12]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(13), O => \ldata_reg[13]_i_1_n_0\ ); \ldata_reg[14]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[13]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(14), O => \ldata_reg[14]_i_1_n_0\ ); \ldata_reg[15]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[14]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(15), O => \ldata_reg[15]_i_1_n_0\ ); \ldata_reg[16]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[15]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(16), O => \ldata_reg[16]_i_1_n_0\ ); \ldata_reg[17]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[16]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(17), O => \ldata_reg[17]_i_1_n_0\ ); \ldata_reg[18]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[17]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(18), O => \ldata_reg[18]_i_1_n_0\ ); \ldata_reg[19]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[18]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(19), O => \ldata_reg[19]_i_1_n_0\ ); \ldata_reg[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[0]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(1), O => \ldata_reg[1]_i_1_n_0\ ); \ldata_reg[20]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[19]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(20), O => \ldata_reg[20]_i_1_n_0\ ); \ldata_reg[21]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[20]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(21), O => \ldata_reg[21]_i_1_n_0\ ); \ldata_reg[22]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[21]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(22), O => \ldata_reg[22]_i_1_n_0\ ); \ldata_reg[23]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"2020FF2020202020" ) port map ( I0 => p_0_in2_in, I1 => Q(0), I2 => sclk_d1, I3 => \^out\(0), I4 => Q(1), I5 => lrclk_d1, O => \ldata_reg[23]_i_1__0_n_0\ ); \ldata_reg[23]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[22]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(23), O => \ldata_reg[23]_i_2__0_n_0\ ); \ldata_reg[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[1]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(2), O => \ldata_reg[2]_i_1_n_0\ ); \ldata_reg[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[2]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(3), O => \ldata_reg[3]_i_1_n_0\ ); \ldata_reg[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[3]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(4), O => \ldata_reg[4]_i_1_n_0\ ); \ldata_reg[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[4]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(5), O => \ldata_reg[5]_i_1_n_0\ ); \ldata_reg[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[5]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(6), O => \ldata_reg[6]_i_1_n_0\ ); \ldata_reg[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[6]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(7), O => \ldata_reg[7]_i_1_n_0\ ); \ldata_reg[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[7]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(8), O => \ldata_reg[8]_i_1_n_0\ ); \ldata_reg[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \ldata_reg_reg_n_0_[8]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_L_reg[23]\(9), O => \ldata_reg[9]_i_1_n_0\ ); \ldata_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[0]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[0]\, R => ldata_reg ); \ldata_reg_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[10]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[10]\, R => ldata_reg ); \ldata_reg_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[11]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[11]\, R => ldata_reg ); \ldata_reg_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[12]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[12]\, R => ldata_reg ); \ldata_reg_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[13]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[13]\, R => ldata_reg ); \ldata_reg_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[14]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[14]\, R => ldata_reg ); \ldata_reg_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[15]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[15]\, R => ldata_reg ); \ldata_reg_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[16]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[16]\, R => ldata_reg ); \ldata_reg_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[17]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[17]\, R => ldata_reg ); \ldata_reg_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[18]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[18]\, R => ldata_reg ); \ldata_reg_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[19]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[19]\, R => ldata_reg ); \ldata_reg_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[1]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[1]\, R => ldata_reg ); \ldata_reg_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[20]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[20]\, R => ldata_reg ); \ldata_reg_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[21]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[21]\, R => ldata_reg ); \ldata_reg_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[22]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[22]\, R => ldata_reg ); \ldata_reg_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[23]_i_2__0_n_0\, Q => p_2_in, R => ldata_reg ); \ldata_reg_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[2]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[2]\, R => ldata_reg ); \ldata_reg_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[3]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[3]\, R => ldata_reg ); \ldata_reg_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[4]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[4]\, R => ldata_reg ); \ldata_reg_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[5]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[5]\, R => ldata_reg ); \ldata_reg_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[6]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[6]\, R => ldata_reg ); \ldata_reg_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[7]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[7]\, R => ldata_reg ); \ldata_reg_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[8]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[8]\, R => ldata_reg ); \ldata_reg_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \ldata_reg[23]_i_1__0_n_0\, D => \ldata_reg[9]_i_1_n_0\, Q => \ldata_reg_reg_n_0_[9]\, R => ldata_reg ); \rdata_reg[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0800" ) port map ( I0 => \DataTx_R_reg[23]\(0), I1 => \^out\(0), I2 => Q(1), I3 => lrclk_d1, O => p_1_in(0) ); \rdata_reg[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[9]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(10), O => p_1_in(10) ); \rdata_reg[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[10]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(11), O => p_1_in(11) ); \rdata_reg[12]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[11]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(12), O => p_1_in(12) ); \rdata_reg[13]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[12]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(13), O => p_1_in(13) ); \rdata_reg[14]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[13]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(14), O => p_1_in(14) ); \rdata_reg[15]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[14]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(15), O => p_1_in(15) ); \rdata_reg[16]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[15]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(16), O => p_1_in(16) ); \rdata_reg[17]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[16]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(17), O => p_1_in(17) ); \rdata_reg[18]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[17]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(18), O => p_1_in(18) ); \rdata_reg[19]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[18]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(19), O => p_1_in(19) ); \rdata_reg[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[0]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(1), O => p_1_in(1) ); \rdata_reg[20]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[19]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(20), O => p_1_in(20) ); \rdata_reg[21]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[20]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(21), O => p_1_in(21) ); \rdata_reg[22]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[21]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(22), O => p_1_in(22) ); \rdata_reg[23]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[22]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(23), O => p_1_in(23) ); \rdata_reg[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[1]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(2), O => p_1_in(2) ); \rdata_reg[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[2]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(3), O => p_1_in(3) ); \rdata_reg[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[3]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(4), O => p_1_in(4) ); \rdata_reg[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[4]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(5), O => p_1_in(5) ); \rdata_reg[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[5]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(6), O => p_1_in(6) ); \rdata_reg[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[6]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(7), O => p_1_in(7) ); \rdata_reg[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[7]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(8), O => p_1_in(8) ); \rdata_reg[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AEAAA2AA" ) port map ( I0 => \rdata_reg_reg_n_0_[8]\, I1 => lrclk_d1, I2 => Q(1), I3 => \^out\(0), I4 => \DataTx_R_reg[23]\(9), O => p_1_in(9) ); \rdata_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(0), Q => \rdata_reg_reg_n_0_[0]\, R => ldata_reg ); \rdata_reg_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(10), Q => \rdata_reg_reg_n_0_[10]\, R => ldata_reg ); \rdata_reg_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(11), Q => \rdata_reg_reg_n_0_[11]\, R => ldata_reg ); \rdata_reg_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(12), Q => \rdata_reg_reg_n_0_[12]\, R => ldata_reg ); \rdata_reg_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(13), Q => \rdata_reg_reg_n_0_[13]\, R => ldata_reg ); \rdata_reg_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(14), Q => \rdata_reg_reg_n_0_[14]\, R => ldata_reg ); \rdata_reg_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(15), Q => \rdata_reg_reg_n_0_[15]\, R => ldata_reg ); \rdata_reg_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(16), Q => \rdata_reg_reg_n_0_[16]\, R => ldata_reg ); \rdata_reg_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(17), Q => \rdata_reg_reg_n_0_[17]\, R => ldata_reg ); \rdata_reg_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(18), Q => \rdata_reg_reg_n_0_[18]\, R => ldata_reg ); \rdata_reg_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(19), Q => \rdata_reg_reg_n_0_[19]\, R => ldata_reg ); \rdata_reg_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(1), Q => \rdata_reg_reg_n_0_[1]\, R => ldata_reg ); \rdata_reg_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(20), Q => \rdata_reg_reg_n_0_[20]\, R => ldata_reg ); \rdata_reg_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(21), Q => \rdata_reg_reg_n_0_[21]\, R => ldata_reg ); \rdata_reg_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(22), Q => \rdata_reg_reg_n_0_[22]\, R => ldata_reg ); \rdata_reg_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(23), Q => \rdata_reg_reg_n_0_[23]\, R => ldata_reg ); \rdata_reg_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(2), Q => \rdata_reg_reg_n_0_[2]\, R => ldata_reg ); \rdata_reg_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(3), Q => \rdata_reg_reg_n_0_[3]\, R => ldata_reg ); \rdata_reg_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(4), Q => \rdata_reg_reg_n_0_[4]\, R => ldata_reg ); \rdata_reg_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(5), Q => \rdata_reg_reg_n_0_[5]\, R => ldata_reg ); \rdata_reg_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(6), Q => \rdata_reg_reg_n_0_[6]\, R => ldata_reg ); \rdata_reg_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(7), Q => \rdata_reg_reg_n_0_[7]\, R => ldata_reg ); \rdata_reg_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(8), Q => \rdata_reg_reg_n_0_[8]\, R => ldata_reg ); \rdata_reg_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => p_1_in(9), Q => \rdata_reg_reg_n_0_[9]\, R => ldata_reg ); sdata_reg_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFCCAF0000CCA0" ) port map ( I0 => \rdata_reg_reg_n_0_[23]\, I1 => p_2_in, I2 => \^out\(2), I3 => p_0_in2_in, I4 => \clk_cntr_reg[4]\, I5 => \^sdata_o\, O => sdata_reg_i_1_n_0 ); sdata_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => sdata_reg_i_1_n_0, Q => \^sdata_o\, R => ldata_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment is port ( \DataTx_R_reg[0]\ : out STD_LOGIC; \DataTx_R_reg[0]_0\ : out STD_LOGIC; \DataTx_R_reg[0]_1\ : out STD_LOGIC; \DataTx_R_reg[0]_2\ : out STD_LOGIC; \DataTx_R_reg[0]_3\ : out STD_LOGIC; \DataTx_R_reg[0]_4\ : out STD_LOGIC; S_AXI_RVALID : out STD_LOGIC; S_AXI_BVALID : out STD_LOGIC; data_rdy_bit_reg : out STD_LOGIC; S_AXI_AWREADY : out STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \DataTx_L_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); data_rdy_bit_reg_0 : out STD_LOGIC; S_AXI_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACLK : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_ARVALID : in STD_LOGIC; S_AXI_ARESETN : in STD_LOGIC; S_AXI_BREADY : in STD_LOGIC; S_AXI_RREADY : in STD_LOGIC; S_AXI_ARADDR : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_AWADDR : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_AWVALID : in STD_LOGIC; S_AXI_WVALID : in STD_LOGIC; data_rdy_bit : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 31 downto 0 ); \DataTx_L_reg[31]\ : in STD_LOGIC_VECTOR ( 31 downto 0 ); \DataRx_R_reg[23]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \DataRx_L_reg[23]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment is signal \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[2]\ : STD_LOGIC; signal IP2Bus_Data : STD_LOGIC_VECTOR ( 31 downto 0 ); signal I_DECODER_n_46 : STD_LOGIC; signal I_DECODER_n_47 : STD_LOGIC; signal I_DECODER_n_7 : STD_LOGIC; signal I_DECODER_n_8 : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 ); signal rst : STD_LOGIC; signal s_axi_rdata_i : STD_LOGIC; signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \state[0]_i_2_n_0\ : STD_LOGIC; signal \state[1]_i_2_n_0\ : STD_LOGIC; signal \state[1]_i_3_n_0\ : STD_LOGIC; signal timeout : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\ : label is "soft_lutpair4"; begin S_AXI_BVALID <= \^s_axi_bvalid\; S_AXI_RVALID <= \^s_axi_rvalid\; \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[0]\, O => plusOp(0) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[0]\, I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[1]\, O => plusOp(1) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[1]\, I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[0]\, I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[2]\, O => plusOp(2) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => state(1), I1 => state(0), O => p_2_out ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[2]\, I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[0]\, I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[1]\, I3 => timeout, O => plusOp(3) ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => '1', D => plusOp(0), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[0]\, R => p_2_out ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => '1', D => plusOp(1), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[1]\, R => p_2_out ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => '1', D => plusOp(2), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg_n_0_[2]\, R => p_2_out ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => '1', D => plusOp(3), Q => timeout, R => p_2_out ); I_DECODER: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder port map ( D(1) => I_DECODER_n_7, D(0) => I_DECODER_n_8, \DataRx_L_reg[23]\(23 downto 0) => \DataRx_L_reg[23]\(23 downto 0), \DataRx_R_reg[23]\(23 downto 0) => \DataRx_R_reg[23]\(23 downto 0), \DataTx_L_reg[0]\(0) => \DataTx_L_reg[0]\(0), \DataTx_L_reg[31]\(31 downto 0) => \DataTx_L_reg[31]\(31 downto 0), \DataTx_R_reg[0]\ => \DataTx_R_reg[0]\, \DataTx_R_reg[0]_0\ => \DataTx_R_reg[0]_0\, \DataTx_R_reg[0]_1\ => \DataTx_R_reg[0]_1\, \DataTx_R_reg[0]_2\ => \DataTx_R_reg[0]_2\, \DataTx_R_reg[0]_3\ => \DataTx_R_reg[0]_3\, \DataTx_R_reg[0]_4\ => \DataTx_R_reg[0]_4\, \DataTx_R_reg[31]\(31 downto 0) => Q(31 downto 0), E(0) => E(0), \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\, \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0) => timeout, Q(1 downto 0) => state(1 downto 0), S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARADDR(2 downto 0) => S_AXI_ARADDR(2 downto 0), S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_AWADDR(2 downto 0) => S_AXI_AWADDR(2 downto 0), S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_RREADY => S_AXI_RREADY, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WVALID_0 => \state[1]_i_2_n_0\, data_rdy_bit => data_rdy_bit, data_rdy_bit_reg => data_rdy_bit_reg, data_rdy_bit_reg_0 => data_rdy_bit_reg_0, s_axi_bvalid_i_reg => I_DECODER_n_47, s_axi_bvalid_i_reg_0 => \state[0]_i_2_n_0\, s_axi_bvalid_i_reg_1 => \^s_axi_bvalid\, \s_axi_rdata_i_reg[31]\(31 downto 0) => IP2Bus_Data(31 downto 0), s_axi_rvalid_i_reg => I_DECODER_n_46, s_axi_rvalid_i_reg_0 => \^s_axi_rvalid\, \state_reg[1]\ => \state[1]_i_3_n_0\ ); rst_reg: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => '1', D => SR(0), Q => rst, R => '0' ); s_axi_bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => I_DECODER_n_47, Q => \^s_axi_bvalid\, R => rst ); \s_axi_rdata_i[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => state(0), I1 => state(1), O => s_axi_rdata_i ); \s_axi_rdata_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(0), Q => S_AXI_RDATA(0), R => rst ); \s_axi_rdata_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(10), Q => S_AXI_RDATA(10), R => rst ); \s_axi_rdata_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(11), Q => S_AXI_RDATA(11), R => rst ); \s_axi_rdata_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(12), Q => S_AXI_RDATA(12), R => rst ); \s_axi_rdata_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(13), Q => S_AXI_RDATA(13), R => rst ); \s_axi_rdata_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(14), Q => S_AXI_RDATA(14), R => rst ); \s_axi_rdata_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(15), Q => S_AXI_RDATA(15), R => rst ); \s_axi_rdata_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(16), Q => S_AXI_RDATA(16), R => rst ); \s_axi_rdata_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(17), Q => S_AXI_RDATA(17), R => rst ); \s_axi_rdata_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(18), Q => S_AXI_RDATA(18), R => rst ); \s_axi_rdata_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(19), Q => S_AXI_RDATA(19), R => rst ); \s_axi_rdata_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(1), Q => S_AXI_RDATA(1), R => rst ); \s_axi_rdata_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(20), Q => S_AXI_RDATA(20), R => rst ); \s_axi_rdata_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(21), Q => S_AXI_RDATA(21), R => rst ); \s_axi_rdata_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(22), Q => S_AXI_RDATA(22), R => rst ); \s_axi_rdata_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(23), Q => S_AXI_RDATA(23), R => rst ); \s_axi_rdata_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(24), Q => S_AXI_RDATA(24), R => rst ); \s_axi_rdata_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(25), Q => S_AXI_RDATA(25), R => rst ); \s_axi_rdata_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(26), Q => S_AXI_RDATA(26), R => rst ); \s_axi_rdata_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(27), Q => S_AXI_RDATA(27), R => rst ); \s_axi_rdata_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(28), Q => S_AXI_RDATA(28), R => rst ); \s_axi_rdata_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(29), Q => S_AXI_RDATA(29), R => rst ); \s_axi_rdata_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(2), Q => S_AXI_RDATA(2), R => rst ); \s_axi_rdata_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(30), Q => S_AXI_RDATA(30), R => rst ); \s_axi_rdata_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(31), Q => S_AXI_RDATA(31), R => rst ); \s_axi_rdata_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(3), Q => S_AXI_RDATA(3), R => rst ); \s_axi_rdata_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(4), Q => S_AXI_RDATA(4), R => rst ); \s_axi_rdata_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(5), Q => S_AXI_RDATA(5), R => rst ); \s_axi_rdata_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(6), Q => S_AXI_RDATA(6), R => rst ); \s_axi_rdata_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(7), Q => S_AXI_RDATA(7), R => rst ); \s_axi_rdata_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(8), Q => S_AXI_RDATA(8), R => rst ); \s_axi_rdata_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => s_axi_rdata_i, D => IP2Bus_Data(9), Q => S_AXI_RDATA(9), R => rst ); s_axi_rvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => I_DECODER_n_46, Q => \^s_axi_rvalid\, R => rst ); \state[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"07770000FFFF0000" ) port map ( I0 => \^s_axi_bvalid\, I1 => S_AXI_BREADY, I2 => S_AXI_RREADY, I3 => \^s_axi_rvalid\, I4 => state(0), I5 => state(1), O => \state[0]_i_2_n_0\ ); \state[1]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => S_AXI_AWVALID, I1 => S_AXI_WVALID, O => \state[1]_i_2_n_0\ ); \state[1]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"002A2A2A" ) port map ( I0 => state(1), I1 => \^s_axi_rvalid\, I2 => S_AXI_RREADY, I3 => S_AXI_BREADY, I4 => \^s_axi_bvalid\, O => \state[1]_i_3_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => '1', D => I_DECODER_n_8, Q => state(0), R => rst ); \state_reg[1]\: unisim.vcomponents.FDRE port map ( C => S_AXI_ACLK, CE => '1', D => I_DECODER_n_7, Q => state(1), R => rst ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_user_logic is port ( \s_axi_rdata_i_reg[24]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); data_rdy_bit : out STD_LOGIC; SDATA_O : out STD_LOGIC; \s_axi_rdata_i_reg[31]\ : out STD_LOGIC_VECTOR ( 31 downto 0 ); \s_axi_rdata_i_reg[31]_0\ : out STD_LOGIC_VECTOR ( 31 downto 0 ); SR : out STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_rdata_i_reg[23]\ : out STD_LOGIC_VECTOR ( 23 downto 0 ); \s_axi_rdata_i_reg[23]_0\ : out STD_LOGIC_VECTOR ( 23 downto 0 ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\ : in STD_LOGIC; Bus_RNW_reg : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\ : in STD_LOGIC; S_AXI_ACLK : in STD_LOGIC; S_AXI_ARESETN : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\ : in STD_LOGIC; SDATA_I : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_user_logic; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_user_logic is signal Inst_iis_deser_n_3 : STD_LOGIC; signal Inst_iis_deser_n_33 : STD_LOGIC; signal Inst_iis_deser_n_34 : STD_LOGIC; signal Inst_iis_deser_n_35 : STD_LOGIC; signal Inst_iis_deser_n_36 : STD_LOGIC; signal Inst_iis_deser_n_37 : STD_LOGIC; signal Inst_iis_deser_n_38 : STD_LOGIC; signal Inst_iis_deser_n_39 : STD_LOGIC; signal Inst_iis_deser_n_40 : STD_LOGIC; signal Inst_iis_deser_n_41 : STD_LOGIC; signal Inst_iis_deser_n_42 : STD_LOGIC; signal Inst_iis_deser_n_43 : STD_LOGIC; signal Inst_iis_deser_n_44 : STD_LOGIC; signal Inst_iis_deser_n_45 : STD_LOGIC; signal Inst_iis_deser_n_46 : STD_LOGIC; signal Inst_iis_deser_n_47 : STD_LOGIC; signal Inst_iis_deser_n_48 : STD_LOGIC; signal Inst_iis_deser_n_49 : STD_LOGIC; signal Inst_iis_deser_n_5 : STD_LOGIC; signal Inst_iis_deser_n_50 : STD_LOGIC; signal Inst_iis_deser_n_51 : STD_LOGIC; signal Inst_iis_deser_n_52 : STD_LOGIC; signal Inst_iis_deser_n_53 : STD_LOGIC; signal Inst_iis_deser_n_54 : STD_LOGIC; signal Inst_iis_deser_n_55 : STD_LOGIC; signal Inst_iis_deser_n_56 : STD_LOGIC; signal Inst_iis_deser_n_6 : STD_LOGIC; signal Inst_iis_deser_n_7 : STD_LOGIC; signal Inst_iis_deser_n_8 : STD_LOGIC; signal Inst_iis_ser_n_1 : STD_LOGIC; signal Inst_iis_ser_n_2 : STD_LOGIC; signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \clk_cntr[10]_i_2_n_0\ : STD_LOGIC; signal \clk_cntr_reg_n_0_[0]\ : STD_LOGIC; signal \clk_cntr_reg_n_0_[1]\ : STD_LOGIC; signal \clk_cntr_reg_n_0_[2]\ : STD_LOGIC; signal \clk_cntr_reg_n_0_[3]\ : STD_LOGIC; signal \clk_cntr_reg_n_0_[5]\ : STD_LOGIC; signal \clk_cntr_reg_n_0_[6]\ : STD_LOGIC; signal \clk_cntr_reg_n_0_[7]\ : STD_LOGIC; signal \clk_cntr_reg_n_0_[8]\ : STD_LOGIC; signal \clk_cntr_reg_n_0_[9]\ : STD_LOGIC; signal data_rdy : STD_LOGIC; signal \^data_rdy_bit\ : STD_LOGIC; signal ldata_reg : STD_LOGIC_VECTOR ( 23 downto 0 ); signal lrclk_d1 : STD_LOGIC; signal p_0_in4_in : STD_LOGIC; signal \plusOp__0\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \^s_axi_rdata_i_reg[31]\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_rdata_i_reg[31]_0\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal sclk_d1 : STD_LOGIC; signal write_bit : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \clk_cntr[1]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \clk_cntr[2]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \clk_cntr[3]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \clk_cntr[4]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \clk_cntr[6]_i_1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \clk_cntr[7]_i_1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \clk_cntr[8]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \clk_cntr[9]_i_1\ : label is "soft_lutpair15"; begin Q(1 downto 0) <= \^q\(1 downto 0); SR(0) <= \^sr\(0); data_rdy_bit <= \^data_rdy_bit\; \s_axi_rdata_i_reg[31]\(31 downto 0) <= \^s_axi_rdata_i_reg[31]\(31 downto 0); \s_axi_rdata_i_reg[31]_0\(31 downto 0) <= \^s_axi_rdata_i_reg[31]_0\(31 downto 0); \DataRx_L_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(0), Q => \s_axi_rdata_i_reg[23]\(0), R => '0' ); \DataRx_L_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(10), Q => \s_axi_rdata_i_reg[23]\(10), R => '0' ); \DataRx_L_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(11), Q => \s_axi_rdata_i_reg[23]\(11), R => '0' ); \DataRx_L_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(12), Q => \s_axi_rdata_i_reg[23]\(12), R => '0' ); \DataRx_L_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(13), Q => \s_axi_rdata_i_reg[23]\(13), R => '0' ); \DataRx_L_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(14), Q => \s_axi_rdata_i_reg[23]\(14), R => '0' ); \DataRx_L_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(15), Q => \s_axi_rdata_i_reg[23]\(15), R => '0' ); \DataRx_L_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(16), Q => \s_axi_rdata_i_reg[23]\(16), R => '0' ); \DataRx_L_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(17), Q => \s_axi_rdata_i_reg[23]\(17), R => '0' ); \DataRx_L_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(18), Q => \s_axi_rdata_i_reg[23]\(18), R => '0' ); \DataRx_L_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(19), Q => \s_axi_rdata_i_reg[23]\(19), R => '0' ); \DataRx_L_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(1), Q => \s_axi_rdata_i_reg[23]\(1), R => '0' ); \DataRx_L_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(20), Q => \s_axi_rdata_i_reg[23]\(20), R => '0' ); \DataRx_L_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(21), Q => \s_axi_rdata_i_reg[23]\(21), R => '0' ); \DataRx_L_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(22), Q => \s_axi_rdata_i_reg[23]\(22), R => '0' ); \DataRx_L_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(23), Q => \s_axi_rdata_i_reg[23]\(23), R => '0' ); \DataRx_L_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(2), Q => \s_axi_rdata_i_reg[23]\(2), R => '0' ); \DataRx_L_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(3), Q => \s_axi_rdata_i_reg[23]\(3), R => '0' ); \DataRx_L_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(4), Q => \s_axi_rdata_i_reg[23]\(4), R => '0' ); \DataRx_L_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(5), Q => \s_axi_rdata_i_reg[23]\(5), R => '0' ); \DataRx_L_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(6), Q => \s_axi_rdata_i_reg[23]\(6), R => '0' ); \DataRx_L_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(7), Q => \s_axi_rdata_i_reg[23]\(7), R => '0' ); \DataRx_L_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(8), Q => \s_axi_rdata_i_reg[23]\(8), R => '0' ); \DataRx_L_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => ldata_reg(9), Q => \s_axi_rdata_i_reg[23]\(9), R => '0' ); \DataRx_R_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_56, Q => \s_axi_rdata_i_reg[23]_0\(0), R => '0' ); \DataRx_R_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_46, Q => \s_axi_rdata_i_reg[23]_0\(10), R => '0' ); \DataRx_R_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_45, Q => \s_axi_rdata_i_reg[23]_0\(11), R => '0' ); \DataRx_R_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_44, Q => \s_axi_rdata_i_reg[23]_0\(12), R => '0' ); \DataRx_R_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_43, Q => \s_axi_rdata_i_reg[23]_0\(13), R => '0' ); \DataRx_R_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_42, Q => \s_axi_rdata_i_reg[23]_0\(14), R => '0' ); \DataRx_R_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_41, Q => \s_axi_rdata_i_reg[23]_0\(15), R => '0' ); \DataRx_R_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_40, Q => \s_axi_rdata_i_reg[23]_0\(16), R => '0' ); \DataRx_R_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_39, Q => \s_axi_rdata_i_reg[23]_0\(17), R => '0' ); \DataRx_R_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_38, Q => \s_axi_rdata_i_reg[23]_0\(18), R => '0' ); \DataRx_R_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_37, Q => \s_axi_rdata_i_reg[23]_0\(19), R => '0' ); \DataRx_R_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_55, Q => \s_axi_rdata_i_reg[23]_0\(1), R => '0' ); \DataRx_R_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_36, Q => \s_axi_rdata_i_reg[23]_0\(20), R => '0' ); \DataRx_R_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_35, Q => \s_axi_rdata_i_reg[23]_0\(21), R => '0' ); \DataRx_R_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_34, Q => \s_axi_rdata_i_reg[23]_0\(22), R => '0' ); \DataRx_R_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_33, Q => \s_axi_rdata_i_reg[23]_0\(23), R => '0' ); \DataRx_R_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_54, Q => \s_axi_rdata_i_reg[23]_0\(2), R => '0' ); \DataRx_R_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_53, Q => \s_axi_rdata_i_reg[23]_0\(3), R => '0' ); \DataRx_R_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_52, Q => \s_axi_rdata_i_reg[23]_0\(4), R => '0' ); \DataRx_R_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_51, Q => \s_axi_rdata_i_reg[23]_0\(5), R => '0' ); \DataRx_R_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_50, Q => \s_axi_rdata_i_reg[23]_0\(6), R => '0' ); \DataRx_R_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_49, Q => \s_axi_rdata_i_reg[23]_0\(7), R => '0' ); \DataRx_R_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_48, Q => \s_axi_rdata_i_reg[23]_0\(8), R => '0' ); \DataRx_R_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => data_rdy, D => Inst_iis_deser_n_47, Q => \s_axi_rdata_i_reg[23]_0\(9), R => '0' ); \DataTx_L_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(0), Q => \^s_axi_rdata_i_reg[31]\(0), R => \^sr\(0) ); \DataTx_L_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(10), Q => \^s_axi_rdata_i_reg[31]\(10), R => \^sr\(0) ); \DataTx_L_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(11), Q => \^s_axi_rdata_i_reg[31]\(11), R => \^sr\(0) ); \DataTx_L_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(12), Q => \^s_axi_rdata_i_reg[31]\(12), R => \^sr\(0) ); \DataTx_L_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(13), Q => \^s_axi_rdata_i_reg[31]\(13), R => \^sr\(0) ); \DataTx_L_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(14), Q => \^s_axi_rdata_i_reg[31]\(14), R => \^sr\(0) ); \DataTx_L_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(15), Q => \^s_axi_rdata_i_reg[31]\(15), R => \^sr\(0) ); \DataTx_L_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(16), Q => \^s_axi_rdata_i_reg[31]\(16), R => \^sr\(0) ); \DataTx_L_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(17), Q => \^s_axi_rdata_i_reg[31]\(17), R => \^sr\(0) ); \DataTx_L_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(18), Q => \^s_axi_rdata_i_reg[31]\(18), R => \^sr\(0) ); \DataTx_L_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(19), Q => \^s_axi_rdata_i_reg[31]\(19), R => \^sr\(0) ); \DataTx_L_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(1), Q => \^s_axi_rdata_i_reg[31]\(1), R => \^sr\(0) ); \DataTx_L_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(20), Q => \^s_axi_rdata_i_reg[31]\(20), R => \^sr\(0) ); \DataTx_L_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(21), Q => \^s_axi_rdata_i_reg[31]\(21), R => \^sr\(0) ); \DataTx_L_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(22), Q => \^s_axi_rdata_i_reg[31]\(22), R => \^sr\(0) ); \DataTx_L_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(23), Q => \^s_axi_rdata_i_reg[31]\(23), R => \^sr\(0) ); \DataTx_L_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(24), Q => \^s_axi_rdata_i_reg[31]\(24), R => \^sr\(0) ); \DataTx_L_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(25), Q => \^s_axi_rdata_i_reg[31]\(25), R => \^sr\(0) ); \DataTx_L_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(26), Q => \^s_axi_rdata_i_reg[31]\(26), R => \^sr\(0) ); \DataTx_L_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(27), Q => \^s_axi_rdata_i_reg[31]\(27), R => \^sr\(0) ); \DataTx_L_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(28), Q => \^s_axi_rdata_i_reg[31]\(28), R => \^sr\(0) ); \DataTx_L_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(29), Q => \^s_axi_rdata_i_reg[31]\(29), R => \^sr\(0) ); \DataTx_L_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(2), Q => \^s_axi_rdata_i_reg[31]\(2), R => \^sr\(0) ); \DataTx_L_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(30), Q => \^s_axi_rdata_i_reg[31]\(30), R => \^sr\(0) ); \DataTx_L_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(31), Q => \^s_axi_rdata_i_reg[31]\(31), R => \^sr\(0) ); \DataTx_L_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(3), Q => \^s_axi_rdata_i_reg[31]\(3), R => \^sr\(0) ); \DataTx_L_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(4), Q => \^s_axi_rdata_i_reg[31]\(4), R => \^sr\(0) ); \DataTx_L_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(5), Q => \^s_axi_rdata_i_reg[31]\(5), R => \^sr\(0) ); \DataTx_L_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(6), Q => \^s_axi_rdata_i_reg[31]\(6), R => \^sr\(0) ); \DataTx_L_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(7), Q => \^s_axi_rdata_i_reg[31]\(7), R => \^sr\(0) ); \DataTx_L_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(8), Q => \^s_axi_rdata_i_reg[31]\(8), R => \^sr\(0) ); \DataTx_L_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => E(0), D => S_AXI_WDATA(9), Q => \^s_axi_rdata_i_reg[31]\(9), R => \^sr\(0) ); \DataTx_R_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(0), Q => \^s_axi_rdata_i_reg[31]_0\(0), R => \^sr\(0) ); \DataTx_R_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(10), Q => \^s_axi_rdata_i_reg[31]_0\(10), R => \^sr\(0) ); \DataTx_R_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(11), Q => \^s_axi_rdata_i_reg[31]_0\(11), R => \^sr\(0) ); \DataTx_R_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(12), Q => \^s_axi_rdata_i_reg[31]_0\(12), R => \^sr\(0) ); \DataTx_R_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(13), Q => \^s_axi_rdata_i_reg[31]_0\(13), R => \^sr\(0) ); \DataTx_R_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(14), Q => \^s_axi_rdata_i_reg[31]_0\(14), R => \^sr\(0) ); \DataTx_R_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(15), Q => \^s_axi_rdata_i_reg[31]_0\(15), R => \^sr\(0) ); \DataTx_R_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(16), Q => \^s_axi_rdata_i_reg[31]_0\(16), R => \^sr\(0) ); \DataTx_R_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(17), Q => \^s_axi_rdata_i_reg[31]_0\(17), R => \^sr\(0) ); \DataTx_R_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(18), Q => \^s_axi_rdata_i_reg[31]_0\(18), R => \^sr\(0) ); \DataTx_R_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(19), Q => \^s_axi_rdata_i_reg[31]_0\(19), R => \^sr\(0) ); \DataTx_R_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(1), Q => \^s_axi_rdata_i_reg[31]_0\(1), R => \^sr\(0) ); \DataTx_R_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(20), Q => \^s_axi_rdata_i_reg[31]_0\(20), R => \^sr\(0) ); \DataTx_R_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(21), Q => \^s_axi_rdata_i_reg[31]_0\(21), R => \^sr\(0) ); \DataTx_R_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(22), Q => \^s_axi_rdata_i_reg[31]_0\(22), R => \^sr\(0) ); \DataTx_R_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(23), Q => \^s_axi_rdata_i_reg[31]_0\(23), R => \^sr\(0) ); \DataTx_R_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(24), Q => \^s_axi_rdata_i_reg[31]_0\(24), R => \^sr\(0) ); \DataTx_R_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(25), Q => \^s_axi_rdata_i_reg[31]_0\(25), R => \^sr\(0) ); \DataTx_R_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(26), Q => \^s_axi_rdata_i_reg[31]_0\(26), R => \^sr\(0) ); \DataTx_R_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(27), Q => \^s_axi_rdata_i_reg[31]_0\(27), R => \^sr\(0) ); \DataTx_R_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(28), Q => \^s_axi_rdata_i_reg[31]_0\(28), R => \^sr\(0) ); \DataTx_R_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(29), Q => \^s_axi_rdata_i_reg[31]_0\(29), R => \^sr\(0) ); \DataTx_R_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(2), Q => \^s_axi_rdata_i_reg[31]_0\(2), R => \^sr\(0) ); \DataTx_R_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(30), Q => \^s_axi_rdata_i_reg[31]_0\(30), R => \^sr\(0) ); \DataTx_R_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(31), Q => \^s_axi_rdata_i_reg[31]_0\(31), R => \^sr\(0) ); \DataTx_R_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(3), Q => \^s_axi_rdata_i_reg[31]_0\(3), R => \^sr\(0) ); \DataTx_R_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(4), Q => \^s_axi_rdata_i_reg[31]_0\(4), R => \^sr\(0) ); \DataTx_R_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(5), Q => \^s_axi_rdata_i_reg[31]_0\(5), R => \^sr\(0) ); \DataTx_R_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(6), Q => \^s_axi_rdata_i_reg[31]_0\(6), R => \^sr\(0) ); \DataTx_R_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(7), Q => \^s_axi_rdata_i_reg[31]_0\(7), R => \^sr\(0) ); \DataTx_R_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(8), Q => \^s_axi_rdata_i_reg[31]_0\(8), R => \^sr\(0) ); \DataTx_R_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0), D => S_AXI_WDATA(9), Q => \^s_axi_rdata_i_reg[31]_0\(9), R => \^sr\(0) ); Inst_iis_deser: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_iis_deser port map ( \DataRx_L_reg[23]\(23 downto 0) => ldata_reg(23 downto 0), \DataRx_R_reg[23]\(23) => Inst_iis_deser_n_33, \DataRx_R_reg[23]\(22) => Inst_iis_deser_n_34, \DataRx_R_reg[23]\(21) => Inst_iis_deser_n_35, \DataRx_R_reg[23]\(20) => Inst_iis_deser_n_36, \DataRx_R_reg[23]\(19) => Inst_iis_deser_n_37, \DataRx_R_reg[23]\(18) => Inst_iis_deser_n_38, \DataRx_R_reg[23]\(17) => Inst_iis_deser_n_39, \DataRx_R_reg[23]\(16) => Inst_iis_deser_n_40, \DataRx_R_reg[23]\(15) => Inst_iis_deser_n_41, \DataRx_R_reg[23]\(14) => Inst_iis_deser_n_42, \DataRx_R_reg[23]\(13) => Inst_iis_deser_n_43, \DataRx_R_reg[23]\(12) => Inst_iis_deser_n_44, \DataRx_R_reg[23]\(11) => Inst_iis_deser_n_45, \DataRx_R_reg[23]\(10) => Inst_iis_deser_n_46, \DataRx_R_reg[23]\(9) => Inst_iis_deser_n_47, \DataRx_R_reg[23]\(8) => Inst_iis_deser_n_48, \DataRx_R_reg[23]\(7) => Inst_iis_deser_n_49, \DataRx_R_reg[23]\(6) => Inst_iis_deser_n_50, \DataRx_R_reg[23]\(5) => Inst_iis_deser_n_51, \DataRx_R_reg[23]\(4) => Inst_iis_deser_n_52, \DataRx_R_reg[23]\(3) => Inst_iis_deser_n_53, \DataRx_R_reg[23]\(2) => Inst_iis_deser_n_54, \DataRx_R_reg[23]\(1) => Inst_iis_deser_n_55, \DataRx_R_reg[23]\(0) => Inst_iis_deser_n_56, E(0) => data_rdy, \FSM_onehot_iis_state_reg[0]\ => Inst_iis_deser_n_6, \FSM_onehot_iis_state_reg[0]_0\ => Inst_iis_deser_n_8, \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\ => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\, Q(1 downto 0) => \^q\(1 downto 0), SDATA_I => SDATA_I, S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, \bit_cntr_reg[4]_0\(0) => write_bit, data_rdy_bit => \^data_rdy_bit\, data_rdy_bit_reg => Inst_iis_deser_n_7, lrclk_d1 => lrclk_d1, \out\(2) => Inst_iis_ser_n_1, \out\(1) => Inst_iis_ser_n_2, \out\(0) => p_0_in4_in, \rdata_reg_reg[23]_0\(0) => Inst_iis_deser_n_3, sclk_d1 => sclk_d1, sdata_reg_reg => Inst_iis_deser_n_5 ); Inst_iis_ser: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_iis_ser port map ( \DataTx_L_reg[23]\(23 downto 0) => \^s_axi_rdata_i_reg[31]\(23 downto 0), \DataTx_R_reg[23]\(23 downto 0) => \^s_axi_rdata_i_reg[31]_0\(23 downto 0), E(0) => Inst_iis_deser_n_3, Q(1 downto 0) => \^q\(1 downto 0), SDATA_O => SDATA_O, S_AXI_ACLK => S_AXI_ACLK, \clk_cntr_reg[4]\ => Inst_iis_deser_n_5, lrclk_d1 => lrclk_d1, lrclk_d1_reg => Inst_iis_deser_n_8, lrclk_d1_reg_0 => Inst_iis_deser_n_6, \out\(2) => Inst_iis_ser_n_1, \out\(1) => Inst_iis_ser_n_2, \out\(0) => p_0_in4_in, sclk_d1 => sclk_d1, sclk_d1_reg(0) => write_bit ); \clk_cntr[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \clk_cntr_reg_n_0_[0]\, O => \plusOp__0\(0) ); \clk_cntr[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F7FFFFFF08000000" ) port map ( I0 => \clk_cntr_reg_n_0_[9]\, I1 => \clk_cntr_reg_n_0_[7]\, I2 => \clk_cntr[10]_i_2_n_0\, I3 => \clk_cntr_reg_n_0_[6]\, I4 => \clk_cntr_reg_n_0_[8]\, I5 => \^q\(1), O => \plusOp__0\(10) ); \clk_cntr[10]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \^q\(0), I1 => \clk_cntr_reg_n_0_[2]\, I2 => \clk_cntr_reg_n_0_[0]\, I3 => \clk_cntr_reg_n_0_[1]\, I4 => \clk_cntr_reg_n_0_[3]\, I5 => \clk_cntr_reg_n_0_[5]\, O => \clk_cntr[10]_i_2_n_0\ ); \clk_cntr[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \clk_cntr_reg_n_0_[0]\, I1 => \clk_cntr_reg_n_0_[1]\, O => \plusOp__0\(1) ); \clk_cntr[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \clk_cntr_reg_n_0_[1]\, I1 => \clk_cntr_reg_n_0_[0]\, I2 => \clk_cntr_reg_n_0_[2]\, O => \plusOp__0\(2) ); \clk_cntr[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \clk_cntr_reg_n_0_[2]\, I1 => \clk_cntr_reg_n_0_[0]\, I2 => \clk_cntr_reg_n_0_[1]\, I3 => \clk_cntr_reg_n_0_[3]\, O => \plusOp__0\(3) ); \clk_cntr[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \clk_cntr_reg_n_0_[3]\, I1 => \clk_cntr_reg_n_0_[1]\, I2 => \clk_cntr_reg_n_0_[0]\, I3 => \clk_cntr_reg_n_0_[2]\, I4 => \^q\(0), O => \plusOp__0\(4) ); \clk_cntr[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(0), I1 => \clk_cntr_reg_n_0_[2]\, I2 => \clk_cntr_reg_n_0_[0]\, I3 => \clk_cntr_reg_n_0_[1]\, I4 => \clk_cntr_reg_n_0_[3]\, I5 => \clk_cntr_reg_n_0_[5]\, O => \plusOp__0\(5) ); \clk_cntr[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \clk_cntr[10]_i_2_n_0\, I1 => \clk_cntr_reg_n_0_[6]\, O => \plusOp__0\(6) ); \clk_cntr[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D2" ) port map ( I0 => \clk_cntr_reg_n_0_[6]\, I1 => \clk_cntr[10]_i_2_n_0\, I2 => \clk_cntr_reg_n_0_[7]\, O => \plusOp__0\(7) ); \clk_cntr[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"DF20" ) port map ( I0 => \clk_cntr_reg_n_0_[7]\, I1 => \clk_cntr[10]_i_2_n_0\, I2 => \clk_cntr_reg_n_0_[6]\, I3 => \clk_cntr_reg_n_0_[8]\, O => \plusOp__0\(8) ); \clk_cntr[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F7FF0800" ) port map ( I0 => \clk_cntr_reg_n_0_[8]\, I1 => \clk_cntr_reg_n_0_[6]\, I2 => \clk_cntr[10]_i_2_n_0\, I3 => \clk_cntr_reg_n_0_[7]\, I4 => \clk_cntr_reg_n_0_[9]\, O => \plusOp__0\(9) ); \clk_cntr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(0), Q => \clk_cntr_reg_n_0_[0]\, R => '0' ); \clk_cntr_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(10), Q => \^q\(1), R => '0' ); \clk_cntr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(1), Q => \clk_cntr_reg_n_0_[1]\, R => '0' ); \clk_cntr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(2), Q => \clk_cntr_reg_n_0_[2]\, R => '0' ); \clk_cntr_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(3), Q => \clk_cntr_reg_n_0_[3]\, R => '0' ); \clk_cntr_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(4), Q => \^q\(0), R => '0' ); \clk_cntr_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(5), Q => \clk_cntr_reg_n_0_[5]\, R => '0' ); \clk_cntr_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(6), Q => \clk_cntr_reg_n_0_[6]\, R => '0' ); \clk_cntr_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(7), Q => \clk_cntr_reg_n_0_[7]\, R => '0' ); \clk_cntr_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(8), Q => \clk_cntr_reg_n_0_[8]\, R => '0' ); \clk_cntr_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => \plusOp__0\(9), Q => \clk_cntr_reg_n_0_[9]\, R => '0' ); data_rdy_bit_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => S_AXI_ACLK, CE => '1', D => Inst_iis_deser_n_7, Q => \^data_rdy_bit\, R => '0' ); rst_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => S_AXI_ARESETN, O => \^sr\(0) ); slv_ip2bus_data: unisim.vcomponents.LUT6 generic map( INIT => X"0000000400040448" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, I1 => Bus_RNW_reg, I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, I4 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I5 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, O => \s_axi_rdata_i_reg[24]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif is port ( \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\ : out STD_LOGIC; Bus_RNW_reg : out STD_LOGIC; S_AXI_RVALID : out STD_LOGIC; S_AXI_BVALID : out STD_LOGIC; data_rdy_bit_reg : out STD_LOGIC; S_AXI_AWREADY : out STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \DataTx_L_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); data_rdy_bit_reg_0 : out STD_LOGIC; S_AXI_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACLK : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_ARVALID : in STD_LOGIC; S_AXI_ARESETN : in STD_LOGIC; S_AXI_BREADY : in STD_LOGIC; S_AXI_RREADY : in STD_LOGIC; S_AXI_ARADDR : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_AWADDR : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_AWVALID : in STD_LOGIC; S_AXI_WVALID : in STD_LOGIC; data_rdy_bit : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 31 downto 0 ); \DataTx_L_reg[31]\ : in STD_LOGIC_VECTOR ( 31 downto 0 ); \DataRx_R_reg[23]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \DataRx_L_reg[23]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif is begin I_SLAVE_ATTACHMENT: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment port map ( \DataRx_L_reg[23]\(23 downto 0) => \DataRx_L_reg[23]\(23 downto 0), \DataRx_R_reg[23]\(23 downto 0) => \DataRx_R_reg[23]\(23 downto 0), \DataTx_L_reg[0]\(0) => \DataTx_L_reg[0]\(0), \DataTx_L_reg[31]\(31 downto 0) => \DataTx_L_reg[31]\(31 downto 0), \DataTx_R_reg[0]\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, \DataTx_R_reg[0]_0\ => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, \DataTx_R_reg[0]_1\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, \DataTx_R_reg[0]_2\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, \DataTx_R_reg[0]_3\ => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, \DataTx_R_reg[0]_4\ => Bus_RNW_reg, E(0) => E(0), \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\, Q(31 downto 0) => Q(31 downto 0), SR(0) => SR(0), S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARADDR(2 downto 0) => S_AXI_ARADDR(2 downto 0), S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_AWADDR(2 downto 0) => S_AXI_AWADDR(2 downto 0), S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_BVALID => S_AXI_BVALID, S_AXI_RDATA(31 downto 0) => S_AXI_RDATA(31 downto 0), S_AXI_RREADY => S_AXI_RREADY, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WVALID => S_AXI_WVALID, data_rdy_bit => data_rdy_bit, data_rdy_bit_reg => data_rdy_bit_reg, data_rdy_bit_reg_0 => data_rdy_bit_reg_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_i2s_ctrl is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_AWREADY : out STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; S_AXI_BVALID : out STD_LOGIC; S_AXI_RVALID : out STD_LOGIC; SDATA_O : out STD_LOGIC; S_AXI_ACLK : in STD_LOGIC; SDATA_I : in STD_LOGIC; S_AXI_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ARVALID : in STD_LOGIC; S_AXI_ARESETN : in STD_LOGIC; S_AXI_BREADY : in STD_LOGIC; S_AXI_RREADY : in STD_LOGIC; S_AXI_ARADDR : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_AWADDR : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_AWVALID : in STD_LOGIC; S_AXI_WVALID : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_i2s_ctrl; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_i2s_ctrl is signal AXI_LITE_IPIF_I_n_11 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_12 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_13 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_8 : STD_LOGIC; signal DataRx_L : STD_LOGIC_VECTOR ( 23 downto 0 ); signal DataRx_R : STD_LOGIC_VECTOR ( 23 downto 0 ); signal DataTx_L : STD_LOGIC_VECTOR ( 31 downto 0 ); signal DataTx_R : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\ : STD_LOGIC; signal USER_LOGIC_I_n_0 : STD_LOGIC; signal USER_LOGIC_I_n_69 : STD_LOGIC; signal data_rdy_bit : STD_LOGIC; begin AXI_LITE_IPIF_I: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif port map ( Bus_RNW_reg => \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\, \DataRx_L_reg[23]\(23 downto 0) => DataRx_L(23 downto 0), \DataRx_R_reg[23]\(23 downto 0) => DataRx_R(23 downto 0), \DataTx_L_reg[0]\(0) => AXI_LITE_IPIF_I_n_12, \DataTx_L_reg[31]\(31 downto 0) => DataTx_L(31 downto 0), E(0) => AXI_LITE_IPIF_I_n_11, \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ => USER_LOGIC_I_n_0, Q(31 downto 0) => DataTx_R(31 downto 0), SR(0) => USER_LOGIC_I_n_69, S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARADDR(2 downto 0) => S_AXI_ARADDR(2 downto 0), S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_AWADDR(2 downto 0) => S_AXI_AWADDR(2 downto 0), S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_BVALID => S_AXI_BVALID, S_AXI_RDATA(31 downto 0) => S_AXI_RDATA(31 downto 0), S_AXI_RREADY => S_AXI_RREADY, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WVALID => S_AXI_WVALID, data_rdy_bit => data_rdy_bit, data_rdy_bit_reg => AXI_LITE_IPIF_I_n_8, data_rdy_bit_reg_0 => AXI_LITE_IPIF_I_n_13 ); USER_LOGIC_I: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_user_logic port map ( Bus_RNW_reg => \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\, E(0) => AXI_LITE_IPIF_I_n_12, \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\ => AXI_LITE_IPIF_I_n_8, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\(0) => AXI_LITE_IPIF_I_n_11, \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ => AXI_LITE_IPIF_I_n_13, Q(1 downto 0) => \out\(1 downto 0), SDATA_I => SDATA_I, SDATA_O => SDATA_O, SR(0) => USER_LOGIC_I_n_69, S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_WDATA(31 downto 0) => S_AXI_WDATA(31 downto 0), data_rdy_bit => data_rdy_bit, \s_axi_rdata_i_reg[23]\(23 downto 0) => DataRx_L(23 downto 0), \s_axi_rdata_i_reg[23]_0\(23 downto 0) => DataRx_R(23 downto 0), \s_axi_rdata_i_reg[24]\ => USER_LOGIC_I_n_0, \s_axi_rdata_i_reg[31]\(31 downto 0) => DataTx_L(31 downto 0), \s_axi_rdata_i_reg[31]_0\(31 downto 0) => DataTx_R(31 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( BCLK : out STD_LOGIC; LRCLK : out STD_LOGIC; SDATA_I : in STD_LOGIC; SDATA_O : out STD_LOGIC; S_AXI_ACLK : in STD_LOGIC; S_AXI_ARESETN : in STD_LOGIC; S_AXI_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_AWVALID : in STD_LOGIC; S_AXI_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_WVALID : in STD_LOGIC; S_AXI_BREADY : in STD_LOGIC; S_AXI_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ARVALID : in STD_LOGIC; S_AXI_RREADY : in STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; S_AXI_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_RVALID : out STD_LOGIC; S_AXI_WREADY : out STD_LOGIC; S_AXI_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_BVALID : out STD_LOGIC; S_AXI_AWREADY : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "ip_design_zed_audio_ctrl_0_0,i2s_ctrl,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "i2s_ctrl,Vivado 2017.3"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal \<const0>\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; attribute max_fanout : string; attribute max_fanout of S_AXI_ACLK : signal is "10000"; attribute sigis : string; attribute sigis of S_AXI_ACLK : signal is "Clk"; attribute x_interface_info : string; attribute x_interface_info of S_AXI_ACLK : signal is "xilinx.com:signal:clock:1.0 S_AXI_signal_clock CLK"; attribute x_interface_parameter : string; attribute x_interface_parameter of S_AXI_ACLK : signal is "XIL_INTERFACENAME S_AXI_signal_clock, ASSOCIATED_BUSIF S_AXI, ASSOCIATED_RESET S_AXI_ARESETN, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0"; attribute max_fanout of S_AXI_ARESETN : signal is "10000"; attribute sigis of S_AXI_ARESETN : signal is "Rst"; attribute x_interface_info of S_AXI_ARESETN : signal is "xilinx.com:signal:reset:1.0 S_AXI_signal_reset RST"; attribute x_interface_parameter of S_AXI_ARESETN : signal is "XIL_INTERFACENAME S_AXI_signal_reset, POLARITY ACTIVE_LOW"; attribute x_interface_info of S_AXI_ARREADY : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; attribute x_interface_info of S_AXI_ARVALID : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; attribute x_interface_info of S_AXI_AWREADY : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; attribute x_interface_info of S_AXI_AWVALID : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; attribute x_interface_info of S_AXI_BREADY : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; attribute x_interface_info of S_AXI_BVALID : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; attribute x_interface_info of S_AXI_RREADY : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; attribute x_interface_info of S_AXI_RVALID : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; attribute x_interface_info of S_AXI_WREADY : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; attribute x_interface_info of S_AXI_WVALID : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; attribute x_interface_info of S_AXI_ARADDR : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; attribute x_interface_info of S_AXI_AWADDR : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; attribute x_interface_parameter of S_AXI_AWADDR : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; attribute x_interface_info of S_AXI_BRESP : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; attribute x_interface_info of S_AXI_RDATA : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; attribute x_interface_info of S_AXI_RRESP : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; attribute x_interface_info of S_AXI_WDATA : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; attribute x_interface_info of S_AXI_WSTRB : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; begin S_AXI_AWREADY <= \^s_axi_awready\; S_AXI_BRESP(1) <= \<const0>\; S_AXI_BRESP(0) <= \<const0>\; S_AXI_RRESP(1) <= \<const0>\; S_AXI_RRESP(0) <= \<const0>\; S_AXI_WREADY <= \^s_axi_awready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_i2s_ctrl port map ( SDATA_I => SDATA_I, SDATA_O => SDATA_O, S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARADDR(2 downto 0) => S_AXI_ARADDR(4 downto 2), S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_AWADDR(2 downto 0) => S_AXI_AWADDR(4 downto 2), S_AXI_AWREADY => \^s_axi_awready\, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_BVALID => S_AXI_BVALID, S_AXI_RDATA(31 downto 0) => S_AXI_RDATA(31 downto 0), S_AXI_RREADY => S_AXI_RREADY, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WDATA(31 downto 0) => S_AXI_WDATA(31 downto 0), S_AXI_WVALID => S_AXI_WVALID, \out\(1) => LRCLK, \out\(0) => BCLK ); end STRUCTURE;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_auto_pc_1/zqynq_lab_1_design_auto_pc_1_sim_netlist.vhdl
1
452796
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Sat Sep 23 13:26:01 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top zqynq_lab_1_design_auto_pc_1 -prefix -- zqynq_lab_1_design_auto_pc_1_ zqynq_lab_1_design_auto_pc_1_sim_netlist.vhdl -- Design : zqynq_lab_1_design_auto_pc_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 0 to 0 ); \axlen_cnt_reg[3]_0\ : out STD_LOGIC; \m_axi_awaddr[1]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_0 : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); \next\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd is signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5_n_0\ : STD_LOGIC; signal \^axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC; signal \^axaddr_incr_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_7\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_2_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_3_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_4_n_0\ : STD_LOGIC; signal \axlen_cnt[5]_i_2_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \^axlen_cnt_reg[3]_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal next_pending_r_i_5_n_0 : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_3\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_4\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \axlen_cnt[5]_i_2\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of next_pending_r_i_5 : label is "soft_lutpair89"; begin Q(0) <= \^q\(0); axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0); \axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\; \axaddr_incr_reg[3]_0\(3 downto 0) <= \^axaddr_incr_reg[3]_0\(3 downto 0); \axlen_cnt_reg[3]_0\ <= \^axlen_cnt_reg[3]_0\; \axaddr_incr[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^axaddr_incr_reg[11]_0\, I1 => \next\, O => \axaddr_incr[0]_i_1_n_0\ ); \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \next\, I2 => \m_payload_i_reg[51]\(5), I3 => \m_payload_i_reg[51]\(4), O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT4 generic map( INIT => X"0A6A" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \next\, I2 => \m_payload_i_reg[51]\(5), I3 => \m_payload_i_reg[51]\(4), O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT4 generic map( INIT => X"006A" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \next\, I2 => \m_payload_i_reg[51]\(4), I3 => \m_payload_i_reg[51]\(5), O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT4 generic map( INIT => X"0006" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \next\, I2 => \m_payload_i_reg[51]\(5), I3 => \m_payload_i_reg[51]\(4), O => S(0) ); \axaddr_incr[4]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(3), O => \axaddr_incr[4]_i_2_n_0\ ); \axaddr_incr[4]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(2), O => \axaddr_incr[4]_i_3_n_0\ ); \axaddr_incr[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(1), O => \axaddr_incr[4]_i_4_n_0\ ); \axaddr_incr[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(0), O => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr[8]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(7), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(7), O => \axaddr_incr[8]_i_2_n_0\ ); \axaddr_incr[8]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(6), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(6), O => \axaddr_incr[8]_i_3_n_0\ ); \axaddr_incr[8]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(5), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(5), O => \axaddr_incr[8]_i_4_n_0\ ); \axaddr_incr[8]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(4), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(4), O => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => O(0), Q => \^axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[8]_i_1_n_5\, Q => \^axaddr_incr_reg\(6), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[8]_i_1_n_4\, Q => \^axaddr_incr_reg\(7), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => O(1), Q => \^axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => O(2), Q => \^axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => O(3), Q => \^axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[4]_i_1_n_7\, Q => \^axaddr_incr_reg\(0), R => '0' ); \axaddr_incr_reg[4]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1_n_4\, O(2) => \axaddr_incr_reg[4]_i_1_n_5\, O(1) => \axaddr_incr_reg[4]_i_1_n_6\, O(0) => \axaddr_incr_reg[4]_i_1_n_7\, S(3) => \axaddr_incr[4]_i_2_n_0\, S(2) => \axaddr_incr[4]_i_3_n_0\, S(1) => \axaddr_incr[4]_i_4_n_0\, S(0) => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[4]_i_1_n_6\, Q => \^axaddr_incr_reg\(1), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[4]_i_1_n_5\, Q => \^axaddr_incr_reg\(2), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[4]_i_1_n_4\, Q => \^axaddr_incr_reg\(3), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[8]_i_1_n_7\, Q => \^axaddr_incr_reg\(4), R => '0' ); \axaddr_incr_reg[8]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1_n_4\, O(2) => \axaddr_incr_reg[8]_i_1_n_5\, O(1) => \axaddr_incr_reg[8]_i_1_n_6\, O(0) => \axaddr_incr_reg[8]_i_1_n_7\, S(3) => \axaddr_incr[8]_i_2_n_0\, S(2) => \axaddr_incr[8]_i_3_n_0\, S(1) => \axaddr_incr[8]_i_4_n_0\, S(0) => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[8]_i_1_n_6\, Q => \^axaddr_incr_reg\(5), R => '0' ); \axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(7), I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \^q\(0), I4 => \^axlen_cnt_reg[3]_0\, O => p_1_in(1) ); \axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(8), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \axlen_cnt_reg_n_0_[1]\, I5 => \^axlen_cnt_reg[3]_0\, O => p_1_in(2) ); \axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \^axlen_cnt_reg[3]_0\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1__0_n_0\ ); \axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8B88888B" ) port map ( I0 => \m_payload_i_reg[51]\(9), I1 => E(0), I2 => \axlen_cnt[4]_i_2_n_0\, I3 => \axlen_cnt[4]_i_3_n_0\, I4 => \axlen_cnt_reg_n_0_[4]\, O => p_1_in(4) ); \axlen_cnt[4]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[5]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[4]\, I3 => \axlen_cnt_reg_n_0_[7]\, I4 => \axlen_cnt_reg_n_0_[6]\, I5 => \axlen_cnt[4]_i_4_n_0\, O => \axlen_cnt[4]_i_2_n_0\ ); \axlen_cnt[4]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[3]\, I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[1]\, O => \axlen_cnt[4]_i_3_n_0\ ); \axlen_cnt[4]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[4]_i_4_n_0\ ); \axlen_cnt[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8FF88888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(10), I2 => \axlen_cnt_reg_n_0_[5]\, I3 => \axlen_cnt[5]_i_2_n_0\, I4 => \^axlen_cnt_reg[3]_0\, O => p_1_in(5) ); \axlen_cnt[5]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[5]_i_2_n_0\ ); \axlen_cnt[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FF282828" ) port map ( I0 => \^axlen_cnt_reg[3]_0\, I1 => \axlen_cnt_reg_n_0_[6]\, I2 => \axlen_cnt[7]_i_3_n_0\, I3 => E(0), I4 => \m_payload_i_reg[51]\(11), O => p_1_in(6) ); \axlen_cnt[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF828882888288" ) port map ( I0 => \^axlen_cnt_reg[3]_0\, I1 => \axlen_cnt_reg_n_0_[7]\, I2 => \axlen_cnt_reg_n_0_[6]\, I3 => \axlen_cnt[7]_i_3_n_0\, I4 => E(0), I5 => \m_payload_i_reg[51]\(12), O => p_1_in(7) ); \axlen_cnt[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[3]\, I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[1]\, I4 => \axlen_cnt_reg_n_0_[4]\, I5 => \axlen_cnt_reg_n_0_[5]\, O => \axlen_cnt[7]_i_3_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(1), Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(2), Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axlen_cnt[3]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(4), Q => \axlen_cnt_reg_n_0_[4]\, R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(5), Q => \axlen_cnt_reg_n_0_[5]\, R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(6), Q => \axlen_cnt_reg_n_0_[6]\, R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(7), Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_awaddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_0\, I1 => \^axaddr_incr_reg[3]_0\(1), I2 => \m_payload_i_reg[51]\(6), I3 => \m_payload_i_reg[51]\(1), O => \m_axi_awaddr[1]\ ); \next_pending_r_i_3__1\: unisim.vcomponents.LUT5 generic map( INIT => X"55555554" ) port map ( I0 => E(0), I1 => next_pending_r_i_5_n_0, I2 => \axlen_cnt_reg_n_0_[4]\, I3 => \axlen_cnt_reg_n_0_[1]\, I4 => \axlen_cnt_reg_n_0_[5]\, O => \^axlen_cnt_reg[3]_0\ ); next_pending_r_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[3]\, I2 => \axlen_cnt_reg_n_0_[6]\, I3 => \axlen_cnt_reg_n_0_[7]\, O => next_pending_r_i_5_n_0 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => incr_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_0, Q => \^axaddr_incr_reg[11]_0\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is port ( incr_next_pending : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_incr_reg[11]_1\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]_0\ : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axlen_cnt_reg[5]_0\ : out STD_LOGIC; \m_axi_araddr[6]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); \m_payload_i_reg[48]\ : in STD_LOGIC; \m_payload_i_reg[47]_0\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_13_b2s_incr_cmd"; end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr[4]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5__0_n_0\ : STD_LOGIC; signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 6 to 6 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal \^axaddr_incr_reg[11]_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_7\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_2__0_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC; signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \next_pending_r_i_5__0_n_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal next_pending_r_reg_n_0 : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \axlen_cnt[5]_i_2__0\ : label is "soft_lutpair3"; begin Q(3 downto 0) <= \^q\(3 downto 0); \axaddr_incr_reg[11]_0\(6 downto 0) <= \^axaddr_incr_reg[11]_0\(6 downto 0); \axaddr_incr_reg[11]_1\ <= \^axaddr_incr_reg[11]_1\; \axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\; incr_next_pending <= \^incr_next_pending\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"AA6AAAAAAAAAAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"2A262A2A2A2A2A2A" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"0A060A0A0A0A0A0A" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \m_payload_i_reg[51]\(5), I2 => \m_payload_i_reg[51]\(6), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"0201020202020202" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(0) ); \axaddr_incr[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(2), O => \axaddr_incr[4]_i_2__0_n_0\ ); \axaddr_incr[4]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => axaddr_incr_reg(6), O => \axaddr_incr[4]_i_3__0_n_0\ ); \axaddr_incr[4]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(1), O => \axaddr_incr[4]_i_4__0_n_0\ ); \axaddr_incr[4]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(0), O => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr[8]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(6), O => \axaddr_incr[8]_i_2__0_n_0\ ); \axaddr_incr[8]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(5), O => \axaddr_incr[8]_i_3__0_n_0\ ); \axaddr_incr[8]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(4), O => \axaddr_incr[8]_i_4__0_n_0\ ); \axaddr_incr[8]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(3), O => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(0), Q => \axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_5\, Q => \^axaddr_incr_reg[11]_0\(5), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(6), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(1), Q => \axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(2), Q => \axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(3), Q => \axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(0), R => '0' ); \axaddr_incr_reg[4]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[4]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[4]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[4]_i_1__0_n_7\, S(3) => \axaddr_incr[4]_i_2__0_n_0\, S(2) => \axaddr_incr[4]_i_3__0_n_0\, S(1) => \axaddr_incr[4]_i_4__0_n_0\, S(0) => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_6\, Q => \^axaddr_incr_reg[11]_0\(1), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_5\, Q => axaddr_incr_reg(6), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(2), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(3), R => '0' ); \axaddr_incr_reg[8]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[8]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[8]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[8]_i_1__0_n_7\, S(3) => \axaddr_incr[8]_i_2__0_n_0\, S(2) => \axaddr_incr[8]_i_3__0_n_0\, S(1) => \axaddr_incr[8]_i_4__0_n_0\, S(0) => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_6\, Q => \^axaddr_incr_reg[11]_0\(4), R => '0' ); \axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(8), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \^q\(1), I5 => \state_reg[0]\, O => \axlen_cnt[2]_i_1__1_n_0\ ); \axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(1), I3 => \^q\(0), I4 => \state_reg[0]\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1__1_n_0\ ); \axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt[4]_i_2__0_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(9), O => \axlen_cnt[4]_i_1__0_n_0\ ); \axlen_cnt[4]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(1), I3 => \^q\(0), O => \axlen_cnt[4]_i_2__0_n_0\ ); \axlen_cnt[5]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \^q\(0), I2 => \^q\(1), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \axlen_cnt_reg_n_0_[3]\, O => \axlen_cnt_reg[5]_0\ ); \axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F88888F8F888F888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(10), I2 => \state_reg[0]\, I3 => \axlen_cnt_reg_n_0_[7]\, I4 => \^q\(3), I5 => \^axlen_cnt_reg[7]_0\, O => \axlen_cnt[7]_i_2__0_n_0\ ); \axlen_cnt[7]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(1), I3 => \^q\(0), I4 => \axlen_cnt_reg_n_0_[4]\, I5 => \^q\(2), O => \^axlen_cnt_reg[7]_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(1), Q => \^q\(1), R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[4]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[4]\, R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(2), Q => \^q\(2), R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(3), Q => \^q\(3), R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[7]_i_2__0_n_0\, Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_araddr[6]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_1\, I1 => axaddr_incr_reg(6), I2 => \m_payload_i_reg[51]\(7), I3 => \m_payload_i_reg[51]\(4), O => \m_axi_araddr[6]\ ); \next_pending_r_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"DDDDCCFCFFDDFFFC" ) port map ( I0 => \m_payload_i_reg[48]\, I1 => \m_payload_i_reg[47]_0\, I2 => next_pending_r_reg_n_0, I3 => \state_reg[1]_rep\, I4 => E(0), I5 => \^next_pending_r_reg_0\, O => \^incr_next_pending\ ); \next_pending_r_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \next_pending_r_i_5__0_n_0\, I1 => \axlen_cnt_reg_n_0_[7]\, I2 => \^q\(3), I3 => \axlen_cnt_reg_n_0_[4]\, O => \^next_pending_r_reg_0\ ); \next_pending_r_i_5__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \^q\(1), I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \^q\(2), O => \next_pending_r_i_5__0_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \^incr_next_pending\, Q => next_pending_r_reg_n_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^axaddr_incr_reg[11]_1\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is port ( \axlen_cnt_reg[5]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); r_push_r_reg : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[0]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_i : out STD_LOGIC; \axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \axlen_cnt_reg[7]\ : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axburst_eq1_reg : in STD_LOGIC; \cnt_read_reg[2]\ : in STD_LOGIC; \axlen_cnt_reg[6]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[4]\ : in STD_LOGIC; \m_payload_i_reg[50]\ : in STD_LOGIC_VECTOR ( 4 downto 0 ); \axlen_cnt_reg[3]\ : in STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[35]_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axlen_cnt_reg[5]\ : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \wrap_cnt_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[0]\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \state[1]_i_1__0\ : label is "soft_lutpair0"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1; attribute KEEP of \state_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair2"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0); \axlen_cnt_reg[5]\ <= \^axlen_cnt_reg[5]\; \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; \wrap_cnt_r_reg[0]\ <= \^wrap_cnt_r_reg[0]\; \wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0); \axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AAEA" ) port map ( I0 => sel_first_reg_2, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAC0AAAA" ) port map ( I0 => \axaddr_offset_r_reg[3]\(0), I1 => \m_payload_i_reg[3]\, I2 => \m_payload_i_reg[50]\(0), I3 => \^q\(0), I4 => si_rs_arvalid, I5 => \^q\(1), O => \^axaddr_offset\(0) ); \axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]\(1), I1 => \m_payload_i_reg[50]\(2), I2 => \^m_payload_i_reg[0]_0\, I3 => si_rs_arvalid, I4 => \^m_payload_i_reg[0]\, I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset\(1) ); \axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_arvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[50]\(0), I4 => \axlen_cnt_reg[6]\(0), I5 => \^axlen_cnt_reg[5]\, O => D(0) ); \axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[50]\(1), I2 => \axlen_cnt_reg[6]\(1), I3 => \axlen_cnt_reg[6]\(0), I4 => \^axlen_cnt_reg[5]\, O => D(1) ); \axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF282828" ) port map ( I0 => \^axlen_cnt_reg[5]\, I1 => \axlen_cnt_reg[6]\(2), I2 => \axlen_cnt_reg[4]\, I3 => \^e\(0), I4 => \m_payload_i_reg[50]\(3), O => D(2) ); \axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF282828" ) port map ( I0 => \^axlen_cnt_reg[5]\, I1 => \axlen_cnt_reg[6]\(3), I2 => \axlen_cnt_reg[3]\, I3 => \^e\(0), I4 => \m_payload_i_reg[50]\(4), O => D(3) ); \axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00CA" ) port map ( I0 => si_rs_arvalid, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_wrap_reg[11]\(0) ); \axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \^q\(0), I1 => si_rs_arvalid, I2 => \^q\(1), I3 => \axlen_cnt_reg[7]\, O => \^axlen_cnt_reg[5]\ ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => \^m_payload_i_reg[0]\, O => m_axi_arvalid ); \m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"D5" ) port map ( I0 => si_rs_arvalid, I1 => \^m_payload_i_reg[0]\, I2 => \^m_payload_i_reg[0]_0\, O => \m_payload_i_reg[0]_1\(0) ); r_push_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => \^m_payload_i_reg[0]_0\, I2 => m_axi_arready, O => r_push_r_reg ); \sel_first_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FCFFFFFFCCCECCCE" ) port map ( I0 => si_rs_arvalid, I1 => areset_d1, I2 => \^m_payload_i_reg[0]\, I3 => \^m_payload_i_reg[0]_0\, I4 => m_axi_arready, I5 => sel_first_reg_1, O => sel_first_i ); \sel_first_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_3, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"003030303E3E3E3E" ) port map ( I0 => si_rs_arvalid, I1 => \^q\(1), I2 => \^q\(0), I3 => m_axi_arready, I4 => s_axburst_eq1_reg, I5 => \cnt_read_reg[2]\, O => next_state(0) ); \state[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"00AAB000" ) port map ( I0 => \cnt_read_reg[2]\, I1 => s_axburst_eq1_reg, I2 => m_axi_arready, I3 => \^m_payload_i_reg[0]_0\, I4 => \^m_payload_i_reg[0]\, O => next_state(1) ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^m_payload_i_reg[0]_0\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^m_payload_i_reg[0]\, R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => si_rs_arvalid, I2 => \^m_payload_i_reg[0]_0\, O => \^e\(0) ); \wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AA8A5575AA8A5545" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^q\(0), I2 => si_rs_arvalid, I3 => \^q\(1), I4 => \^wrap_cnt_r_reg[0]\, I5 => \^axaddr_offset\(0), O => \wrap_cnt_r_reg[3]\(0) ); \wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA6AA56AAAAAAAA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \^e\(0), I3 => \^wrap_cnt_r_reg[0]\, I4 => \^axaddr_offset\(0), I5 => \^wrap_second_len_r_reg[3]\(1), O => \wrap_cnt_r_reg[3]\(1) ); \wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(3), I1 => \^wrap_second_len_r_reg[3]\(1), I2 => \wrap_cnt_r[3]_i_2__0_n_0\, I3 => \^wrap_second_len_r_reg[3]\(2), O => \wrap_cnt_r_reg[3]\(2) ); \wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"D1D1D1D1D1D1DFD1" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^e\(0), I2 => \^axaddr_offset\(0), I3 => \m_payload_i_reg[35]\, I4 => \m_payload_i_reg[46]\(0), I5 => \^axaddr_offset\(1), O => \wrap_cnt_r[3]_i_2__0_n_0\ ); \wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AA8AAA8AAA8AAABA" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^q\(0), I2 => si_rs_arvalid, I3 => \^q\(1), I4 => \^wrap_cnt_r_reg[0]\, I5 => \^axaddr_offset\(0), O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000004000404" ) port map ( I0 => \^axaddr_offset\(0), I1 => \m_payload_i_reg[35]\, I2 => \m_payload_i_reg[46]\(0), I3 => \^e\(0), I4 => \axaddr_offset_r_reg[3]\(1), I5 => \m_payload_i_reg[35]_0\, O => \^wrap_cnt_r_reg[0]\ ); \wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0FE0FFFF0FE00000" ) port map ( I0 => \^axaddr_offset\(1), I1 => \m_payload_i_reg[46]\(0), I2 => \m_payload_i_reg[35]\, I3 => \^axaddr_offset\(0), I4 => \^e\(0), I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"CC2CFFFFCC2C0000" ) port map ( I0 => \^axaddr_offset\(1), I1 => \m_payload_i_reg[46]\(0), I2 => \m_payload_i_reg[35]\, I3 => \^axaddr_offset\(0), I4 => \^e\(0), I5 => \wrap_second_len_r_reg[3]_0\(2), O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF4FF44444444" ) port map ( I0 => \^e\(0), I1 => \wrap_second_len_r_reg[3]_0\(3), I2 => \^axaddr_offset\(0), I3 => \m_payload_i_reg[35]\, I4 => \m_payload_i_reg[46]\(0), I5 => \m_payload_i_reg[35]_0\, O => \^wrap_second_len_r_reg[3]\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo is port ( \cnt_read_reg[0]_rep_0\ : out STD_LOGIC; \cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); bresp_push : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); bvalid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); shandshake_r : in STD_LOGIC; b_push : in STD_LOGIC; areset_d1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); \bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); mhandshake_r : in STD_LOGIC; bvalid_i_reg_0 : in STD_LOGIC; si_rs_bready : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo is signal \^bresp_push\ : STD_LOGIC; signal bvalid_i_i_2_n_0 : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[0]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[0]_rep_0\ : STD_LOGIC; signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_4_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_5_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_6_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_7_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][4]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][5]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][6]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][7]_srl4_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of bvalid_i_i_1 : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair91"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 "; attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 "; attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 "; attribute srl_bus_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][4]_srl4 "; attribute srl_bus_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][5]_srl4 "; attribute srl_bus_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][6]_srl4 "; attribute srl_bus_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][7]_srl4 "; attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 "; begin bresp_push <= \^bresp_push\; \cnt_read_reg[0]_rep_0\ <= \^cnt_read_reg[0]_rep_0\; \cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\; \bresp_cnt[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => areset_d1, I1 => \^bresp_push\, O => SR(0) ); bvalid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"002A" ) port map ( I0 => bvalid_i_i_2_n_0, I1 => bvalid_i_reg_0, I2 => si_rs_bready, I3 => areset_d1, O => bvalid_i_reg ); bvalid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00070707" ) port map ( I0 => \^cnt_read_reg[0]_rep_0\, I1 => \^cnt_read_reg[1]_rep__0_0\, I2 => shandshake_r, I3 => Q(1), I4 => Q(0), I5 => bvalid_i_reg_0, O => bvalid_i_i_2_n_0 ); \cnt_read[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^cnt_read_reg[0]_rep_0\, I1 => b_push, I2 => shandshake_r, O => \cnt_read[0]_i_1_n_0\ ); \cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^bresp_push\, I1 => shandshake_r, I2 => Q(0), O => D(0) ); \cnt_read[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"DB24" ) port map ( I0 => \^cnt_read_reg[0]_rep_0\, I1 => shandshake_r, I2 => b_push, I3 => \^cnt_read_reg[1]_rep__0_0\, O => \cnt_read[1]_i_1_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1_n_0\, Q => \^cnt_read_reg[0]_rep_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \^cnt_read_reg[1]_rep__0_0\, S => areset_d1 ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(0), Q => \memory_reg[3][0]_srl4_n_0\ ); \memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\, I1 => \memory_reg[3][0]_srl4_i_3_n_0\, I2 => \memory_reg[3][0]_srl4_i_4_n_0\, I3 => \memory_reg[3][0]_srl4_i_5_n_0\, O => \^bresp_push\ ); \memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \bresp_cnt_reg[7]\(7), I1 => \memory_reg[3][7]_srl4_n_0\, I2 => \memory_reg[3][1]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(1), I4 => \memory_reg[3][0]_srl4_n_0\, I5 => \bresp_cnt_reg[7]\(0), O => \memory_reg[3][0]_srl4_i_2__0_n_0\ ); \memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFF22F2" ) port map ( I0 => \bresp_cnt_reg[7]\(3), I1 => \memory_reg[3][3]_srl4_n_0\, I2 => \memory_reg[3][6]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(6), I4 => \memory_reg[3][0]_srl4_i_6_n_0\, O => \memory_reg[3][0]_srl4_i_3_n_0\ ); \memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF4F4FFF4F" ) port map ( I0 => \memory_reg[3][6]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(6), I2 => mhandshake_r, I3 => \memory_reg[3][3]_srl4_n_0\, I4 => \bresp_cnt_reg[7]\(3), I5 => \memory_reg[3][0]_srl4_i_7_n_0\, O => \memory_reg[3][0]_srl4_i_4_n_0\ ); \memory_reg[3][0]_srl4_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"66F666F6FFFF66F6" ) port map ( I0 => \bresp_cnt_reg[7]\(2), I1 => \memory_reg[3][2]_srl4_n_0\, I2 => \bresp_cnt_reg[7]\(4), I3 => \memory_reg[3][4]_srl4_n_0\, I4 => \memory_reg[3][5]_srl4_n_0\, I5 => \bresp_cnt_reg[7]\(5), O => \memory_reg[3][0]_srl4_i_5_n_0\ ); \memory_reg[3][0]_srl4_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"4F44" ) port map ( I0 => \memory_reg[3][5]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(5), I2 => \bresp_cnt_reg[7]\(4), I3 => \memory_reg[3][4]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_6_n_0\ ); \memory_reg[3][0]_srl4_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^cnt_read_reg[0]_rep_0\, I1 => \^cnt_read_reg[1]_rep__0_0\, O => \memory_reg[3][0]_srl4_i_7_n_0\ ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(1), Q => \memory_reg[3][1]_srl4_n_0\ ); \memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(2), Q => \memory_reg[3][2]_srl4_n_0\ ); \memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(3), Q => \memory_reg[3][3]_srl4_n_0\ ); \memory_reg[3][4]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(4), Q => \memory_reg[3][4]_srl4_n_0\ ); \memory_reg[3][5]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(5), Q => \memory_reg[3][5]_srl4_n_0\ ); \memory_reg[3][6]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(6), Q => \memory_reg[3][6]_srl4_n_0\ ); \memory_reg[3][7]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(7), Q => \memory_reg[3][7]_srl4_n_0\ ); \memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(8), Q => \out\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is port ( s_bresp_acc : out STD_LOGIC; mhandshake : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bready : out STD_LOGIC; \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \in\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; mhandshake_r : in STD_LOGIC; shandshake_r : in STD_LOGIC; bresp_push : in STD_LOGIC; aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC; signal \^mhandshake\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair93"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair93"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 "; begin Q(1 downto 0) <= \^q\(1 downto 0); mhandshake <= \^mhandshake\; \cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => shandshake_r, I3 => bresp_push, O => \cnt_read[1]_i_1__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => D(0), Q => \^q\(0), S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__0_n_0\, Q => \^q\(1), S => areset_d1 ); m_axi_bready_INST_0: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => mhandshake_r, O => m_axi_bready ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => bresp_push, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[1]\(0) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => bresp_push, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[1]\(1) ); mhandshake_r_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => m_axi_bvalid, I1 => mhandshake_r, I2 => \^q\(0), I3 => \^q\(1), O => \^mhandshake\ ); \s_bresp_acc[1]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"2020A220" ) port map ( I0 => \^mhandshake\, I1 => \in\(1), I2 => m_axi_bresp(1), I3 => m_axi_bresp(0), I4 => \in\(0), O => s_bresp_acc ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is port ( \cnt_read_reg[3]_rep__2_0\ : out STD_LOGIC; wr_en0 : out STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); s_ready_i_reg : in STD_LOGIC; s_ready_i_reg_0 : in STD_LOGIC; si_rs_rready : in STD_LOGIC; \cnt_read_reg[4]_0\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[3]_rep__2_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC; signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC; signal \^wr_en0\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[4]_i_2\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[4]_i_5\ : label is "soft_lutpair9"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]"; attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair7"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 "; attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 "; attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 "; attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 "; attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 "; attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 "; attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 "; attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 "; attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 "; attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 "; attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 "; attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 "; attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 "; attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 "; attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 "; attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 "; attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 "; attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 "; attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 "; attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 "; attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 "; attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 "; attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 "; attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 "; attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 "; attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 "; attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 "; attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 "; attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 "; attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 "; attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 "; attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 "; attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair7"; begin \cnt_read_reg[3]_rep__2_0\ <= \^cnt_read_reg[3]_rep__2_0\; \cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\; \cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\; wr_en0 <= \^wr_en0\; \cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => s_ready_i_reg, I2 => \^wr_en0\, O => \cnt_read[0]_i_1__1_n_0\ ); \cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \cnt_read_reg[1]_rep__2_n_0\, I1 => \^wr_en0\, I2 => s_ready_i_reg, I3 => \cnt_read_reg[0]_rep__2_n_0\, O => \cnt_read[1]_i_1__1_n_0\ ); \cnt_read[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AA6AA9AA" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => \^wr_en0\, I3 => s_ready_i_reg, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => \cnt_read[2]_i_1_n_0\ ); \cnt_read[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAA96AAAAAAA" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[0]_rep__2_n_0\, I4 => \^wr_en0\, I5 => s_ready_i_reg, O => \cnt_read[3]_i_1_n_0\ ); \cnt_read[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA55AAA6A6AAA6AA" ) port map ( I0 => \^cnt_read_reg[4]_rep__2_0\, I1 => \cnt_read[4]_i_2_n_0\, I2 => \cnt_read[4]_i_3_n_0\, I3 => s_ready_i_reg_0, I4 => \^cnt_read_reg[4]_rep__2_1\, I5 => \^cnt_read_reg[3]_rep__2_0\, O => \cnt_read[4]_i_1_n_0\ ); \cnt_read[4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, O => \cnt_read[4]_i_2_n_0\ ); \cnt_read[4]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => si_rs_rready, I2 => \cnt_read_reg[4]_0\, I3 => \^wr_en0\, O => \cnt_read[4]_i_3_n_0\ ); \cnt_read[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => \cnt_read_reg[2]_rep__2_n_0\, O => \^cnt_read_reg[4]_rep__2_1\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \^cnt_read_reg[3]_rep__2_0\, S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => cnt_read(4), S => areset_d1 ); \cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \^cnt_read_reg[4]_rep__2_0\, S => areset_d1 ); m_axi_rready_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"F77F777F" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \^cnt_read_reg[4]_rep__2_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[2]_rep__2_n_0\, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => m_axi_rready ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(0), Q => \out\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA2A2AAA2A2A2AAA" ) port map ( I0 => m_axi_rvalid, I1 => \^cnt_read_reg[3]_rep__2_0\, I2 => \^cnt_read_reg[4]_rep__2_0\, I3 => \cnt_read_reg[1]_rep__2_n_0\, I4 => \cnt_read_reg[2]_rep__2_n_0\, I5 => \cnt_read_reg[0]_rep__2_n_0\, O => \^wr_en0\ ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(10), Q => \out\(10), Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(11), Q => \out\(11), Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(12), Q => \out\(12), Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(13), Q => \out\(13), Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(14), Q => \out\(14), Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(15), Q => \out\(15), Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(16), Q => \out\(16), Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(17), Q => \out\(17), Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(18), Q => \out\(18), Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(19), Q => \out\(19), Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(1), Q => \out\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(20), Q => \out\(20), Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(21), Q => \out\(21), Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(22), Q => \out\(22), Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(23), Q => \out\(23), Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(24), Q => \out\(24), Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(25), Q => \out\(25), Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(26), Q => \out\(26), Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(27), Q => \out\(27), Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(28), Q => \out\(28), Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(29), Q => \out\(29), Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(2), Q => \out\(2), Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(30), Q => \out\(30), Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(31), Q => \out\(31), Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(32), Q => \out\(32), Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(33), Q => \out\(33), Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(3), Q => \out\(3), Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(4), Q => \out\(4), Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(5), Q => \out\(5), Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(6), Q => \out\(6), Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(7), Q => \out\(7), Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(8), Q => \out\(8), Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(9), Q => \out\(9), Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"7C000000" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \^cnt_read_reg[4]_rep__2_0\, I4 => \^cnt_read_reg[3]_rep__2_0\, O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is port ( m_valid_i_reg : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2\ : out STD_LOGIC; \skid_buffer_reg[35]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_ready_i_reg : in STD_LOGIC; r_push_r : in STD_LOGIC; si_rs_rready : in STD_LOGIC; \cnt_read_reg[0]_rep__2\ : in STD_LOGIC; wr_en0 : in STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : in STD_LOGIC; \cnt_read_reg[3]_rep__2\ : in STD_LOGIC; \cnt_read_reg[0]_rep__2_0\ : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_4__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_5__0_n_0\ : STD_LOGIC; signal \^m_valid_i_reg\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \cnt_read[4]_i_4__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \cnt_read[4]_i_5__0\ : label is "soft_lutpair12"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 "; begin m_valid_i_reg <= \^m_valid_i_reg\; \cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => cnt_read(0), I1 => s_ready_i_reg, I2 => r_push_r, O => \cnt_read[0]_i_1__2_n_0\ ); \cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9AA6" ) port map ( I0 => cnt_read(1), I1 => s_ready_i_reg, I2 => r_push_r, I3 => cnt_read(0), O => \cnt_read[1]_i_1__2_n_0\ ); \cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAA96AAA" ) port map ( I0 => cnt_read(2), I1 => cnt_read(1), I2 => cnt_read(0), I3 => r_push_r, I4 => s_ready_i_reg, O => \cnt_read[2]_i_1__0_n_0\ ); \cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAA96AAAAAAA" ) port map ( I0 => cnt_read(3), I1 => cnt_read(0), I2 => cnt_read(1), I3 => cnt_read(2), I4 => r_push_r, I5 => s_ready_i_reg, O => \cnt_read[3]_i_1__0_n_0\ ); \cnt_read[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AA55AAA6A6AAA6AA" ) port map ( I0 => cnt_read(4), I1 => \cnt_read[4]_i_2__0_n_0\, I2 => \cnt_read[4]_i_3__0_n_0\, I3 => \cnt_read[4]_i_4__0_n_0\, I4 => \cnt_read[4]_i_5__0_n_0\, I5 => cnt_read(3), O => \cnt_read[4]_i_1__0_n_0\ ); \cnt_read[4]_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => cnt_read(1), I1 => cnt_read(2), O => \cnt_read[4]_i_2__0_n_0\ ); \cnt_read[4]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => cnt_read(0), I1 => si_rs_rready, I2 => \^m_valid_i_reg\, I3 => r_push_r, O => \cnt_read[4]_i_3__0_n_0\ ); \cnt_read[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => \^m_valid_i_reg\, I1 => si_rs_rready, I2 => wr_en0, O => \cnt_read_reg[4]_rep__2\ ); \cnt_read[4]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => \^m_valid_i_reg\, I1 => si_rs_rready, I2 => r_push_r, O => \cnt_read[4]_i_4__0_n_0\ ); \cnt_read[4]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => cnt_read(2), I1 => cnt_read(1), I2 => cnt_read(0), O => \cnt_read[4]_i_5__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => cnt_read(4), S => areset_d1 ); m_valid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FF80808080808080" ) port map ( I0 => cnt_read(4), I1 => cnt_read(3), I2 => \cnt_read[4]_i_5__0_n_0\, I3 => \cnt_read_reg[4]_rep__2_0\, I4 => \cnt_read_reg[3]_rep__2\, I5 => \cnt_read_reg[0]_rep__2_0\, O => \^m_valid_i_reg\ ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[35]\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[35]\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"BEFEAAAAAAAAAAAA" ) port map ( I0 => \cnt_read_reg[0]_rep__2\, I1 => cnt_read(2), I2 => cnt_read(1), I3 => cnt_read(0), I4 => cnt_read(3), I5 => cnt_read(4), O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is port ( Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[0]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; \next\ : out STD_LOGIC; \axaddr_wrap_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); b_push : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_awvalid : in STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[35]_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \axlen_cnt_reg[4]\ : in STD_LOGIC; \m_payload_i_reg[48]\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \m_payload_i_reg[46]_0\ : in STD_LOGIC; \axlen_cnt_reg[2]\ : in STD_LOGIC; next_pending_r_reg_0 : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \cnt_read_reg[0]_rep\ : in STD_LOGIC; \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; \sel_first__0\ : in STD_LOGIC; aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^b_push\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \^next\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^sel_first_i\ : STD_LOGIC; signal \state[0]_i_2_n_0\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_2_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[0]\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair87"; attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair85"; attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair85"; attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair87"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair86"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0); b_push <= \^b_push\; incr_next_pending <= \^incr_next_pending\; \next\ <= \^next\; sel_first_i <= \^sel_first_i\; \wrap_cnt_r_reg[0]\ <= \^wrap_cnt_r_reg[0]\; wrap_next_pending <= \^wrap_next_pending\; \wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0); \axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAC0AAAA" ) port map ( I0 => \axaddr_offset_r_reg[3]\(0), I1 => \m_payload_i_reg[3]\, I2 => \m_payload_i_reg[47]\(1), I3 => \^q\(0), I4 => si_rs_awvalid, I5 => \^q\(1), O => \^axaddr_offset\(0) ); \axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]\(1), I1 => \m_payload_i_reg[47]\(2), I2 => \^q\(0), I3 => si_rs_awvalid, I4 => \^q\(1), I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset\(1) ); \axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_awvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[47]\(1), I4 => \axlen_cnt_reg[0]_0\(0), I5 => \axlen_cnt_reg[4]\, O => \axlen_cnt_reg[0]\(0) ); \axlen_cnt[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FF04" ) port map ( I0 => \^q\(0), I1 => si_rs_awvalid, I2 => \^q\(1), I3 => \^next\, O => \axaddr_wrap_reg[0]\(0) ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => m_axi_awvalid ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^b_push\, I1 => si_rs_awvalid, O => \m_payload_i_reg[0]\(0) ); \memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA20AA200000AA20" ) port map ( I0 => \^q\(0), I1 => s_axburst_eq1_reg_0, I2 => m_axi_awready, I3 => \^q\(1), I4 => \cnt_read_reg[1]_rep__0\, I5 => \cnt_read_reg[0]_rep\, O => \^b_push\ ); next_pending_r_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \m_payload_i_reg[48]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[4]\, I3 => \^next\, I4 => next_pending_r_reg, O => \^incr_next_pending\ ); \next_pending_r_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[46]_0\, I1 => \^e\(0), I2 => \axlen_cnt_reg[2]\, I3 => \^next\, I4 => next_pending_r_reg_0, O => \^wrap_next_pending\ ); next_pending_r_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBFFFF00B00000" ) port map ( I0 => \cnt_read_reg[0]_rep\, I1 => \cnt_read_reg[1]_rep__0\, I2 => m_axi_awready, I3 => s_axburst_eq1_reg_0, I4 => \^q\(0), I5 => \^q\(1), O => \^next\ ); s_axburst_eq0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg ); s_axburst_eq1_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg ); sel_first_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FF04FFFFFF04FF04" ) port map ( I0 => \^q\(1), I1 => si_rs_awvalid, I2 => \^q\(0), I3 => areset_d1, I4 => \^next\, I5 => sel_first_reg_1, O => \^sel_first_i\ ); \sel_first_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44444F44" ) port map ( I0 => \^next\, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44444F44" ) port map ( I0 => \^next\, I1 => \sel_first__0\, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"BBBA" ) port map ( I0 => \state[0]_i_2_n_0\, I1 => \^q\(0), I2 => si_rs_awvalid, I3 => \^q\(1), O => next_state(0) ); \state[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00F000F055750000" ) port map ( I0 => m_axi_awready, I1 => s_axburst_eq1_reg_0, I2 => \cnt_read_reg[1]_rep__0\, I3 => \cnt_read_reg[0]_rep\, I4 => \^q\(0), I5 => \^q\(1), O => \state[0]_i_2_n_0\ ); \state[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"08000800FC000800" ) port map ( I0 => s_axburst_eq1_reg_0, I1 => m_axi_awready, I2 => \^q\(1), I3 => \^q\(0), I4 => \cnt_read_reg[1]_rep__0\, I5 => \cnt_read_reg[0]_rep\, O => next_state(1) ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^q\(1), R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(1), I1 => si_rs_awvalid, I2 => \^q\(0), O => \^e\(0) ); \wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA8A5575AA8A5545" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^q\(0), I2 => si_rs_awvalid, I3 => \^q\(1), I4 => \^wrap_cnt_r_reg[0]\, I5 => \^axaddr_offset\(0), O => D(0) ); \wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA6AA56AAAAAAAA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \^e\(0), I3 => \^wrap_cnt_r_reg[0]\, I4 => \^axaddr_offset\(0), I5 => \^wrap_second_len_r_reg[3]\(1), O => D(1) ); \wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(3), I1 => \^wrap_second_len_r_reg[3]\(1), I2 => \wrap_cnt_r[3]_i_2_n_0\, I3 => \^wrap_second_len_r_reg[3]\(2), O => D(2) ); \wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"D1D1D1D1D1D1DFD1" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^e\(0), I2 => \^axaddr_offset\(0), I3 => \m_payload_i_reg[35]\, I4 => \m_payload_i_reg[46]\(0), I5 => \^axaddr_offset\(1), O => \wrap_cnt_r[3]_i_2_n_0\ ); \wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA8AAA8AAA8AAABA" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^q\(0), I2 => si_rs_awvalid, I3 => \^q\(1), I4 => \^wrap_cnt_r_reg[0]\, I5 => \^axaddr_offset\(0), O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000004000404" ) port map ( I0 => \^axaddr_offset\(0), I1 => \m_payload_i_reg[35]\, I2 => \m_payload_i_reg[46]\(0), I3 => \^e\(0), I4 => \axaddr_offset_r_reg[3]\(1), I5 => \m_payload_i_reg[35]_0\, O => \^wrap_cnt_r_reg[0]\ ); \wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0FE0FFFF0FE00000" ) port map ( I0 => \^axaddr_offset\(1), I1 => \m_payload_i_reg[46]\(0), I2 => \m_payload_i_reg[35]\, I3 => \^axaddr_offset\(0), I4 => \^e\(0), I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CC2CFFFFCC2C0000" ) port map ( I0 => \^axaddr_offset\(1), I1 => \m_payload_i_reg[46]\(0), I2 => \m_payload_i_reg[35]\, I3 => \^axaddr_offset\(0), I4 => \^e\(0), I5 => \wrap_second_len_r_reg[3]_0\(2), O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF4FF44444444" ) port map ( I0 => \^e\(0), I1 => \wrap_second_len_r_reg[3]_0\(3), I2 => \^axaddr_offset\(0), I3 => \m_payload_i_reg[35]\, I4 => \m_payload_i_reg[46]\(0), I5 => \m_payload_i_reg[35]_0\, O => \^wrap_second_len_r_reg[3]\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); wrap_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 18 downto 0 ); \next\ : in STD_LOGIC; axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); sel_first_reg_2 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC; \m_payload_i_reg[35]\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC; signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 ); signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_wrap[11]_i_2\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of next_pending_r_i_3 : label is "soft_lutpair90"; begin sel_first_reg_0 <= \^sel_first_reg_0\; \wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0); \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(0), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(0), I3 => \next\, I4 => Q(0), O => \axaddr_wrap[0]_i_1_n_0\ ); \axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(10), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(10), I3 => \next\, I4 => Q(10), O => \axaddr_wrap[10]_i_1_n_0\ ); \axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(11), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(11), I3 => \next\, I4 => Q(11), O => \axaddr_wrap[11]_i_1_n_0\ ); \axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4_n_0\, I1 => wrap_cnt_r(3), I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2_n_0\ ); \axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => wrap_cnt_r(0), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[2]\, I3 => wrap_cnt_r(2), I4 => \axlen_cnt_reg_n_0_[1]\, I5 => wrap_cnt_r(1), O => \axaddr_wrap[11]_i_4_n_0\ ); \axaddr_wrap[11]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(11), O => \axaddr_wrap[11]_i_5_n_0\ ); \axaddr_wrap[11]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(10), O => \axaddr_wrap[11]_i_6_n_0\ ); \axaddr_wrap[11]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(9), O => \axaddr_wrap[11]_i_7_n_0\ ); \axaddr_wrap[11]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(8), O => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(1), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(1), I3 => \next\, I4 => Q(1), O => \axaddr_wrap[1]_i_1_n_0\ ); \axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(2), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(2), I3 => \next\, I4 => Q(2), O => \axaddr_wrap[2]_i_1_n_0\ ); \axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(3), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(3), I3 => \next\, I4 => Q(3), O => \axaddr_wrap[3]_i_1_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => axaddr_wrap(3), I1 => Q(12), I2 => Q(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(2), I1 => Q(12), I2 => Q(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(1), I1 => Q(13), I2 => Q(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => axaddr_wrap(0), I1 => Q(12), I2 => Q(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(4), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(4), I3 => \next\, I4 => Q(4), O => \axaddr_wrap[4]_i_1_n_0\ ); \axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(5), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(5), I3 => \next\, I4 => Q(5), O => \axaddr_wrap[5]_i_1_n_0\ ); \axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(6), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(6), I3 => \next\, I4 => Q(6), O => \axaddr_wrap[6]_i_1_n_0\ ); \axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(7), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(7), I3 => \next\, I4 => Q(7), O => \axaddr_wrap[7]_i_1_n_0\ ); \axaddr_wrap[7]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(7), O => \axaddr_wrap[7]_i_3_n_0\ ); \axaddr_wrap[7]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(6), O => \axaddr_wrap[7]_i_4_n_0\ ); \axaddr_wrap[7]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(5), O => \axaddr_wrap[7]_i_5_n_0\ ); \axaddr_wrap[7]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(4), O => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(8), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(8), I3 => \next\, I4 => Q(8), O => \axaddr_wrap[8]_i_1_n_0\ ); \axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(9), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(9), I3 => \next\, I4 => Q(9), O => \axaddr_wrap[9]_i_1_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[0]_i_1_n_0\, Q => axaddr_wrap(0), R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[10]_i_1_n_0\, Q => axaddr_wrap(10), R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[11]_i_1_n_0\, Q => axaddr_wrap(11), R => '0' ); \axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(11 downto 8), S(3) => \axaddr_wrap[11]_i_5_n_0\, S(2) => \axaddr_wrap[11]_i_6_n_0\, S(1) => \axaddr_wrap[11]_i_7_n_0\, S(0) => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[1]_i_1_n_0\, Q => axaddr_wrap(1), R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[2]_i_1_n_0\, Q => axaddr_wrap(2), R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[3]_i_1_n_0\, Q => axaddr_wrap(3), R => '0' ); \axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => axaddr_wrap(3 downto 0), O(3 downto 0) => axaddr_wrap0(3 downto 0), S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[4]_i_1_n_0\, Q => axaddr_wrap(4), R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[5]_i_1_n_0\, Q => axaddr_wrap(5), R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[6]_i_1_n_0\, Q => axaddr_wrap(6), R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[7]_i_1_n_0\, Q => axaddr_wrap(7), R => '0' ); \axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(7 downto 4), S(3) => \axaddr_wrap[7]_i_3_n_0\, S(2) => \axaddr_wrap[7]_i_4_n_0\, S(1) => \axaddr_wrap[7]_i_5_n_0\, S(0) => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[8]_i_1_n_0\, Q => axaddr_wrap(8), R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[9]_i_1_n_0\, Q => axaddr_wrap(9), R => '0' ); \axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => Q(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[1]\, I5 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[0]_i_1_n_0\ ); \axlen_cnt[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => Q(16), O => \axlen_cnt[1]_i_1_n_0\ ); \axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => Q(17), O => \axlen_cnt[2]_i_1_n_0\ ); \axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => Q(18), O => \axlen_cnt[3]_i_1_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axlen_cnt[0]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axlen_cnt[1]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axlen_cnt[2]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axlen_cnt[3]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(0), I2 => Q(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => Q(0), O => m_axi_awaddr(0) ); \m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(10), I2 => Q(14), I3 => axaddr_incr_reg(6), I4 => \m_payload_i_reg[38]\, I5 => Q(10), O => m_axi_awaddr(10) ); \m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(11), I2 => Q(14), I3 => axaddr_incr_reg(7), I4 => \m_payload_i_reg[38]\, I5 => Q(11), O => m_axi_awaddr(11) ); \m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(1), I1 => \^sel_first_reg_0\, I2 => axaddr_wrap(1), I3 => Q(14), I4 => sel_first_reg_2, O => m_axi_awaddr(1) ); \m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(2), I2 => Q(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => Q(2), O => m_axi_awaddr(2) ); \m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(3), I2 => Q(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => Q(3), O => m_axi_awaddr(3) ); \m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(4), I2 => Q(14), I3 => axaddr_incr_reg(0), I4 => \m_payload_i_reg[38]\, I5 => Q(4), O => m_axi_awaddr(4) ); \m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(5), I2 => Q(14), I3 => axaddr_incr_reg(1), I4 => \m_payload_i_reg[38]\, I5 => Q(5), O => m_axi_awaddr(5) ); \m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(6), I2 => Q(14), I3 => axaddr_incr_reg(2), I4 => \m_payload_i_reg[38]\, I5 => Q(6), O => m_axi_awaddr(6) ); \m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(7), I2 => Q(14), I3 => axaddr_incr_reg(3), I4 => \m_payload_i_reg[38]\, I5 => Q(7), O => m_axi_awaddr(7) ); \m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(8), I2 => Q(14), I3 => axaddr_incr_reg(4), I4 => \m_payload_i_reg[38]\, I5 => Q(8), O => m_axi_awaddr(8) ); \m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(9), I2 => Q(14), I3 => axaddr_incr_reg(5), I4 => \m_payload_i_reg[38]\, I5 => Q(9), O => m_axi_awaddr(9) ); next_pending_r_i_3: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => next_pending_r_reg_1 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => wrap_boundary_axaddr_r(0), R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => Q(10), Q => wrap_boundary_axaddr_r(10), R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => Q(11), Q => wrap_boundary_axaddr_r(11), R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => wrap_boundary_axaddr_r(1), R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => wrap_boundary_axaddr_r(2), R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => wrap_boundary_axaddr_r(3), R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => wrap_boundary_axaddr_r(4), R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => wrap_boundary_axaddr_r(5), R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => wrap_boundary_axaddr_r(6), R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => Q(7), Q => wrap_boundary_axaddr_r(7), R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => Q(8), Q => wrap_boundary_axaddr_r(8), R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => Q(9), Q => wrap_boundary_axaddr_r(9), R => '0' ); \wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"313D020E" ) port map ( I0 => \^wrap_second_len_r_reg[3]_0\(0), I1 => E(0), I2 => \axaddr_offset_r_reg[3]_1\, I3 => \m_payload_i_reg[35]\, I4 => \^wrap_second_len_r_reg[3]_0\(1), O => wrap_cnt(1) ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => wrap_cnt_r(0), R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_cnt(1), Q => wrap_cnt_r(1), R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => wrap_cnt_r(2), R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => wrap_cnt_r(3), R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \^wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \^wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \^wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \^wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is port ( sel_first_reg_0 : out STD_LOGIC; s_axburst_eq0_reg : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_arvalid : in STD_LOGIC; sel_first_i : in STD_LOGIC; incr_next_pending : in STD_LOGIC; \m_payload_i_reg[47]_0\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_2 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC; \m_payload_i_reg[35]\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_13_b2s_wrap_cmd"; end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC; signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC; signal next_pending_r_reg_n_0 : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC; signal wrap_next_pending : STD_LOGIC; signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair4"; begin sel_first_reg_0 <= \^sel_first_reg_0\; \wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0); \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1__0_n_0\ ); \axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4__0_n_0\, I1 => \wrap_cnt_r_reg_n_0_[3]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2__0_n_0\ ); \axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => \wrap_cnt_r_reg_n_0_[0]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \wrap_cnt_r_reg_n_0_[2]\, I4 => \axlen_cnt_reg_n_0_[1]\, I5 => \wrap_cnt_r_reg_n_0_[1]\, O => \axaddr_wrap[11]_i_4__0_n_0\ ); \axaddr_wrap[11]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[11]\, O => \axaddr_wrap[11]_i_5__0_n_0\ ); \axaddr_wrap[11]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[10]\, O => \axaddr_wrap[11]_i_6__0_n_0\ ); \axaddr_wrap[11]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[9]\, O => \axaddr_wrap[11]_i_7__0_n_0\ ); \axaddr_wrap[11]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[8]\, O => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1__0_n_0\ ); \axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[3]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[2]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[1]\, I1 => \m_payload_i_reg[47]\(13), I2 => \m_payload_i_reg[47]\(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => \axaddr_wrap_reg_n_0_[0]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1__0_n_0\ ); \axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1__0_n_0\ ); \axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_3__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[7]\, O => \axaddr_wrap[7]_i_3__0_n_0\ ); \axaddr_wrap[7]_i_4__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[6]\, O => \axaddr_wrap[7]_i_4__0_n_0\ ); \axaddr_wrap[7]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[5]\, O => \axaddr_wrap[7]_i_5__0_n_0\ ); \axaddr_wrap[7]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[4]\, O => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1__0_n_0\ ); \axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1__0_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[0]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[0]\, R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[10]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[10]\, R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[11]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[11]\, R => '0' ); \axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\, O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\, O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\, O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\, S(3) => \axaddr_wrap[11]_i_5__0_n_0\, S(2) => \axaddr_wrap[11]_i_6__0_n_0\, S(1) => \axaddr_wrap[11]_i_7__0_n_0\, S(0) => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[1]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[1]\, R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[2]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[2]\, R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[3]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[3]\, R => '0' ); \axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_wrap_reg_n_0_[3]\, DI(2) => \axaddr_wrap_reg_n_0_[2]\, DI(1) => \axaddr_wrap_reg_n_0_[1]\, DI(0) => \axaddr_wrap_reg_n_0_[0]\, O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\, S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[4]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[4]\, R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[5]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[5]\, R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[6]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[6]\, R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[7]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[7]\, R => '0' ); \axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\, S(3) => \axaddr_wrap[7]_i_3__0_n_0\, S(2) => \axaddr_wrap[7]_i_4__0_n_0\, S(1) => \axaddr_wrap[7]_i_5__0_n_0\, S(0) => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[8]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[8]\, R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[9]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[9]\, R => '0' ); \axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \axlen_cnt[0]_i_1__2_n_0\ ); \axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(16), O => \axlen_cnt[1]_i_1__2_n_0\ ); \axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(17), O => \axlen_cnt[2]_i_1__2_n_0\ ); \axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), O => \axlen_cnt[3]_i_1__2_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[0]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[1]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[0]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(0), O => m_axi_araddr(0) ); \m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[10]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(5), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(10), O => m_axi_araddr(10) ); \m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[11]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(6), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(11), O => m_axi_araddr(11) ); \m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[1]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(1), O => m_axi_araddr(1) ); \m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[2]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(2), O => m_axi_araddr(2) ); \m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[3]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(3), O => m_axi_araddr(3) ); \m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[4]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(4), O => m_axi_araddr(4) ); \m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[5]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(5), O => m_axi_araddr(5) ); \m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(6), I1 => \^sel_first_reg_0\, I2 => \axaddr_wrap_reg_n_0_[6]\, I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_2, O => m_axi_araddr(6) ); \m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[7]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(7), O => m_axi_araddr(7) ); \m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[8]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(8), O => m_axi_araddr(8) ); \m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[9]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(4), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(9), O => m_axi_araddr(9) ); \next_pending_r_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FEAAFEAE" ) port map ( I0 => \m_payload_i_reg[47]_0\, I1 => next_pending_r_reg_n_0, I2 => \state_reg[1]_rep\, I3 => \next_pending_r_i_2__2_n_0\, I4 => E(0), O => wrap_next_pending ); \next_pending_r_i_2__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBFBFBFBFBFB00" ) port map ( I0 => \state_reg[1]\(0), I1 => si_rs_arvalid, I2 => \state_reg[1]\(1), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \next_pending_r_i_2__2_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_next_pending, Q => next_pending_r_reg_n_0, R => '0' ); \s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(14), I2 => sel_first_i, I3 => incr_next_pending, O => s_axburst_eq0_reg ); \s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(14), I2 => sel_first_i, I3 => incr_next_pending, O => s_axburst_eq1_reg ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\, R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(10), Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\, R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(11), Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\, R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\, R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\, R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\, R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\, R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\, R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\, R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(7), Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\, R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(8), Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\, R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(9), Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\, R => '0' ); \wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"313D020E" ) port map ( I0 => \^wrap_second_len_r_reg[3]_0\(0), I1 => E(0), I2 => \axaddr_offset_r_reg[3]_1\, I3 => \m_payload_i_reg[35]\, I4 => \^wrap_second_len_r_reg[3]_0\(1), O => \wrap_cnt_r[1]_i_1__0_n_0\ ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => \wrap_cnt_r_reg_n_0_[0]\, R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_cnt_r[1]_i_1__0_n_0\, Q => \wrap_cnt_r_reg_n_0_[1]\, R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => \wrap_cnt_r_reg_n_0_[2]\, R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => \wrap_cnt_r_reg_n_0_[3]\, R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \^wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \^wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \^wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \^wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice is port ( s_axi_arready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 47 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[1]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]_0\ : in STD_LOGIC; \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]_rep\ : in STD_LOGIC; \axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; sel_first_0 : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice is signal \^q\ : STD_LOGIC_VECTOR ( 47 downto 0 ); signal \axaddr_incr[0]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3__0_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[48]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[49]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3__0\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__0\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair13"; begin Q(47 downto 0) <= \^q\(47 downto 0); \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; s_axi_arready <= \^s_axi_arready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \aresetn_d_reg[0]_0\, Q => \^m_valid_i_reg_0\, R => '0' ); \axaddr_incr[0]_i_10__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(0), I3 => sel_first_0, I4 => \axaddr_incr_reg[0]_i_11__0_n_7\, O => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr[0]_i_12__0\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12__0_n_0\ ); \axaddr_incr[0]_i_13__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13__0_n_0\ ); \axaddr_incr[0]_i_14__0\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14__0_n_0\ ); \axaddr_incr[0]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_0, O => \axaddr_incr[0]_i_3__0_n_0\ ); \axaddr_incr[0]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_0, O => \axaddr_incr[0]_i_4__0_n_0\ ); \axaddr_incr[0]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first_0, O => \axaddr_incr[0]_i_5__0_n_0\ ); \axaddr_incr[0]_i_6__0\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_0, O => \axaddr_incr[0]_i_6__0_n_0\ ); \axaddr_incr[0]_i_7__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(3), I3 => sel_first_0, I4 => \axaddr_incr_reg[0]_i_11__0_n_4\, O => \axaddr_incr[0]_i_7__0_n_0\ ); \axaddr_incr[0]_i_8__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(2), I3 => sel_first_0, I4 => \axaddr_incr_reg[0]_i_11__0_n_5\, O => \axaddr_incr[0]_i_8__0_n_0\ ); \axaddr_incr[0]_i_9__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => \axaddr_incr_reg[3]_0\(1), I3 => sel_first_0, I4 => \axaddr_incr_reg[0]_i_11__0_n_6\, O => \axaddr_incr[0]_i_9__0_n_0\ ); \axaddr_incr[4]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr[4]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7__0_n_0\ ); \axaddr_incr[4]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8__0_n_0\ ); \axaddr_incr[4]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9__0_n_0\ ); \axaddr_incr[8]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_incr[8]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7__0_n_0\ ); \axaddr_incr[8]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8__0_n_0\ ); \axaddr_incr[8]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9__0_n_0\ ); \axaddr_incr_reg[0]_i_11__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11__0_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12__0_n_0\, DI(1) => \axaddr_incr[0]_i_13__0_n_0\, DI(0) => \axaddr_incr[0]_i_14__0_n_0\, O(3) => \axaddr_incr_reg[0]_i_11__0_n_4\, O(2) => \axaddr_incr_reg[0]_i_11__0_n_5\, O(1) => \axaddr_incr_reg[0]_i_11__0_n_6\, O(0) => \axaddr_incr_reg[0]_i_11__0_n_7\, S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0) ); \axaddr_incr_reg[0]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[7]_0\(0), CO(2) => \axaddr_incr_reg[0]_i_2__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3__0_n_0\, DI(2) => \axaddr_incr[0]_i_4__0_n_0\, DI(1) => \axaddr_incr[0]_i_5__0_n_0\, DI(0) => \axaddr_incr[0]_i_6__0_n_0\, O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), S(3) => \axaddr_incr[0]_i_7__0_n_0\, S(2) => \axaddr_incr[0]_i_8__0_n_0\, S(1) => \axaddr_incr[0]_i_9__0_n_0\, S(0) => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr_reg[4]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7__0_n_0\, S(2) => \axaddr_incr[4]_i_8__0_n_0\, S(1) => \axaddr_incr[4]_i_9__0_n_0\, S(0) => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr_reg[8]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[8]_i_7__0_n_0\, S(2) => \axaddr_incr[8]_i_8__0_n_0\, S(1) => \axaddr_incr[8]_i_9__0_n_0\, S(0) => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, O => \axaddr_offset_r_reg[2]\(0) ); \axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"4F7F00004F7FFFFF" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \^q\(35), I2 => \^q\(40), I3 => \axaddr_offset_r[1]_i_3__0_n_0\, I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[2]_0\(0), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_3__0_n_0\ ); \axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"C808FFFFC8080000" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \^q\(41), I2 => \^q\(35), I3 => \axaddr_offset_r[2]_i_3__0_n_0\, I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[2]_0\(1), O => \axaddr_offset_r_reg[2]\(1) ); \axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_3__0_n_0\ ); \axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[0]_rep\, I2 => \^s_ready_i_reg_0\, I3 => \state_reg[1]_rep_0\, O => \^axlen_cnt_reg[3]\ ); \m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first_0, O => \m_axi_araddr[10]\ ); \m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__0_n_0\ ); \m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(10), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__0_n_0\ ); \m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(11), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__0_n_0\ ); \m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(12), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__0_n_0\ ); \m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(13), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__0_n_0\ ); \m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(14), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__0_n_0\ ); \m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(15), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__0_n_0\ ); \m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(16), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__0_n_0\ ); \m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(17), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__0_n_0\ ); \m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(18), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__0_n_0\ ); \m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(19), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__0_n_0\ ); \m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__0_n_0\ ); \m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(20), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__0_n_0\ ); \m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(21), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__0_n_0\ ); \m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(22), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__0_n_0\ ); \m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(23), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__0_n_0\ ); \m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(24), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__0_n_0\ ); \m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(25), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__0_n_0\ ); \m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(26), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__0_n_0\ ); \m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(27), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__0_n_0\ ); \m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(28), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__0_n_0\ ); \m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(29), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__0_n_0\ ); \m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__0_n_0\ ); \m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(30), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__0_n_0\ ); \m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(31), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_2__0_n_0\ ); \m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__0_n_0\ ); \m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__0_n_0\ ); \m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__0_n_0\ ); \m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_1__1_n_0\ ); \m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[36]\, O => \m_payload_i[36]_i_1__0_n_0\ ); \m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[38]\, O => \m_payload_i[38]_i_1__0_n_0\ ); \m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[39]\, O => \m_payload_i[39]_i_1__0_n_0\ ); \m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__0_n_0\ ); \m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[44]\, O => \m_payload_i[44]_i_1__0_n_0\ ); \m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[45]\, O => \m_payload_i[45]_i_1__0_n_0\ ); \m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[46]\, O => \m_payload_i[46]_i_1__0_n_0\ ); \m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[47]\, O => \m_payload_i[47]_i_1__0_n_0\ ); \m_payload_i[48]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[48]\, O => \m_payload_i[48]_i_1__0_n_0\ ); \m_payload_i[49]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[49]\, O => \m_payload_i[49]_i_1__0_n_0\ ); \m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__0_n_0\ ); \m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[50]\, O => \m_payload_i[50]_i_1__0_n_0\ ); \m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[51]\, O => \m_payload_i[51]_i_1__0_n_0\ ); \m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[53]\, O => \m_payload_i[53]_i_1__0_n_0\ ); \m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__0_n_0\ ); \m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__0_n_0\ ); \m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__0_n_0\ ); \m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__0_n_0\ ); \m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(9), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__0_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[0]_i_1__0_n_0\, Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[10]_i_1__0_n_0\, Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[11]_i_1__0_n_0\, Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[12]_i_1__0_n_0\, Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[13]_i_1__0_n_0\, Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[14]_i_1__0_n_0\, Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[15]_i_1__0_n_0\, Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[16]_i_1__0_n_0\, Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[17]_i_1__0_n_0\, Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[18]_i_1__0_n_0\, Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[19]_i_1__0_n_0\, Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[1]_i_1__0_n_0\, Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[20]_i_1__0_n_0\, Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[21]_i_1__0_n_0\, Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[22]_i_1__0_n_0\, Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[23]_i_1__0_n_0\, Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[24]_i_1__0_n_0\, Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[25]_i_1__0_n_0\, Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[26]_i_1__0_n_0\, Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[27]_i_1__0_n_0\, Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[28]_i_1__0_n_0\, Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[29]_i_1__0_n_0\, Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[2]_i_1__0_n_0\, Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[30]_i_1__0_n_0\, Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[31]_i_2__0_n_0\, Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[32]_i_1__0_n_0\, Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[33]_i_1__0_n_0\, Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[34]_i_1__0_n_0\, Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[35]_i_1__1_n_0\, Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[36]_i_1__0_n_0\, Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[38]_i_1__0_n_0\, Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[39]_i_1__0_n_0\, Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[3]_i_1__0_n_0\, Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[44]_i_1__0_n_0\, Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[45]_i_1__0_n_0\, Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[46]_i_1__0_n_0\, Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[47]_i_1__0_n_0\, Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[48]_i_1__0_n_0\, Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[49]_i_1__0_n_0\, Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[4]_i_1__0_n_0\, Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[50]_i_1__0_n_0\, Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[51]_i_1__0_n_0\, Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[53]_i_1__0_n_0\, Q => \^q\(47), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[5]_i_1__0_n_0\, Q => \^q\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[6]_i_1__0_n_0\, Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[7]_i_1__0_n_0\, Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[8]_i_1__0_n_0\, Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[9]_i_1__0_n_0\, Q => \^q\(9), R => '0' ); \m_valid_i_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"BFFFBBBB" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_ready_i_reg_0\, R => \^m_valid_i_reg_0\ ); \next_pending_r_i_2__1\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \^q\(43), I1 => \^q\(45), I2 => \^q\(44), I3 => \^q\(46), O => next_pending_r_reg_0 ); \next_pending_r_i_3__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA8" ) port map ( I0 => \state_reg[1]_rep\, I1 => \^q\(42), I2 => \^q\(40), I3 => \^q\(39), I4 => \^q\(41), O => next_pending_r_reg ); \s_ready_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F444FFFF" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_arready\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"8888028AAAAA028A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(41), I3 => \^q\(40), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"002A222A882AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(41), I5 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_3__0_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r_reg[3]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice_0 is port ( s_axi_awready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 47 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \m_axi_awaddr[10]\ : out STD_LOGIC; \aresetn_d_reg[1]_inv\ : out STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[1]_inv_0\ : in STD_LOGIC; aresetn : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]\ : in STD_LOGIC; \axaddr_offset_r_reg[2]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; b_push : in STD_LOGIC; sel_first : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice_0 : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice_0; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice_0 is signal C : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 47 downto 0 ); signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_incr[0]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_3\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_3_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 53 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair39"; begin Q(47 downto 0) <= \^q\(47 downto 0); \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_awready <= \^s_axi_awready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, I1 => aresetn, O => \aresetn_d_reg[1]_inv\ ); \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => \aresetn_d_reg_n_0_[0]\, R => '0' ); \axaddr_incr[0]_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(0), I3 => sel_first, I4 => C(0), O => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr[0]_i_12\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12_n_0\ ); \axaddr_incr[0]_i_13\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13_n_0\ ); \axaddr_incr[0]_i_14\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14_n_0\ ); \axaddr_incr[0]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_3_n_0\ ); \axaddr_incr[0]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_4_n_0\ ); \axaddr_incr[0]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first, O => \axaddr_incr[0]_i_5_n_0\ ); \axaddr_incr[0]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_6_n_0\ ); \axaddr_incr[0]_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(3), I3 => sel_first, I4 => C(3), O => \axaddr_incr[0]_i_7_n_0\ ); \axaddr_incr[0]_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(2), I3 => sel_first, I4 => C(2), O => \axaddr_incr[0]_i_8_n_0\ ); \axaddr_incr[0]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => axaddr_incr_reg(1), I3 => sel_first, I4 => C(1), O => \axaddr_incr[0]_i_9_n_0\ ); \axaddr_incr[4]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr[4]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7_n_0\ ); \axaddr_incr[4]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8_n_0\ ); \axaddr_incr[4]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9_n_0\ ); \axaddr_incr[8]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_incr[8]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7_n_0\ ); \axaddr_incr[8]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8_n_0\ ); \axaddr_incr[8]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9_n_0\ ); \axaddr_incr_reg[0]_i_11\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12_n_0\, DI(1) => \axaddr_incr[0]_i_13_n_0\, DI(0) => \axaddr_incr[0]_i_14_n_0\, O(3 downto 0) => C(3 downto 0), S(3 downto 0) => S(3 downto 0) ); \axaddr_incr_reg[0]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => CO(0), CO(2) => \axaddr_incr_reg[0]_i_2_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3_n_0\, DI(2) => \axaddr_incr[0]_i_4_n_0\, DI(1) => \axaddr_incr[0]_i_5_n_0\, DI(0) => \axaddr_incr[0]_i_6_n_0\, O(3 downto 0) => O(3 downto 0), S(3) => \axaddr_incr[0]_i_7_n_0\, S(2) => \axaddr_incr[0]_i_8_n_0\, S(1) => \axaddr_incr[0]_i_9_n_0\, S(0) => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr_reg[4]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7_n_0\, S(2) => \axaddr_incr[4]_i_8_n_0\, S(1) => \axaddr_incr[4]_i_9_n_0\, S(0) => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr_reg[8]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(7 downto 4), S(3) => \axaddr_incr[8]_i_7_n_0\, S(2) => \axaddr_incr[8]_i_8_n_0\, S(1) => \axaddr_incr[8]_i_9_n_0\, S(0) => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, O => D(0) ); \axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"4F7F00004F7FFFFF" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \^q\(35), I2 => \^q\(40), I3 => \axaddr_offset_r[1]_i_3_n_0\, I4 => \state_reg[1]\, I5 => \axaddr_offset_r_reg[2]\(0), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_3_n_0\ ); \axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"C808FFFFC8080000" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \^q\(41), I2 => \^q\(35), I3 => \axaddr_offset_r[2]_i_3_n_0\, I4 => \state_reg[1]\, I5 => \axaddr_offset_r_reg[2]\(1), O => D(1) ); \axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_2_n_0\ ); \axaddr_offset_r[2]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_3_n_0\ ); \axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[1]_0\(0), I2 => \^m_valid_i_reg_0\, I3 => \state_reg[1]_0\(1), O => \^axlen_cnt_reg[3]\ ); \m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first, O => \m_axi_awaddr[10]\ ); \m_payload_i[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(10), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(11), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(12), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(13), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(14), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(15), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(16), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(17), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(18), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(19), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(20), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(21), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(22), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(23), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(24), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(25), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(26), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(27), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(28), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(29), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(30), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(31), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[38]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[44]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[47]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[47]\, O => skid_buffer(47) ); \m_payload_i[48]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[48]\, O => skid_buffer(48) ); \m_payload_i[49]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[49]\, O => skid_buffer(49) ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[50]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[50]\, O => skid_buffer(50) ); \m_payload_i[51]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[51]\, O => skid_buffer(51) ); \m_payload_i[53]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[53]\, O => skid_buffer(53) ); \m_payload_i[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(9), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(0), Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(10), Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(11), Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(12), Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(13), Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(14), Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(15), Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(16), Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(17), Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(18), Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(19), Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(1), Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(20), Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(21), Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(22), Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(23), Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(24), Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(25), Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(26), Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(27), Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(28), Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(29), Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(2), Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(30), Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(31), Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(32), Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(33), Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(34), Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(35), Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(36), Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(38), Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(39), Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(3), Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(44), Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(45), Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(46), Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(47), Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(48), Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(49), Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(4), Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(50), Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(51), Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(53), Q => \^q\(47), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(5), Q => \^q\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(6), Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(7), Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(8), Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(9), Q => \^q\(9), R => '0' ); m_valid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => b_push, I1 => \^m_valid_i_reg_0\, I2 => s_axi_awvalid, I3 => \^s_axi_awready\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]_inv_0\ ); next_pending_r_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^next_pending_r_reg_0\, I1 => \^q\(43), I2 => \^q\(44), I3 => \^q\(46), I4 => \^q\(45), O => next_pending_r_reg ); \next_pending_r_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(41), I1 => \^q\(39), I2 => \^q\(40), I3 => \^q\(42), O => \^next_pending_r_reg_0\ ); \s_ready_i_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, O => \^s_ready_i_reg_0\ ); s_ready_i_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => s_axi_awvalid, I1 => \^s_axi_awready\, I2 => b_push, I3 => \^m_valid_i_reg_0\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_awready\, R => \^s_ready_i_reg_0\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8888028AAAAA028A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(41), I3 => \^q\(40), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"002A222A882AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(41), I5 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_3_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r_reg[3]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is signal \m_payload_i[0]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^s_axi_bid\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_bresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_bvalid\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \skid_buffer[1]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \skid_buffer[2]_i_1\ : label is "soft_lutpair65"; begin m_valid_i_reg_0 <= \^m_valid_i_reg_0\; s_axi_bid(0) <= \^s_axi_bid\(0); s_axi_bresp(1 downto 0) <= \^s_axi_bresp\(1 downto 0); s_axi_bvalid <= \^s_axi_bvalid\; \m_payload_i[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8FFB8B8B800B8B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(0), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[0]\, I3 => s_axi_bready, I4 => \^s_axi_bvalid\, I5 => \^s_axi_bresp\(0), O => \m_payload_i[0]_i_1_n_0\ ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8FFB8B8B800B8B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(1), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[1]\, I3 => s_axi_bready, I4 => \^s_axi_bvalid\, I5 => \^s_axi_bresp\(1), O => \m_payload_i[1]_i_1_n_0\ ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8FFB8B8B800B8B8" ) port map ( I0 => \out\(0), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[2]\, I3 => s_axi_bready, I4 => \^s_axi_bvalid\, I5 => \^s_axi_bid\(0), O => \m_payload_i[2]_i_1_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i[0]_i_1_n_0\, Q => \^s_axi_bresp\(0), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i[1]_i_1_n_0\, Q => \^s_axi_bresp\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i[2]_i_1_n_0\, Q => \^s_axi_bid\(0), R => '0' ); \m_valid_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => si_rs_bvalid, I3 => \^m_valid_i_reg_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_axi_bvalid\, R => \aresetn_d_reg[1]_inv\ ); s_ready_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => si_rs_bvalid, I1 => \^m_valid_i_reg_0\, I2 => s_axi_bready, I3 => \^s_axi_bvalid\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(0), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \skid_buffer[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(1), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \skid_buffer[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(0), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => skid_buffer(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => skid_buffer(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => skid_buffer(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is port ( s_axi_rvalid : out STD_LOGIC; \skid_buffer_reg[0]_0\ : out STD_LOGIC; \cnt_read_reg[0]\ : out STD_LOGIC; UNCONN_OUT : out STD_LOGIC_VECTOR ( 35 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; \cnt_read_reg[4]\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; r_push_r_reg : in STD_LOGIC_VECTOR ( 1 downto 0 ); \cnt_read_reg[4]_0\ : in STD_LOGIC_VECTOR ( 33 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC; signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC; signal \^skid_buffer_reg[0]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[3]_i_2\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_2\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_valid_i_i_1__2\ : label is "soft_lutpair66"; begin s_axi_rvalid <= \^s_axi_rvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; \cnt_read[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^skid_buffer_reg[0]_0\, I1 => \cnt_read_reg[4]\, O => \cnt_read_reg[0]\ ); \m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__1_n_0\ ); \m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__1_n_0\ ); \m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__1_n_0\ ); \m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(12), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__1_n_0\ ); \m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(13), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__1_n_0\ ); \m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(14), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__1_n_0\ ); \m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(15), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__1_n_0\ ); \m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(16), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__1_n_0\ ); \m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(17), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__1_n_0\ ); \m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(18), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__1_n_0\ ); \m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(19), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__1_n_0\ ); \m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__1_n_0\ ); \m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(20), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__1_n_0\ ); \m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(21), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__1_n_0\ ); \m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(22), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__1_n_0\ ); \m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(23), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__1_n_0\ ); \m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(24), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__1_n_0\ ); \m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(25), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__1_n_0\ ); \m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(26), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__1_n_0\ ); \m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(27), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__1_n_0\ ); \m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(28), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__1_n_0\ ); \m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(29), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__1_n_0\ ); \m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__1_n_0\ ); \m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(30), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__1_n_0\ ); \m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(31), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_1__1_n_0\ ); \m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(32), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__1_n_0\ ); \m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(33), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__1_n_0\ ); \m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__1_n_0\ ); \m_payload_i[35]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, O => p_1_in ); \m_payload_i[35]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_2_n_0\ ); \m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__1_n_0\ ); \m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__1_n_0\ ); \m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__1_n_0\ ); \m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__1_n_0\ ); \m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__1_n_0\ ); \m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__1_n_0\ ); \m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__1_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[0]_i_1__1_n_0\, Q => UNCONN_OUT(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[10]_i_1__1_n_0\, Q => UNCONN_OUT(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[11]_i_1__1_n_0\, Q => UNCONN_OUT(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[12]_i_1__1_n_0\, Q => UNCONN_OUT(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[13]_i_1__1_n_0\, Q => UNCONN_OUT(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[14]_i_1__1_n_0\, Q => UNCONN_OUT(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[15]_i_1__1_n_0\, Q => UNCONN_OUT(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[16]_i_1__1_n_0\, Q => UNCONN_OUT(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[17]_i_1__1_n_0\, Q => UNCONN_OUT(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[18]_i_1__1_n_0\, Q => UNCONN_OUT(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[19]_i_1__1_n_0\, Q => UNCONN_OUT(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[1]_i_1__1_n_0\, Q => UNCONN_OUT(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[20]_i_1__1_n_0\, Q => UNCONN_OUT(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[21]_i_1__1_n_0\, Q => UNCONN_OUT(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[22]_i_1__1_n_0\, Q => UNCONN_OUT(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[23]_i_1__1_n_0\, Q => UNCONN_OUT(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[24]_i_1__1_n_0\, Q => UNCONN_OUT(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[25]_i_1__1_n_0\, Q => UNCONN_OUT(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[26]_i_1__1_n_0\, Q => UNCONN_OUT(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[27]_i_1__1_n_0\, Q => UNCONN_OUT(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[28]_i_1__1_n_0\, Q => UNCONN_OUT(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[29]_i_1__1_n_0\, Q => UNCONN_OUT(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[2]_i_1__1_n_0\, Q => UNCONN_OUT(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[30]_i_1__1_n_0\, Q => UNCONN_OUT(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[31]_i_1__1_n_0\, Q => UNCONN_OUT(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[32]_i_1__1_n_0\, Q => UNCONN_OUT(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[33]_i_1__1_n_0\, Q => UNCONN_OUT(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[34]_i_1__1_n_0\, Q => UNCONN_OUT(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[35]_i_2_n_0\, Q => UNCONN_OUT(35), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[3]_i_1__1_n_0\, Q => UNCONN_OUT(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[4]_i_1__1_n_0\, Q => UNCONN_OUT(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[5]_i_1__1_n_0\, Q => UNCONN_OUT(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[6]_i_1__1_n_0\, Q => UNCONN_OUT(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[7]_i_1__1_n_0\, Q => UNCONN_OUT(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[8]_i_1__1_n_0\, Q => UNCONN_OUT(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[9]_i_1__1_n_0\, Q => UNCONN_OUT(9), R => '0' ); \m_valid_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"4FFF" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => \cnt_read_reg[4]\, I3 => \^skid_buffer_reg[0]_0\, O => \m_valid_i_i_1__2_n_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__2_n_0\, Q => \^s_axi_rvalid\, R => \aresetn_d_reg[1]_inv\ ); \s_ready_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F8FF" ) port map ( I0 => \cnt_read_reg[4]\, I1 => \^skid_buffer_reg[0]_0\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \s_ready_i_i_1__2_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__2_n_0\, Q => \^skid_buffer_reg[0]_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(32), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(33), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(1), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_b_channel is port ( si_rs_bvalid : out STD_LOGIC; \cnt_read_reg[0]_rep\ : out STD_LOGIC; \cnt_read_reg[1]_rep__0\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); areset_d1 : in STD_LOGIC; aclk : in STD_LOGIC; b_push : in STD_LOGIC; si_rs_bready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 8 downto 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_b_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_b_channel is signal bid_fifo_0_n_4 : STD_LOGIC; signal bid_fifo_0_n_5 : STD_LOGIC; signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal bresp_push : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal mhandshake : STD_LOGIC; signal mhandshake_r : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s_bresp_acc : STD_LOGIC; signal s_bresp_acc0 : STD_LOGIC; signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC; signal shandshake : STD_LOGIC; signal shandshake_r : STD_LOGIC; signal \^si_rs_bvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair95"; begin si_rs_bvalid <= \^si_rs_bvalid\; bid_fifo_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo port map ( D(0) => bid_fifo_0_n_4, Q(1 downto 0) => cnt_read(1 downto 0), SR(0) => s_bresp_acc0, aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0), bresp_push => bresp_push, bvalid_i_reg => bid_fifo_0_n_5, bvalid_i_reg_0 => \^si_rs_bvalid\, \cnt_read_reg[0]_rep_0\ => \cnt_read_reg[0]_rep\, \cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\, \in\(8 downto 0) => \in\(8 downto 0), mhandshake_r => mhandshake_r, \out\(0) => \out\(0), shandshake_r => shandshake_r, si_rs_bready => si_rs_bready ); \bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \bresp_cnt_reg__0\(0), O => p_0_in(0) ); \bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(1), I1 => \bresp_cnt_reg__0\(0), O => p_0_in(1) ); \bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(2), I1 => \bresp_cnt_reg__0\(0), I2 => \bresp_cnt_reg__0\(1), O => p_0_in(2) ); \bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \bresp_cnt_reg__0\(3), I1 => \bresp_cnt_reg__0\(1), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(2), O => p_0_in(3) ); \bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(4), I1 => \bresp_cnt_reg__0\(2), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(1), I4 => \bresp_cnt_reg__0\(3), O => p_0_in(4) ); \bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => p_0_in(5) ); \bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(6), I1 => \bresp_cnt[7]_i_3_n_0\, O => p_0_in(6) ); \bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(7), I1 => \bresp_cnt[7]_i_3_n_0\, I2 => \bresp_cnt_reg__0\(6), O => p_0_in(7) ); \bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => \bresp_cnt[7]_i_3_n_0\ ); \bresp_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(0), Q => \bresp_cnt_reg__0\(0), R => s_bresp_acc0 ); \bresp_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(1), Q => \bresp_cnt_reg__0\(1), R => s_bresp_acc0 ); \bresp_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(2), Q => \bresp_cnt_reg__0\(2), R => s_bresp_acc0 ); \bresp_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(3), Q => \bresp_cnt_reg__0\(3), R => s_bresp_acc0 ); \bresp_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(4), Q => \bresp_cnt_reg__0\(4), R => s_bresp_acc0 ); \bresp_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(5), Q => \bresp_cnt_reg__0\(5), R => s_bresp_acc0 ); \bresp_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(6), Q => \bresp_cnt_reg__0\(6), R => s_bresp_acc0 ); \bresp_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(7), Q => \bresp_cnt_reg__0\(7), R => s_bresp_acc0 ); bresp_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ port map ( D(0) => bid_fifo_0_n_4, Q(1 downto 0) => cnt_read(1 downto 0), aclk => aclk, areset_d1 => areset_d1, bresp_push => bresp_push, \in\(1) => \s_bresp_acc_reg_n_0_[1]\, \in\(0) => \s_bresp_acc_reg_n_0_[0]\, m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, mhandshake => mhandshake, mhandshake_r => mhandshake_r, s_bresp_acc => s_bresp_acc, shandshake_r => shandshake_r, \skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0) ); bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => bid_fifo_0_n_5, Q => \^si_rs_bvalid\, R => '0' ); mhandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => mhandshake, Q => mhandshake_r, R => areset_d1 ); \s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000000E2" ) port map ( I0 => \s_bresp_acc_reg_n_0_[0]\, I1 => s_bresp_acc, I2 => m_axi_bresp(0), I3 => bresp_push, I4 => areset_d1, O => \s_bresp_acc[0]_i_1_n_0\ ); \s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000000E2" ) port map ( I0 => \s_bresp_acc_reg_n_0_[1]\, I1 => s_bresp_acc, I2 => m_axi_bresp(1), I3 => bresp_push, I4 => areset_d1, O => \s_bresp_acc[1]_i_1_n_0\ ); \s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[0]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[0]\, R => '0' ); \s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[1]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[1]\, R => '0' ); shandshake_r_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^si_rs_bvalid\, I1 => si_rs_bready, O => shandshake ); shandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => shandshake, Q => shandshake_r, R => areset_d1 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator is port ( next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \sel_first__0\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axlen_cnt_reg[3]_0\ : out STD_LOGIC; \state_reg[1]\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; wrap_next_pending : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 23 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ); \next\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; \m_payload_i_reg[35]\ : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_16 : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd port map ( CO(0) => CO(0), D(0) => D(0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(0) => \axlen_cnt_reg[3]\(0), S(3 downto 0) => S(3 downto 0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), \axlen_cnt_reg[3]_0\ => \axlen_cnt_reg[3]_0\, incr_next_pending => incr_next_pending, \m_axi_awaddr[1]\ => incr_cmd_0_n_16, \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(12 downto 9) => Q(23 downto 20), \m_payload_i_reg[51]\(8 downto 7) => Q(18 downto 17), \m_payload_i_reg[51]\(6 downto 4) => Q(14 downto 12), \m_payload_i_reg[51]\(3 downto 0) => Q(3 downto 0), \next\ => \next\, next_pending_r_reg_0 => next_pending_r_reg, sel_first_reg_0 => sel_first_reg_1, \state_reg[0]\(0) => \state_reg[0]\(0) ); \memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => Q(15), I2 => s_axburst_eq0, O => \state_reg[1]\ ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]\, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]_0\, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); wrap_cmd_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd port map ( E(0) => E(0), Q(18 downto 14) => Q(19 downto 15), Q(13 downto 0) => Q(13 downto 0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[3]\(2 downto 1) => \^axaddr_incr_reg[3]\(3 downto 2), \axaddr_incr_reg[3]\(0) => \^axaddr_incr_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\, \axaddr_offset_r_reg[3]_2\(3 downto 0) => \axaddr_offset_r_reg[3]_1\(3 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), \next\ => \next\, next_pending_r_reg_0 => next_pending_r_reg_0, next_pending_r_reg_1 => next_pending_r_reg_1, sel_first_reg_0 => \sel_first__0\, sel_first_reg_1 => sel_first_reg_2, sel_first_reg_2 => incr_cmd_0_n_16, \state_reg[0]\(0) => \state_reg[0]\(0), wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0), \wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is port ( sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; sel_first_reg_1 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; r_rlast : out STD_LOGIC; \state_reg[0]_rep\ : out STD_LOGIC; \axlen_cnt_reg[5]\ : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_i : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_3 : in STD_LOGIC; sel_first_reg_4 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 21 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_arvalid : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]_0\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \m_payload_i_reg[48]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; \m_payload_i_reg[35]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_13_b2s_cmd_translator"; end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_20 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; signal wrap_cmd_0_n_1 : STD_LOGIC; signal wrap_cmd_0_n_2 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair5"; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 port map ( CO(0) => CO(0), D(3 downto 0) => D(3 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(3 downto 0) => Q(3 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]_0\(6 downto 2) => axaddr_incr_reg(11 downto 7), \axaddr_incr_reg[11]_0\(1 downto 0) => axaddr_incr_reg(5 downto 4), \axaddr_incr_reg[11]_1\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), \axlen_cnt_reg[5]_0\ => \axlen_cnt_reg[5]\, \axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]\, incr_next_pending => incr_next_pending, \m_axi_araddr[6]\ => incr_cmd_0_n_20, m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\, \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, \m_payload_i_reg[51]\(10 downto 9) => \m_payload_i_reg[51]\(21 downto 20), \m_payload_i_reg[51]\(8) => \m_payload_i_reg[51]\(18), \m_payload_i_reg[51]\(7 downto 5) => \m_payload_i_reg[51]\(14 downto 12), \m_payload_i_reg[51]\(4) => \m_payload_i_reg[51]\(6), \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, sel_first_reg_0 => sel_first_reg_2, sel_first_reg_1 => sel_first_reg_3, \state_reg[0]\ => \state_reg[0]\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\ ); r_rlast_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"1D" ) port map ( I0 => s_axburst_eq0, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq1, O => r_rlast ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_cmd_0_n_1, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_cmd_0_n_2, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); \state[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq0, O => \state_reg[0]_rep\ ); wrap_cmd_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 port map ( E(0) => E(0), aclk => aclk, \axaddr_incr_reg[11]\(6 downto 2) => axaddr_incr_reg(11 downto 7), \axaddr_incr_reg[11]\(1 downto 0) => axaddr_incr_reg(5 downto 4), \axaddr_incr_reg[3]\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\, \axaddr_offset_r_reg[3]_2\(3 downto 0) => \axaddr_offset_r_reg[3]_1\(3 downto 0), incr_next_pending => incr_next_pending, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[51]\(19 downto 15), \m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[51]\(13 downto 0), \m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\, \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), s_axburst_eq0_reg => wrap_cmd_0_n_1, s_axburst_eq1_reg => wrap_cmd_0_n_2, sel_first_i => sel_first_i, sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_4, sel_first_reg_2 => incr_cmd_0_n_20, si_rs_arvalid => si_rs_arvalid, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), \wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_r_channel is port ( m_valid_i_reg : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); \skid_buffer_reg[35]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; aclk : in STD_LOGIC; r_rlast : in STD_LOGIC; s_arid_r : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; si_rs_rready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); areset_d1 : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_r_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_r_channel is signal \^m_valid_i_reg\ : STD_LOGIC; signal r_push_r : STD_LOGIC; signal rd_data_fifo_0_n_0 : STD_LOGIC; signal rd_data_fifo_0_n_2 : STD_LOGIC; signal rd_data_fifo_0_n_3 : STD_LOGIC; signal rd_data_fifo_0_n_5 : STD_LOGIC; signal trans_in : STD_LOGIC_VECTOR ( 1 downto 0 ); signal transaction_fifo_0_n_2 : STD_LOGIC; signal wr_en0 : STD_LOGIC; begin m_valid_i_reg <= \^m_valid_i_reg\; \r_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => s_arid_r, Q => trans_in(1), R => '0' ); r_push_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \state_reg[1]_rep_0\, Q => r_push_r, R => '0' ); r_rlast_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => r_rlast, Q => trans_in(0), R => '0' ); rd_data_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[3]_rep__2_0\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_0\ => \^m_valid_i_reg\, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_3, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\(33 downto 0) => \out\(33 downto 0), s_ready_i_reg => s_ready_i_reg, s_ready_i_reg_0 => transaction_fifo_0_n_2, si_rs_rready => si_rs_rready, \state_reg[1]_rep\ => rd_data_fifo_0_n_5, wr_en0 => wr_en0 ); transaction_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[0]_rep__2\ => rd_data_fifo_0_n_5, \cnt_read_reg[0]_rep__2_0\ => rd_data_fifo_0_n_3, \cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_rep__2\ => transaction_fifo_0_n_2, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \in\(1 downto 0) => trans_in(1 downto 0), m_valid_i_reg => \^m_valid_i_reg\, r_push_r => r_push_r, s_ready_i_reg => s_ready_i_reg, si_rs_rready => si_rs_rready, \skid_buffer_reg[35]\(1 downto 0) => \skid_buffer_reg[35]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, wr_en0 => wr_en0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axi_register_slice is port ( s_axi_awready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; si_rs_awvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; si_rs_bready : out STD_LOGIC; si_rs_arvalid : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; si_rs_rready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 47 downto 0 ); \s_arid_r_reg[0]\ : out STD_LOGIC_VECTOR ( 47 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[1]_0\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC; \axlen_cnt_reg[3]_0\ : out STD_LOGIC; next_pending_r_reg_2 : out STD_LOGIC; \cnt_read_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]_0\ : out STD_LOGIC; \m_axi_awaddr[10]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); UNCONN_OUT : out STD_LOGIC_VECTOR ( 35 downto 0 ); aclk : in STD_LOGIC; aresetn : in STD_LOGIC; \cnt_read_reg[4]\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]\ : in STD_LOGIC; \axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; b_push : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \axaddr_offset_r_reg[2]_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; sel_first : in STD_LOGIC; sel_first_0 : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); r_push_r_reg : in STD_LOGIC_VECTOR ( 1 downto 0 ); \cnt_read_reg[4]_0\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axi_register_slice; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axi_register_slice is signal ar_pipe_n_2 : STD_LOGIC; signal aw_pipe_n_1 : STD_LOGIC; signal aw_pipe_n_81 : STD_LOGIC; begin ar_pipe: entity work.zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice port map ( Q(47 downto 0) => \s_arid_r_reg[0]\(47 downto 0), aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[0]_0\ => aw_pipe_n_81, \axaddr_incr_reg[11]\(3 downto 0) => \axaddr_incr_reg[11]_0\(3 downto 0), \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_incr_reg[3]_0\(3 downto 0) => \axaddr_incr_reg[3]_0\(3 downto 0), \axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), \axaddr_incr_reg[7]_0\(0) => \axaddr_incr_reg[7]_0\(0), \axaddr_offset_r_reg[0]\ => \axaddr_offset_r_reg[0]_0\, \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]_0\, \axaddr_offset_r_reg[2]\(1 downto 0) => \axaddr_offset_r_reg[2]\(1 downto 0), \axaddr_offset_r_reg[2]_0\(1 downto 0) => \axaddr_offset_r_reg[2]_1\(1 downto 0), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\, \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\, \m_axi_araddr[10]\ => \m_axi_araddr[10]\, \m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), m_valid_i_reg_0 => ar_pipe_n_2, m_valid_i_reg_1(0) => m_valid_i_reg(0), next_pending_r_reg => next_pending_r_reg_1, next_pending_r_reg_0 => next_pending_r_reg_2, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(0) => s_axi_arid(0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_ready_i_reg_0 => si_rs_arvalid, sel_first_0 => sel_first_0, \state_reg[0]_rep\ => \state_reg[0]_rep\, \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0), \wrap_second_len_r_reg[3]\ => \wrap_second_len_r_reg[3]_0\ ); aw_pipe: entity work.zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice_0 port map ( CO(0) => CO(0), D(1 downto 0) => D(1 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(47 downto 0) => Q(47 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, aresetn => aresetn, \aresetn_d_reg[1]_inv\ => aw_pipe_n_81, \aresetn_d_reg[1]_inv_0\ => ar_pipe_n_2, axaddr_incr_reg(3 downto 0) => axaddr_incr_reg(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => \axaddr_incr_reg[11]\(7 downto 0), \axaddr_offset_r_reg[0]\ => \axaddr_offset_r_reg[0]\, \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]\, \axaddr_offset_r_reg[2]\(1 downto 0) => \axaddr_offset_r_reg[2]_0\(1 downto 0), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]\, \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\, b_push => b_push, \m_axi_awaddr[10]\ => \m_axi_awaddr[10]\, m_valid_i_reg_0 => si_rs_awvalid, next_pending_r_reg => next_pending_r_reg, next_pending_r_reg_0 => next_pending_r_reg_0, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(0) => s_axi_awid(0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, s_ready_i_reg_0 => aw_pipe_n_1, sel_first => sel_first, \state_reg[1]\ => \state_reg[1]\, \state_reg[1]_0\(1 downto 0) => \state_reg[1]_0\(1 downto 0), \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0), \wrap_second_len_r_reg[3]\ => \wrap_second_len_r_reg[3]\ ); b_pipe: entity work.\zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ port map ( aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, m_valid_i_reg_0 => si_rs_bready, \out\(0) => \out\(0), s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, \s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0), si_rs_bvalid => si_rs_bvalid ); r_pipe: entity work.\zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ port map ( UNCONN_OUT(35 downto 0) => UNCONN_OUT(35 downto 0), aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, \cnt_read_reg[0]\ => \cnt_read_reg[0]\, \cnt_read_reg[4]\ => \cnt_read_reg[4]\, \cnt_read_reg[4]_0\(33 downto 0) => \cnt_read_reg[4]_0\(33 downto 0), r_push_r_reg(1 downto 0) => r_push_r_reg(1 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \skid_buffer_reg[0]_0\ => si_rs_rready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_ar_channel is port ( s_arid_r : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; r_push_r_reg : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arvalid : out STD_LOGIC; r_rlast : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 24 downto 0 ); O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; m_axi_arready : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \cnt_read_reg[2]\ : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; \m_payload_i_reg[35]_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC; \m_payload_i_reg[47]_0\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[48]\ : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_ar_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_ar_channel is signal ar_cmd_fsm_0_n_0 : STD_LOGIC; signal ar_cmd_fsm_0_n_11 : STD_LOGIC; signal ar_cmd_fsm_0_n_12 : STD_LOGIC; signal ar_cmd_fsm_0_n_13 : STD_LOGIC; signal ar_cmd_fsm_0_n_14 : STD_LOGIC; signal ar_cmd_fsm_0_n_22 : STD_LOGIC; signal ar_cmd_fsm_0_n_23 : STD_LOGIC; signal ar_cmd_fsm_0_n_26 : STD_LOGIC; signal ar_cmd_fsm_0_n_27 : STD_LOGIC; signal ar_cmd_fsm_0_n_6 : STD_LOGIC; signal ar_cmd_fsm_0_n_7 : STD_LOGIC; signal ar_cmd_fsm_0_n_8 : STD_LOGIC; signal ar_cmd_fsm_0_n_9 : STD_LOGIC; signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_12 : STD_LOGIC; signal cmd_translator_0_n_14 : STD_LOGIC; signal cmd_translator_0_n_15 : STD_LOGIC; signal cmd_translator_0_n_6 : STD_LOGIC; signal cmd_translator_0_n_7 : STD_LOGIC; signal cmd_translator_0_n_8 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); begin r_push_r_reg <= \^r_push_r_reg\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; ar_cmd_fsm_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm port map ( D(3) => ar_cmd_fsm_0_n_6, D(2) => ar_cmd_fsm_0_n_7, D(1) => ar_cmd_fsm_0_n_8, D(0) => ar_cmd_fsm_0_n_9, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => state(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_23, axaddr_offset(1) => \wrap_cmd_0/axaddr_offset\(3), axaddr_offset(0) => \wrap_cmd_0/axaddr_offset\(0), \axaddr_offset_r_reg[3]\(1) => \wrap_cmd_0/axaddr_offset_r\(3), \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), \axaddr_wrap_reg[11]\(0) => ar_cmd_fsm_0_n_22, \axlen_cnt_reg[3]\ => cmd_translator_0_n_11, \axlen_cnt_reg[4]\ => cmd_translator_0_n_15, \axlen_cnt_reg[5]\ => ar_cmd_fsm_0_n_0, \axlen_cnt_reg[6]\(3) => cmd_translator_0_n_7, \axlen_cnt_reg[6]\(2) => cmd_translator_0_n_8, \axlen_cnt_reg[6]\(1) => cmd_translator_0_n_9, \axlen_cnt_reg[6]\(0) => cmd_translator_0_n_10, \axlen_cnt_reg[7]\ => cmd_translator_0_n_12, \cnt_read_reg[2]\ => \cnt_read_reg[2]\, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \m_payload_i_reg[0]\, \m_payload_i_reg[0]_0\ => \m_payload_i_reg[0]_0\, \m_payload_i_reg[0]_1\(0) => E(0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[35]_0\ => \m_payload_i_reg[35]_0\, \m_payload_i_reg[3]\ => \m_payload_i_reg[3]\, \m_payload_i_reg[46]\(0) => \m_payload_i_reg[46]\(1), \m_payload_i_reg[50]\(4 downto 3) => Q(22 downto 21), \m_payload_i_reg[50]\(2) => Q(19), \m_payload_i_reg[50]\(1 downto 0) => Q(17 downto 16), \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, r_push_r_reg => \^r_push_r_reg\, s_axburst_eq1_reg => cmd_translator_0_n_14, sel_first_i => sel_first_i, sel_first_reg => ar_cmd_fsm_0_n_26, sel_first_reg_0 => ar_cmd_fsm_0_n_27, sel_first_reg_1 => cmd_translator_0_n_0, sel_first_reg_2 => \^sel_first\, sel_first_reg_3 => cmd_translator_0_n_6, si_rs_arvalid => si_rs_arvalid, \wrap_cnt_r_reg[0]\ => ar_cmd_fsm_0_n_14, \wrap_cnt_r_reg[3]\(2) => ar_cmd_fsm_0_n_11, \wrap_cnt_r_reg[3]\(1) => ar_cmd_fsm_0_n_12, \wrap_cnt_r_reg[3]\(0) => ar_cmd_fsm_0_n_13, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0), \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0) ); cmd_translator_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 port map ( CO(0) => CO(0), D(3) => ar_cmd_fsm_0_n_6, D(2) => ar_cmd_fsm_0_n_7, D(1) => ar_cmd_fsm_0_n_8, D(0) => ar_cmd_fsm_0_n_9, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(3) => cmd_translator_0_n_7, Q(2) => cmd_translator_0_n_8, Q(1) => cmd_translator_0_n_9, Q(0) => cmd_translator_0_n_10, S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3) => \wrap_cmd_0/axaddr_offset_r\(3), \axaddr_offset_r_reg[3]\(2 downto 1) => \axaddr_offset_r_reg[2]\(1 downto 0), \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), \axaddr_offset_r_reg[3]_0\ => ar_cmd_fsm_0_n_14, \axaddr_offset_r_reg[3]_1\(3) => \wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_1\(2 downto 1) => \m_payload_i_reg[46]\(1 downto 0), \axaddr_offset_r_reg[3]_1\(0) => \wrap_cmd_0/axaddr_offset\(0), \axlen_cnt_reg[5]\ => cmd_translator_0_n_15, \axlen_cnt_reg[7]\ => cmd_translator_0_n_11, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\, \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, \m_payload_i_reg[51]\(21) => Q(23), \m_payload_i_reg[51]\(20 downto 0) => Q(20 downto 0), \m_payload_i_reg[6]\(6 downto 0) => D(6 downto 0), m_valid_i_reg(0) => ar_cmd_fsm_0_n_22, next_pending_r_reg => cmd_translator_0_n_12, r_rlast => r_rlast, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_0, sel_first_reg_1 => cmd_translator_0_n_6, sel_first_reg_2 => ar_cmd_fsm_0_n_23, sel_first_reg_3 => ar_cmd_fsm_0_n_26, sel_first_reg_4 => ar_cmd_fsm_0_n_27, si_rs_arvalid => si_rs_arvalid, \state_reg[0]\ => ar_cmd_fsm_0_n_0, \state_reg[0]_rep\ => cmd_translator_0_n_14, \state_reg[1]\(1 downto 0) => state(1 downto 0), \state_reg[1]_rep\ => \^r_push_r_reg\, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0), \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0), \wrap_second_len_r_reg[3]_1\(2) => ar_cmd_fsm_0_n_11, \wrap_second_len_r_reg[3]_1\(1) => ar_cmd_fsm_0_n_12, \wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_13 ); \s_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(24), Q => s_arid_r, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_aw_channel is port ( \in\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; sel_first_reg : out STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); b_push : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 24 downto 0 ); O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); si_rs_awvalid : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; \m_payload_i_reg[35]_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC; \m_payload_i_reg[48]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \cnt_read_reg[0]_rep\ : in STD_LOGIC; \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \m_payload_i_reg[6]_0\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_aw_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_aw_channel is signal aw_cmd_fsm_0_n_14 : STD_LOGIC; signal aw_cmd_fsm_0_n_18 : STD_LOGIC; signal aw_cmd_fsm_0_n_20 : STD_LOGIC; signal aw_cmd_fsm_0_n_24 : STD_LOGIC; signal aw_cmd_fsm_0_n_25 : STD_LOGIC; signal aw_cmd_fsm_0_n_5 : STD_LOGIC; signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_1 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_12 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal \next\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sel_first\ : STD_LOGIC; signal \sel_first__0\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 0 ); signal wrap_next_pending : STD_LOGIC; begin sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; aw_cmd_fsm_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm port map ( D(2 downto 1) => wrap_cnt(3 downto 2), D(0) => wrap_cnt(0), E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => sel_first_reg(1 downto 0), aclk => aclk, areset_d1 => areset_d1, axaddr_offset(1) => \wrap_cmd_0/axaddr_offset\(3), axaddr_offset(0) => \wrap_cmd_0/axaddr_offset\(0), \axaddr_offset_r_reg[3]\(1) => \wrap_cmd_0/axaddr_offset_r\(3), \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), \axaddr_wrap_reg[0]\(0) => aw_cmd_fsm_0_n_20, \axlen_cnt_reg[0]\(0) => p_1_in(0), \axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_9, \axlen_cnt_reg[2]\ => cmd_translator_0_n_12, \axlen_cnt_reg[4]\ => cmd_translator_0_n_10, b_push => b_push, \cnt_read_reg[0]_rep\ => \cnt_read_reg[0]_rep\, \cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\, incr_next_pending => incr_next_pending, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[0]\(0) => E(0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[35]_0\ => \m_payload_i_reg[35]_0\, \m_payload_i_reg[3]\ => \m_payload_i_reg[3]\, \m_payload_i_reg[46]\(0) => D(1), \m_payload_i_reg[46]_0\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\(2) => Q(19), \m_payload_i_reg[47]\(1 downto 0) => Q(16 downto 15), \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, s_axburst_eq0_reg => aw_cmd_fsm_0_n_14, s_axburst_eq1_reg => aw_cmd_fsm_0_n_18, s_axburst_eq1_reg_0 => cmd_translator_0_n_11, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg => aw_cmd_fsm_0_n_24, sel_first_reg_0 => aw_cmd_fsm_0_n_25, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, si_rs_awvalid => si_rs_awvalid, \wrap_cnt_r_reg[0]\ => aw_cmd_fsm_0_n_5, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0), \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0) ); cmd_translator_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator port map ( CO(0) => CO(0), D(0) => p_1_in(0), E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(23 downto 0) => Q(23 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3) => \wrap_cmd_0/axaddr_offset_r\(3), \axaddr_offset_r_reg[3]\(2 downto 1) => \axaddr_offset_r_reg[2]\(1 downto 0), \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), \axaddr_offset_r_reg[3]_0\ => aw_cmd_fsm_0_n_5, \axaddr_offset_r_reg[3]_1\(3) => \wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_1\(2 downto 1) => D(1 downto 0), \axaddr_offset_r_reg[3]_1\(0) => \wrap_cmd_0/axaddr_offset\(0), \axlen_cnt_reg[3]\(0) => cmd_translator_0_n_9, \axlen_cnt_reg[3]_0\ => cmd_translator_0_n_10, incr_next_pending => incr_next_pending, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_14, \m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_18, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]_0\(6 downto 0), \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, next_pending_r_reg_1 => cmd_translator_0_n_12, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => aw_cmd_fsm_0_n_24, sel_first_reg_2 => aw_cmd_fsm_0_n_25, \state_reg[0]\(0) => aw_cmd_fsm_0_n_20, \state_reg[1]\ => cmd_translator_0_n_11, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0), \wrap_second_len_r_reg[3]_0\(2 downto 1) => wrap_cnt(3 downto 2), \wrap_second_len_r_reg[3]_0\(0) => wrap_cnt(0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0) ); \s_awid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(24), Q => \in\(8), R => '0' ); \s_awlen_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(16), Q => \in\(0), R => '0' ); \s_awlen_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(17), Q => \in\(1), R => '0' ); \s_awlen_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(18), Q => \in\(2), R => '0' ); \s_awlen_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(19), Q => \in\(3), R => '0' ); \s_awlen_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(20), Q => \in\(4), R => '0' ); \s_awlen_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(21), Q => \in\(5), R => '0' ); \s_awlen_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(22), Q => \in\(6), R => '0' ); \s_awlen_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(23), Q => \in\(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s is port ( s_axi_rvalid : out STD_LOGIC; s_axi_awready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_arready : out STD_LOGIC; \m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); UNCONN_OUT : out STD_LOGIC_VECTOR ( 35 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; aclk : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_bready : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; aresetn : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s is signal C : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \RD.ar_channel_0_n_27\ : STD_LOGIC; signal \RD.ar_channel_0_n_28\ : STD_LOGIC; signal \RD.ar_channel_0_n_29\ : STD_LOGIC; signal \RD.ar_channel_0_n_30\ : STD_LOGIC; signal \RD.ar_channel_0_n_6\ : STD_LOGIC; signal \RD.ar_channel_0_n_7\ : STD_LOGIC; signal \RD.ar_channel_0_n_8\ : STD_LOGIC; signal \RD.ar_channel_0_n_9\ : STD_LOGIC; signal \RD.r_channel_0_n_0\ : STD_LOGIC; signal \RD.r_channel_0_n_1\ : STD_LOGIC; signal SI_REG_n_10 : STD_LOGIC; signal SI_REG_n_11 : STD_LOGIC; signal SI_REG_n_112 : STD_LOGIC; signal SI_REG_n_113 : STD_LOGIC; signal SI_REG_n_114 : STD_LOGIC; signal SI_REG_n_115 : STD_LOGIC; signal SI_REG_n_116 : STD_LOGIC; signal SI_REG_n_117 : STD_LOGIC; signal SI_REG_n_118 : STD_LOGIC; signal SI_REG_n_119 : STD_LOGIC; signal SI_REG_n_12 : STD_LOGIC; signal SI_REG_n_120 : STD_LOGIC; signal SI_REG_n_121 : STD_LOGIC; signal SI_REG_n_122 : STD_LOGIC; signal SI_REG_n_123 : STD_LOGIC; signal SI_REG_n_124 : STD_LOGIC; signal SI_REG_n_125 : STD_LOGIC; signal SI_REG_n_126 : STD_LOGIC; signal SI_REG_n_127 : STD_LOGIC; signal SI_REG_n_128 : STD_LOGIC; signal SI_REG_n_129 : STD_LOGIC; signal SI_REG_n_132 : STD_LOGIC; signal SI_REG_n_133 : STD_LOGIC; signal SI_REG_n_134 : STD_LOGIC; signal SI_REG_n_135 : STD_LOGIC; signal SI_REG_n_136 : STD_LOGIC; signal SI_REG_n_139 : STD_LOGIC; signal SI_REG_n_140 : STD_LOGIC; signal SI_REG_n_141 : STD_LOGIC; signal SI_REG_n_142 : STD_LOGIC; signal SI_REG_n_143 : STD_LOGIC; signal SI_REG_n_144 : STD_LOGIC; signal SI_REG_n_145 : STD_LOGIC; signal SI_REG_n_146 : STD_LOGIC; signal SI_REG_n_147 : STD_LOGIC; signal SI_REG_n_148 : STD_LOGIC; signal SI_REG_n_149 : STD_LOGIC; signal SI_REG_n_150 : STD_LOGIC; signal SI_REG_n_151 : STD_LOGIC; signal SI_REG_n_152 : STD_LOGIC; signal SI_REG_n_153 : STD_LOGIC; signal SI_REG_n_154 : STD_LOGIC; signal SI_REG_n_155 : STD_LOGIC; signal SI_REG_n_156 : STD_LOGIC; signal SI_REG_n_157 : STD_LOGIC; signal SI_REG_n_158 : STD_LOGIC; signal SI_REG_n_159 : STD_LOGIC; signal SI_REG_n_160 : STD_LOGIC; signal SI_REG_n_161 : STD_LOGIC; signal SI_REG_n_162 : STD_LOGIC; signal SI_REG_n_163 : STD_LOGIC; signal SI_REG_n_164 : STD_LOGIC; signal SI_REG_n_18 : STD_LOGIC; signal SI_REG_n_57 : STD_LOGIC; signal SI_REG_n_58 : STD_LOGIC; signal SI_REG_n_59 : STD_LOGIC; signal SI_REG_n_60 : STD_LOGIC; signal SI_REG_n_66 : STD_LOGIC; signal SI_REG_n_9 : STD_LOGIC; signal \WR.aw_channel_0_n_14\ : STD_LOGIC; signal \WR.aw_channel_0_n_34\ : STD_LOGIC; signal \WR.aw_channel_0_n_35\ : STD_LOGIC; signal \WR.aw_channel_0_n_36\ : STD_LOGIC; signal \WR.aw_channel_0_n_37\ : STD_LOGIC; signal \WR.b_channel_0_n_1\ : STD_LOGIC; signal \WR.b_channel_0_n_2\ : STD_LOGIC; signal \ar_pipe/p_1_in\ : STD_LOGIC; signal areset_d1 : STD_LOGIC; signal areset_d1_i_1_n_0 : STD_LOGIC; signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \aw_pipe/p_1_in\ : STD_LOGIC; signal b_awid : STD_LOGIC; signal b_awlen : STD_LOGIC_VECTOR ( 7 downto 0 ); signal b_push : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/sel_first\ : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/sel_first_2\ : STD_LOGIC; signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 2 downto 1 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 2 downto 1 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 downto 1 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\ : STD_LOGIC_VECTOR ( 2 downto 1 ); signal r_rlast : STD_LOGIC; signal s_arid : STD_LOGIC; signal s_arid_r : STD_LOGIC; signal s_awid : STD_LOGIC; signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_arvalid : STD_LOGIC; signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_awvalid : STD_LOGIC; signal si_rs_bid : STD_LOGIC; signal si_rs_bready : STD_LOGIC; signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_bvalid : STD_LOGIC; signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 ); signal si_rs_rid : STD_LOGIC; signal si_rs_rlast : STD_LOGIC; signal si_rs_rready : STD_LOGIC; signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \RD.ar_channel_0\: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_ar_channel port map ( CO(0) => SI_REG_n_125, D(6) => SI_REG_n_155, D(5) => SI_REG_n_156, D(4) => SI_REG_n_157, D(3) => SI_REG_n_158, D(2) => SI_REG_n_159, D(1) => SI_REG_n_160, D(0) => SI_REG_n_161, E(0) => \ar_pipe/p_1_in\, O(3) => SI_REG_n_126, O(2) => SI_REG_n_127, O(1) => SI_REG_n_128, O(0) => SI_REG_n_129, Q(24) => s_arid, Q(23) => SI_REG_n_57, Q(22) => SI_REG_n_58, Q(21) => SI_REG_n_59, Q(20) => SI_REG_n_60, Q(19 downto 16) => si_rs_arlen(3 downto 0), Q(15) => si_rs_arburst(1), Q(14) => SI_REG_n_66, Q(13 downto 12) => si_rs_arsize(1 downto 0), Q(11 downto 0) => si_rs_araddr(11 downto 0), S(3) => \RD.ar_channel_0_n_27\, S(2) => \RD.ar_channel_0_n_28\, S(1) => \RD.ar_channel_0_n_29\, S(0) => \RD.ar_channel_0_n_30\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), \axaddr_offset_r_reg[2]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(2 downto 1), \cnt_read_reg[2]\ => \RD.r_channel_0_n_1\, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \RD.ar_channel_0_n_8\, \m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_9\, \m_payload_i_reg[11]\(3) => SI_REG_n_121, \m_payload_i_reg[11]\(2) => SI_REG_n_122, \m_payload_i_reg[11]\(1) => SI_REG_n_123, \m_payload_i_reg[11]\(0) => SI_REG_n_124, \m_payload_i_reg[35]\ => SI_REG_n_139, \m_payload_i_reg[35]_0\ => SI_REG_n_141, \m_payload_i_reg[38]\ => SI_REG_n_164, \m_payload_i_reg[3]\ => SI_REG_n_162, \m_payload_i_reg[3]_0\(3) => SI_REG_n_117, \m_payload_i_reg[3]_0\(2) => SI_REG_n_118, \m_payload_i_reg[3]_0\(1) => SI_REG_n_119, \m_payload_i_reg[3]_0\(0) => SI_REG_n_120, \m_payload_i_reg[46]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 1), \m_payload_i_reg[47]\ => SI_REG_n_142, \m_payload_i_reg[47]_0\ => SI_REG_n_140, \m_payload_i_reg[48]\ => SI_REG_n_143, \m_payload_i_reg[6]\ => SI_REG_n_154, r_push_r_reg => \RD.ar_channel_0_n_7\, r_rlast => r_rlast, s_arid_r => s_arid_r, sel_first => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, \wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_6\ ); \RD.r_channel_0\: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_r_channel port map ( aclk => aclk, areset_d1 => areset_d1, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, m_valid_i_reg => \RD.r_channel_0_n_0\, \out\(33 downto 32) => si_rs_rresp(1 downto 0), \out\(31 downto 0) => si_rs_rdata(31 downto 0), r_rlast => r_rlast, s_arid_r => s_arid_r, s_ready_i_reg => SI_REG_n_144, si_rs_rready => si_rs_rready, \skid_buffer_reg[35]\(1) => si_rs_rid, \skid_buffer_reg[35]\(0) => si_rs_rlast, \state_reg[1]_rep\ => \RD.r_channel_0_n_1\, \state_reg[1]_rep_0\ => \RD.ar_channel_0_n_7\ ); SI_REG: entity work.zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axi_register_slice port map ( CO(0) => SI_REG_n_112, D(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 1), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_113, O(2) => SI_REG_n_114, O(1) => SI_REG_n_115, O(0) => SI_REG_n_116, Q(47) => s_awid, Q(46) => SI_REG_n_9, Q(45) => SI_REG_n_10, Q(44) => SI_REG_n_11, Q(43) => SI_REG_n_12, Q(42 downto 39) => si_rs_awlen(3 downto 0), Q(38) => si_rs_awburst(1), Q(37) => SI_REG_n_18, Q(36 downto 35) => si_rs_awsize(1 downto 0), Q(34 downto 12) => Q(22 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), S(3) => \WR.aw_channel_0_n_34\, S(2) => \WR.aw_channel_0_n_35\, S(1) => \WR.aw_channel_0_n_36\, S(0) => \WR.aw_channel_0_n_37\, UNCONN_OUT(35 downto 0) => UNCONN_OUT(35 downto 0), aclk => aclk, aresetn => aresetn, axaddr_incr_reg(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => C(11 downto 4), \axaddr_incr_reg[11]_0\(3) => SI_REG_n_121, \axaddr_incr_reg[11]_0\(2) => SI_REG_n_122, \axaddr_incr_reg[11]_0\(1) => SI_REG_n_123, \axaddr_incr_reg[11]_0\(0) => SI_REG_n_124, \axaddr_incr_reg[3]\(3) => SI_REG_n_126, \axaddr_incr_reg[3]\(2) => SI_REG_n_127, \axaddr_incr_reg[3]\(1) => SI_REG_n_128, \axaddr_incr_reg[3]\(0) => SI_REG_n_129, \axaddr_incr_reg[3]_0\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), \axaddr_incr_reg[7]\(3) => SI_REG_n_117, \axaddr_incr_reg[7]\(2) => SI_REG_n_118, \axaddr_incr_reg[7]\(1) => SI_REG_n_119, \axaddr_incr_reg[7]\(0) => SI_REG_n_120, \axaddr_incr_reg[7]_0\(0) => SI_REG_n_125, \axaddr_offset_r_reg[0]\ => SI_REG_n_153, \axaddr_offset_r_reg[0]_0\ => SI_REG_n_162, \axaddr_offset_r_reg[1]\ => SI_REG_n_132, \axaddr_offset_r_reg[1]_0\ => SI_REG_n_139, \axaddr_offset_r_reg[2]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 1), \axaddr_offset_r_reg[2]_0\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\(2 downto 1), \axaddr_offset_r_reg[2]_1\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(2 downto 1), \axaddr_offset_r_reg[3]\ => SI_REG_n_145, \axaddr_offset_r_reg[3]_0\ => SI_REG_n_154, \axlen_cnt_reg[3]\ => SI_REG_n_134, \axlen_cnt_reg[3]_0\ => SI_REG_n_142, b_push => b_push, \cnt_read_reg[0]\ => SI_REG_n_144, \cnt_read_reg[4]\ => \RD.r_channel_0_n_0\, \cnt_read_reg[4]_0\(33 downto 32) => si_rs_rresp(1 downto 0), \cnt_read_reg[4]_0\(31 downto 0) => si_rs_rdata(31 downto 0), \m_axi_araddr[10]\ => SI_REG_n_164, \m_axi_awaddr[10]\ => SI_REG_n_163, \m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_27\, \m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_28\, \m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_29\, \m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_30\, m_valid_i_reg(0) => \ar_pipe/p_1_in\, next_pending_r_reg => SI_REG_n_135, next_pending_r_reg_0 => SI_REG_n_136, next_pending_r_reg_1 => SI_REG_n_140, next_pending_r_reg_2 => SI_REG_n_143, \out\(0) => si_rs_bid, r_push_r_reg(1) => si_rs_rid, r_push_r_reg(0) => si_rs_rlast, \s_arid_r_reg[0]\(47) => s_arid, \s_arid_r_reg[0]\(46) => SI_REG_n_57, \s_arid_r_reg[0]\(45) => SI_REG_n_58, \s_arid_r_reg[0]\(44) => SI_REG_n_59, \s_arid_r_reg[0]\(43) => SI_REG_n_60, \s_arid_r_reg[0]\(42 downto 39) => si_rs_arlen(3 downto 0), \s_arid_r_reg[0]\(38) => si_rs_arburst(1), \s_arid_r_reg[0]\(37) => SI_REG_n_66, \s_arid_r_reg[0]\(36 downto 35) => si_rs_arsize(1 downto 0), \s_arid_r_reg[0]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0), \s_arid_r_reg[0]\(11 downto 0) => si_rs_araddr(11 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(0) => s_axi_arid(0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(0) => s_axi_awid(0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0), sel_first => \cmd_translator_0/incr_cmd_0/sel_first_2\, sel_first_0 => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, si_rs_awvalid => si_rs_awvalid, si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, si_rs_rready => si_rs_rready, \state_reg[0]_rep\ => \RD.ar_channel_0_n_9\, \state_reg[1]\ => \WR.aw_channel_0_n_14\, \state_reg[1]_0\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_rep\ => \RD.ar_channel_0_n_6\, \state_reg[1]_rep_0\ => \RD.ar_channel_0_n_8\, \wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_146, \wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_147, \wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_148, \wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_149, \wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_150, \wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_151, \wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_152, \wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_155, \wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_156, \wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_157, \wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_158, \wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_159, \wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_160, \wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_161, \wrap_second_len_r_reg[3]\ => SI_REG_n_133, \wrap_second_len_r_reg[3]_0\ => SI_REG_n_141 ); \WR.aw_channel_0\: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_aw_channel port map ( CO(0) => SI_REG_n_112, D(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 1), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_113, O(2) => SI_REG_n_114, O(1) => SI_REG_n_115, O(0) => SI_REG_n_116, Q(24) => s_awid, Q(23) => SI_REG_n_9, Q(22) => SI_REG_n_10, Q(21) => SI_REG_n_11, Q(20) => SI_REG_n_12, Q(19 downto 16) => si_rs_awlen(3 downto 0), Q(15) => si_rs_awburst(1), Q(14) => SI_REG_n_18, Q(13 downto 12) => si_rs_awsize(1 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), S(3) => \WR.aw_channel_0_n_34\, S(2) => \WR.aw_channel_0_n_35\, S(1) => \WR.aw_channel_0_n_36\, S(0) => \WR.aw_channel_0_n_37\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\(3 downto 0), \axaddr_offset_r_reg[2]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\(2 downto 1), b_push => b_push, \cnt_read_reg[0]_rep\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\, \in\(8) => b_awid, \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[11]\(7 downto 0) => C(11 downto 4), \m_payload_i_reg[35]\ => SI_REG_n_132, \m_payload_i_reg[35]_0\ => SI_REG_n_133, \m_payload_i_reg[38]\ => SI_REG_n_163, \m_payload_i_reg[3]\ => SI_REG_n_153, \m_payload_i_reg[46]\ => SI_REG_n_136, \m_payload_i_reg[47]\ => SI_REG_n_134, \m_payload_i_reg[48]\ => SI_REG_n_135, \m_payload_i_reg[6]\ => SI_REG_n_145, \m_payload_i_reg[6]_0\(6) => SI_REG_n_146, \m_payload_i_reg[6]_0\(5) => SI_REG_n_147, \m_payload_i_reg[6]_0\(4) => SI_REG_n_148, \m_payload_i_reg[6]_0\(3) => SI_REG_n_149, \m_payload_i_reg[6]_0\(2) => SI_REG_n_150, \m_payload_i_reg[6]_0\(1) => SI_REG_n_151, \m_payload_i_reg[6]_0\(0) => SI_REG_n_152, sel_first => \cmd_translator_0/incr_cmd_0/sel_first_2\, sel_first_reg(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), si_rs_awvalid => si_rs_awvalid, \wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_14\ ); \WR.b_channel_0\: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_b_channel port map ( aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \cnt_read_reg[0]_rep\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\, \in\(8) => b_awid, \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, \out\(0) => si_rs_bid, si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, \skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0) ); areset_d1_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aresetn, O => areset_d1_i_1_n_0 ); areset_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => areset_d1_i_1_n_0, Q => areset_d1, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_DECERR : string; attribute P_DECERR of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b11"; attribute P_INCR : string; attribute P_INCR of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_SLVERR : string; attribute P_SLVERR of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b10"; end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal \^m_axi_wready\ : STD_LOGIC; signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_wvalid\ : STD_LOGIC; begin \^m_axi_wready\ <= m_axi_wready; \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); \^s_axi_wvalid\ <= s_axi_wvalid; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const1>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const1>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const1>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const1>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const1>\; m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \^s_axi_wvalid\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_wready <= \^m_axi_wready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); \gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s port map ( Q(22 downto 20) => m_axi_awprot(2 downto 0), Q(19 downto 0) => m_axi_awaddr(31 downto 12), UNCONN_OUT(35) => s_axi_rid(0), UNCONN_OUT(34) => s_axi_rlast, UNCONN_OUT(33 downto 32) => s_axi_rresp(1 downto 0), UNCONN_OUT(31 downto 0) => s_axi_rdata(31 downto 0), aclk => aclk, aresetn => aresetn, \in\(33 downto 32) => m_axi_rresp(1 downto 0), \in\(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0), \m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(0) => s_axi_arid(0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(0) => s_axi_awid(0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zqynq_lab_1_design_auto_pc_1 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zqynq_lab_1_design_auto_pc_1 : entity is "zqynq_lab_1_design_auto_pc_1,axi_protocol_converter_v2_1_13_axi_protocol_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_1 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of zqynq_lab_1_design_auto_pc_1 : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2"; end zqynq_lab_1_design_auto_pc_1; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1 is signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of inst : label is 1; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of inst : label is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of inst : label is 2; attribute P_DECERR : string; attribute P_DECERR of inst : label is "2'b11"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of inst : label is 1; attribute P_SLVERR : string; attribute P_SLVERR of inst : label is "2'b10"; begin inst: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(0) => NLW_inst_m_axi_arid_UNCONNECTED(0), m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(0) => NLW_inst_m_axi_awid_UNCONNECTED(0), m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(0) => '0', m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(0) => '0', m_axi_rlast => '1', m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(0) => NLW_inst_m_axi_wid_UNCONNECTED(0), m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(0) => '0', s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(0) => '0', s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => NLW_inst_s_axi_bid_UNCONNECTED(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(0) => NLW_inst_s_axi_rid_UNCONNECTED(0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(0) => '0', s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_gpio_1_1/synth/zqynq_lab_1_design_axi_gpio_1_1.vhd
1
9956
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_gpio:2.0 -- IP Revision: 15 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_gpio_v2_0_15; USE axi_gpio_v2_0_15.axi_gpio; ENTITY zqynq_lab_1_design_axi_gpio_1_1 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(4 DOWNTO 0) ); END zqynq_lab_1_design_axi_gpio_1_1; ARCHITECTURE zqynq_lab_1_design_axi_gpio_1_1_arch OF zqynq_lab_1_design_axi_gpio_1_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zqynq_lab_1_design_axi_gpio_1_1_arch: ARCHITECTURE IS "yes"; COMPONENT axi_gpio IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_GPIO_WIDTH : INTEGER; C_GPIO2_WIDTH : INTEGER; C_ALL_INPUTS : INTEGER; C_ALL_INPUTS_2 : INTEGER; C_ALL_OUTPUTS : INTEGER; C_ALL_OUTPUTS_2 : INTEGER; C_INTERRUPT_PRESENT : INTEGER; C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_IS_DUAL : INTEGER; C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(4 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_gpio; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF zqynq_lab_1_design_axi_gpio_1_1_arch: ARCHITECTURE IS "axi_gpio,Vivado 2017.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF zqynq_lab_1_design_axi_gpio_1_1_arch : ARCHITECTURE IS "zqynq_lab_1_design_axi_gpio_1_1,axi_gpio,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF zqynq_lab_1_design_axi_gpio_1_1_arch: ARCHITECTURE IS "zqynq_lab_1_design_axi_gpio_1_1,axi_gpio,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_gpio,x_ipVersion=2.0,x_ipCoreRevision=15,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_S_AXI_ADDR_WIDTH=9,C_S_AXI_DATA_WIDTH=32,C_GPIO_WIDTH=5,C_GPIO2_WIDTH=32,C_ALL_INPUTS=1,C_ALL_INPUTS_2=0,C_ALL_OUTPUTS=0,C_ALL_OUTPUTS_2=0,C_INTERRUPT_PRESENT=1,C_DOUT_DEFAULT=0x00000000,C_TRI_DEFAULT=0xFFFFFFFF,C_IS_DUAL=0,C_DOUT_DEFAULT_2=0x00000000,C_TRI_DEFAULT_2=0xFFFFFFFF}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF ip2intc_irpt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 IP2INTC_IRQ INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I"; BEGIN U0 : axi_gpio GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_GPIO_WIDTH => 5, C_GPIO2_WIDTH => 32, C_ALL_INPUTS => 1, C_ALL_INPUTS_2 => 0, C_ALL_OUTPUTS => 0, C_ALL_OUTPUTS_2 => 0, C_INTERRUPT_PRESENT => 1, C_DOUT_DEFAULT => X"00000000", C_TRI_DEFAULT => X"FFFFFFFF", C_IS_DUAL => 0, C_DOUT_DEFAULT_2 => X"00000000", C_TRI_DEFAULT_2 => X"FFFFFFFF" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, ip2intc_irpt => ip2intc_irpt, gpio_io_i => gpio_io_i, gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END zqynq_lab_1_design_axi_gpio_1_1_arch;
mit
khaledhassan/vhdl-examples
multiplexer/mux_2x1.vhd
1
1798
-- Copyright (c) 2012 Brian Nezvadovitz <http://nezzen.net> -- This software is distributed under the terms of the MIT License shown below. -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to -- deal in the Software without restriction, including without limitation the -- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or -- sell copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS -- IN THE SOFTWARE. -- Multiplexer 2:1 -- Implements a 2-to-1 multiplexer of a given width. library ieee; use ieee.std_logic_1164.all; entity mux_2x1 is generic ( WIDTH : positive := 1 ); port ( output : out std_logic_vector(WIDTH-1 downto 0); sel : in std_logic; in0 : in std_logic_vector(WIDTH-1 downto 0); in1 : in std_logic_vector(WIDTH-1 downto 0) ); end mux_2x1; architecture BHV of mux_2x1 is begin output <= in0 when sel = '0' else in1 when sel = '1' else (others => '0'); end BHV;
mit
VerkhovtsovPavel/BSUIR_Labs
Labs/POCP/POCP-2/src/structure/prim/NOT.vhd
2
176
library IEEE; use IEEE.STD_LOGIC_1164.all; entity NOT1 is port( a: in std_logic; z: out std_logic ); end NOT1; -- architecture NOT1 of NOT1 is begin z <= not a; end NOT1;
mit
VerkhovtsovPavel/BSUIR_Labs
Labs/POCP/POCP-2/src/structure/prim/AND2.vhd
2
179
library IEEE; use IEEE.STD_LOGIC_1164.all; entity AND2 is port( a,b: in std_logic; z: out std_logic ); end AND2; -- architecture AND2 of AND2 is begin z <=a and b; end AND2;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_1/impl/ip/tmp.srcs/sources_1/ip/convolve_kernel_ap_fadd_7_full_dsp_32/hdl/xbip_utils_v3_0_vh_rfs.vhd
7
171224
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kc2PdcHWvKBvv8mF2Q7gMcs2r7sbuOlNKSI8qDT6EnmqUwBDYMV3+UQANI+nsi6J8vxoEQCfp+wH EDhmkbsucw== `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vQEvwOPasAzllB+2bxum6PbpO36+EoSOo6q8rra5eDIjv9k5n/+dvzPjeEj2uMy3Su2BsD2Bli8I fP2C1SwWXA8Jp5o8ksMQipKji+JBuvpkB+0TKVXvHjyNyGMBaYJaQ04XoUlssXodXUyvrmE5pvhb jvQ0rNp3EkiKhKBAcJk= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZNTFve9Sti6+2+7OE/eRVwZOk8txCE0dFzWKi+i4ZCNr1+EIOcPe+xKYSDaXqzDq892JaQiLbPKp jWwBEfhU6WGS90YWw90POkQyAnS1ZIcWwrulqQNF2zzNBJEQUv2Yjg485lW/UaNphNuWCZxXkAZ1 QwHZntGJRvfBJHYGdQDf1asbj7iUc6qFcyEIl6BZ6fCFVsp052mLqRDp4Ozdz2yJzMqSB1pO7Jh1 mUjeJ15I/+NVKn18brSpDdKDzLEi3ybQzcIg7HA/GlVqtTaqGw7RyLJrS5qfk/wfOWwKxhBGVQPZ 7Nl+FVssNHidku1PpZP2ee84MnjdNWecojJ8ZQ== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R3xYRJWf42nmpef7c5/pjYiOil/CmB+k0UmPO3yWG7CzY68Ms4BpLodVeJpK0m7Rr0sKh31wA/SX 2a7nCk047YIXeQwACHllzDPLWEyK4KmBXoL8r5bXW5cmwH9yRJhrtUq4/eGG19fS0Nik70fY2zAn NvzctKshApcnVcmF6HSutEqMFhrpOsp3cOTxMCYFIR1dfBj7AIG/hWM85/YrXhPri0/tE6IDJCVC /QGynbalO1aU9zmbvrLH3SIjTV8+GFBxoBZPNk3BD3asKNemaDwNRwz5Y4ddQTvAfK5LvnE/hthU W2hDy2zBmgbtbKZg384q10iVMk8tqjLnnaMfug== `protect key_keyowner = "Xilinx", key_keyname = "xilinxt_2017_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G+50ItTsTNapBVCBRs96T983hc7omCY2zDjWo/5jcSmGKQBIC1Vfd5ma72RHGlsf99/V4r5bAtQ3 apFZ7fmrc1NVOUA2AMlCmJIrjhUTz1G+aHhJZggA4JN2mu0mhoP9a1P958gWPLAbSv1w75xCI4TL RA5ivlLLEqRG52MssgSYj202szd7XOWDp5UG8Rh3OkX+bVU8ptJgWf8KmZNUVhKmDvBp7le9VcyO Rl8vO2kkaDWCtjm4JybAZvEmnObWRwqdLyqrDOq3x5ih+LFt3iwBSlqXrJ91qLIsrTQWP5l1OAyh TB6M2qw/du2p2dapttP3wbiSHgzgcc4dnvmW0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VELOCE-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KiKGCrgPhecJnllcDeqZ3b6ZafiVijJwYcE/OM+6P67ltDkcB4+CyUVBXWxQAvc+1qxURkuVdmkB AVf6EHT/2oQsSv7c9LSp7mulKKf7c4WE9qGWbr2zj68GxU2cIgeUix5VVEvu+xmCcgFx2UzvI/9a K+voFahrqOH1k4LwS0I= `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-2", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nHpoXkM4AGshTpJCuKMrcmkbZWQbvou/tZbeEBJuvkZgk1AKGJoT0Hkwfmp6BLcpeehB/V8wZD+p eZGa8CNrhKIRBXckPc+v/IWkKvgOysbtHCsBS4eVSnej0euXEnVkmg0EPeAb5axHoUoVCNxPdNOA cSwZt29K4l8QHbLg+GSWqXEtNSPrzyRldebKank7LC6p+5N9qvLGZ4DsIRU19AoPtwcfmfNm3s7e UCNmwBAJU+dQup6Or77sy5DH4csAVDyNPUop8VrRc4vDNSxQ5EuIt80a0rvMvZTpEYuh3aru7JvP qtTlxvT6XPAD2ErM26jPGijqSPHcavQGU6V/AQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 124208) `protect data_block 879rD+I/kN7N743YCOqIFUfnjdabT2Dy/pq7xTVfcZPYdwfdCKmqfWKM/pfo9wLyrsPak45V/q+V JX6qLq3w+gbVxZF0G2VlpjlY5sVGR56GF0Sfi4LMVUBiIvhg2DuaDmlbCCuAvPHoOT/HsjbOM/K2 QpUdWor/cCqm94+4e2XeAixenwIbNmOGXRSyomQMLSLuoBkQBvIcSyFWuJXUMY/5eBuRLedQqxnL 82O2aLjTJVuAT/Pof5eDS9uLSKGIi1Jxsf1w4SikZF0SU0s0Hhofd0MVTd3YEH5XaOfSlLboPWbl LXGg4DZ0C4obqP70sO+49X03EnOBwRIP2XoVCKO+h22W//LdoyfPcNbxtqi9W43jUL/yrdC2oxes 0tZ72LLVWlHRTCp0e5NYFN/6/kK5/rMRiHR2UTzU4CFDLanyro0Cuj2ZRppUfx26QIsMzibbuqFL que2KrRs10f9nvqGoFPenIfdGMEARlVm6Ktfn2PPEqcFOtFe6D8WBkdvIw0XrDPkF2d9LluVEgit op74RNSNafcjpSZ6LfeTjmzYL/RCgFIyBKomWhjq67+6Nm9dCAFRQzaV96BvsPM9NaII4LpAi1E+ vFaOiRresdvtbHGu8CWJldz7JeuH3HF8K4VehrdvyNaby8zNQK2n4qhMZkr85x+bpkOvGuq+DYmO YkVzDYTXhxZqphvPbutgwvgfzVx+y6D9A1kEgKdkW4jLO1JY3cVGZGxATY2FLUWnRCkPvrLJuXtP m7fhkazQwhlcDjSDbNc7YbduDPsDyCAkmVMtRFk62JIEPzGHYNJ561e9zIgprXO2duuSccQK6Hkt iRDFp/LW40pkyrY6pho8pF5sfJto5obJHZd/nbpV79LTv//+54JDkp0rjg5uqMPJ/J02Cytc/tZ/ H25r8+MCMEsANNmZGI7BB/xTFZNK1dcQARparoh7pSa9DHhM2NppHAfh+1yE7Tlmv4xrcsWr/9FG 9NPXqWVeLEWGFLWnBso2CvO/KftEiJE953Nt/U5A/yM/lUwazL2HagXTnq92kwULudQ5N6VOGBkM UoamnxqBfVlL02i4lnxLlwLtogkjC6vgVJ7Gz9QETNoLVwOdrE87tYX38PmihJOxHM3NQ9ElOPlT KULbZ5JhZpu5UBc81Kh9F4zHNaYslzIwCJJb+WpVi6m8N4sjQS9Z/Nb/nfK/a0N9c11yOezfk4MT 8IWbFdOdTWD5lS2bZjax5vPyo3LZf3m5YE9oYbdHMEeynMiQelV06Lm4bFfWjjF5WuuIbEg+liuF L+babyQYWzMQcIhOTQyUpRcC8LKke+XRiTyfPBTXO3L8yjcqHM+FpaWk/Yswblnj9p5dJPngAD32 9/jjUutLcYxU9p313lwelxQdixgaki2wYfS4CLfmde54m2O9fgADZPrsZ/zQq9yPNGAQ6tDTAw3D qYkw2AeDKxm0dg6EbyjHM4Mhmr2IfydWWDK839dheYlh7EvqldO62k/ug9AhDFf4GObnvkiLQN7I IhiKx3DF9kqzU4QjPT3SXibAId3R7plr9pho0dCUgYOLIUwrZuvBoDeuNI1MvBAVZkE+bcFMFx3n FoR5TtRy6LfohDSIFpIoHjYcoXiM5H1531nMEEc4NroMNVd5syMe1FLATXj+TtV/T6gGtGtBQOZ2 RBMlcnjwtZz2n91/skVOhxIyI9A/wRK/sLimo6v93gawZWYgQFuFe9j5+QxT7joKAJDhUNMS+Kn6 EEnfG2xnR3knLmXZYOm8kZdGYLgOfGnTsOsa3IZVsjSynXvbJh3p2ldjwx0tSXFU7qPrhJx5WuGc HLB1VT6v/a156EhiT0J9Yb0+EtZz0TU+qvNjWnpJ7L4DinFMqfqM2b/DRxqV4BEljAqE7SHK1JjS waAH+mnjZh/EoOo6E8zAl8WaXwGtzWa/3qitmCTEKuJidADn8QqZYS4tWe1jp2UYD8FGHB8+QBzF sfBWntSC0STmYn+K39p5DNmwsehhp3uGzwuPneF/lHp/iXDuQ9Oha4BtH2lJjwP+9nkqS5UonX1n 0iLMw79pm+Qee1dd3acLwby0cq270mdGvQzZ4G7DIQ61QPzgc+UcFCp89dInaRpG14SJA233oVO3 cWuTVPhzbF4GSzqS6IZWibPbS6WcZ60STyIktZBnnA2KCK+Aj/npzw+c+MtvYV6VIODalg0uW3tZ q7JdiDFIb8eYPv92NjZTASEffjr3U1CYIxxKb6nvXE4OZniLZkHnNMtfaIpEDR1A0VpPAijerVaf ya7/t2zBuLaHt7l55qczwfZm8BjrhK8ssBBgq34+eImi03QwAPmbgAXlKT5eDT6EUR5Z+uhS5YZG XDd4WydfxxNUVxNo8ovD6ofXU5E6r7yYOUTLauj25+/0maI6b3Jd2Ri6cnpNl7h225lmfRk0yJ8I miHWY3/xd/ZatCBu0GjoBCRIqcL2QhRqZxc9pv6Oq+doGR1S/cGY1ZSuSto+5qNxDaJrau65TkFl 5vCKHXqcW5RRDhPrk0PZZ7RzMjWh0EDCcl5YcwkHelJr335sKYCapDob0xnkTjmGw5g2jB7Frk/R 97lcZNfKGoKYpy2Nd/UYQQ62bBIOckNMH65vS4tertNSwY83EPaB0IGuef4jwCn3O3fvrvEBnY9h V2T386CPSdPGsXGnApQ+KE8VE83Wug9cp+33vexuHHudFhcVSrxTfOwcYGNqAJoa5Np+RUJT7bcq ye0TUZT2vdt1LsvM9NqaBWeHFWF5IRqVCLz46vqWFZhEdkKZG6cjI0Zye3PWtv2gqnuHZf7ijXC9 yYr/gH3e1ys98vSMxbQZJ5CHWJb9Lt5Fh2vo8Zi8iNsO2S0vcGq0+0pqIvy9AbLbYZ6aL9cedsNW l7gb7AH4UDn+bBVczTgNIQ89EDJHXLdVwrCzmu7Ubo+/RecSHotx6xXTcLEqVoQKN0lOladk6fPs /MfWoHlpdoGFNz/V0lQG8G14SIPekgr2fI3l1tq2hYDbqb6eEpw1ylhrTg/6pmCG7xhyzNHga6Hf JK35HdVdpAYFTpgOUkbqGX3ZGob3OvsuHAoby1plaOdSip9I4WitI+9F0PPa5fEsNPMYM2c0XunQ 3w9b8VuGs0smEeEYc6yLPBVoM/+iw1VGHs0RtK2DJj+GHWoAm8/PiXFi6M6EAjbTAFk+3NnIoOpJ 92G6rLqvlxLZ0ncrSSVCUKKk4Tf5qy0nPlZ2AYLkXmBJkdQLxMx9fJG5c1WUvPwiZL7XB04oDVZd lHIkNEaHy7GHyK/lLxLSUHQ8ZmCuh9FjLqG7/tsB5P2BkiHnR/v7OEoJEimr/ZnwXSgXyCouto1I dUMTnb/iUQWfR6LPxRDtqFOqPpUMYCdwznF+HcI/t66ZF0OU31aLUZGua9jQJ3MJCPsz76+vKsYs 063t4oXVSkd+p5fO572GbopJVXNyC1kem+CQA+F2VdI8X4WHOTaz7SRvB5olMoa3SUqXa+lzX19l z0Y+m6wW3Y78oGjxlp9VjG0uy+SRfPu9eEt+PKeWD3fg8xhleFCtQFmu+ZA4tvVJioclv4MJzndO g8iMOp4iJ4XiIMee/+LA7HH7Jsl4p+FT4NDebWoKGgN1N2FDDaNziH6zJEvbw0107ZKUwYLSEA3i HHCWlDT1zvKyXN9dwNC85LIUjP0cO9Tk/1WahJiB7206oDi4t91akiEMZ0TIk3Fx4mFaKYINYaS/ rJJVWajWYHjrqOP0dFTiVDrMh1NHn5DTbX+8vC0SgUdvk4r9Lbm9DCIU56JERHC/fFwvF8LqQwP4 hHW/GSid8XBcfY2PvuRWaeYLy2fmBqX8Fy0nJa+2ClDlye6FdRG9T5cle9oQ1xeHrS5eSilsBG7S 4h4oyq4wLbZ8h9Do46z1T0g2cVMHiz+6VirqxcWyliGK6e1BirVeS3ikfDzt/OQuP8khrhmzxh26 U4Nzbzioksm6fkRn+4ZHM5dyq1HcmdgfYzly6D2bgAg3CZsJuNtAjPzdxK9LdlSEx8VA7AQjuAOi fM5jbJk5SwpVe3XHDZSuYvYCmRYI56gawtyS2fZ23AvjToGEte3gW/bhfl+AL3imQ6LvbZJo8OPY pX/4V/934vWLoWJEB4p6DVbUq8/XtOVZAjBDv+T/OhsWbpIOph3A1qI5D2Nx/OMEEsrg+cfaOQKr CNRAPTwkc3Ay6FXy9BjnNnR3BLwJr6G2jmCVmkdZ1FugkXSgSPqe3kMWLKsFYDJgBzglj5tenEeC GSUqidMUlC0i0cSQM2HN3BcuJLwZMIFwDSjFkIK7OKuErGxggyR5Qf0QfK/xVVUmv+nvhn5R6Jws bYgnhF5rMnm2wx2Zwx8xmlwN62qKYsCLXpMrIXprPk5Z1Ozgofge0mE7RmRIPHHo0bNK6YV9sdK/ B1quWYcNi5NhdkbhtHew/PMY7BXlYHS8Kl70iigLQaG9ozDqkNSFii8+BsQryWOEAarkO7gmtsT2 yEZFOY8JqAF6ppbhuFbvF75g4wswSkM21R/QeIK7WOXRN/5D9UKTUz+H+tAOEcp5Z/rBCCTINBqQ SF9f6dUW/+eTfjGnLJ0WHezDfzQI9N3dT8k3wyotEMOfl5PSmJPxY94yPJogYRtD9TnceRsDlsMH gEY6Or6A7Bu4VAsPtZ9eXBin9nJW2v5RCd4jxjKxb32ONjwSR8L51u296re2+faRvHdtHJWGDDMn ZOVFmBCAFy/W/hFNnGM0kNZsgXoeyS3DMPDvMuXnOLljz79qhDtBIT5qKWixvjkJ/ZzuxLjgpLOK PU1D7yl5jkOa4kKVKHRTmQOfsErdo75AaleMgDrao7nY80Q2bf9fN79f5EE7WIXPqyC5jBpA6AlJ RWNj6meGl7K5AP78s/2mUlFRfQE0FAm1r7tWBXiAniA5dmPVkRsNKGvC7WjsoQiAD3VsgWtwkHd+ ZMekTj8WI8cDz1oFEFSmsMY8ey6XGEQQNQCvHMwqXABBFDm8vOWz8ArTwI5r81iXoGvBGhQUSKB7 a1k0MOj4TZMWjoQrckgAYlxzYYux2UMyieRFrd35YIAgsi60RBVOYm0biSmv4RRlDIBliBQ89DQM Oq1S6/OMUmmf/PSnkLunrc/QP/tax/fddAIH9g2Bodwu6NLsp/c6UHoH43j2C1QFz/gPrKL2/L/W 0Vkepr1RG5qcDABG5h9wUhjANqv8oILk0LVwxn39kwoN/DAURTZB6AzCoQyCIiuVqXW3sE+ThMco 15iE0QYLIue8jQ6LZGYB6x2UDpVo0IkxZknf5QynJ2J4qJ2zY8M77/njs8VaxS1674apkmVnI+jE nTE4G462XqwoAvglT0yaZEAC0VGfLWhqRipRSp0ztTN+No8MjpwaYZM3ZD3cGqNKPKeo+DaNyqVz 3tKmqL/Od7fpxbpvozaHs4NVZs2KUaA4Bs/VmEvc9bYn2kCGv15j2UGq9FlOY5Vk84JLBDTcRSJX JNPAS30GaBGoKlZ+TxTyhqtkuV60Jj/oKAqZTs7p/Vk0QAVO6aKo2jXcrjGgefGSGYwy7Nin0lGm dpiyr9VEbQfVuVMtZ9BWjI5DhmLmKYcAILlPp31iQMqrQilr+vtxSqxG/qpiBs+xAORhmLvd2Atg JWYsZL1Le/w8w3Dm5FduoFOXPM5KqeCSIMfaSDxT0bC9KZcIWacuCpZonWn+cj3/Arh+K/nOGceF xCDOhdnE2PsqDYLuOQCXMILozZ0lCFjwmti10V/AbTfeCfAludu9Rh+aRb62OA0f+zXfd3PrzxGN rdEyo1c8wTL+On65k0pm8tiWqLVfDwPFm3HSYVbdSr4rw1J1/fI8gopjL2tpf5Wp/Xb0tNVezB6j J+JetYjuAH43XCIbX3sHUA4qYHhi6G+fbffCSSWTFfgwyQ57UAp6L3CLCXEmfolnbjjg/tNn4xA2 SgrUqOQbZIZil61TQ+Xf5WiHopNDVNuu1nEkXiHx9TrKtRdcJHMgqYjzU/MCXQ1Rf3BHDoeoGSFF Ej+o/LW5/4wBsif0tnybw5eo05P43+7m2E4T5NapDA1VYvBodRT28jKtdVBFXTLM0dEMTeStl3Um MdebxXIIA4Vo4gYC8bU76i/rgyRTp3J94nBOk88V7o3RJfj6C85/y1b9oJ7QyFFv8rlmgrFKA+fQ KxiEo0fyCPSMc2hxQ194XEvaFU8EizpSfT5z244Vh12Ni3m36Taf/AWp5lbJcEtwlkdKV3IyzB3Y qyYdHCvxZBNzgNqdVcQ6h0U9fYtFV2An8rREHUtJzeMub+cG9WcQATY43rxYARjpBWYDCfxviL0D Z5zUMP03LVcFvuvhN+I3iNMjy2f1UWEcpD4Kuzq9Ol/Oh9ggrREDbAcoccPSBZ7n641Kk4MB//Rj iqr0zjg5E13pmgkhZkQs13z32wxgeDIujhWtZ40NykIQLBl6mEnaRQBxSAp7UPnnXQzJ4xmwUddK Rz/k2mjiYUCmLeI9jfoE2uHsyGEfxV1/Ok+Ck2KfdPhs6qeuKsXzM4/lUKOF7d5lpUe955D3uZL4 TTkcOsrYO0B+APMflYxUCR/GL6ENuvYZju2LWpipA4vU9a7hpjH4r3rH6foYY8yUr4NmnOzdRL3a C7UpO8s0CTEZkqxlSkkVVdYpwRnTSWPvMlKMtixvy810kNcMFWCzHUN6thF1HOAsCJH641Ph3Smm ZJhHUz0TvqPZ1ymM0hcDvc+77lHjrBD+TEuIgoLWWhHDT6gqk7eft8yHtmbNBlT/iGcDfsU/58tM U1iLBcSMSgv1s0pZvK9pEsD2mcOMKIcpiQ8dyATMFOYkzOscrop/dcf7vOX+oSr5O/wOix6lj+FQ VtHwvVPg+E7VnxtWBfgPB2bhfDDbw+finirP8WbdneOSQ6jpa/FFh9dNDRpJpMA78AfknfJuYJPy MswuOXmXcN9w22C2XQXYLWK8tt0uBBgaLWgQAIeAHlz4HeqkvPc+v3kPsQ8d9fgawJc9No+3VpYL /fTJcbXIdccWGRuGbCfw6IK9aoDmtwrTWk08F/a5dhOIvvxImvj4OD9UcV9SOvDqXWyFVPD82qMI LFeUGQ6C4Td0JgYNTF22IZ2QTmpvC0UcOIU0q6Fh4/HMqeMomStExJxLEtCYeWzOOsxgISjriUe0 D5E9n2Ptu2LOYVAxHIOkepVNOTaxHA80jbV1anNaVoSK2fTxL91oRriNAVqeK5RU77O/ldOrLhFS MV/Cf8CPPmWZPRgk8ZXk2YfSb51NLAzQcw42i9IH/3404rz+nXOQS7e4wkrinmWfvdUfvY4WdI+U vy3AaWstqfjI6PWP8bTzk6RLK2iGAGMtavktESzX6OOX0HnOEswPKIlnM5gqu2r67IXrnRBcYTlY HFbNSh819NnLVoAu7uPM9kXALOUopiRk/JJy7N03GgBbpzPRkW/AOlCo7Bi4DQsctnxWHLinVHSp aMlDDVjz6Y6bNHhtUA2UDQY1eIp89GjlY8Hgl125d+WnXQPxqlgQz5jT3XqzhvOlMP6dTdEk63Tz zq6eiPVxzgRbAAp8fKEm6IeNkiOOZL5/JKe9ZOYVOUikCDfQgl+HNDXJGp818Sq1O0P671UagfqF xDo7p0j1PmdMIUNBSUjx85Z48V2OejK4psyBr3mbAOQHByrjkyDZdlTDRzvsRj/8GrbI5aJXi/mO /LXhrk4lpyBU9ydppTznFYg0KW66CbsTT+lRDJkzGrydC8N46cZkO5vv88MPm1Hm+YzMIEvhS0mN NYRol70jj4t5gxbr7IEGI0hamJXNfjc3Mf7oNA8ug+tLZhHUAcW4QlyUk+fSSNySSFN6VNLpf0WR 5xdifqFffi0c83el91VSYuAoqzCTaq3ZNvXeRgbpLEgLlkJQI8GvdRNwNSgyC0dTToDms+Iy/qi1 QgDKOgjTmRKSR5gdjfh6Rz9I0RZQEtPNurpZFwfP6Pus//HVUS/P6gsZAro9X9nOH17iDIh5le0H 6PjuurP8MsqHVYuRcs5Pp90zJUESAqAhuB1qYyndcdG0KYIcbVztVQnCTEHZz8PKt9C2jWrZ6Tdu GC3heyPfgzsc+PNxPxHdwv16feQw66SdDtAg4xCFIieSfVtYkMYLdGdX5wpHud0Hw3Ar+4WQak7i M7GA+HIWvY208XHexZOovW4ehCXNsXElOPk1zH+YjMdmhox1GZr3dBeh1/sCq+HF1FeRwRsKuoBy 5xt16HI79GyovswuDLUhrJQyls6DdC/PKwL4giH1pH8SMHG6prGnzPbHeF1GnnSuTx3lVdKUFMd/ xU1yRngzKEcQerNnW5ti1D0ouZuUCX8pRSnZhWalHZIHPTHz1DaabK42h7EhSTGas8XopbxXvnH8 MMq25E3zXnxhbM9yJQq8ypa0XIL6Tk0wUwfCOJnX12DU88/FiEdMyUyYK6ezEHL1pFJZk8VJuCQc 7CGzC46hrr6nnMH/QOXYbzsefLY5hNoVY5LCN7v5ZVOHQF4Q54JZOZ8W4+wvCL0QA3WsUoVD0dHf f5L1hgArTaZu0HZC0O+DjUx9aPxamUU+xuviDEBO+WQGV95WcE0qSogmHdOqmJe7m1SO0p1V+2ZB FQN9sUCJ/NPmFz4lbK9UFhxvNJEG39c3vQRanBc4jje+expoiO+orX+wX6Buc4XOLqhkeSAJ9CxO aWW/Mbz1gAi3UgrNR3Il0tIJAHZ6Z5/3z2ER0pXHtH89vgY09dverxbP8I8PSVT30TXcw7G/TV2p djElG6k1K7+ol5LnnL/2uOBw6lzOjNdj9wA+QqjuB5qXVK2j5rmi9NIn0NHh6kB2zqvP3QeBVNEk JHHNQCAWiIupSiMyUnK/uzfCxLDhzspnw9AJbPQgSOlp2bCicCkQg4mDetiH3OHLb+wy0jlh9aq7 S0fr0H18AJnKXimeESM9oP80zUH2rrkxp8FfWrQH7GDPtalXvYUzUfLuymWbUeIw5rl/YvHN+zPG Jaw1ibMdjvG9krpZpLQzDh92eJx/3H7TkmIuKGzhdDdP+3OHL2Cg4k8UFPYiREepQMkYlkCcYjHw V0knd341gSvf14ckQwa8Z5UtYoKIdum7itlM04sWFvsuaT2DhY4a0U6GYrCYp6dvq+v8Bc/zZTkV ZG8VfNL0vgvk7Qziy3v2WfMoO9z0tR0ZlX0M9xYt2uQlnWhOYQZ+9DLap6Fc6odIdJFqQO1c5trT nQBqUJ6eiX2+icgItgm+eHxA0IACE/UbmtOaBxXoJOJiavmsjOwhucVzEZ1s2v4eOVfbe+67nxK2 y3RqTO9rc3l3dfHDh6KCNT9w0jsOcaCzvs7OxAvNV+yZ3V8VEh7TPgx9et5U6AWklNsPJ83qrYWw l6BVtKT7mAZaUKq4eW1Z+gAbMK6C66vqTw0N+MUVWcWTqPhmX4unhFS/Md+DKNU9RzjjlFH0uMIu QV1JddTzhhxjjsvTE9djBdrivNPTLOm5s4v7ku90z6gsOe9eXOlcFds5lTQ7uuyPgDjhoYKEtixi n4oviDf4mQ3MsZA9yaKrqKXcyOzXpjKDrkQm6l+FlvhqyUW1UUwRubpCH/9m7I7LjegdQk2flO3X oZF5yvhnes1LgCABavGTt4f1ZhwA/JJ/iV+qIK0OmkOMOCKDNmxIDdVunEhMQW/IWgmrQS/8K3Ac fbncxxq/JX1lJ4TttRfVORfVsKqefrYXFgwhMM5NG9n7autEKPFvNp9TPOQLP4znQn3AdT8Xp0C8 qpcMOYizjHg4hXqhTP+yMR0NxVep48R7St2TL5Y353G/DBtxHpmKKqe6ZKeYwgNNGab8qzP0+Ofr qkE+6Ver6DQhDxLVdvoJ/fmreTM3K3BVvdN4Foybfcpjtvj3ZS8A2Ja0bgo95SepCVAJmRdkO5Up 1DTYrX2jkwQeOzKjM73YngIkLgLQWMcS8BCB5zb1O3R0lktBhLMiQQhs0rb+FFTnWavmyUrnCSiN jIgbbxouXXKBjrQfygooBAlD137Q78Vo+VviTiExoLJYv3/MfCgm/3eTe30ToD/TqW3M+1VTxNL6 zVAI9Yb0JLBXNmb2nRxcdUEXMhRsmQFq4PvUr3Vx2WR7IrzWZZS6w+f1EadaApRwf85fGbMOU21H l7BSbMiAVYCtLiwPOKldrgULBWeIDLRkJPWj/wAGFNwdawJNq87wwJJFAuNWXEYyn11CleC7UVpE NNdxJ0Gt/iVhlw+b+D/tDlT/D03gu2tjV2kWfX+lHqn2h69H20Gy68yz8e64D4Ktkh8h3c1QPZ/y vYLjO4z/ddNfXwc93zD0TKTYqhie4g0xawwVhvHBWqvHd0xP3bXOVhA+d3fHBiLWDARde5u96mxZ Sp0XVl2T/B3IziYCl6fPqLbLjmjmXyw34qP8fhzDhyLEwERNcuKoSNXDZmLk0cMTcyCP2BJsIWLV iLA4sQEpfNrFfmo4BTwMIojIrNvfMaSRrX9Heur8TNzBJvnztDKx21QZCrnp+KDx6aedsDzQOzSc 4BBhqks+agZ9YosWuxgoZkT/66AFNrMaabK2xGr+Ago6c3GJFMiTyu1l1uCJXZy5yPN28mVwhC5B Zwh8pP1iuacib0NgOSy1O3VXV1M5+iqIIs0XrpcRubte2zrOE94ZDf30+0RqFdAWNBIE0uIPFKFc Vmr7mO7Ro83vZY1gj/kHVr1X4Mg8PSSQVn6KMtgyTzlIqhT6K6DoVRJ6CnuilYVVGeGwW0UUmpQU gmkVz7Rbxl+jOqmqtSwGU9bqR/M0es0DZiKe/dc1lxDpoCSY4Ff+qpwtNL9ik7anrP1lLzo2UgFk azB1TAUsE0j60fquyV97bbA6s83A71edWRV9gHiYbSJvpxXVBa2vl/DcfuPOBDD7l0Lr5RS7xtQe lV3ejlSwmJGQYe2Z/0BKgoiXwgW/rUyPTs3SbMv6ZbX40xKqpwZT7TR6HUHz7fvcXo3WRTTwuqim GOoKF0REx/g0MzZj7vHHi7Hwo1qwi6BLaOm+ZmapDU6gUu53DWYTHp1DzjsJwOHUWZdDG5GAAVjr GQT10veQp9Q2Ao27zaIDcS2CCr64pr3feX5mLJQFrgSwDUhbAjyTRAT7mtQMQR/j/ZYNOjW4DxDZ aVh8s7GUSy+NCKfukRC8T3aWV0+BmB48G7dLnYqcXiB5LS6zh1QoQzfucb+RiKP347f9W5m6Oh7F hS1WGXQMkTIopo8PBOFqgPQsV4g4k3Zu9lNnVIX0pN37ex5AJcfMnqD/O8Mr5i/f/tw9jzMFJv8c snoNIm9zkJkSbOwc1j5LBWDX521FFR+6U3/RKHo+/1mchk7IZGmonM2yWD09H+lkWIjewV7qszi5 VbNFlPsdOB0bKKYm5cDLyfXk1VZBN58nHhVx31sg10gYUyaoAmQgl4hTcNGdkfD24BOK1C38EeVM nc7WF8ww7IxJ+ob6K7dFmKVAznbzyIDcbKAIlxmw5qCkv55M7A/SfrbH/uL93mxJMDBCq0nyM97Y DLbBN50UtyfKBLhpSrEaXmgZC7kXuikoofwRLAJRhbhZFqEVNCzmiHxReqzi7s8QNGGcyaVuLt81 Xv4zi7rYZosX8W8AbV7EdUA4LPBZoOZvueg9pjHU1TE5HPUmFiZNNhSTZGQkjjF5ONMm0iVLmIQd 294MItzP2ZxJ8vqoWTC8kGEGbvTYGD0GgYWDlMe5XFYR241hP+sMg+Eht5vL1vKjM2yHnrur0sDu 1rGZut85kwpMp6Eep0cv4Q3Qlbm9GC9LS49p/ZhYAIez40cEoJF9QyPdbsSh3o+ox7TUUGMxP8wR KUievjzc6zCWd7ebj12MKwRfAiqI5Q3z8bdGPVCJ+8ggs3t32Tsjj6NpWrD5NSQUCUCOolxGG0Y2 vGhJL/upjjDFuO1MbQ70g+wOF/rk3NCdh1XulBDagRx8dx/GCWFjd1bP03TpcOIop3Zqxty3osCX D5w9RkpZ1pYFH5Qg7NZ7wUZfLOWhL2WeUCqDJHCOQCH0AXIFg9XDmUR1+iLVVZxCLXHN4UIl/SZW Ek2m1q4kFiJVFge83hLRKRb8Z5bqG89h2wJTEwkuoOLvn50s35xJmrjLFx/pMErRfFhMCcziMLlO Sh+kKcxxdsZgCbb9xFIzO7G4C7kuWktQsAwtCQm+tdLtyEbQuMoP897fFm6cxgWObu/edb9IFuWZ Eog59yUhI/cuMR8H6EUzMHWlNcN+Y1C593QVgVfIZGhH6zpUvubzR0YV/AwHUnHZfPnikcdpr6YW OF1rxOWbgZgNaeAPH3TlG96hH3mqDQ9UpB83gwdBKQUvpm5nLQdBP+q6vfTYH5WVhtIuVDNn+1ru 44Bm4HGKajiQ3GTH3JUSIigLpQX+XDtzPAwoefXJsDV+K4/XatHL/JRn7Qtx1VE2fziKsYxfnMda goX3M68sTcMywvPYSb2heklT015UrxqNNwY8W0X/kjBnWOLOdOPtoPvIV3l87HKxXNs+1JyrbyZ8 PAVmfYetyiUVe23lIWNbQ2TIiKBDlf7kwNtwC1j62C0+IqxQ1uYNi949vw/6ltlZJQae5g5FY+Ck 0yCaP8haRIT9H2kQ3i/wd7nkh9VoLKAiq9Wxm1vmQVNZ61GpN/O9dzlPCJ4zwmpwxMP1Y9BbSwkX 2NXQ7tXyOgrfcBhlYqQZnbE5VjvFNNSZja+pOkS8bRK+Tbd/ocvtVn7pogIb8I9vdpD82c9NUe45 wzUgl/9UApCngTpYdXSGLPUaWUZGeOBeLhZrnrLkrj78UgNeF6jK+2m5ep3zj0HOqm15Sp+Gx6WZ kTxQaVYc5GuVBj4bmvqCgzDLUNBrJrOh8+M2ihmUBRf/pdmhih7LSKZAOa1Nune6WoWmLp2zTxZZ o0+gAL5qdSaF5wQJBqkibienzkmO8++u4gTYQOwy2XV6sB8KWu/RtJhpdC5NA/0osWl7gcAxZ922 fJLrmGmAio4XnhO8/hr6BoHf5dIOne6//WBRw1jsvvvNyF6cP3jyj42mM5wL9fe2lZGdSxmVyo2Y 9vSdnwLsTiJqPBOP2lOdadyEZw6NUglT5icbhLcuItqCWYoIsQLSOGr5vc3An7x+l+FbAHO1Qxiv 7zJ2W/djtJTM123El89S8bqvbm45xoZDp2/vTaUaL+ttV3ddQZDRr1c5eV7yWk4sYKSCto7UAqzt CxKzLm/zwD+FmIxsRmnrRTySFN0VQxw9O6XfGXD2bo3rflWvl7sD03z1jpv38SPaUGBh8aT504M+ kueFNss5vkCQWQEINWgAhEx92hIRK3Ko1/2av0EiCheI9arOhwQKjh3qz8xnfYkSAHa2UMU/0/WW ln+1Q/mL3z2fW9cezTJyx/+czwhv+esbwdWZrl6U8jc7oILb01+p3oDs8sVCEXdcQzsDHf4UnFTV EBKANaB8rsuOrJaMp0kXwnZpZ5YdNOJ02pab83LCbZycWtZqdWRHucANkIzAH9Y8v5JDVPzhlP// T2XwrKjhEEuD58UtxVo3+yY4ywLVJE5u0rrp0TeJduHUquczD7tcWV3na46QroCNZ/xJQNrKdEW+ pQ3XUXCicZWFymlnlFQM7LF8Wzo1xlSWiQinmiPdk08+TQu8wV/bsKuKeTbkj+LaRlbIZy1z4J5P w0L3m1tWikJrvs9Dvc+He967o9xguDOQCno8egncGnDMbJ/cXpch9oAWNy61yWV/VmmkMeFmRgdW X5I9rBjdBEtmWGP0y3NTCmbByzBbPzBIoRneTfD4Hj6+g+LNGGWkX6/3RqUcS3Ho0vOWnLGvQNzI J4iPJcssxTUolF4RmIWVTjVa8OuEH1wPqqYBFO3H4rXgam2MhhJClJu+/B/JJqU9yTqIDpnSomBG OECzzMqKCezTTu2v5Ff6fFCuOqFLpG9IAfeFP6vKY3XskRauA+plUCiK4PSev/TkvjObn9r+RNSc eL671DeoI3Pj1niBxsf+gWM7B5FqAkW04Ed3elKZFx7tcpZF3nhhlS+u9SPxuqn40/4zUxzYOZiz MZ0bRNgSthOaVz/Br1YtAsp26wvju2W5TNi39Dam/EDQSPzcLe5TL41UX5NIACeyM165G0g0NFkf 6uaoxF+TM+JIZW8JxWFt8GDaTdMzL+kDV4cytRpD2JnOqezFnBnqW2NStcHmQ5TaBuLv7letINz4 Ec/7keb645Qnqh94zYfVQFNqMjNBndccbsbtsl07L0becbe4VsfhxdgN8G3L6heDxFZlfhYlKopF /3o8P6uvmgX4fCW3ro5bPobMDQIRRXFRL+9Wy5sVjiuiMPTylD+2Ah2DS2JmC3QDN9OjNq3Q1HSZ B7TuHMZvYf17mwWePwYvVK5hlQrSrTl8611KEmTCPG3KrMoOg7AR4WX027oLXub7UrdxCbqmaVj4 sypv1mqLJ9ONFp8Wfcivd+cXVeymsyiWTXL0BV5DpBmbNFrIxeuzrhuu4juwTAa8sYdmiTZGI1Df 0u4txp2fcLfng8kBaSuWWHj8oRfCL18x2clB8xbb4EjcLbxtSHXhOviWMs1K6/a+3Mx9w7DVQGcs nvY1BfevAm3IRnv55cgoEgOzf+G1HSFDvVXvK4t413AIcj3PoGb7JD+76vYmfCkQa4yhubx5ZpPo EQLCMiibL1FP6LwrpdCK0dNzcrMK5GZz9pNHqx1YcHT+Z9YULdoUNXWip+80+tgHPTUIwnteRUX9 gmn/PdlTeVUD8LItMpa+jiY54zxLmWTxFd7FIk4bCeP9MWCFqzKKv9CRxwnr9kOLQYoWeY0jHhjB /fDN11+rYCpJkv609i50U90tex6H1RQECzo7AeDPzXuy4QiERpilr/pna2+6S+R+IEs3h3CmiHC3 E/k5wQVo686IF84SXXRJ6EMHGnURdNFoBHuI+xUlwnB0hqsKmdAw/ihASV/AjVrWk2Vy5U608zvo CQGsT68fIqwBLXGP7+E7rGxOYq9vFW5m181wWT3rStFVKDbbSYEQhQh/bdH86royzZsMBbV0gFWo tg3hOzK6z7rI/fgdW/qeOdII8ktOp2UjPIELAgrFfmWJpZCCoCr5dAeS8S/Lc3nowscAUVhtoNmc 5jGJxcJVZ6YzLHEDU1fCTuVehPeDiv/l9/FNN6PYsVzo/YAD/6Doz5CDRJmtIWBBoAspYy/5s6sE LpzFwsg0OSGVx4+G4y97s8Km94+x46JNTCF5DAK4fvQOL7w4ewotaX3K0IsWTVDzpKe/lyurDvCe M7VS61BxtMuoiZ/cVP5LyM8lnW8eSSQ5qHQAxhkwp4faWZiJIkGPLV1ieu+ij57uVZadMayi7RKn KTQ+2yhuI+OrdThR/zoyBJWUkaBtNLl02r7Pp3y2TsLNKrP03iTHe6lLwygiUpa1na37pzDtHzN2 wF7n8MDUVvxrSG5sECu6PjfdFxF0DLRhyi0Hfi2c4DJa6Wl+IZABzZZup8Hhbjr+T+atO7T9cKMc T3qGn0AzQQYvHv2JuifOYWaWDJONQrfr1o3buaYzkuIZf3wwA8YdOdgoQgAMuyaHhphqrrYGECoy TNGVvAD4rlXznGVi5fgpj764SXFLfh/zEXE7uIgZnlvdGodrRgwsIC62fYHW0m1QXvLTP7tCvINV Meza38YzZpNCRK7Vd1XWtNDvoCAMzRbw6TycE2OKJiWsNawKrPhm3YM9GAe2g8ReR5cESvaHqAfF lcG//+1Z4ijb57moiHtFGfVLVATDSkm8JD6BWUzQXbUX41Cr7qeYSu0N8odONYTT4gfzhypywv4P CuJJ52YITtgVUZbF1BhnxkmNNQg8gKwi102UT+cr2pq8XGI5Pq9XBvU5aoFtUmNQmRPeBvIyUvKS pfEr6Hsh3zokwD6PvCJzSYfOjQtUFvY4ifU7zAzZkc6dFnHVpEWVoj0oSN00ABz6TCnzE79nAgfQ HkudGITpMepKQ4yUvdJf0+hkJ3ZPptvkURcoEJCULjmKC65xSuPA9mSnldv6JtP6PFCTy0aZCjfR P05r/XCARn88tzw1Wq8f2Ks19MYHiC62g5oEfkq9vkyK/X1DLUkfB+5/5EFNjfWq5xKu0pOCw/jX 5u0s9vo+VvsqZrBiKT9eWvPRMSqJPUt0zPPp0J0XhWL3iSu7oiZmbDtWZe443dQn9DU7tMTXfmJs FRVAdXItC8Ea/LN252v33wyHMnCVUJsDLGOLD7k9rU3N9XUN3wTaB5abW5im9+O4L9KYcROzMDrS 8SLfZ10Frk4/GLDjguGBhRMf9KIaykzJ5udHhUcZfo23vEtruOJr/xxRKfTUvftn5XmWOY/oeqjX tkfGkHl3q0CTkf4Zw4XSnvqJx4fQXPecThdUPtf02oEdv18wODTJly8ywpAG3YtH8MWXMkZ7gFrp u1VJO+GiSvqJEZ+HloCY9d+VDnM1c/IbD38Q4Uc+uQz5+yZATedmRDQ/6ByxK5ojxKIMimlT/mdV AlcRi48TUsI1kYBvhizcAlNjEo3DJbHZgLrXzpKAhcQkNcOv1S9K0EcRQLYCR9mpzAKaJ5g9xLh5 5MTi+jUVHFFK31GiFo1qPsFMEbrhnEvysxkMRqE5y4cORiyJqHvIk9DwEBnry+cjSU9/uM3m+Xmf r5EW8qmkyliKnEDR3HsfHkRO8AMamcDk5ghBRctOjN+7c0FXtBaEH5fc0Ha9G9Ky7FBm0hLe/yMR YwhZdZrcLJnee5BnIPYodBxp182fGMfDy95dIKamkacYb97LPGHrTd/97FMXVzgn4cHPZUAUo37Y F7pv0Cs9V/QoB5jCy5xJyQk3BH7U6G6Mm6zTTNdsRjYyHtcN2u85/3OZUQcKwq1xzHKtlhWNJzPf peNTePmJC/ZWdPSxvuaxUt7Qqgj3EX0VOVJgde5+GZolQRDa4GxX1/1Uff1/d0Y5Okr9dMf0qd6Z KUl4J7O3fATjxveE+l3owfbzCrgW+bYBHu0h9UsNPHe41FWV6vLtLoX+Yr5mIXJq55xkFhdZoYTr C96vJVf9XP0ojuIbB917UazlEmwpBXZCUIwu582vcl25ob0FtVB0kx0X0vSzvNInZrivCq1l5FOy t2ZXZcZEjvpzhEiYOc+RHNk3L67Rk5Wgo9KrYa5PqDZlZ6cUE9yBoGMdA7tIpCPyPe+vucJlVOOs JY8euoURYWIkCQRw+ZJ1fa1eQ/U3b87Nvrwip6wQPKepjv5OQX6nHBUoyhls5kKcKFT/FqtvwxmW 9XsXTqmJg9IQR8t1AKVHkJLBfTstiqxXsE5ketDf6p+IZvaL5HDPHDW6G3QV4IbIsLJbAUBIoksH h4yfryxUlL9QH3hXiwqPAvCs0ahqQuXfuX/xl20tkF4Yv2d77ctZR/3Ul/5BYenGJkXpZHg6IsyP jySUNpdyQr79aFHZCmZMUGVw6zoKNVl9I9RgBP/QXe17pA6T/jnbH0EfP6wRJ5LmHpUojanO91RW YPhZN8XoqBBx/1RA5utXkVO2OYTRIc4XXHn6pdZCoQYMY4QgxhwU+pfQtuyREjhZRbzXPEDj9Mw4 RnrDzGEfF121hiBAoTjpq7BHxikRDZ3ScWohexHH4Z8RY1+q4QbQbiJEaSiH4mV+9nLnS7rr8kW6 juA1f/WpfS8OcXifIK/Z4Y4H9dFn/+ijms68RcfuBcXq/hkFnR+ycodrdymCCJwGfFgcd4SHq/Kw l0MlJmoqOWC7k/V37iM1LFPzakLoEckIOfLBjA05MN9DZG2/mU7+kH5tMZ4yQrLxzBpcRvlvQqPr KVUvGBf4Kg5j9F/AACHtjKNa2yTmjTyPiSkpEMjU6NsHi+3hax8dbZ5oZIDt6p1Bu2rQ+mRBf4bw muOHRAqU1c7uD54nq38PKLybJf37C4xOmnaxfcuMkDHI0xpxqFE4LWukLlqLwZBegs5/yr420ho4 5m2XIKYA+/n9f8Ny+xXdEaXJtHGjs5xAtub7G4i+H1AGwregsfXSxUD9lN59uRJEsS3fG+UTfOfo IjCUfALJGWCR9r/6Dy35QAKvAfMNtbF92qi/4PJr83rRZF4qpTglWe/kIqs9zgF6ydXEF07lSQkh QJ9OfWdzY/5jxz7lFMBgOfcY06TG+GTMFQThJ5vzF5ZzPBma1n5sztDkGR8ExzShHEH+B5yIkjyD g+/45vclrK7dPOjB+jsJKgwfsl7FnBskZB1bR+8AEYrtVGdYwLi+NRu2p6NtA4yX/MmsE3lUc3Vl RKKBFu4Ob5uY/AtpxThBFcJ3oOCBIz3pv8P5Rg4X0ri6Qc8q3MttOe0C2etNLs7Op2hOBUKjlkZs Zo4tYs0w2y9ScebAJK+PvYi5cBk3C1KDEgw66KXIJGjHXaNKErMp5+F8eUvs8LIkzlLJGQI3n1hJ v5G6pm/2EKJB90pm81cPI7Xz5TQFQzLP2RgXCMftqMdqVhoQj22fcPwnzuuIH4WeH+ywh+jkzP73 0gnVVwygJ6eBFiWk0H1rDnmCUTXXgPZ47znw5ue7CZG53uKZ3aHouxMwOS6zgmc2QtiekqJoFGS1 vcWEuDXlom3kzNnJvdGaMriG0ukRvpFBYyDDbi4+PT/aQQMldrVLdUhnNjiI/wsqn8kbaKUhKsg+ 5qIQ/yJlsFuNdMBq+zLe2KytZhoEXz5TEzfkLpOETUE3gFV8kTtgloPUFXspJTdLP5dr7G+l4kI9 WZs+oSFd0DhCIHzjs2j7eATlZapAPFJh1AIG44iTvfU1MbWxmGtyIkuMVsuw6+SzheXHPWS8ZQ6T R79O+ux5+4FMe3qJWL2rX7sy8oir7qXXjdES3kkJQmiG5/Qdk4lJY+HSzHKFTaOhW5oo35RWtign n6KxW3H4c7b4dZQNwoLTA3+JVXNMnabKpRHSo+Rw5P0iMDB+gfNXJenJYmEN0dq1pMERqAaxC6TG cLSCeI6kiTTAMNMf3LeXYzeBazs+rgWWlHyac53H5UmLLHrLgCzR+kpoLDTrPau4vCHliJJOG3pA OLYq4pUFw0+xM4uIkX66hqExo7nyUBO9UUn4wSS4vjrUBN8v+KMXCJxsrUmZhGx4GLrGIcV2eNuZ s7qLGSpY2kNh6Hpa4en0IkFC6RAgJI93vMPpSWj9BsDyMJv+zv9raFfEa17SRiKIa7QAGC4Qg84t esRWlLoHE4TmK5nDf1bcIVqdysRtrrbq35F37YGn2J+bYW8VRR0gklef2LboGufypaCpvWEq/vk9 z15YPL3SGhVF1nSdqmCd27I1Iz7342/l7keWtKTfjYpOuN8h2EqUnbv8FIJ9+ChaE2L1CFnpcXco KDpEYe3D+p8nCd7eWng4s9oRKe95t92MBkLKHQVyrcwpPQspn7bUTXD63+6IfWS3SYS17mZyBpUU U61yGolPHuAS5/bv+jOj5C2lqTeWuGmVmorFcvYWKngkRrJ6EqwB4z4MRyBZAnEP7lcdUyp2sZxI FeYIuuXKVndkroLA6eKrYWMVabVq4YQc+NGLaXX4HqQAlWLs7SK0OrYcfQqNYZlvV9nqg+X995CH ZpK/BjUswdX7fDDXQiZaOY+HYdioVKMY2iygUZlp6oug53FmcFESfbGA+kueAXxBLSkWwHPQ+bZh s2Lxp7XY3dZhKjyI3UTVPgT/+yXINLcoQXkbVAymA7bPRRKjJ6dwi3HKHG8EqA3+tLmEawn6nyp5 MTMekMfKebPErV1Q7xTRqXF+mYePakdkG+hm9r+fRlEomYghylQDd3QJg6JxZAJhBCym3mV9dH0/ 8mVTHqDej7bDev1tXl60GsSUwwNdOEBDBgNNag04eDhHvFM476x8YsurLpzv5+cllo+TVmTSewro m9j9aSB9rsOZzz5EI9fVkeSEjoDXQh8vdtzJMG9AQ5IY0Y+8p4Jy9hTLXN3Cn53zC+seYOZDPDln CC9Ss+Zm9DiMgILJMpKqbcFnH+fchYg0N7udlzO0AR3ofPzl/o6IfuFtzKo/aST857zFmweHmD0m zz3PEdYDffFcbWY3jf2Yr9fvwz1mIXuGEQDyoUN0E12IpTTuiX++xjmu1YJmZY0W4OOMjjI/bjoH xlTGP240j1iT9bgxU2QuJT6pdmLoDE+aMGmQxRY1pEw/rP6uq79jQCOm4qmozWwuybDvxuEv6apu TXrVh1xRP0Bbc5VNcHnrP3mASv9AsfUX4pJj1Lv/HxdCZ7nOj5TevJGCtS1o6GpAlomBU0YuL2zu 6c5+3dbTgv6jkO5mQtQTkIbPXWePAXShcflqD1LdmsiKCpQXZ26AqkpWbcLqrBFQzW7eD6bxZITy bz8UZCU1R4EbqyKc+PpoLQRR4I5UTx9Chvzeh6XN7BbILlPddqbFJn4PsRH+Kp3vO+uqc24z/Ngz TCHPOsxrTpyvLXBcCzmUNTobUK5CswQTTl5yWKFJeYJFubT8k11tnYYeyXZ4jdqAaD4SIFhD+hj0 PslS0YrCYrfsLRgeh5hCEYNAipL7aZLWPpQ6rYq23W5Z4fhtDxwKaYu/mxTMq5kp6Mj1Q10Zy4Ww OIIfcFvyxTnu4KhfTpwF06tnB9seAx/NUlX8zY27iF102MS3ugeWAUmL936tqhJhF+4eXUSd2wAE 0Auz5g+rHDnRkgVlejWYxP7OyAIQiPltBXuq3CsFqXw1dvma2hUFR+NWOVCLtNd2GC3VmGzKaaIu RCG0Wh/Jx1AmKAcT1YRJy9dR9sMudbLNnRsowwx3VXwX6E4ZqaRXgyUukGxfurqNMe9ISgm6aM8Y YfUJ8/k8IGOCXJSvMmSjoWelFnN79lA7ceiY95CBaXlR9KUHllEwAezs5tQvgjSiiNYH/quB3A8R hTYOtuF9Oo48ztUwQso1at+aj983mvATrOK6D3gLUBx9bh3afN2C5odUn6VQlKwmT33jATBi2U2A n3twoOfLsKvAhR3C7VZ3jEdM/zfttXRHryQonSaki/PdUtGhpWIDjZGhnG2x+TUXf8N0rdavOHbd 1aNiCmMscfRKhhcXhLwbj3cRX1P/duXikWJ29neaL7Dy+mHTG6iDtLo9/jZeL1Uwj4K4HQqo02xD 1dmwYn2rRLs9o49fyklzHHj3Mo31CCwKnj/WUfG+Y1jZoT39vKj1paneXrWa01mirBOYewdi79JO xBzKJIn6e7Hknr3KMRFXGmRlJTLH7K2+J4oi7FwRh/n4V6HbNYxYqg4FhdRB1kCoHrElJjPKO35o R+yTDRhbtjhuRRkd+B+Ok2wn6sMfsgiNwmjVv6xM6UCrJ3eBeGbHQXX1NgPxkpd4yriSUflTNdKL KNmNhCT10L7CTola6libzPC6CJ/aUIuqYcWkAg0+wHQEdXXQEyB6TU1posD/38xFwvuag2myQpBY uD8v/Dw4PoWaHFHA414G1cCKiiWkfaVwmMv3R8IgHK+QYg0EjywzEmI7iyHAyyUCA7al0r67IsoK Ews7mUha++Mxbwtdt7UvQxstL4s7Shju5lpEkBJ8SBpD2pNt8m3H/mICnDEuo2M+2cuSrPnJCZjQ PRa3QgUSnEdTy/G44g9N/zh1w2RzvCqz0CF9HXFemx1wuSlA+3CB2I+U6wkxr80blRibOo97sT2V Jk7S2lsawrtbiFflVPSTY3pm3W+cjLqZYKQCtXxwxy8cH3vITx8hECucQndWhknwUD95S+OZSfwK dwZJgQkeKnxbh0OWZzz2npMFbCoSutMfn3vHwgIMIaANKq7OJNfwU6sWDiAphM01ZSL3UKnWkJWZ XGT9Veb+NNq6TxFR/1GosJhndQ6Pd+d0Svcv5kld2+vbgGvGsZM31jzdqhpXSTQYcCOKM7vmEjcZ kCSkNcInEo+BkuXd//gYLNlC2Buc8UGq6hFkNpHwxn+IcoQ0ygyHRTpc1uNeMkBh4Jg6q26UTwUS 5NhX7ppv6pwzGpjMQpIhQ1kCSJLYZWuiEzS4yxN3xJ8DV8s1TtQ/SbM/Qtc7r0lSNTcwkNsS03pW 4y79J6a9eA8Mt+7ZLc4mmmMwZV8In573VnVHG0qVfjEmvUJ6pkKhCaD1mHHUMgCTKQzkwKK6ChWa PXfHBoNegaCmSKH7dJYz9xnSr2ERiDv8BK7NK4lt/oPn4MOZ0nSqE4+9HYzSXHBf6QKJcg0yQs9o diRROZ7LvkuINWQgRX5zGMOsXeqbijVBrs67xofyZqYSL4wiZnSHHYEGbxWjcsjfplRYzOTFDjwm zfpHwD6YcVZ/ABm5PLsgjbQ8ufVY36zWN/vSItIXAVZ9gfTQRhnAPdw+7/b+d8JuaK9pG8bBYFik 8GUjne6rJqrPvUHmBL10OEYkWzbmK2rqqoNg/ApXJEQp0Ga28oXrxbzo05yLecuszm5EV/z/sSmZ eum9rcBsI8hmifadrovs9NeiCD8PkYcwwL/gCCKaVWBClSv1MEENZZWxTc0EqWZpLRpG5OLu8m6K UGSRa6qvQbSZizuUUoc4HPGOuMLe7F0ACu/ZA/LyRtin5TdT4BeHNgbSaLhevXdsewaEACqlnTTd AjlzT4+sMcpAnsF8fipUqJY3cx0vL7OFKsR+MBhTQ4L/nMZnC0KpUxCWC+WrE+rNH0fjPibOQflR gNuV2ERx0nXfXMvM4ZmluEGNGhtphderr0Dc+P/+wDgIgHYM4b6j/JjK9Vet8XHxsK/gFGV+20Wd KcaNUNJJqeYlyIpIvZ0zaHsxkfafaH/KcW1WxybIfs47izJqfOOIWDDxtCwGfaE5gxgIuilt7lMf YKF3XaKsGJLrluJTZtr+1X/agpWS3Crtbc6+okgbN20EXJQQJ6KQc5dQk7+/bN7E0uxymHkzMzZC tAsi5i1rhXYzb+XeOEWf5S7sNiRrG5IvAy2AZtQV1g715jHtFABs2DNpiXtru88T/DZ9FhWqy50x RhnarS1KrXv0oB/qavNIDahHTb1eumwfatsTEB0XqzOJ+YukVtWDXz7kw9W/lMkhwlGNSHsac/lw rSCmngRqvkOVgdodPsVqchBCjMe8ZSIuFPfvYcfP56H6KWFOm6zllAmywp/cEWcVvmp8qz9qdS8M tOr3Zr/Og3Kr52Dswoi3BEaQmpxE4OWJlHvZZmJFkeblQlWpK+fCzq4nLeljZTyCZv69VzSQZeqX HrlCGWKhp6A3dxsQ1FPeF/3C6g3CKmG37fTHO0Btr7XyiaDRE1r3uicjHHBqe00RUy84DAT4ZcNa 98XUrEkIR7DBjhsqxnTPJ8qTadOEC4egAhgJkdo+lf2gTMnj2hVZFAmQ8p8D16fqiGYqLO0PElek EOkSQ6Yc1ni3LwP/6A045WNWVBCctTFuE9kJwbWIqCxgdYUJ6Rs1D5MD+eEnuZFDTUV8v/ERBqTY 0CnfEwW5u36XnomNJnLFoBTZDNFsoxGTlx4lO9ObC2/FhRr5ukeJN4Tl56oyHBbRUEaKI7kbFSMQ GfqiFGGX9uSJyNYxP0kot6LZOv6OmPWqkZidnIV5aC/46A660263wwwDd419UlaQJuYIDRzH0Orz eIRe3aKhiE7NGgT2950h9r9lSxtVbgWQXvYH6lpbu7yfwfZCloy7eLh56w9egFzKbphsZqwrHl6Y Woin/C4h4VWRT6ymT/6wJSwb/N+gJYKZ60vfz8GiguQlk/fhfA0tZ5RiDFcbi1c0QmDAWXbPXjcM 8Ol4Z8HASrgs+7fVGN/esyBvQd66jaje1TLAhXgrW1VgJDEXB1vCl0eTwvSXe6NwUF7IRWqAauTE bCFAiNsiMDL9Bf6lFXLUzDYuiiVRdcTm9os5Ie+z50Seoj+Rd6nXGG7whjFaxdq+bIYMQq+A+Lkw 13yN8VLEwZLzNJeKdgPTGMya7JEezQT4iEgNBB59PZLC2hXKZxEDKu39WP88J02RAA+bJ6Bf0GqV 4UAbQ0GLA/oXMkLbD4xuPU6Vq9tc7Jxf855oLtUAAD5AVraGHChQISL20VHKi200qmGfpYW6zpee GTqDJwCA3SKQfJ9TLVPhgbPVLhMxsgia3ph+5AkzghKvsT8HouTaFXR9T8o/XJq93Gt+0ncImwyi Yp//I7UAWxFhjaE1HgSXrkCxbfOAJIt18BZTZK5jFQJL9x7ZcDM++hN28eTR5hMfB32BaNQBHvAP sp93Q0w9P+kkRMWkVCaG2qyRZBq3+6O8WMXviqBoDIBcxQ6wHHhDK4l59xVkuAPVaqUd5Xz7evRA T3PX/tobRtJE+kY5hseUnQ4SRVl13JU3wk/8+IEjoTd6fh11UarXy9gHA7VE6bzgZCybsakEVT8n KaOejc8dro00X+IRNEmKu3uCqNzmGKnvZI5hg3s2swGhvPplvJKr+RzjoDeBRzz6SjIP3KISOgn6 J29TWXBedS+WMIv9idP4GRTDjmlrS4qrSveuwnUzGAA4Sw+tnGRNIze6lsEf4H9lfXSbTNmNwcYe RRpr9Bazjumhyq3azHb9d3qYu8C5JarLL91tYotfCAAPHsdWrj5ULy5RTQgs+W7EX+3ql4KC6PuQ gXT74g6/aLEX0xV8jEuYIP/XfQk6LVVvVqE07C3Ld/GHjIeCub3hfwUb27mlCzVPySYuWAdDsXXp KsRWpqq79AFqq/BSditT+HwfgzJOe39SJVnXwXbLmW2iCJOIkEg9JQtGEu54d/tjJgaqG55gKIzY fQmZM8pbFBYtMkxsmSLKZJKzZloI62kxW2DszoawEpWiLH3SMAdTFQYEiyL7DLvqb/VpeJVZ1FWp kEXTgq7RipsrmSB4FCgBuCoCDoYtafFU7X3rU4Xem3DMfJgyNF00GbdaL0ab1PsTyCE/RLl3OvuQ RlEBKdpz9Y9OqPKyuZm/Eq+gK8USz9GCDW2UsBdh+piVVVXW0XeAqeXWSGBezUdcI51YuVCT5FPL 59b89RLQtkJH79PztfVOKq3B6mmK75rN9OPScDeTiMa20dKfoPoZBTndrnXM9gRO8H/8dMASQ44r o0o4AbBtOwKwsMfnOdpWpnNH8KAK6gLXGD9F5kKPLLzba5Diiqitn5ahEtZRQIE8QNa4fCeb0tdo aEvVQZm3dD0bJQADiMZWVhZsh7mvsqxSjLqtBsevdiZqKBtlafl9xRRncd4rFDj1yLyzGd8VSDJ9 Yy8pQmVHwqT/JS1+RXjx15lmKelZAXHal5PWfWO1dK0ISH0IWnveyJISAV/+/mOutxOeBYuvofJS 0S6/NYgjgSgfq1i5r1/Jc/G8z4oVNSmICvAJKM287bg6xEjbp/a0dGb8gxc8G+43ltVINMHhG9sV DjML/u8G+mq77TXzxab9gdyW/8ug5hQFS/w09sDka9zJeR7DRz+zJaMUQy6Ld+LlJuGd2A6pkrik UbLKiAeSb7cYTe+R+mm6rDcvNb3wig01BDwmo13q58W/S3suZ6zXcEcxR5asCy/t5SLdjsVWS03o xBDM2KOszF81zTQQc9s4qxI2cbu90Mp6Osvcy71mcuZ3X6wrmTIAlG0++HPPynzhRTfh1botxId2 z4urX47mT1TBnvsOQEPEYRjFEsh26wZsYbFRO+sFdwkOYKsbIttQG4BoAdgJkZ/z/LX5UbnSX2QC 7JESOgI9rPhLGun61qfo6RoLEkMbIftAYhXwr2VpDwpE5vAZyzpiALVUhoU2FManliMdkPG+cN7B dbhWQ8eu2ZJpldBC5WYdu1el3HGsCqtPmOekTf0iZJ4EQqsCgX6U2EzmkWSkOM61vcwNRt3/loD0 PtdslmCpM/bW57xUwvXwYmx1WgUCI83pqTc0btlxgp1WOFSY/GVAX7IElvV4p4ZB7eLu4QyW1YzK x0UagjYHI9x+j82/+psMbndaawVVE1jkXY7oirk8/j1oJPXWYNofPF/4aWjZCF0EERudMDq/+8fi 6z81w29Oi3+6Yy7mhYKSPDTd/KqzeCBIjRCKWX4sfwaIdxdT9H1xKIOWh81E7JKpPmBpeO8BnuG5 GBhgr05rX0P9gAl7ZQZK54eV5n02/jCPsbz8kbqmC2fbnkwI+vv64dbE6LPtF1K82kQfyMFNXlbU dGS9op5NvLthTdJq0+DaoGgXgp/d0/AdcppS4RVZYf6WbhphkT7xswbye4sK0SDPrKf+irl/Zjj4 oaiuo7sKRdGegRO5jgcY71NJn6llllnCRWnpN+mAXLkS6q96lgb6U9voCa6J/s61MO7XDg3Px6FH ZYvJCdsKU/XFOXuVCwrONFklZv/FJCMbjOCMFFGM2IksegrGWA6K3sbLu2hB7mBs1Sww5Q6D+xm0 Ag8bdgbAzRwKzK/b4vrgbYv2V+KpcwzZcEWh79P8bNNGuxP6VhrfkTSD4RSsnkvNxZyth9AQkWw1 t8aIrsSAR0o/+lKZMD8eq0As7E0Bj7N1XkjUq9b26rJjJUUqlYfJd3ndiYNHn22sXXQ/j9uqoKVX v3MwDG0yE/pCjdbxBJdOkRmq7kDkKeB86xpNZ/XpM4H1TNWTzHHIlgdKsAn+174lMRqzJTDsJq5c uij8N6qx0n37up6cH6ENB/Y1JWFdypJh9VEy5NqUGptVeRFVfwVH3QhAhHSDts36pigrlXuYvdax ZuiQQJhcZQ3tDbkMfThOmrRmNTWBIPlfnpnCqXjQUQ4qgNF+7EKNu680GISVTq+Oocuw7cvbF3WO 1zYiw/LcdvxVh8FD8cY1OIepdt3vBYNamlFPsdIRPOE746G1auGnkExq6vI8ZoBSafUNFDxBoCbW g79hydZ8as5YQcKC6ENFgcjktQGdGNz3NRVXcdhABlvWKC1+F6CwKfBsmYaORqnyADTtERKRgOo6 LfEEHrhQsMv2cPQc98+wf+VtpFCDEcDB1nz8XojYfR+4dk/sU+FPtJZs0C3BCMBLLRZz/vfliBm1 1kbiPiIYhei6J7evWwu08jmr+bGtVns5hqMDWVw3WHx4AK0kqtIYWmftW9KSMtsRWf3JgOtlLK9a CtzgZHxZwWwCHl+/ipD6/1vKWRANWDhYd1SidO5NEqgFnVH1jZThg3tOz/kJBkDNCN296q7CFuMA AUQZQaFkHsQtYFiEZDFVIKU3KvZ66y8lWpQo4BtrZCmmI0nCERhJEsJq9vPu0ZPh8p/yx7HDYsK/ AeaRdQq+RTQ9iZcEAF93YCdkHM6oz0Yg3dbQlWDK+LoUwtFJkDnVyeTp4/Yq1d30wjd4mHXDQRpI UQvCi/NIl73Zn00bXkbTT6SCxCwReh3w3OzeTJExQwQoDkcZ3qRZePJISS3yGebhbcVQnifc0Smz oCz74HkNes/le9c+v/71hpxyriXFiCUyoNRpClzk967aD6I/UuC4WSfZktF2WRZgkAMMOMCNEJzH uVQpjTKYsMqD0zajIhO1qz7vqM7Vytrdv+95h6NNIDsn9ryQ/S4hnqradSp9v7TQCC0a8VobKo9K rY/PUY2ljA4fkzRkP847KoSFO2K4voxQ9VyqhiaLd/4m45swDydACRwNavoh6L6MWu7nEka8ZZ0z S9eIN8YcCcnGoJAOtLv/VXeBxmHSVOPr9l4mqS/x65Si+g3mnB6VWEo2mhjAyHqFAfhqVACgDOsJ RcBinQUGefQ2haG3bD1rUyL4evcjmHNsFJC+TI28Mgu8TaA0eQ3tveLCA2lsI2SVwm5LeBx1efUb ofzg4Ue1GfObUsp1lGbaY2Gqk0jytpIUJkEOkPRH/7dZ/YhBIPN97oPaodGo/aqMI3o9sUOhlS2r NPptiShCNhBUp3rM2zX/6AG8d39lo+/EHr7/1tVG3lTtnPc3WXzxXNQaZgzRXlj3FqMqvTqUL9fl CqZkPuO1oi6o4erNfJQiO4eDNsg9IM5pLmCzzz+fxZxk0+kR9+6neEeDrAoZCbVV22QtB6/joOza OnbNN5zBY0Dk7BndGbzXyxoJqtubcKaeLVmUROYxuBHTw4QGsZBZHt+972yFN6mM66VHu7y6t5iV YYK0982zp9PNyYAQQ43YAivdC3IhMc3yOPMsVjNopCl5f8UIENgu2PnMV3+TkUVUQ8kwPtSp/VWK uynyPMN5h3rVnMM1bob2tB7UUYgPL8/60MEeaPUYGPHnG4B1H8W4t6+h2+lXLF26GUMcL1oe4mXN dR7OdZtSUgLY+SGGpnlnhoMtPMP0kwAV7rdCuR5ePxSms76v78JlSzdJ1cn/2ZAc6ZHvM4zmcLJZ /mBgzUUdveMUxaa36i66fF3FiPwTea4zMA+hcm6Ilbkvm5B/VlRzzoYCd7FZ5JA8KqGSGGZughhL k+JdqN5kBspYS18qMnwW0Ix2yZ6ntKmqlITBbe1uJDoUkuS/jqMXZFA3blGhBuB9Sga783tzUlfU i9sICQkVh9FQT77Mq+tPYySaF/VbjJdmF/91B8eFtxLPGOPNi3COnvK3uy13TrvWriEN5WQArE/7 uNoTC8TauxJ0xdaBOSKgxUDhTemasjPCOUtkcE4xI6gH79E2RHaH+V9k7fdB3Opgdbwgc6x7ihA+ W5jOLQhbNdfnSX/uOUSsjhodOjpjCy7F0dDZ6JgNcR/cqc9ec3lSi+RbcjByjALaSrtI4rfS7iUU tAVBxPsubRAkfJe66FgLkecVqtt7iJG/hYCqmEilW+4ifCnOZeWpK/uVxBZrS0poWRhp4yki8L9p ytIrHceiXElk2qBBD2fJckSNUbwmz3inGcTtgZGrbgGJAmLQrMM5xqJKOntycv4hmo0bA0WwRJqb W4hSq9HJLvQa55gV5R8KanWS66AchBU8QhZl57E4BRnoNlomXpzYfn1xv2Uiz/9+TQlX2F2VFpdJ j6Be072qBgYulTESGj8k1QUEET+SmqkmlWAQkezEdl63Oxy/UOrOerDU8GAIGTSlkYGvWWjFB+0G lYDP4Erdtxa/QNRnScOVC9pETZLHgy95M4BUKoHt/ycMNRnFZiuDH9dJRTxZ59RtzamQ51fqyB9a V8EY3OpmbiK8/Vx3JdqweT7x4hYRhg/WCKZTbk7wp4MKvLMvQESJLtZ4zA/z5j9e1SeclYZF/Sv7 IwxnLkts/iFJipqToxZr214+Hu/HhdRJ25q4mQjtjth7awVa0iHGT+bmJoj7E4RZLjvmndoepH1a 9+eTPsH9DZp3YKxidfNA8jMxMM5tU5H1iCGbCW8iWWmHHGZDOIuLQ8zh0QZCfdw/TDaePpsYHkJe bnJdyttlxo/VSDzVZ5OolrtHx0tBt4BgOH3JID2Cfhzmg2WeymUjgypTAKIh7mGMnVqhy2ikrlP6 4gEX2iLWGi1648HS3sJK0NMbHBfmBt03aGlcIxofom+IpVHisaGYKoitQSH0k2/5DoANZnwXDD1f 2b2netPbM5ZhOERiu4ibkqpl3PDDFKZ5MuSxdBopANdFnmMwceUolweTZISUT54hHZ9ZHWaz2eb3 fopN/6+LytdXAC3w+ar8oafKyUfhjE0b3k0D/ZZ5HW0eHZqYDEXfwusAUl+q/6pIk8TteVQKTvEA jmfEqXE/tu7KFDw24dtzCrdOvSojqYsV2il3rZW4kbOc2jEbBRBO3egupjf11lC6w0MtqhwOiFux WfdbUXmW2mlstfr+xymRAzXQOLtflmDD825vjBEsaYEwM59M/pn8rVNhhkLe3YgVNyb+8HoEzPOP I1BfKZLHh0junOtHu0P4VznKpprAAxzeXiSg0GwRIBv8rc5B0pGuU3hYlm8Nh2JFoUibW13lUbKO D/XimQxG48d4ifiAvVZLsICbeArBx5rqZ5iXpQbBIeG6pCPhVwP9JtrBAhpvUeT5kUkWitwvmjE5 X3wPwinHPzmHa8MAweKPE01n42u0ygn7k3OmhaB5JMVoB4RQIOxFoI2srBse0d4oetppzPabsl51 8GogKVK89W4829fr9bWPCHcK93bEnXsfuaj8XW6m+6ETE7AE1+alnqb0VbmruGGyfYQeaiR7CajW aLmXwUgwUG4610tHKRTircgv+M73iO4jxvTcOyQ3kBgTdXM21VouwaOYU9+GHubvV+eYRjiIWLsY UedaDCFfmYk5HNPFDUGsmWOT/IAKT0tu9UmnBaxT/pk5mmnCpkBPxtwzzvXlTaqLb8+5nA/pNbtg rHzQW6AWqQ16Iqmhwpxi3wPcKqQqxWfWi4xUTG9yr+8YBB8/S2kBWT0+4FI5RC9NMA+BnKsdgW41 u46MKOfOwUTqPFB3PhvzYj71cRsDyJmB2OKczh4tcJ5XfASr5RJibTB+2/nzO5Rd76KgPweCebxB fjuuSg94uepgKr2qq62bUXV5zVnOPvFjUGXVRxFDWQwSN20V+c1VR3JItrgeJazxKnhifnpVH+ky jjE72Cq8mYLFkqAKLsVFr0dE/Onz4Sje8EmlGS7lWUTxqtdHfKe5ehp+GsCaJgewEyTT2GAPvTDP jqlZPXNHnHb8IRSsvZPo5AUd2zeV2t24Eiw0CVu/3gfvbOflmHLyJL5OvNd40RjCmW+86DvXRCKC /27TZh9voo7eB4yfvkEav4/gAV7eJpWHDyOSQaNf0HptGBQ/Tdhh593wVAVsaxX5Xf2Qd70ohjXG XE8MFXlgmuPlm63DDJjV2QNDN88gUycrxx0ZpSDON6Ao1ZuIaedWUu4l6juCp5LHp6PcJA5p4zJr Xmojq+8Mw9DmJ0mc1m8NCF696wqzpdq6kFdFsSjVvhXvz6ZPs8N9DHauNBjk5zXq7ItI+tb9yuQo h2h4+Cww8mqjNHCfS19mJ0X1lUi4V5Pea2ckSAtoXm11sI26vBu5PQgeXje8TF5VsZS6uMwTMZVP 0QY/mdIgruaHi7hziSE9fVpMUo9Z2j1OAv/+hCXFMYuXYxQcLkyCyI5Vi2a4DG7thfsjfYt0RpuR fw/nWmW5Yn/WxzKeXHvgHN2lgFjbVF5LsfsTLTliTDgpPtG7QcL34SV/OKEUt2QJqfoezh1aWVm4 1Bm369uRk0CFU2hZaRBv1QTC6Wa3Nj7EfKUBf/fRlIzPJkvX/UFAQW3XB5ISQIukWeeHXeyvNYy1 s7HMNlkKtiSNRokClbp5ONBe7nouBlDmTPXZwDwrNXxA+Chyhsl4oU2pGUS+i8T86lNh9WIFiRZE OkSnEdt/fzo+vxci9Foz52kAZclBzZ+XrrVZT0395WtcJ7bE8llaQu6e9oPkgSjwCzXuQi6bot54 T1dr4bkniRPdqjZB0uvKd01SjOR8g8w5qQw2myaUi8pMz3dUgXc5c+p0NopAQXxR1yXgO3GTbhTk PDhNoeUc2N45ZH/ZT+jkdolSQjNWbUfTHUQaEg4Ew0HxaeTPgeco3Ovzut5WOxtWJI1YBD+C6OXf PEdBOKY20t+kSXBW0zi7NQ772skYqovXl+hZhFFkalphZh+aOTOjMkoNPuGa4IgxJE0qkpuS2prw AzbRfiztq/IPfR4bjhpzR3ippcapy/TtYCM1YStYSUYN6ee2OO9gH07VcJHJExDS5bnwknOb0pAw 2lvDpX23a9aCETIkKcFuPDKK7yE26WAc+c4QRPM7Xhz1eOEy9NBNoMYnrwI3j0qftiAlb9WmpMf6 +wOvmkPF1NfuLImPPRKQht8qsqafj7m+EK58CzxvpYramYQ/bw/RhfgAG3/27mSLoxtuujkTLOdh VdwtC7c3Jb8gILhWiTwvMtprsS3TEWR4h434W/li8lq0oO7vckI8GaE8DYBnscU0lJzbFD4tct7i F13fU73CoN0FDc4OAmwtqh69avXmioF25EwYfMukl6wboIP88VD0ynSycO2zTGZ2UaiMCExaVpQM 5mJxInscbeJxAL3I/BuKTYe90i9xoD0F23y7icraNriWK0zM0akIgC18u9veMREp8rKGr/cxpvRm tqwyz5fRZ93uXFax6bB23YjSNwP7m9qDYXSfkfG/L2g31DQ2LKgfgx5DZ3r8UouEmto6fW4uG5M5 vVgOcRoxb2oH3rI4UEGHGJp9QlPuXSC+4cDHuVVi1iXGDglEaS2x4tvSyM8VjEJDd25dRw5y+vyV 8BbGP6QuxPdCnBKRgeuBNuBiXMRYePyp3UCTLDlqwkHVE7iCI/HQh914mwFB+ShoNaLhIiHyFbs1 BVHJaJDy0WWHzzDI2/DugP5grCO6fpXINynymcNG0edvbIbz3imW+WCZTZ64xsQOnOBPwfbBJIsq 0AB3MO9/EzCZk7EhWRwvgUm8wVnlVls1GfnOhcAq83CzFRVJ8nsjrYjwybSL9ubSaN6il4A/Ksa1 MXLxvBV87OpWB3hFElKo0zpzoTuKVDuQhkydo30NI4ONYGiMSwNn41Iap0vBChkikcccwF+JIp8Y fylYz9U6u4iVL1uSM3HtobaSjKNCDjcODlMwywpszl6suud1Gudd4NnOyywiiOXh2MXlrq7DG7ec 88LWb0B4CQfcSeq3g1t/nJPAunCPQT94vKybLKge9RNV+Q4D4No6jtvLeQ/hn+90YoioXt4ELrSI 1ZhW+7+g6KsSkbon0Cwztk9k4F5hjTBgrkOrWDoJDQuazdwthcHBxnrv4cas/4AkYWm2ccP9MGt3 bl8ujJMAqXE7MLEIVXQ4nGhLj30xD/qJ2YaA/1iHAWNBa8AOSmI7bAE7IEZOZdafu89WLzJq1bpW 9dH9hoM8pT+hC9jVEM9zEjkKeyBgGcacamQ85ywMVFuBw9DEAwajIiM4MkvN4+uYeXMbgpm42doy VVOYpzm3Sc9GkRpzMIsGfhxEF5BBUEQ3cMu+Gk9cx0bsaUbuhtq8yVIpBsCU9oxu1jRgWuXSlCn/ uCy0RB4EsuN5Ygx7AUoar8vUc/RUObsTCOmmmSBuGpBqYrlaNL3dwhYruj6cgb5SyzXwoBXyj5JC w7vLBQaK97EDcLnCn3PE8FGTB0TywTEg2sEvRyctBw/pn3zPCa98tMUPSqxJ1BrEKOGaSUANshn/ ehy+nbghjHBmrohre87IYLNff0mNji85TVLG94S0RQm3vs/7vDL+P17LYRSQLDYWMxv5s8oTUIzW A51OOQNn63ejIiJ7DlMdSISnNl0wulAe2KHSW81Urm1fD97JZ5jDGB9wBEvfy3fOhipBV62i5EiL yCgkhQNb1DWUcMwrvIWRbr2rIpPl0ZgedUEmu8NIwnWYkUWbElAo6DBqJyPKRxp5+5cWGOXXxOT1 quNyI8VM/V+UwB2bxr1bPFwhrJSuGDpBNioL/zJ8AV3aBmP+oIP6QXkN5l62T7ly+OjTSLFpJAvt dOb4kFM62RJ0DivDqddIEckUMZcPXes5U1W3Mra1GOv2ibpGt9eVI1jKrPbWoaNM/Nse+ck0tOtS As3RbDpwkE8C7S7u3ULiWQsXAdAg8Jkk+3YNeuSaea6uYUjPk/ezra09ZnmteVBhVpZHaDqfejOr 0UzhXtqS6PFDmJwen2GcXHCNa2EltSKCIaqHpsYbQ1fRZ/EfIvHIYFWfjJ3jOAtK/dZttM4ZUNs/ EQ2HmEmsmPLr5Sc4LgxX7efTpOJVakEpOkzzGpUE2gJx0G5GRx4mxIZ6zVA6kTNjtXQGrMPaezUA R3bccA/crrJ3kdVH0HTURxd7WyFKndJ98C0j8rnFK8jOaLLicNispbPaSQW4qWHBLQGFnZMubPdm 4s9olLJHAKq4yk9vm+386zqvP9m80NlmaVYG87/D1P0QdA5fNYpxQX8aI0i6uO+WNVwPzKSIRuq6 LmL1n/yBfZ9NRpsKCiCI/qdPYUlIDoUInKebNsas4YNxX0rjhN7YJ6YPEy3fC6I31ZiiOGay1EEK b1gXwAH8MqdYgaYak+BzYTxVUQF+EeftNSdvlN4KMoL/RAvFCY1lUcxJ3NDwFZHyWzQfqKMWrad3 7S5EV9jW2vPQuaBUPiJegXmoj9cKPOQNZNQwKJ8vRtQhOJpYMayENVzptkkZymlvEOJI7oahUnkx Vxn0XvbDCXSTyLTX1/7j3CXNluLo5CK4DtR4+wbgv4LKPR11zAXjUXyan5hcMCONjPkgxiBpObSZ f51gsn06ILi8oksnPq20deYjFxCz8KWky8cvBNOMv8K/ivwTRJw6Ky3EZwl/2tAtdQEnVVJzL7om L1CRyxGipXmzErmbqGIxylaRyqw79fpyZWjGa0RFIgw08NnhzfyAF29EWsf5R1fIjqDbsceNeel1 n6lTx6XJvStei3+dztgLEoISJYaJY/U+lz6czQbj47tLBNTiEoeEsADJzNOJK91W068yuMkcZR3F eKNV2Fd37on+KPIlc1702keBlogQJIyAD649HqIOOqr75eNOSHJ7GVVITgm6LkxAjY5tFfSeZ0At OlTOOzoMO4Cx2EfHKDAW4q/imZx0oqhlvOezX45BPXoid12XfSoQXs7RR9jXCaB0Pie6zMmSqFT0 p443gdGc6qgboN7cJt/WTD2gc6F1v027x/a8AqATte9cLhO14RNx1hiRnfuzFoKVc9sLTsDg59dj aDwwOkDEA0qMNcrhVoF3SOJ5ukNamWLptYyb5gR6pQpB77XkxuKSZ6RvPO+rf26RdiejD4ZIcYvp DMrDbXJAD5s1xiqjk0WrJzLd2UfvnYifgqBwO/Y+SrD/yiye+PYuLgbBHTNJ3J5BhmfiKQM/I5dZ PtORX7j/n/NWIa1K49+4yFFuI3X2nKBgRWTQ55953kcZAjtX7s9hcSEZFIPf2KHV2X9bPFsKASAc D6Y2giFO2vTCHuULImCvljZOfGtY5PfRhSsiJsbjdLBLq2wtsVrbZFzJ30nD8tHVhgUiIEmIWW9B J0kuxzhuPwa3dnf6ONHouXLy8JJ9SUyTNX47EByY/114OcQLIUGLhpNjvXFmLBXIKowwYCwZp0s8 WAtAtb0W2K8G7o5HSKQFIgVyM3y7wWjq91fBMLwb6FXfQt1kzA1jZ78mdT5PyRZ4IxfRirnrvX8o yWbuR8xSGo3Ns8L2TaupqzaHqcAILQtB1YQbkHRlzv5iDOmoSDQg2xwG6mymB0mSECq9Rp317/jn R5/lBQg8+LaXOA1GNeAIBt9WHZTlTLy5QH5Rb/JF7sPtnOPRnT4f0+QtAiPcA0ma/V/z+9DxgY92 zZU+tFevGbrP/SCpfe29Nr2lMRe5nWnv2vMhqz55gRHySSUIFAk/W1PgPSsh7+YrYIOj0IsSne1Q sbECTt3nGn/HMYITSOjdBeE4DEoNIkn58xlzuKhPmcZTFBQ2Gb2PJSnP6wW+FgRwZYf9wj30BL9M 9a1/pc5HVuOd5sNocwITuX8SMLoeURy1rVuWlRZyia0mrKCQD0bIXc0MAjgpspMisthDdU/A5Hb9 +8prb5uEWStyYj1L4kf9FM1N75ijTKQHK7KVh6XOuL6jCD4U09lvYcqlzS8KZNP/11aM3myXLQt2 CuCv7FqaA/yAKM6PrttsLBaN+OWo9GL4zEZJS3mH0KcduhroNRypMbyCdT9juX0ddvIo8gsTSeS4 g2uqjiO0WgjFk56+ZFi9M1VWHPyDB0rKgLxOV8g3mVT6IbrfICJ73ZWiQciAplG9un1NbYPsISTf iezCHuji2bVGAt77k7vS3WF7nM1UH641Bf3YQ8d4DiX3k+6YJinsK4/q91HqdvvZmQS++cgslZA8 taTSVpy2x+0zSJT1kOS+IgtcAty0ATRc+mE0wQpaErh13SMtPeqdUixUEKodunZMBpgkwSHujWgi pcY1eSyct4PBhpUKJnikSSfQPEX2MQRGIDEJQvreA9il1XC7FA5bdZNX5tA1RYryTEUzqNAzDKXj +H9gzRX/Aw4NyDWZFwyN71lz7nGiswmJuJimGBkaikYCuVUwI0EafbgtpdXOY8LiJ2yMj2XMBSMe 1T1HXRgYpQ6BcXYVn87h13/0u6B6fCIZ/zi/YmU8/fVca+wR02h54xYTx36tVOatJCMxPve6Sjrn WhPjzcOZfDXgFBxBnITiPOou6mtRRD1QYH5o5D5rvjKT7BwAWcytwndGXpLqU/O/lmK9YflpdhFh +uNVq/jPQNsIhXjcPlyZztsYCEAROttOCdIA1Jg2UOBe/VPo8BhcZnKJKK/TOc2mkv8ZbvIERcws evektxyc3N6coyNOZweZITtU7XFiM4YyBC1G7l8LfC4wnlxvgg0h2Ys8sXH769OOkGgnlJEamm22 Hnw8H1h0QFb8Q6hapg0zO2xlRJoUcv4sYJN6SAu6q2jHLlZQx+uubW3kRcMS4YD35TLgY0DNrHIZ Z58/7ApsrjoBy7hQicpGZJyhzDJty3LVTOamjFaNrH1IJm1H/4QBMsdMgZzmy/hrWc1tXM4QMfHc ZC5+J4H8huaWxvIoap5LZ0pz0DMXAxAl3f9NUlt1rTbwu+rUvuTdiTW1kVjpJLol9tAO/3/tYdB9 5lpCTXVolEKze3wVVC+kbyQAhPtF7to53HzUK0TtlGv93S927bk0mha449qdba8/UHWO9W3XBgf6 35QW501xWDfb7tmQTS9aLy9MsQQzBrw+EDDM0C7mVLD8bKVCMsyY7xkTUeWkreBv5VwHrSFXmdQ8 TXCjuSpEOhgvuwvgo/IiaNv/hFHSv0bRq6BrPnky6sDytmgw8uh9a6CqLbtp+fefkvF7UuUZQrVM +/7vy0nr7CuXG4wi0dFz5xs9yTdepGHaTnLkh/5RpyRdtwWkJUwasbmaK9GLtNnUqvjkQZjItp2n 5NK+0qsw2IaWEbYg8HETqxWXBItejfLnuGrxphXaAfAIyzRP9f4PQS2q16G+sGbVui5n6OOcuhli BxcPlWUNAJQoBQ2mY02vHScO9svNT9zeZx87ny08a3HO8fhEUfjeBtPKjr7xM9cVMF0N/Hgoi8Rw cu/D4cGGD6TIdipB0qYBElWing5sKfR420FF2kWSWdGeLK3JnjixkWultADOcMlsD6vzZQSRWQAS 1hRT87rOx1t9Zw6YHESMx+KSCUv87Th1FKcWjmx+GC42SNrtt/pkecK+QCgm5WMA252VAcDlMFl7 CibEmmgeq/MD99hBN/gfulhWoMEsrtz3mL/bv6SdD46cdkIB3kQ1b0JKBuUzWmTa1WMPIfDctPRp nTF0ovX7g69LWFzIQQZpBDytflcHdHOPyJSEdxbjrVzlw2E3w5VUsv0HXWshvGJu9GhmY+ozYyV4 tqUwXxpIQ+aadHCp1EG6CHvaHuL5S3EFuZTr5Vy240NTAZd+j7RQLvDb7K2afMWzYmZVLdxI0C/X tDxruvVcVefXbor+RgkG1iopM1TiDG3ja24j7DOnq2c1tj5034rpvh0ha2f3VgAJ13pc8cWYAzyM 52+GMgHGuXBk8V2s1NNQiM456fbc3yM3h1dSmPKyYfnyHS8Hz2Y9pTUbOJ37QYwg1bgH37ymbBcN NEIZ3nXfIXC7jM6UWV/uU9ThJkdFIR1rssRlpJMB/iO5sIKr76ibtHXY0S6VjucEZdBfQzktfdXk Ad3TAI8tMsrso0w9egzFksXdBYbCODk63nyqHzpnEB3r+oTqQWaV44QFtwILTF9/4IscDZIO5yiC zbgBJga/QkDV1YfGbpW1DyydKAUvNoSDDx8rXBHl0qVoT1UfJEBzUkxV84NzPA7cs1gFAEHRKir6 HY3zbPRJGOnRTtCigxLy6S+ozxIr/Ok0V7LYH9CBeKcbgUPW9FAv8I1bKSLJMCjRbqYaebofVvsO 5tGjbQdOUYmSrP9Zi97nOVz8I+ClIQ7MMMNFJ/GnRJKbTAv24EJANfZ2IwJtKl2mItw0IcxUqQry pNVa84W6vkx6mh88c1v2Ilr4qQxQgbggeuFWHvy39Ff1Xn8no1HErj00sbTyiMhVyFSA2WZXjAYr 8scj1epJDoISgfyHfarBi9UHJklbOA9TllnA8gzzvluqiRqgxYeh5H1hJ8PQR2du0k41ZJ3w+rPT ZfsVc9uKvR3fzXBO44Bza2VPgsyiIZeUqRnYE//90rnZDc3JGvuSOG2hqdgTyTtLax/J01UXm+FD 4fUUiwadGdnbgWrK2c1uelXYKmDrX9kUHcZ/kUsajVVyCMnc1mjOO0WdYWbkSoA1fja39dKlcfad 1SK5Zy6iUXu1HhXXmXfvy07+eXPYtpml5UN0wxJI2lAOMuUMmulo65j/x+piLWRNQez0i9iz+esU V+Jvl42MYqniqQ7fha0SGzkefUbk9ZQnb/xeDGGiQjUt37zhZI2BBlUWVzZcAYLzurlKBbrgCDXT BGNa/3MAoaKjxek32hMTUGU5nxnVt95ZqBzQbNUW3FiHMY52YXQjbfJyDUIHGElPFxhL08SwRm4A ow36KSjxKMoiv8cLgKL89RERsfEWKio4b0gpGfrpFo0QsLh7GAMhl5CyjTyd4hJYxIC47NO9rsHH lHlCH2+S0jhgF8/wkKqPx8Ed5K7I1IybQ2FZj6+3+JwPGuLkNyuVPylniK7xMMTp4SGYFKvOk/Xv 6he4s1bybONgoYjugvZAShG13V+0u3VmKJb4F3m0d1UyhFVfb34gTN65lu+PpxGgLzj1Q2aR039A u1as/qTxtuH2KxOYmgG+7eTuwuMhK97PVPeS3ClbuOsY/3MPK457tii3gcUO0awXuVWWyQpyteXP NXlOYQQDzfZPFkKgvXlBj6ufBB3WvTl7VSl8UounkoZ/4/vwneslPtlvoCm525is7noRUn2NsIlR NhzfRPony8zDIfy9+hSlLm/BAa7nFyd8snLIsUPRRC229U9fEQPgIEE3xz7RpRO4xo0dFk7azMM2 w5FfIcey/x/V2LwlVy7NdSFmybD9CoqwqQBKz7hYW+T7Ng+CJIdpbbPebZDFlSPVWthwfmgFVplt l8JBql6dnZANgz4u7vrP99BlKD+5mjfNHAyALZL6mvLUbJbShV2KvXTruAuFc+gUd5+P7nRpqkuL 31EMrqF4C7tVPEuY85HJMRzilEitLQyzXpPaeGcOFVb0pt7ynxBlaEO47OTrvyQbESmk/hwwHHgB 26o6pwfLVsjr+D4Psx5sFaQG3Zbmgv6tnWbR7KTwglG4HwoBUjHEMYWOGn0zUsPLYKSi/tqyN4Yj OJuao8NdFqLOfoHebRCs0vMXo574nRBud07yrEsSaHYAulUoneZiZqH2byie7gev5rxSxitTbalA DDiC2X/KCwFst+B1KOf4hcgxz0s42p/5mTxidN9l2oARmz+7ZdErs3SnsuLvANHCQbyu1n1FEulI zCIQWcqVSGY3y/54CYiwAvNh85IJeVbGYN0F3g7ax9eGXyrxmllgQ1sJr07/YlHkvv0t0MMWPLVe eYMvDzRpcZSy9fU5iz8UNNMQpsMG7DwC8cWAfnmf15rtMgNstRzhH03PkIDX1bQN+eQjanNEniBS Yskpw2rZ4qm1MgjLALOvxwV5t4cuKzpwhCGGQ4isTF31eLZcCXSGgExsbftMUqmkpA9TZcfxvhXJ J04IBvA98bs6WEOJZqJC3PrwgWWVJffGsNvkcIq3JzEWxu05xWUKRnVMkDm4PrVko13SC81eyjlx 2HD6tmGeTxyP2SipqG1KIY0YFXUliJtGK+Gk/TMQ8clLo88mXuz4/PcxQltq/s4b6W6bBuVIYZ/Q itPBTddTM4UoGHw93WYdQh5tV07NvBtPexpRZSNDz88e7VKidLkUDhWerbOwEbXcEv19RY3C8iq7 wxw9mkkBq7SFdGVOZFDe0Sw5KrpKrMygNRX70NtMiUc6I+zG0icmImnQ7bjwwOK9B1MKZOllZop/ jkIaDB5P2sXycreniTYL6a9xY4KTKiokPXWRcFGdr4qvLgBo7W7rVGNEt7QXUmWeK+dCmSBciSDc GDVbcyPTKoovrDOlxuSuKnKJpuAzZMpolKaUp/lvPGT8iedxDQLkhfkpliryRzOTqBrVO4Y0Y7v/ 8+/2em8nL1ePM8sdzF3UgtcNAGArr4m5GcbCAPopuPEzMqhMIU3zYfb6cvXTIMow2znHNr/Wb2Nl vnkg20AFoIMRGNL6rkzywbIW4V9sNQShC07cpBIjXdExGJpZHO1KMSPYE4EbqjV74w+V46RVALA3 xTy5FnY31TjM9iSUdBTbJ2092/ZnQN/ey+Ogx/hwkmW4z8Pk9jfIosMiUnrNNWx8xC68iJZuFyqi 3oRJ9loRiDxA85hmhS6W7SfdGwEIL9tnG97ebn1yHXAk0CLWAdfJbZkeMZVKJRsp3RlILwjoZS0R ojVapO/p7RiD2AW+9GfsxUM5kJWti1/vCDbECYUEq7KNHxOQTHF9lByLCcLhhW72FBx3fifXp118 AIl8MKUqlPonA1Jdti5pudaCimLne+QBbp35V2EzqadhksFSOer20UzkqQps06uZrnXYbXfy1T/g Mo//f34JcJgV1dwxTo2lC66PFZ/p3Jdhx4BvmiD2W9Fk3J3jr6dMdon1wk7PrXLCXA4O4Ob1x8pR emFM3TS3l2jfgTijfC09hEShQDUneOcdSpdtw/LlVMBT3E8uURrfgOPZI+IC1zSmjAlW5CLgPg0B ylvAIy8GhRKuvwFC23bDvFioi0HntOKDddP1fzuhENjItFCv4rQy4QDlb5GsZK57Ydbubb89hNME IeKEfb6oqANbC7tvZl1ySKwytJlSAHmKFJ5b0cfSH6Iu8ZIqz01ekMQHGaCVe+L6PxNhbDXnNiAn mI2u6AYweEAlJwDTzB0vHl8anUvGUitB3084+uKsuzP0nV6dJ0ygsG9zf27GLxtVf0ez48nobE3W AQVRl1Pj1TGS3rKqfL5IahvWQtSy1P/ALUv+rxE01BTNx4GzwjHksuyyfUj07vccoklxRm0wbI16 EcD7ADaEbWipH8dPn5m55BTfAYra4qdM7s9U5ByM8BifazlT9nAddIfDTYwPxHN1IX0isWS9d0Iy Tqh2XDVWU2HaJYxibYxqpnWv73Iu91SDalzLzWh/33gKIFiJFm/HwwJmZBY0FasfD58avWzT+/la fsSWBHfh0HpkP7P1duewUvJoiiv5RcVY2wumzveP53LEjylS+o3DIB8G60nFfmHwRwWbMmc4SkVA IU5g0KF/4eAxWpMpkWQEPhXbGkwSpkcTGwNXQULF9ns9zToUtUBidpRDJLo79QW9iszmUFt8MuOB GylunFX8/VDIHN3YAndqUpaYdIBj2IVLsvYtw6fhgqMxekA42I6HfAUG9bTCGmOf0oQIpZDOHZv4 3lYZ4FlyEVA7WcrlaxTZqjUNPTosj2ii7FTjSErtiPYW7ySZFL3shx40I3S97orRBgH1UxlHklOw /LKMYzOtf/xZG2hjk7ELOuXMqtn9JN0HQKz906+tSaT9dhrYLl6Y8OS/99+TljMwSjku/U7RBXhe /qZ79s9xwsb2G9PrqeovX0gnDIs5IXv8xcw1FG4i2viw/6GJXxfHxTEOGOqzp1jOcJe+apRq5HOL qiBcT/Gi+N0zgM0WwJmV9/pPL8XY2jLWTto00w6cBT9G6U9Vj+iQpoyIJrTLykC5xe/xvzaSQ5vG zcodFTtM6tsPK+GOSLcvHLp+ojB7PS1y9R8u5jdjwlP4wTEuvM7VvHGJyV3EZnib7reXyj8ssRAt mLCY0KVKYgKT/X2UVxkMGZpZVQi6cGRb4h02B1VhN7SvRppZAPdO9i3hYkQDfL1RwUnrMNE2MW3h +/ZNaYUQORVeLryuJMlaKxHdB1in3wI4p+iZ9O9+BMQt7lzdAeGz4njisV9sLlPhGBIR4DpXYBnS lL0aPXONTTAfN/iYyDj+169rXxpJ6Eclq/DpjAWRZqucbh4YwDDx7QcL5tL5Z+fpXco2uKr7a8hX NhjFvziWSg6hLExqWMrjNzYe6cWbHvGLEYBBgCRhUQMm+0KN0jH2WVEwtJs2+H1a6t3lLHK3qYw7 SqpABt4aTRCsGZDaVYq6AYLvj16XBw9SGmmzkLgX1qMdFy4UJ0Cc1QiE0M2n8oN6BJpFvGUXOl9n 8KCfhQ1In8gulM+4DwleyH2PXQ/5ThvUc1VRmBGtfFeTFjXRfv2dFMlEDm+M7LMT7sfm2XkC9OIC rJKWWdjEDWcNwvbGQWTjKIGHcaDHcxRcG+y3FL1/NxxhiCF1NBU7pQo1KPpqnFEtaW2ZgsMsLzMa hCGZ3R3WtO2Q+f3hbum1WLxSs8/8OV+fui3GnNM/Bwb4cBuPOz0KbcSms2U90hVRhUFMG9/AbFj5 Dwroqt8fwUqpg8N1h4FuUGS1OhK4ooVYMcKPnR0uY4D67Z9khQ4SwmPJGqWth+vFwSpklOL0iy3+ vjps4DvPoUehFmb8rY/uewUEP78WPr9f9oBsXQhQ+TkY26op1HZ55BmUIDUyjdyzxgC8kKINCYG0 gP0dOUXUe/e2ALJWZR/7ozGge6vvpJb38tgINRxVjOAcLHdFPLEtT7HRxybwfI0yrbSiPUvYUnoq 1vPccEna40Aa3AqSgK2XozkBJZp/PdYwuGiAWVSgzm7/7VwwGJ8PwIuBoECRq8aVqXmjUq76g6td G0PQsVbqiyAx1p0piDiRnwfgefjZrRCWp1iYnEWpYl+8fUhCTg6mrGCValnBeAWKqTOxdtAdCGep qHGKZjwUMOIZrQd4noeL7pDEp1drFDzGnMbzDVD0LM6itgjGOHoHRifzsZlRML6rhV52iiIP1sNH 8V1fVs7z5iNUx1P8Op9jpFmstlDJw0h9kSmrDgXL+xtJXyXu8LBui+lRxWA7FUHsgorRBOdm0dNV VAVbamvLl3rN+/EMRfJaGpJndLk8Gc6/2QdKpFsz3xPfaGDYA90dw4kyqcwJvgbdJ99juxhjBvFM sUgeJASyELTVT4RuGMRXbCQv/6seKSzU8G/s2/M6HJOWWIdLV6zYJPSxbMK8X02iJkiKvcqnStF5 vmMmZr8rtoyESC37lYSREWKFRWgaSxF2EwBaiNPPiCHyXK9Wf7n2Y3YpPxeveo7IwnUg1ASsiyis iHZUg31DPg59pFP4vTBLRDL4TaqRgjQip043IDVAB7l2aTiJ0a1XTR7ZwvzLXGH5X18Htri+nxYi jQi168iHyo+NfY97g/o+jY8T75NYQHZkc1xeJICPpTQWiXRCqaeXed/FcWKCoGsXtgwq4715kse9 UZaJkhTcU3YRAIIY1TJUNYQkV7ub4nljCrqE2c4FWa0zpSaqOV5YiuiXoO2N/qVbMf6LznptH7WP d0Lg6pSxWRDSTPE8c359+u5CQJdHoAGKwE/VDpP6ass7jSEWr4h+lBvpZwyzGIWawg23njwaYY2C GlY6CXHEiLbTppOt1Sip3FR5+oeZ0DfvFKsJmhqZ0bpRuKlUw7oK6wm6PXBH8nDALmlJ8j3EfTML KQyebpgni+YZjdHGxc/MGaz1Ozco/JR4/6zmpnM5qFc2ICYoPAshYzB68UBiMWrwQBThzdtfbBMP XgrS9uUl8BANnRGo7DFUCQqNiXBk7e72ZSHn2v7/lOY+burTi+0Z9mfUO2iRVarouG4Q8wKpY0/T qJtsyolmo0DLNBVsLEe1Oa/1Csb0xmWAK8gOGRvjy5Mi0iyypOu4y04MhlzNHF+8RIUXc24fjkpC hKhCXPzj/zPDrRZwqjlJjyE0SirFIJXy+i/dTSWNOriUSWxS6gZh+45e9aSCz5s3H72AFW3fhybI izksO5OETGb4weRdpVPCufZdsVxEEPYlPvwhfAdj2ingE5BZBm/CHhehFaISG1aIpkzpwMU7o+oh Bj/wHhApV5Q1XKfDZyLUsr5mWSp/LBk1EORmxI12A0+UxMwwhKzeiI7d29/9VheD4/9RhJGBVgva QWRTl3UGsoitu5d9XFhhgD+aUBuNBLLRmKtFMvONh16J81lXx+/CfuLuwbzp2RN59adfiQig86PS NLY8pO+rHw9nqrUg2fb9agVUNOZW+UdG80HG8XPdtkn/t3V5r1yiNNoJL/YvEFD/gUsMj+sSPiMp DVtGBaQr+WTXke++DCATeUN/MVLFdpxIEHDscr8p2BRV3ecID8jIAeQSN0wZ6372DPjrbzBhcwdB jm6uht/hfiqg0lOCGvQwfErUfyQP7JRJ5c5/E3kzy6omyEuuLTeXoHLAVpmWH4ZTREfHcz88zXls PNabiupKCJmGTaC45uULUo7HUyPUcmpj6eWeXWa+mkAG/alAPnN0C/ZtRa2cwh/EqLlw1wVfonEa AYMDOir251VxfghIeGndbOzBtMBtOzRWZDQVYoDRWxlRqpcyR76yUxWD0RZLBSamroYx4LJuRSzH h/0RxXqaNr+sU9/tnM0RsFAeGiZMS43HIxcAh4gNMbKBLMyZchIoofy+/9rSOt4yRYJvWpwd7DHe Q+gzLFSir2xwSflJGkape4toYXHRzdmiENUdHHqxEzlGhaIYQSFFHR7DlG937Sd+0BUGjhm9Q2rs lyvOmEiCx+kj1K29oEFlIiwKna84mJytzmeRPTDxN5kyOb7VgJGSs0ScAgzgJ7uZUCLTjK6Y6N3/ YwqZln0KjSHLENqI3vrtff7FG18hWjqo/88uhADN8itd69xh8seDM0WSUsWzW4xT/69aDWx7jTqT hnMIRV5IRAIed/vGDG8IPtC5vQ0w9TDdAVVu35n/CJaUO2aM7K/mjoFYiHT0AUZKgWULJAH3uCLA gwDB35NB/2cr3yqNoPn1hPHBt9hsNeIpHboBKqu+0NLOEmeIVM7g0aqSjCboGp08sv9QUtycEnPt eym7EjgsP42qufS8XpYex7rmsxI39i0r+E7wMwJhi/PWil55nmdRCJQZUiJjwPtcG1vvup2v9E0h Evb0JzwQzTRWqRPBCzzxW1pozSTVZ7gzlf1ZlBvSezk/up/+/7XXslQlfKUWP/Wau1rHkCsOixlj 4OH+xWk7mqttt3ZM0Xo6PEwtW0YzD4Za6lFOMtNhljgSlpL4Q7OwKxWA9PoJ6ou+mFKBeFEjtRDA 0rE1VkeIheBAC2VsCh4tTwVhASBNfXcuJeBKTBdBvaJn1nKgJOVky1GhDTryuaG5RqubrZeTmG+x gy52AaGSYO2nE1APKpJtZYLu15aCt0qxrzs7q/Y0qo7nDeXUWDdq/AODdyLQrMeZAsGDZ6Aph3D3 /YklWIl4Sf6X/r5dGhFhVyzYDxf4T0+L/EY1n3mIfoyLn1J7+RLe1CuMWDh8oL0kdTH1ENVENfuy nv4ccrslNIOsl77853pbyDAqs+m06LKqUGYWQSBesKNCuu45zdiG23EqSiZaSKc3j9pQpuYHimy/ doy15/NhN65xcyLV3DtAlwz6JEGJTtvIBkK8dqnjFhH63JYNpsUdY5nkTH7eo1GKKHsviPG2hsk7 uqucwAFwO+sL8SgtSx/O9Y86UMpY3wEXo9fGKRBn/xNEA4VpwpJJ6OfEWRSENOgwIPnp1QHv3be3 RIcwqiFXGBvYfr7bDNB/i1TH2z7HszWGCzHkzKIgxVNNN+rgNE3bmBS9UlQk/PPdYpxxH5yWHpzE ZV9lj0EqnrCvkVGDe9WTZ9ukkDQrEjWKiy6A0isVuFK7FgPB+CcQnPRjxMZpphHpeLEkZjKdht6/ tybe4Vox4e3PMRkeg50IMxXt0XzNDaSNVpHinsC/lw5kPeBXPNzLKUQBKRWF47+V6KtGe5OPPUTD HktyXOPaTZlLOeM8g311ejnOjX4taI9FqWZVee8RqZAnOSjGlttm2+k8bFk5cGQipYYNhChqNo3n 7hPcxhWf/tWuovtvz5TV5022VXIrQsSM+A7C8T1qLA/ZNuWMLEIiFDFLlmFtCm9fkpfbuzqhwIYh iY+dhQiFOGOkVJp2OCYDeNoxYlydhM0OJtnkXWg/BlMWoU+BYYNJOWl0V5+NkbRNQB/4WQyFhEjX KBryRU5MTuxVOhf7E2LsIYgXZkS6OvQ3yFp0I3/Og+8N4W45GUONhRPCqHE6oZWku35z1iy3FKuW p6PFlsTN7cbrlYDk9GwVYMJjrM5mF/hByLwWyLyoKFvh406NI42vX9PA8IyeMBTJWYuhGWbISraj 4ORaQTBJCBHGe7hMHObeLAwqk3QA3q6FV9ViH7GrkfuFVhndtvLAM/7ks2+5yW3nuTeq0Q29Vq2Q ruwW9CvQOWrS9xoDTD+ElzK1WHNGUkiUaDYfFFiwv6Ov0ySPDpzQn02EIk2OCWUTQN4s3lNRlgH3 eIWargugav1qfUFvWaYqShL740HBNoC1pAq1iZxoCq6fL++/Nl2S6LJU6wbLAFpb/925PS+PaHUK X1ydqFW+g3lIPbjGpy9XV+Rr55t/nMou3SJEq5/zQdVpd0VH0CEQNUziwGv0xRKdr0CmYZruHllp GUyrMCDgmWi/zBZf9WGUDhRHNUGTaHTNeTJu2qpj5oDqS/caEbSO4cNCxv/pn7+7nonzIi5KPQ1L +nFjbM9BMqPi0kdDyVAusKceRfyP+chfr9wCHaXAZkA31WrR09lNEF5NDBP6/hqgzptOu2mm8Dfc 15cJqaFTlqcORfnvS/GZPH5wi8frMEGmdnizdHj0v1uqRdrFaZMLVYHHlzSDG9KSulgjWjGUp0qJ n+K2Mnn0qF6VHwthuFIlhtbQUvlvmDsUHKmDizdhg7+mcYFUOxSsWnGGaG5Dda0zCvJzJTYAgpZy prfdB8vvvHJz1QOUImIwBbM8KqqV0n/dr4tidiZlLCC5jWk285ENfbDNCWXAw2mRcCmblp2fJ22p LniVxSZdPS4wsLNY6GC2jkOF27frMq9Rp9EUNtQr3TQkhHqvxLaesfWd4WdkNgMMppg1xEAG55HR W4M7gwhHs5WJfVxZ9cxoGLwaYTt7BFDteznffkQJjzWNRguNyOjHvF1P71iL75QNf8NhQuziDCJm Md+feE5j4/CfK90I7V2Z4aMlSuXZ0zh/ohze/bDyTPG46krlyscYZx7hLoEr4N3ytZyRD4gp2EZh W87L1lIQiAV0GkYTTN51NYzh++5vKsVyXe7P1bRVH0VuEkE37+KZnXh6KMgaJEfDO41cpa8YCPXi uGOm0JT7pNIP46B5bY64SS87N6Re4MZ+E5GuSaaekxjkS1q0bbl9TH2NbQjuXHB7w2DPPJRUbB5E +75pcot4unHniIqaaqJOeO4j4axis7gPfvG6mQJIBseMAm08PEDaTp/waCS9Tg9ChEbCBXpHw4wx G0mKX/G1o3dFgF79Ec8oeLgcByx8Ibs4px1XkTZ68YpaZXBhttq+yOxEBMSy9tK+bl6iRzvdGB69 tfatPAp3lMwCK97dfIAzEsj3Tt7w4qjcWGtbordqT2oBiw4x6BqswL+BAJoKJdkvdqQ2KNnerSjx LThb38Mr/U1SfTDO/jKWYnv071E29Lvn9R41q9jzqcgG3xkj5aXHdqgzYCEXlYpZCWVlvgBl7cFC 0vO9AuXJ0hINBGOZAoC1+kTghUjUufHv6clmqNlcafUQ/GM01BQOBI/oeVj9BsxhM9gr8lFClLg2 1Ysdkrmm1/JQ9XQUqNl6Lt4tUuyfSOl0dXsGGyDNnXlqFhKCeSsJoLuYbyJ1HlKBbMDaB7sQVlvt uepslLkUqCfu9dbNOoc0jjsa7TdC57ObRwV5P5Zgw2TqfW5ZNc6nBkJT382DPiWIC3+s5IfoIwxD utojkIWUW0fT5lUqUxtN4GBi+i3iSuGmKFAvK7gFVG+26csXsdr8XshTdnUhH/zv3mfesrbPolPf sYWNe+5R8dSNLkGDloPqzW5pc0zAso0NfFqXzNZGZBbYq4rI9yWGuajMOrmkLnzLYtqAh+6qq/7E BvZxqZxqKsH3KdGpPdz0X4cYCz/zH9Rkgq/HsSeSc5JyQfuamsKYD3db7hpyPcR7n8WhY7ALnDbs g6PR2nWywx5y26KiFO9RLIvlFihLfVe6r8Ak7EwdyrTFbV9vkodcI6BlevKRNsxJw9x67yb24D/0 lnRca1T5WgFh2HljkJ9gPgeu4EtFZpbAtltqfAbaiWICUrEfipNjO/MD2PgDNsgHNT3TwIRN1Faq V27vv7LS23al7RjPHHvMY8k3qE9lfWhb4ZA91JCZO0I5tk/g9UP2RDO8TvwpqqSkFeErz9jp1B98 BE+EdrSqW0NfVeP4x/A2AiuVeLt5Y+DuD90DrA/lUuDQYLt8pZ/WzhnmecCSj4eAEkzbhNm3jbhs K3V7la0pGyxQrISdswWDMC4vdy9RsGOC77mb2/F0TYL/ITxJYz8FY0Yu41b/0kut2pjlOrMuVqWb CZ69MV+dO+1ajJFbR+I2Tj+1+OwHOgkK2U4E5f8W1ooJ/OCMZxavkKwR9GQA6PcypYGvrmKhHheU q/LSp1KfaPs0SaBwchdKXZ3B9QoOEngKzerLOinnJyA8tD4odPo4nK0eAcPccBg5DlkFpIrRYAHH Xlx51q5kl1NdnkcAb81go7pJBBUBelxKD1XEu6TR3nu+yQizn9codfUJrDuuclfmfGbmrPpqy/9h IYJmZxl4EYuhfKKUn7iAzXBoy2kuDLUgec6dDZDLFTLbjnsuUtpR7DpUsuXubPkoNH6dsmfibzHd r6Yd1L5H8mVy/dL61kIEPx3LxFYUirQsY1qZBfn4hi1g8kIrPnXcUxTk7GlNEnWy5UyZg24WonCd 56b2kZSej6vkk55MiZLmOzaguQaixkUB/3DVpPb2ZjYIx029f8yQ3IPrrrstuSwbWlrP7ij+1MQs mfMJ2SR4ba3zCKMdXJKcnQS9WGsFbNm4o+1cDu0c5gDqum0ligz440J6wDnqE6iJe1pxLZmGYLSF eAGwNK3nb2Wgd81xLHJ5bEmV5jW7iQS8SaSC2a73DLhWWq453EosrpnlRuyna13uZIWC01KX8O/H 90olrOu9rEAsbCU4r0FR3lV0va2OsiW33Je4NpzgsXI9tpa8IQRoeKtFO5dl7f/46KH8KeFBhMfM PPxrkqjnjXJN8P7yHjqOw10X6beo5ZsCPntJXqwTV+O3Q4wFZsQ6x11sQ5r6yAUdWi3W4/dCYHmg q3nqbohwfunG0a3xY/5FH9R1llJZLuf9BkCZcGjQYCJ8agjfcO+NzPdd15b0CLJnic/55yLcYnk/ Z/tVk5R/kRd4jIZbhCEayDXo8ckt5U6zL40gx9qJtPtef2LFmRIcULQyc2xpKzzDIWNFPchhRkY/ mFpJShO46RA2vTOygNzaFRmSKf5Pw+uZfixSqk6EYjBBOf88UflpRjnwy30SPEwRw/QzQqdjZASp H6sVbf2wiJyI1V+EAZrNtvfR/fTYb9yYJXp3EkKDUgqRShamiYBXsQ5DcybVTelle5qq/6EIJ9W2 oShDB2z57TDZFalxaOsSGdCyKhpZs0j3S4pmzLAwPIKLkzTyhd1hFFSWX+c91ZJCOqM/EVhVqMe/ 87KClt9iekANYCDLmVvlbikQL0qxVEiIfRHF+Ytwqqa557jhpW9kIZfV/4BmpHveHni8CNkkP2N8 NyBB6nxXMUFkcVg9zMgSPsOsm9bwpo+ChkOfzPAQEThugp3YSllJIvDZdm+XHNeSabMgT+rVPWHs ZLEC4H0hrxpiZoicvdhRdQ83qEWS4TppFUmocVeXrTG+0stfA4HSNthE4Pb4BniNKTE0ul0q1lMm 1iAzV5Plf6MTj/ywhzLP8orMttbH4R6AL2whG79lnnmV3VfXEubhFYhuZoZWe/V7BnZXix8GXxz7 YcyRw72TZyEtghHXUJnJmD8Q9Z2bgmYQH8cVjV3ekizgKj4/wPjmrjiTa+KaltGFEUZgUs0Powwy Yi6fnlwqoqeUAV0ns6d5e5h6FXmuH1SXf3f8V7/QkdsLmDHEAMNcK09CYSWcDecZgQYElKxeE0qZ Y1qn9cM13muNnFSOmw5lig45cHyk7VHZRvQGpoB2DTN/hSvuX4dKK7LXyL8JRp+aWurw3DvajSIo JdyiJ4ecMNzFbvXSDmbm5JCDOq97dO/yq2u+SPrlbo7S3y+MoCWltvtuCns++QRFe3WWavPOnRCF e2WoH3jQFOM6M/RvGo/WJQyHoPulQC8i3kRetQiWsOeXHa3PGhJIhHkRqVyFcgZvABw8NqxS5OPR cKGSL5HzYhaqWsFWBXO0wK1oQ8zN7mdzUegDBGEgURJTgvwjZ9RtRBsjK9eDP++tkfjHOew0cJ38 Ri+A+1jeuEiOx7POkkoBP7JaEaoTBWHOIIHLD3kQN3BU14olBwJcU/dXoRvrgn5mD/hNqNaTi1Kc yQe8YIFgTJojd4/4XKJPC2cygd34JWrtdCxp18nzTDC+kDc82PTLrNG1uHO2bIuy669jlc9Igv+B S3HHFcnjAIj+DDmmFOq1VTl0083e0A95tRvqqtuQjTh8kzXMIyLZL6BCABpr+srtZi++60C2dkod YtkkKACdos2PfEBgYDsXy1h+1T3ZHkSAYJ2NhgQ0/AvodXgnnJtEd3pBHxv8J1NtF9/5gP+U6RwX u+hINNKEj8kL0RKnS4k7uhuoZLyItp/gMxQazW1vdD4ws3pWnjeerk5IEzcmWa+tQ2Q1W/ZMat4D AFfFL30V577JEqi49Y359iU6hvBIbtrz9avrdnPp60Nwdb/+kDTMWXefAl6NK3juaxMn+cxV9aHi lc/H/PDRxyLgA4S0Npl/W/AdevvgtTtCOuC7CPdLr5dFfSsCd9SbcXt+tzGl0SFjrgUP1PupcMlr GDkliCXMVvBevcZyIACANTcVYxLNZA25NOs1POZmrDIIYoo4jtU7GQzgXHXOQb+soEKszmnsx7vc 4ME/Car2HTE1nYDtXUduOad+zyeI9v/0c2Hwr7GAYtgXvt3FRgGsI86UGlQymdBFP36AFHD/Xb/9 C3mCfaqpVf2jD41vDEeoxPKD7HtemnK7NXJI76zVtrZ+JF6jUgHPAvd6iSBuze4vitY+vx2vJrF4 0wgrwC9HOej8wgp4jh+vcel2pAn3t67MIV4J0ypn22d0uKommf7jQcA9sgu951b0f03W40vWhlRl 9LJLHE9zjmPjy9DdPfwioBh5KWcqWLxxVvRvyVbHC3dhDwd9SfPJGzgj396foodf74icUpCNtDy1 2aRRMdb/CUua7TP+pjPnZV/eBWD0ft46wRMxXXVCrkdZ3cER3KqDVmwUdaiVRX6bDH5ugKkwsxdw 4/vooUDziCCUXsTEoju3HAQwPlRmSNCAAQWInl42Bh10s3yy8fbkjVyCfJgDaYgDdD1B6LDxAZKL Va1Iu++B3BKSgyVYe9oM9B5ruQebaAV0GsKYQy47mx4Ur794nIaK69562QsyHUNWqPAX4h/ScJXp ZBdBd++v9DxvR4Tn+kJfl9YikS7QuhAoLB6LSo1GYiTfSs/83fvUHt+yVbIWqNi3REwJ05kbeNLr +wn6AxVjwSSpItiwXzL+dthdx7LxQiBke9oIwQqkj+yXj20wMiyrGbJnQ+4AZOOT4v/If8wLi93R YMZFuDJ/N9vha8P3yLAjrPLTPghVJxf6hZ4wbh/k+b28GgzZp6SoLsLship2WyooOt8yYlbcycC/ 0SQwCj9PhMIFMPWiTqVwrtnTL1ewpCP/tt0EicLdJOgCycwz8RzrL1BFtTQd9vkjB6npwobBhpwe GNMMO9mjQyfRFMrF+K7c/eUai2dATY5kb983JzWuxPheBbhV79LU59mfcsBbjpK3+Jo0FHBthcsc W87o1MpTyV3da3ernt2Xv+ZgtiL11i76sGWtd3wl2NWuU7ffrJ53gD+TIGdS+IDcw75rcDQO98KX PhSzH8pHkI6IYY/APjOPNdYBug31kat76omlBs4NarfllQPbPoUxCz1pmXhzNTs7WMxsmnx3YV2R gPQycfLgUpfjTiiXpjz2Ks/DOlfNa8vj5aI7eeSDfU8SmEbyMbg+T5rbNqFqf9SDLTWKBFg/OQm/ KIVrQ/tLYn62B6ZaLtBsL9vQ65BSh8ZGwCwzCZ5HbqOlIrjE8hHpEv57wbWMVjczkBSD9OknxQOH dgIHOuUaVHovd7bgHq8tvreDIv3ez/jaiXnAKUOhZF1RW+wGsptGGwdGF6DTHK/jilTx93VpZ3ls WYYgD+Crzh+eGfwK7RXDJiAvzoAPK7ZZc1GxDzRlfwAoWUxnjUNCzfEHjg9Te3wOVLgGS+FgsTxl nN07DJjAFlfSKbQPOlLhF8LBbRYXfmw+NcqRJ++L2DclADA3l2qAY/b5kN4Kve0DIwFLgbYQgB+7 Kjjz4X1kzCKYDQagPszv1TYoPvyq93y8tO/NdUi/00QEvpC8/XJflTmuuIfr87k/zmC/2+wb7eR4 CLeRtI0L/RT3ZUXoJaopqLk5XpJfL1DKGpm+WirvFU1zoGzK1J9JJkplqrobOTFJxj0/paJvZ11K tO/mvnZ9R7M/4rnplZXc5FXHTQ89PLT8NWVH9kbQ5hO886GRVgxXGfehczzWxrYyjsFX7Y/mPrzs TddaKEPhnNYiTW3ZnuLk8gdXPw1Tay0tkA22hTbpVE67yWO9l7BOivjuOE12GCsk4LlZQ7WwgVuo p++7Vpu5P2IrvZ3m9TalMswgd8Unt8k3tfsGx+C6QRdKniiM0YqLpvKQAEgDLzlTffDLIeko6LYB W8oCeoTYy/YCHLDToj64WGqKesC8YVsjY3Tps3ZmUgSfdeAoTdF9YjQ65z/R4Sozaa/+2NBZhUY7 JDZL4ZOP1DB0QVkUTCbO1o0dXMgtxvBooDBLc+1zyC5rmV4h7XyGY9waKWrlcgyUCQ0z0ER0hWHy DZ5nqEweDLIg1cQp48qZpdESNcl2EaR8PlZo6ec2O0ozjyu+61qpG3mMZaXf3CGIB4GQBnWb3fKp R/OIn+sdpBjDSSxbIx2VO+bYvccwPmvAW8v143OlNY3EUMoIXGJJqxzeje/hwvQ8cgiOMMI93PiT x5heQeY2IoKIEFFUCRx3DuYGvL+OL/B7pjA45l2Z+TLySIGfY8VuZePV5NL4f1yLOUi6NCk+XVEk vvJeCmpTaY1SfF2zsGdohEIWxRN3XsDG9VPJiaJTIEdeB/jnwhxKh66SQA9e7fKKUU9THeLmCMas llIDi99razHc/J0IL+nyV2fjVB8UZm0TlN55z0jb+rcMn6uzri2WnK8/gNOpoQpNL1h+qLgHoQTP iWGIqvIu5G5pJaRnXCjXjn6WjX9uwFffbgwy7uvNu2uhoR+Fyw6WsgWwNz89oS++nA/xpeBjcH4i w+evndgIRyenR6o6Y+CIPAHF96FcMwsAmS1s6F9f5/dezA/SlOBQtIENBKlqR7rYX9NKrEN9GOnC KOi4fTmJNEWl3n2kbV5tyoi4XxmKjSISRm6YzkAq7IIrGkSzvM19EZYb7slOmwuLwVEU+fWZJQFw yzXnzYiPx1HtbCGD/s8hWsNP/Qv19VTe53/BJeVomenxHbWApmqhh19x6PmEl5/4Zz/+pCB76S1P bQy1saZBwuZRkRRsw0MAk4ZUZCJTQDRdu0WcMgsrjBxc8GPxKpzTUcH5Zqw2eQgjWHPmCh6Xx10n kyRgTcmy3RX0YJIjKF7K2Pz2GiahI7vA+7acJ4pmszULWIWXxX91qddGp2CfaJw2AahMWHc6fG9f FCEa8SSFg5Olkgdc9HTmNyqNMGAPCRZW/XjK67gGK/zuSvS/h8RSXPZ9fgHGyARJ5p1iqRSr657y Z8O/N5qrw7szNefBZFuL5MvbBZRrQq3Xaj2tTRacgv4R40sNAuATl7VqTm9Ya05QMZ/si/qRGMhk cpqiUfEYv4j8F2AAQnnbqeTQfCGjE2enqNcmKNXi9Jb1cwiAPsaAfq/afeboWsj4BIlY6aiXhOHj UurBhBd/JRK4zqDq8QmqQ5fxxGae1RKQulprirE2Rl+riWNRZvsV5wtXcZkpF5JnjfSaizuurgH2 Iy9dUvNrlllNnD8UqGfhdrXvFvREwWn1T/Y3fqqq72/mGxzufojGA7YxukbiGG+MYtQkBgL8v+AE OwOqpJzOAx91fA+egapCnvYEFWImr5pegtynmoFYhZ0vAkmnwbqsslXSkVpvFfSYFIk0WW1neyqf DOCL+Txrph2TKdHdbgURyLJ0QP7OE7CCRAFV5oYTzh5bgug7l7adkNczz/JYG+7LwqdXwH1xCkGd rdT36oaD9R0j01nTElPXedlKzhsCduXADTuvJGXB2WuomanyJTOfoaMMqYezzQV37fmtv9/77fud Jqk6THQkGXtEbq5FqC7Vh8M76zcqk/QId3jwxhMKfz1mrzNIjO2YDJYD0SiX8DiKH7hkZm7K+4fL pQfsnxVX5ydfy/misUokkfxKxyO6gfpXbMxXjmQalVyuDFPexYjPlAV3JVelT345EjaZsqTK86TO L2lh1hpOaOpn7kMgXBozQDz7c/K6LuTe0nfl4veQpjRy1OBWrNlLcai2wyjJHA0XoKgPdtONdzBH UUFxv73zIVsFts22I5bJLT9ab46gshQvSrKm2WAcqdeYl020kky55wmRNF//OfUjUWpuLEGyAkmH xnToipyVjuXoF99EuDxpJlcuSgu6uZQM4w3PBxgT6TzCliErdmoIk86mbKqdiR47r6dsPslAM+Nt AxG7PtXicmPKOPCAXNJ9f6p0HA7LF1XxVN/KZOHpWAkCnOx1/ZgDppDw6yqSuZNFn6YxZGhtViz1 uXRLBUSXusikxaiYpaBHvIuVo4jUe5a/HJdGPncpAJ9+qDtVun64IEETB5LIza6xWinQ44MUtB0t zHEoig3ed+ZQ0KDmcPoRC47fpjeQi5E0Jm/Q7W1THMMDty6wZumvmi0Kpfn+lsXNVQibUTNJhJF0 bDo+3cXtMOY5F54GIDxUKZHKoJvlzhLCS6PIvd5knrpGbPrOSq4Lx7wrV0D3lZyjaDbCwYh/9Abt UjNOJ3nXylLt7/cHs4Flpro3mgn4lliqhbQSfQS0LEC2AONF+iKeewTiRR66crRTX01qz4jh5gih snA22Np7l5n2ywvKfQzx5VJpNpr4UlUr5uHBTqCB923YzW75eOqaju43qXCWPApw3fIsDBiqCvuZ nFXJHPr04DW786Gw/ab3xYHevrCxg4fsINkC1OQ5LC6qWMDFgUcdiwqdjPy8pkbZAZwYZQz8oXIv gGvqzbMP2FdWq13eA0LzSnCr79TcqI2D61mjXmv08wGu5j7FlSr5hfcGD5PUG2gveHbB4f0L+cA6 IO8t159PcT4SYiQ/gIAR+2Ut1wJDW0T3P+zAE+E8WJnG449mF9eojr7lJ3fScKSuswOE8Xb0yzuJ TDqJrcenZu2ybUesJa+ruGDY3Jbfi3eY4AtPH+elIDS4FAU3+ZNJJVPEOUV0scvIObGuobwYNmQE mFKEVVpvgL1PKhQC7k0fqNcBLfYDJCIEuoCKn+oL604zfgFhU8JdTcgl9qiv0NrdcpXovAm+mxNp DvRk5BgNN5w70Gg+/Rj2Vb4YdZloqr3+BHZhJxm5sye99ztRfyrGdODrTj5CbHfEEPVrQ52HDaEE elSJ6bljkAXGSUc2v3IjLSk85HiHZVB/21I7/Dc9r2cZWahBR4WhrNrWokZwqJDIDzEnuImXv+u5 Kk5zExSmFiOexL/sLDManB9mAO6VZ6qsn1wnncq5frD1hCAvfoO3O4KETZnDUlal8bwi0W+F5g7W cjH2lvMqneLTivhQC1lkkR53/71ZK0ac4cb4/SZbGfTw8QEugQW/81VALXLWxrr5tpo3lE9Jf6ae nj4UegUlWgbUNXZHl/gznSMJ3T1rFbZlU+gixjruZgnWPaRISDUTfEI7HUYvl7MKkLIY43oDseGv 2VJvAbuZH4qrRFoJUemksB7X4BrQlnSu1suxtdBQYYIlus9U+clxeYmYXjseo00kLwSy8WcFotAG CuWv2rh6tvGt+k3rhPxsKVs62h8o/+DfJoU4MCOQViKiW3y0zu4+NBfK6UkXVVSa3SBP7ZJvSAQ4 WpranpgBH743PdZKKdslTpCOEWNUIqPuns/nSJaw25MXN69yxcfT9FQ++X6SNk3eVQvuDcY26f9k HMSNA4MfQADo82D+bl750/uWFx/zQ4B2+v793Q92tuXniy1HRjHGzUjPyXFvHg4bhMtyufxkv8Ik ThXQjMiuh1gTTSKGIEXDkS3gqZFA1X+1euyLh7/fouhVf/+WvIz4mvp8DuykPmb/8PSqbezlfxoX XHhXXxvJrtUM1ZugNyzdFWoN2P7XPUapNwGulp1jCUEaqM5ESNAhUDeiIrI+D2fNHZU3tJ1MXOIG 7L7J1xbjS0D3fimH9AeDeIo0inVvYB/ACgro8E48T1+go8YcrsWyF0B81zts6DDYyuH2Pl3UhRrI fqMr+nF19k52XXRLRFisshFOp4VH8dLej+ELvBBtvWV8+GtGVMwsZKz996GMaSKlJZ6xnNMAluJN y5quMj5AAFs6kJGDyQox+9dkaPpSKWUpR71CsG6rG5HVqUbdwdiPBhHaGEMrNUrx2AysYpEYikJ5 n8ThIn6IwPW4coju5SiZZWDiy0g/ZaFbAihZ/Jv8RZ6jL1ES2W7Yh1FIkrIMlNxzqDdPneQNjx4T JV16B2IV5cEezksCf5DhZyQYuf0/lRxCnGafKt6iV4wUjsSEgNHI8PySKNG679LtCGgIpMqDUxHr ZjE1mU3LpFd8PRvvkCGLss+azcJgpRIiIl70BUJUDbNLiMz/RYq0hLTBiqzMhYDyBtA7nE8bqSMm h1e9O0X/hXy79JYmtRzPWkPYo82Z082JViQVahMVUQ+TPFVHZLgXe+4vI2cRBl78LAKWRftm9Hqq s6b95udkTqKmXnv3verdrpnmkpUpDBaL6lGgrhJEekfFeQsUEAmZrVTYpGF/b7VTlmMUEkNkgcuw vU03X3MP52oHPiK4VpXpB1fAnClDICWXrPJZ5UsX9sjWOFYyUUabOBrsyRYYwhIHBOxTXrdjjQCd oPjoduBhcx8bN7NrUD/QBrlU2aJ1dvfg/hBXKDbfz9HCddi5rnQCrTCOeEWBuqEsViuF/YBAKVH0 7qip2rETR4u/x7feWQx6LtKPCxrkjxuAtafGrrVxfS7UpqnjO+5n8Yjk5r0RmUuqXJZ+Pc8XBXQC uA8/1o6cY9YJWje+bWjnd43PvsOxpuPa/0lK8RbgCNIekpSR9karYoiwgjqsLzaSlzyRR1hJZ3NM CuCn+8WROgDybPHStF7oZiVMw8ET10mi6bKge3EImOi3rD1Kv6pbsrp1emngxgIChR2HkQPE/k/Q 63fIBhG+3wmD/arWu10HBovmQQFZPL/QMEXcs3MvuNV00kOo5gOLZ3iCd0ttBupJTFeO0RJhgM4n 4ln09tNyw/eYOU+Hekd4M9ZsivnS8kzlNH5y2CKZhlFPkgOk8nEC3bD/EYg1mbGDRYm62JgAN5hy q6FzNOFhUPbiQZKZI3yuxZ/NGimU9dVhA3qfp0Gr+l2TSu4YVJ/1JWVuZt+EKyOMjALI485bJ889 8lEVAtV3oOMQb1v/EcrrgDKdCSwj0XMXe8qXBzlgghBzGnC0lzlbsQJGuS54krNwFEaxgiaH/k+t 9/n8yPyW871hEe2Gu/pFflolImb1u2cZyCUQe0Kj+c829dvNVgaLTpvgmL708ZXsmcgtcETUr7SV +mK+2AhSkCDKTZU0Mfn9GlXKPF6hxolqx8qB4lLxi/3yHP3Lin3XimHGNhFtnuV8VjJjO4Lpcbn5 wejE9j0JkVdPpjm9VKWOR2wbhHo9LTCna7AvSlL7OKDsvF4Elf1oHzZ05xL9o+hH2nQERAUBs1hw aDsMJxDEdRH2VRkblvdN+ppFrQ8Qb+NMwEYGZjoFLw+MOG32SwRzJL/+hKUqmWaK5KJWpXCo7aZ0 yTdnE8zAdDuaG+t6zpOqT1dsPbF4zIor72eGdfx2CZ+5NGrlMSLhKjQcDSWC7bCX5rqXhzAVI3ri 4vlcMJOFwDrUAX9Z/aNdTub7ql0xuUtHVpmRQp0E3fjXhPVKgGAOqD+i/npzHptMAYGXqNHwQNT2 nzsPuUiwIstrQGIYmJOZO3UwTZMfrkdBjf8atbpOwi+JzRfm9Z1E3lD3Xs3E7hq6LGQ8hi7npBPr XSPE6aukEgIsp/PF6EHFf4jQBXmjSQZaq46v7e/hOB+voy0RRANTPA5eMuiZrvLJTxYuag+z3XY8 1Iri2LR+6g/Bko2y6GwjSQshFi11VsX5YlGwZS19a4zLi4hT0mapblZ4U0+sysZ/TvEOCd/aMcDl vUviWDwLOYCO5CLo63r7vBIHj4XEghcf39LUXF1i3FOlImkro1u6mK7irAGtA4APW28s+MHI+d2T 4DKcwoWi4qEGjh3OPMALESciUf9z4uVtpdi96qTXDMa0GHB+qtNV+MNJJpXz8DqATVq9SZaxiK1V TBidDu+pc3AAycj5ck+HEhSl23KjJuavLQfon/TqPJhGtuM02GXC7Jwml3q+x6GY2iVlXsKAdRYP B0I9mnFQ/LD3A5zgWjiMQZfKBJCzoHgfTsx+HMseWtbhu7gCE3tQyydQf7R/BRRcPS0psXgv5STz +YnuDLhn4WmolI3e6/hNbga3agv5dOYCvphne87sips7FEsKZGoi7pI5ygXk90UKN09c6tqu+zMT 3+cwFb5fOT5gmMzLbX2qXu/FPe4tWrfVRv6AKFIhdIefI7a5Oqhrvu1nqehjh8FylwB4hm8fC2sY P/e1iVnq/xOT+jyL1A4LfcgVX7tvQQPgVF8UL1H3fHH7pr0LejGnC/gXzhlgIan8oaGR45nKtfFJ iw5LRTdA4BJNCBRhjImQatT21REKtPoRkAgOEGvuWRmuUbEv1H6Rs5EhOFtM0L6YyzVwU90vTGQn g1qrT2kBbpYpW+N/Yol3KnB2EUy0vkhEHpzn1BrPIbQ2qW8EzSNAgQFsjzfIm0X05fJJOBnlkMyD 7T4Ot3keOps7a4Ii2A/tfazRyMYqx//PNEx/PqkH2IJLsqb3P7qD1swpGZaHXcwvbG5AvKhSWci6 VmcA71mzsfwsvmOabsFDHo3E6xxtil7XqKzQlXMF2G4uHyksK90ukEuhAgjOKDR1TgD5CXMFOQFP qApRkAxbtDSi4D3sUVd8HSSFLcritK4DW2wUVgGsgGmUMrSpLJ+habKQZDXTX0nasMvrDlyhCiR3 uiiCvw4S2Zzy7OLliAw/5rA0LnPYh1dyZ8hlg6lbPYPnsXF++d8LiRzr61XgTFtWlSF3WKxfqnLN l+P0jzkjpA0TdZBJxhwUiCh4w/VNynku3zrPNceNgWgvpprcEwzXpqfx2b8ij8vfnlSZMUZoF8pl wIcElE6sPJDG/Sw/0NIvjLYTdqcjm74O+/FuxUSffaoSLuJZkVNCWhuOkxwX5bukUHQ4alKgHoRZ EWhipSqRXdH3PKrJcy3ijupXz/Aoww8WgCWEIbukPAJo2xfekSQF7Ps899OilHsSagv26QLhqbRp 4Msv4XHSyTiZgGZRtU7Fv2sF3Rod6Gn18IZgZNTuv5MBKhYDuCcRU1ko7hdExsNRuv4s2C+1lCwr E1f3hJtvma3IPcSL8GD27s1rw0JUsvUdqi1VP6xrHMCqAHmJd2k+oizLbUlgmh+KF4bSNuLTkQCy Bjwff4R5W9JgdJ1aQuZ6GGyurt3O8YPviL2nrOiRNSRaO7lPFJiD3uQdQ6It6J4IpM0nEj6XFCPk H3Up90w7719NzYKK2asVZMNDXXnJCybtLC/E6GAizFHhc62YxAzqWnmwQM1y01/nUI40wzeDF7uk XQaWnPsST61QLG8aYj3UosS7YsixXCCy20l3bw/a8hfCwWbsrWcWKAM9HTW5wUouyjuE3DRQ4nT3 WdrdyDc1SULVuVOLRrbFSVDdvVqw1pIzTZmsXbhEi0Z3sXkvsR9NAA49zeGQ+bfDAG40Edx3Pspv BO3R0U6DO5gtst7iwPdJk0DVbD7Yges3kL8G6+is9AshBTtSE+8+1ZJ27VQY3ubrxS1GnuFcA93l djmxRUYiJU2PcmNs1zEHocKrb4GBMRmJ+JeXbnYZ6rNq4R3vl0r77JIb3yaakU0zEOyXeFOQjfxr 2rOOEE+7KBJssjO7ZrvCjPu7TcGaCKn/H+meUess5P7hMy6i+FFnQk22+jCEhEkqDOzeofqamWRX fdO9SWuYYfpX7kTV+rg/PjbdzMvK4DCjvv5XludS1URWWDjAkjF1oQGK9d3LcLCgYwW/s/IqicGn 7N9rzJ4QqX0Ep8uBCfn0dGWEAAPkrzfkfIrQiVCbo0Sk8P9WwU+92gEldUjgf00tIwtuhrmiYHbF A55WHTMlYs/jWrQSwCwjxDgKIGHalsREWSs9jwMwMIdwQ+xn8zt/abtQKgxqr+iFJz18GUh5lizx cTqVFVIjcypw3o3huJauxrq9Gr7QBYO+yycwh8h7Y1n85c/nBECZMDITRsAI5nX0x8QphsjVTf/j Cwd1R6nWsSNpzZ4o2mOJ6O6ROANlK3TisYTXiHmkzk/pe3Jxxy1JnciCW2RpZBtbWk++BZotyToR 2+K0dsI21omY9UQjK/mh5A9OfpIocAk6VCpCMDFF8w93hYBXpUaCCaEE8Gfrkt/R43pQPeorqE8i m0KtdGu9uS/sbn7D5pOnWO7VxP2cMmiTNbC9Z814GIUyAilgn8o5PH+M9Vs27YfJMU5Ad+BonqYK MjsTpeSc/pbJ56coKoiU1Oqa/Xa0GJAha9LD5hSQ1D7vCilxAWaER8nRRhQjk86ryT7CWkLy+xQ/ oxROm1E2wIrTNcUYe8cy86nM/ZUt4Q1eDuIUU2cpQ1MncCgrrW6Ks/LIIXternrHREnjml30Z7Zd USihsw4+3CYcHJH9rGDFF+8SoNQec61T+Ea+w19ShSHTdcEOkzQLLgo7Bxi+1onBhlitHqut6ksl arvW8M2JK7MKZ3ya+kjs2Jot4XrwD+aC14koN3HAHSt/zhoR2oGJRrFKBd8bRjj5QTPUox1fr15O K18WlN6wuzV27gHkhko3TGRp8rFbUGmtQTmjCVm3BfmW0UxDx1b30pWpeeQ8CzjS4N2C47puhDNe MaZ1L3Hear/vWQsmzpS41iejAOovUB2HCk2Rc+Cz+bmsaKSrmN0yLhfT/WBbLNAp6PkFXXXhbNA7 rIZHX9FFHgu6d1KKjWPufIp2pKJNMxTOYrCCHNbSkXyqb5o75+kwYBdoaKJ4yUqgnzQemE0dVL8m vo8JyD6nNelk9a+JyeIwic3uDblhETjuGfGzdYU6MsZ0QWg+aAOHMyxtVaetpyBI93EibJIylHag OVX9JB2Y3Dk43YcrHxAsl+quLJbOEhTm6AIWMOXWEk2KYst1VcAJSpoeM9VYe91/9xxVdST1TFXp g0JyWIlVz0ZRrlwdIIfzBR3JykILhGQH7klzD3ZJtIkyrm63yuox1wSbFJCQmioV1i8r48BkEA7Q izP7Ew7f+u221Uj/iv873yrJCrL2ZC+T9tLDbCyxbDWaKJCDqtHDwxj88IaNLItlICyy+0lci5Hu tVvDBg+HKTHq4/2eTu8C9EgNnuFW1rmwA+vfcnJaTRJ6C/F6IHjUosI72j8smBlBj0XtosE8Z8k1 dALgLmg1XVgZRYd9qjtCSii2nWcFYZlziNnrtQv4MEg/NAVbxgxIJ7fEKet2hfBKIpvpfiMawa6b S2ErUyDhjPdnddG5H+bOzG0RfZCoeWmZ0ymz7aOPMl6/Ss5m+NCOLiZi+Rf8a9cwGTBlQz7wvWRS 9hqh8RTFbtGk/RaXTQnMPOPtdmhmRnQQfJfOGR+J+CU5Lluoi7X+Pbv0faSJCYtyI8tDnGxUp1tk sie3Nk2qieBao5gatx3Xt9Rdz6wu9q/+6ELo6V93NhfLmFHh/KLV5JOXb9Mstk+KOZcTgN82SOAN TSVE++a+905BmpGRUjBnFn4XLQXuIoD1FC1iLF1jB2ZjngtBPSAsjSzErV2RX3q2qf+XlsOqKFO0 xk1uScEt2EiklpklXbuKolSAJmXTBkRyVIEQtU9aQ8rt78P2HFGFpQSh1CCisJ1o0IDpgusJ4hpV uW9YV20Wc9uUS16lCzkRk6ORvxPiGaADIIZYLxLqDje268Y83KlKLZvpp8kw2VAiuMCpQaVW/+zR uSIJgnNSl02aYDkzyDMnCZCQT7/EnhgjlpzPtIFyV7RV2J9j/GcV6qSmagEm0DAB8dDVj0qgGf3X Conq4fHK6fzH0j+BPTmqsxyfMmhDUevAgUfir2C9dquf9gd2CH4wCtYlf9yej2eqoNDUfF4wiwnK 03hleCMtaA+xjbKGVJcbz4wq85nDFwe3tYP8D8v7ZI2+Nnc59AzbAQXa5kEvBRZ+XPJZIDvxkPPz AoX2R+K3sEvkU/hfaL9u4N4uVHGd2zfzqUXIMeJ6UN4jeiUeudHGn6JQYOsbldeteYzwRjjk4Nqq dneihliSVg2qdRjC1RfuupTCg90vp0K0GzlQf58z4/4RtflgTU/o24Do2d/7LUeIH8vPGFvJzQkz a6E2fTn8LfOuSpVBDtqhB7bfAf9EwvUvmXE1kCygN0P/cGRMG1NsS0/6otpDRoik3eZ3eR36ZKvA lpPXRY0U6Ll6GiqAi8GHzjD/COhv86RS+5cFkhA2GkdHq/P2zxvauMKOyFfxCBsUiD4kZ13VIgrZ MYyN+o9kszYe6NEgTQQIBUcdnej8UMhf68eHAHSuSKWSNXF7zf7MtqHewGhDTyYy5jtsfejoBxa4 8VsWzt1reLnArO83NlA1HIPbRvtt7xzscv3378Trweo3q6AKFGUUf0iT+3ZiGauxKHTS+pNOn/Vp FiBIa8OgfuRxjpzbB7HB1lZw+YyOQdGgkrAOz/4uFqj2GFRaH784MOnA2443whCkNVfzFSqKDPyI Kuw/iy4T4NFKeAkvzd6i0Sf9TMiUCUwC0YGrz/KseHoptlxkERNkjNCgjJQPRLtuQmF6kaxulZ25 RZuLbyYWXOMOpSOsTZlzxhwHx7m9WLwHfRA0/91sX/vAi72qmRVuNZR+uqOkZrWoi1Am1EoXpHMv h0y5RWW9udDqyzGoZNEwP8MRIf7G3Y9+IU9DRroSPwoaxbfHTtD26A6XlhfS9lnDDQ9hjHkkaXVm MlarTnky4HCzddD8fzTYlYEA8PR05adNTdCHhcEqwS6KCt/S+uj3beAyhw2R4qud87nrOkDCeyil pihvKZxtiqZ86ED0oetZK1xH18auAO6K72fvVxmNo7vcPZce7g94id0ryyDjy6J2ei+AqyLdrdNl I3d9m0S0Z39hPl7rSdR/l0Hs8++qdZ/3a0Hta0zCh+i5NEiNiOXljdGGOxoyoW3n7othGTcaBvpO kzu41YrdPqZ9B2AOylgFa4iklXYovFxX8bQcfA5Jg9olM/wDR1t2fNi1R2Uc2I5ZXbEzFzWATs15 FdN530PJhuQNmlQMP4foQ5EIbZuf9V85JxWdVXOd8Ox331YAT84q9ny6v7kLnj8UZvqefxYrykz9 rSnekhzD92PIzAgpsJHBSvs7ME4loR7DZp0JgjvkvmqosX5iYQv4WJl2F7W2/tuj8rlQrF3ZvGAU 7zRLJtNfnl4QykZWbKpBS4wyEFR9jS5KVHATp7Z5GLxpLEXh1IKzHTZSqjv8kq00akCa5A5EO20M cQDjiJp73KcFrDhbi/mwZIOIDXq6NYeanurrlUjWF4+ojkmEEYvKTkwPc2w7K/De+9p+lwvgeGLi vD7fu4E/Iq17nQDs56zAF3xrGYJPkqsxh10fJ72reTmZsw+jA9rkcVYCaTZWa7WNevSdLE2i3CXR K1meJBVg3pWp7zkPwfgaRcJRVSsVr5hvT591Uu206Y9quXlB1qA4WwxIjVjHEmql2FE/Rgvqk+Go bQwT+nf7a1rrrcKAY4nTgcRHqwerIGyJWgSIiZAIUpn4hPtQkJhWsp2lJe4yhyyeMAg5/nDmzDUI pfcrhuLPyz9aF1iJ2OgO4ZqvfiwNHybJGdRXcBDrdzDB9U76Wf/r6iz92a3yUFZULGj2tEDQiKez ropTZ8h4aD9OG0TYx6lvwRL5bHRyOEFPmgXMQEVWiZrfOwz3F+VWIc8Jn3ad6xfJquV70B15Zkgz +/KMhzPRTRDmsD3Zrc1JQFV/kn4NMnYaGpM55s9kIVuZ/G4n8V91wq14bY+1uv3RBQmJ4dbumqyW LhighpEzah29raYssMOg63BgAPmYJtciNMYEnqL14jyuwnOxY8Xjv6PwQr4Fcso3Ot9/XK3n4MT4 wqMfVUx3YRXVplpuWPSQy7ci1QHxjSRFGxp96JfmTxc/PDftLYprIjgw9pIlcmrjDvcvWKclq/5B 5HP+yrIYMMaj+ASyPj9swFNtb0ZZEp4ChGkg5T9mFNzZ+l6+QChM933FqzKvRqZMh8t+/7GNmeHR gPLrYYBfrGeLHvT7ASWn8W6o/+BMvIBo5aNnyibMxr/5IOeAEq39dZalbpIktYEmkFIZeJA1M1Ah OkCcUC0YFzKWcJm+1pn09drOTI3t6vjOxNeemMK99I9qIuWJ2SR0jEAKHRKA3MIfL5GCI5I3/HaL SQVYW87EXKhEcSH5q16YSOqsQNF12K8ZBuf7U93d419PGgJhV2i8JF0mQTOiu02r+32k8vORxFEZ 3NqNazGOonCu6xTMl55KFsVlDDt1KDD6WL5+CyjCYQ2qGE9w8qOU2EbEnAlON6g5MwV+wmh17Bky fa6mfYWz4CYsEXkPREwe4h2X6T7OscFkSL5AjVZoTSaqVQCMtCpgmrse5zKXH7FHigFQUPxKVASS Hmh78AXuAEyDxlLpP33fWfCcfPmutonXT+nXw/e+GKE76cMsRfA/eTrsoCEkd042v3cPhdT2iTe5 LMStViaIfL1kVOAFaNqRJN0hImuxu5jt6pA7vWOicGsrx1CMWUfDYJC5UA3PIshovuBpySU6THf8 hio8sD9XScRMNgluRGk6oXrCgVkqqyuT7MKapDiE3GVWHFb56iRbCrLkM2IEp0f0z5+FgnaqSB6i Hus1sBsueBthSnusix14/E41Az/Rf3XOQzQAQSV8vmmgxjkpTRam2Vgc3huZ5oAryIbgUgdENlRQ K03khGkvM4qpTyejNDOQ67bbLnITlzMRoVJ7NOKxL8JE8kusO/lA9/J/+I1jhwGYFLUshDIl9TeN L6Xv1zSTMNj+QcPYrMsKtmlpVFaxqFk7RDStSrOLZ5/RIHHibrRy8rvWyvRU+PK5prVOiJpmgeUC SpoHN5u4MKHVHeffl4WGHxnSCaMVi3yvfXEzPdXEDUuNFHUDC4c2B/maBPtt76RMAgqg8r+4ZT3f nga42H6JHJwffLXCD2FwOGw89uYqVMOMc7dBtd75sxGRh7/MKMfPdQAckXLmGxU9tyzHdllC8xzT wxUgEJlfifiauyukhhH5VzVVA6ygwaD1zZR49TZbvZRvbPzE9C34+kJlWT/LHE3Hqdbi6GSomLjX WJKIprQ7Er5s2TH0aG6+eIR2nS2d9TvW4GirgG94r9LRqhpdxTbUvdK3RfGPilf7B6oi4JGDCPue 57JS3zFmr1zS0XHAHYD41EM3WE6tpFZfmTuH+qm7Pf+c8yCXAHcnx+PCTW+9C5QJyY4J9wWnnVEa cEedZU/gZN4Er3QVzAaPaXc5dgTZO2WtL381RnU3X8B0z7t7/dx6QhRp6jPvA9e3PNI6ghX/Tk3n OXr/6VIS+WL1pcurypip/wfnM8HdHbRqLv5IGJSSzW95XGNX+J2M+GhjkPlpUhKqPyNEA3oW2N45 nj0SEtzSnHpEvzqZ0e6HxVDVrp82Lx9COjFef9AhHLFzd8XRTK5MLxvipkg8Kmly7g4JTnPZK1be vVmK4//XwiIcumOVsD2awAvxcsHfIvcsYoo6C+jE/k1YCbBgipcXz5B/KbF0akeVROfblu6xP0gF qwsZn4QTse7Q48mCJw6/UuowPgmCopA6aRuL8g94ugULCHPWI1P5MmkwvDaffFT27f/s2er8em0/ gSa887xXxFXXXysKCVAGaZSULVfaWJl5G3FCuQ+B3QGoql7Tf7MgHUcaDwUiFc4FZkIoT1P8e3hd FFn/fEsqnj3TAlw0vLL0xHN10UBT346xfE2OLcewkNbN+eHP4uT8+76+xKgnRYTh7pqCjpTnUBg3 CniNwYicS8os6k01yzYG91xnJOR/Dpr3AR6cElOUYaEsc7vYe92/cBk4bM7nBzVwZ5g0wqyRK/bF eLzhb5++XFdZhNh5yQvui6PkqhpIA5FAC/0nv7o/CDGp7LgA/ON3BzQeeTWsyZltNAjsCM+bE+wj FF0vD3MGHgrrTncpA/8lo+zc7SyKleZOR+WFl4TbIfZ0wUG8+hspzQiiIsFKXB7Qqc4GovhUxsX7 SXh7F0zTlBIBWEbIa4SqR8B5WPByIF96I3VkvR+W5DPLvoJ8FOJpDthBbT0zdgeTyBQ9ZEq6YRvV BPrKro9IzLBBq3Pbg6G6+B26VbS3vN6TSjAXHe7HejIfdE3zhJaoAUUZ8LKHZKIVwiUjbBziZyPK AyH670GD0YbsXwVDzNTgj6cDecJduWgfe7tKxTvG0RaTmD58hT+xZ2D0g1OVkh3r+a569sQduGLq mvlzZBG7HU+YRUqCpwTxzSWTIYrnuxpUBbF80tOplSX48M8ZCIPOfMwYvmX99693HZm7CXCUGi4Y w8f3CGRRTvRaVfYuxeSONUX0PDYEsC9DPHjWx/AlGkz99I8VVepS86rQ3L2H+uKtB4WrnqsDsanX GpvxuLcUoTgmQo2RgZ4rqhnn9S5c6nv4fOmsjPk8PKnf4i7IbKwSUwuj+ugRWK5JXcqP7g6mqgex hleVL8UGMLpTjbJe+Pr2rcnDtcgAbLC9nnuqtkuB2OT/DzAyshw+HndRhAZfAPYigudlLK1SmBC/ /g5Pjm8hoIS8NU9Rsjnrev/b6GVBdViwhu/z3FnldmxWu75QFPyjBq4KNJYc/krvirf2D8+Kn/Fc EuUOyK0T4z0BlCdxiH1JM8vhLALOnqVBp9YQSrxhJnITG/fqcmkeGZcYaNai7gKmzJImu8/5KRkq Cer5wdDzsgrksARPQ8odgqBH3vBDpkzbArpa3KH5lSWbsY/9xpMCG1jn/i7YW4aR9OKzZJZReGHW sQaTdgmDTGkP0Y086jEjW/ZEzzr4ScKZtnH4AA/P9C3NxnvZXH26AoCkIMKXY8N+8Xah5DcZ8DkZ qyOondjiFHmScEpKfGAI3rW3yMoXXd2dEgpoUqSfDHQyy9PZ4RPzl3jJcIq//UwYThvf4+JrT2GU zoJwriYFn1cKqQM/4YmRlXh+wZ9t/5UOhrjsu8pONFfduWbici+QsFqGCBUL0ajUrftS+ftzh/qL crt1GgEzl3IVsjz10JV1TLQF6yozqhOUY5+1Dm6LZedkFIJwMSl+k8GlPcoZP1qdcX3vqPOlAruk vvr/4DEuzfmQbZjDC01UB8HH48IPmI7pPTGtiS71ujAfxlNdU1oGjsAFmmM8iaMwoeDp5IXZN6of 6lo4Xygj/SYBtbpy+rqz6aCuv5pkMGxgf+7Z07ZJbhtyTNDKQjYSG7KbqUtv9Un1w2q1zZP7TvT+ eS9d0r5cCOagv7KdLhaN5Om6hYPM31Xtg/mHHYVJ/xtNkRXy8EFkCbQiZCygRFcrKs2RjvMnW5Y0 0kKD767nGL5VKkkrMLHSSwYdHiXfnSMC2jp9iPO9mja42iik7GVNHcgvvUZunLdy8d67LQHltaVp lFiRnJCfzDnb5n7gFQq9mAPiZvINiPogy63dV1qr9fakhy2yTKEI6IXP1wACSDxkXPQ6ssB52M1g n3DsH/3nt6BKwvpDK9oefZe/AlNZn08x1rA5EGUom3Az0inRUDj37YZXpnECj5//6107PlHMM4xr 1WQ6yADSLgMv8cq8VTs7Yq11Qm6s/2SgJLxQ9bcDLIRqmY9Fk8ldhUO8FVUFiWUSdEFbD4Qr/Wte I7afxma/20VY4ivvnrxt6L6uNdeWqoKXIdgYwIh/N9KI0oJPzWEmOagi7fVKXXBTNQxVG4edziRR kHNzXl5Psea+ZYWs0YSF5e/ZE0RBLn+rua7/6HRE4zY05amJ2Oriq9z2rtvgDOZbPxdA44or5mHC F+LcZ6ZshC/gJa8twn7mJ6fnFXIKu0lwL0KOqLJol1Oj4bNQWhbJ6jY4M7VhJ1G6net7aSDnn4oo 44/mSKhxc6n9PPOav2AgJftlqI96kQbFXIxggkzckgtZ5lcwHuaMU4UcjJYfIpTjAdtvav4UlWJ1 WelcUqjs1zyyFuXBkj1IQTO5KJZa2egyFbuGcveiTovyBZN+mEwbTOoWpTSaei4SGJRplZ1R7Au1 BLZYhCVNm8uahoRiOeRUSfjpTB9W0iE+6wUmqz/qJV1LVXcCpV+vtIRhCgw8AMTBs+U8sROni2G0 kySwOixWcVeXWLlECrz1JAp8nRHJKhj0iy/QHzfAtUFN7WJE0m/9stYCEI3B8EB3RHjeJuqiLwI1 1r9e9awf7xF1pudD1M/EPdGXOzLk8m4dXjsWu9TzS6c+aFNwAgW2DsAHtbhgeHArO3pdpEqCiLf6 MRC6anF6L7MA+QONM+kQZ6XqfBTqOIOy1FSdHRds/RjTqUDRxxkvwXH0Kejkzp8MSMuTl4KkKBb5 +0WuGXr/vsFWn462BKGrV12sG+wslo8mEFILjAQZveojPoCzixPpmY7juKGGTgw34NY7FUBdsA+s 4z6qw0YLNiHU4+g3q373VPIW+/7qzxrjbFsx87RPyo6AA1OUAX+75z9n33F8tWzhYjJqhUmZCQAS TNlnANDoSa9/s58Es57tM5UQ/OA7khlrORnReqY7kQ2N2WES87AAwxYl7j8S6FZv3nAoFQ8vFPw8 n3shtOIxQI4SxJD9nysolwxgAwk+SNlxaNISj3RXTwJgPrg5laZT/2AQsoW+Hzqh8nXmAUBKfS6B LM0ODA63QB4veDc2Qj08qF4clxaqZPc58If8b0m3W5PfQaIVQU9zdbW0Y7V1KEK2VU6k3BsgJOQ0 SSZD0UKMCrTSMRut8gx056nlczNEXznPrJxq/sEjtmdy+LLzwqTAanrJ1KObOrdIg2q9H6uWw5ki 01V/HULkccgsDLVQbOIbJJ4SfW1354E1azIX2rGgr2v/69cMMRFMWi66HTKzwG/O7QCjxN1XVrHq 3szbIPUV7PngjUVIUOajl8ulZC3MlzUCsj118/FVgARhcLNse1UFVPNwHd2I/d+A4RxPZ0fCi9bQ aO/tZGPvgVUG35NTXqEHzDD1GyHLZ0kjVeAkXjygvERk8MZew5Hpupp6MtNh4Amjjh9a3LlJv/dU ILOeq0NYdSiBYtf+UN+7AIum+Gczj9rnBBfUzY1VHTb4a3HcdYxyiT7l2ucJQGQwXsuPwIljt9VF ke1ozpVi8ZNA/uvdaZ+zDQDSW9TWErzRNd39/dx42g6Q+bUpqFyoogu9jEFNk8rNtL+g/9LyUXT6 NRJpup4P+Lr6IBNoP/lwTRLKGOyEWwhY2MFa5I4vo5F54D4FoWcjqTVweeHOSh/TdPx4z1K9uoe6 QkWJOX4A6r0X8ZcsSYWgJj4va3DWkcuAhV1MGa8xxsKUpP9TFEaBROCx+Zm1goZSoa85BrGH/DC5 tPlmopHaptiZN4eOmOcHKUj6BkvkLAhmBc3/xaFEym1iigcYWX5wZ+9zNCzD+0kGVJVurZ84YDqS FBDeR2o88mSAtQmwxHg+RrTvanDk4E1xXCVQmQ2E6U/umH0m0s1wozCIx5SdjpJiQxQMq/+RJTv1 8ItKzyRROXuiPMeWtTcQYAz8iItd36k23y0jRs5xhWeOUdHCVWRdwYMYKPxYzb0QW4pY187V3COh 7822Q1QbmUoc4bWcuens1sqKLlpEonkUJGjeMJbQMcCTT3pbDrbJLgsV61hy/nzX80WvwePeStXj mrxyyE0bDdpaG4NUpb/PEcOJwXoqhPFSIZQGvyVyVUQXkAwDYFYUZhHKo5RTEIQ7+vSTNSx+yqik Qtr9gEeXgjYb1H9dcD6WXLsMqgn2uV5/JV/kenUjHKc9a7h0JOAtf8jSnXDBC5+oSW9jg7kTa2fQ eYM24hnPMQnyF6zKjCODxyiPEWnkJ7GYgQfpqOnmZ2z2bRFD68Ij6FBNdLWmN6AzIyRp3hRi0XUM GHN2deC3WZKm6HsOZzqUmilzq2+LLO3ThK6pntoJMeSkmUg6BaBBje8QkGaV1aeF4IXw64htGiCQ +VdvZBWV0wrMg5jSMXOX7ncHdnCWlNrv09tP3dvdaecBFq95+i0ZYG7zuJhZnsJ5JPwehrxV4mmp M5+9TRZxwygiI1bxUL42HGy1TdKZ2MnIdT8gNm/HmMkOfW3uy8Q2ToE7tR19Wf9kZEHyiYxccRkW Qsfz/oXEHnx6SmXw9VYtHurZPdNWJNhqlKCRCKnyxYCeTKT4rs30GYG98pYAqkpwc2gF0CejjHQ5 ecU3OCNhwMtA4gdI48rvAEoDMlAS9F3hA+DmtLczjViqls/tJmQWJLwsmwIp5aB2wYk7pYa/kDaW M+eKMTHsv4E7u9Qh7Jq+DWbAl9pMUtL3Xf272co7U5ESrcqnzOzqu7hsazfZ004PyHawuDHjUTX2 qn4xW3d4+SMiTwz+IQTaBTpCpFOT8GWnmf8yd5pORX2MYtkopbyrql06kvLK0sop3DPhzmwHB3FR SNRTpWHjTEiE7eCFSiAX2b5bH+9iLM/jsTKNHNN6rScTgIkWjElW4luWbk+WHhe9sPP5wPZM+p6W UhYAgnC7aFZ6qhK4hqPZm80yctZr7f8xpg6VIyw/6G4uZ36xJIUXm0MNqt3ebPrAc9Zqm3HAbX4W bigehYk5NUd1xlJ/8id99xTetVgASi+LxISKJHKDzM1ooOJaPGLczKHcfYxafT3/+tc0oBi0B8mG x6jL8pQ777PVatt4y4bxPbFTKmr8YcGZJYgLCm4TgV9sDt6gcV7mNjE07uprDxX8ayrdoCuEPZYj HII/fMdY13JaSGzD1XFxsZG2jKS2z6Zvb3a7iJRYT/BsTmvCYBo0VLY+OIkz/cVELdg2GMmPi1rt NbG7cOZLZy0vBSc08n+d4YjhWltGZMc0+0vv56iy58Hh6lUQF3LucEmX91DjFNow+0qY0zBP98B4 OtyCA833ecjLxUM47TJ7ZQ20vxiTxNj4rM9eDMaxD8yhYH6gysg5kaNBODyLjcCSA6ZQxS+uKKjm vgntac2mbfitjW3EDVSTqqIpq1nfpF+xNB+9CKt2Dzskw/TE0dzXV0lIdS3riuipDLHb7NMBZKUT aSvkWV/1rSRLbYZpeHI0wsHJEQNT0LuOB4WJkyOK3Hxh/n6WViRMLrccuaqKlW9kuoWMIx7IrOzx XYmCsfD1k5qvUKBfhXzkfJNCRYIlYivCo9C4P5QWhPpOaX7pQw/XmSHgcmIkIIkxRA3Gx7hi5Gin 9b4qDCXFGqq8J+//zHDya9xFiXH/pLqB8+fZsiX17/sugq2zGCa3fDPn/aA6bIy/zlQXSn0O6EGS xG8acNd37eXAHW82qfB8F+xAMEWYkt9B+MgddXY5/MOZ1TsdOSsa7p1aye3M9EpRsqilQ2YXukFv 9VIq4qevnFH6vkPzxbT3FxOJDfW1LfF4s+pVWe1QOAsVfr9bGw7hDgUkeqrjDq0RFe6MJNpzGWij TP4qlHnqN6zMY0Myi+bbmbzUp9OvOtOIPgdMsuuR2cvuKIGrq1Fm2903gyTH2ezPn9ud5yBR5jQc bv4vd8in7zur0xQGLz5ilLBMB8+MFBs7BME1z8k5d3Z+onTWNVQ22zqvCvPo2tCHaMvnS1sDhjO/ jx5ZcARHrDHvLEUcrFcqMba0NmNBTEirAIK4BaszTa+DKFWbpBv9awibKPu6+7FxoR2ynzBylbCo tIi0WYrxvf73m2qO6zEWpxJ66rEosdjHNwe+HKzCbcKKHRRqLO/gIH8+3TAmfYc13IVntgk00shj kcua/mUVeapovv1gcDKEoklDeeblbh0gn+HZf/YXu9RagvEuee7DwKA/b+E0N2msYtUtLhE46Xux 1ZrKE4vziKcT4mYI3uah8gb72Sd8IhAqq2HaOXDHgpLuI0SiLYOEGVrVZk5cgYKSze/3c8akq3he 1oCZHBeANuALy1lOkqxezXf2x7AGI+QvxhnAIb0SHeTV11p1jwkzC4a5/48OGV67m34Uho8CwKKx pPCTY3CIHvrDQOoFnESwJCyMmSqMjKzHY6B63L5pFygxOKiHJr7xc5JuUt3i5dWY/zJFR4UXrsM3 Jbhoh8tWbf4Bhm6vTqSR96b27ymLyaa1lrUlY2bu7c04AAvtMCFlHVOruAFO8d21Iop3ZDehpvkN KvWWKeGMEdLm8p46T/LjO2FsK9VA4KcZ42E5LlPqfBI3wHoPgyJvCIoecsAUMG7FYjmj5WdHhxvM VFJ7JhvwOQApOq4c2igGrLmZ6kALclvhVeMp9GIReKYwK0CnrkIs/K0KPIdoySEhJEYSJ6mCc9Sp BYxZ9TowrevolKvj9lHfuxrMMjbobOU+VcDeT/x9h9NJt+rhci7ltdu7TQXGwOjYN8ptVQs/a8YM mSY0HQ5CUyJJYDPa1yupqe8auBDHoniNaLigY8M4wFJG21Ez/Yw56w7ZxSU4NzA5nkyNU/wWAkPL QUyOKsKlcXiLbvBShUaOi4dad4OfaF7TF2IBR/Zh1rggtG9MBesf4XBqp3sBSbhsqMCC46BGFD5i I/Sw8lpvxNeT3gYs6fXP6V+c4BiBz1Ulp5KIH/kmgLYfbpXU2SOequRVyx/YZhGlOAzl1q5KLoOb apNu8Ezt40s/+jnQAhR0Q/l5PVTds+KUd1+Saju2kaVMRAu6DnXexEgE1lDF7uEr0gGhevlhVQXm 6RDn3JxGwr6ifN5ZQrDh2lDoUer8E8JqcTgpZ20ZG2aqF/K315a/SnVlAqV6Rw6GPPUzrqBR2jBI l5G0GpyjZRKRNbE9urHUi/L1aVDevpr4EIsiKh7E7Z9fA7QwupxKIQJSFOIQ3z0mkz6m10iZyoef 77wFEYMnPbFfVj7p/QaVxzBM5bzKWV+vPcsn0LDJlmLpgdVjMpcyBplBvl90Ve6fiFgBgWA6d4Oq XudH5uERQv1CCfSRjmp6OOrYmL4Sk0w9xwv0cZxUTQKHip7dGUKyO6WuIJcM2x+pmQU2cLnU4y9e S1U20qm2x8LzyD4eZIsb+LbOOInjs0nw8FwqPO3M9LDYrLRQIwSuw9uqieXdWy/MmPALcpD87HUI NrSwsnEQIeuDZO2ehZQmcj/GAn+S2pwUfWTnmcJJrFqqsS+3gfC77nX/3W3TfbBR6jKcn3qJyPqn j42pC15mlLloCFJlWLUzgqOyNyuQ8x1bjGZ2cQRN8YV+wKQLNsGNC3nhLXVni4E/oJXNngy8sTJU lHqUsTdDuCZDL/sqkbHFRwrbziNFVNC/Z6+A2dcyb2ELX0x49aC+YtA7ZJ5ex2lULH/Jpt2+ISEQ bUvoymKWYPODrx4PvX6Wol8yZB5OryGKOfbwGAcsFNyBoTqjgKqgx0wrmtThIhsfhsPb6XZWB7DC KCK63OtLkzrtXPwm7/pd38tQ5Xg82I1yBcIAOhTJIgOuRJpKTQNaAL5JnQEA7dmz2rQIun1wpg7l LSyDtHtV1yT3inOkhT/+LoINF+p6CpioZyWlY3g5ystFoQZ3AKX6yO+dUc6R1WMQf0jGhJt0ElDI IfcZZI4zlyyBXot5lkflA6oXcxf5Rew3HBzIrY4Dp7jtpeNblXbeVmQslw9LuKL/wFbhFcVPgUa3 HpwX9a+AvndTZk8SE5L5R6bfJ5uuj8jrn+Zg5FML3payYZ1pxzpRdcx0Zv+WA+lQ5yy4YxC/ZyD7 1ROTUutyRkGPem8/xXq/95uBqzE/ywunVwm1DDqNuApwyWrLc7pZpnSS8lBDl8r+3Itsy4Z/6NyJ Bx4BM0RKae9DW+SaLt3qyrokQtYd7fjHHD3oMa8Rv9752AlMys5w603yfHPNRZVczk4GOCT/qyg1 zGon1TpfzoYJ5x8BHZQlojt9E5/C+Ez6LYCIbew2W4xelcHBqXOsB8TGajXHv1vMCGzv+5szAEGa wgu/0UkkOFjaJyh4zGWyDPEfqdgZ+AeHe1ZY5SkA+I2Q4zwadQniLBdef8Ez22Ig7u/B617niy/8 dGDwtJO3TrtcYiJz9uUMD0ZjxYWOnAUVNc0zPAYejIEAbwCfN1HtXFH2E61g2AmMo+ztfAWOGDQl Xrka0X0OpTl4jwfdcNEZJw1Z/ZnQMBWZwKyd15ByKGLOryZNI+IcTPApoJ1w/pMaFvnphRDjLkze xoiGid5+MElv9Flg0GNH5LprlS4hYrMCRSYW1q0s9SK3Ss6/blxTbPjV2y7cJcKj1BuxvEuEzyUE 0IPgsBUh5Si1/XcK3tFhw7ckYoczCyfa5g4dYrJ++sMBb5ydGGcaRHyLtyQQlHPj8M6/ooqiLZds ZuLDsn+f43oVhFB/VkbKIf+N+kDYnfACqLb4snF2ifDbjZ9kATdKqPPYlwMfNID+NeH5c+3V5Ai2 LxMpSIMet5pfIcpwXdVaxozgxaILCVBJt8N0/hQK8blO8CnYQfyKDyibbXFhMzmOkwIZ+7v1LgHD TkcNYRdWCJ2xm89byLOnOe4apjYSq8Qt3eXJZLu2kqV2ApMURc0JrAMyRvm/JTkQJCVfcfbMkFJV Hh8A6wce4k1ODZtz5Durcpw/OX+WHZp2dZouXe4DLypuvnV2Eedodxi+UrJMESdpCFn09DTdCaam kyvbvQHC5EiucCXDJwOiR4lIhs78HrFssyKCEJjkPVuZYyT5wmk7ey0MvsRVB1Yx+Zz6DqqY1P1X Z9aGyasOKNl/b0wYfRUihO7Y04p1oR2kNpqw3K6zl4yIPsvywwgGxgWaedwmx2PqckDIZ555reEJ UiBHnZ1SvPFEA7dLjODQ/aTp8lx57eExrmXVkdpbIB6peQ83ZgXvXfJCiKbq2Tt6qWfkfTDoVDdt nwExh9YLv5VQJFoeTMkX5v2FLQih5J8zJNWug1bnNARQ7s6mejVQ+6o3RwSItp5yZwKAQ+sSPc0B mdV7mRRUgq2Xu13M06s2QT3vFhLio+/1nwD/cxjQYUzP/n/CEpXi8ZJfqoaYjwEdEJvH5D5nzqUH YLTg3dxWaCpAHx5O0iL7F88x5NcX7ClqRRsDLm7YeDcTORihoeWJrbH0Gjum9RwspbRfBbTnItTn aBpbqLO7KWzxkPhY5je+dBurtBScq0oDPDeMYXXOgIhSnwrmUHCapea9n0G/hJGRKsz5IM/czPGr Lk6dobJQqYCqTfv0Z/O9eu7RIwGhs7POaB1Grt8WnxIbYpyhp9OxkRTfnKxGfsSywSfXlPYllyKs O88tWQXNqVjLJuhA13XjrHuDLjejLYiZvuSQd61h5uctHI9vJ9LEMpEk1pZcdjknZd2dpcyWJf1U 7QSoByEZSidp67bU3tFhg9gzihUxmagtkHbQ8PxupJlM4mYnGFFeVtyAgFw5BHJQ49MGvGa5Ljg2 CWbcOkBtH2e3iEVXIRt/+kV8GB8y6ac8j+/HdJBknISocH/oTf2oE+sbO6Pa1cMjw3XeUFpdOvAZ QW6ykCfE7HBz6XxnYoA41P3O7zcVcyDUbDGbM6WXLTz2uyNJDbClaeSBloi776hLHBTYWvT1kPQ3 XD54GbisrpieKk7SFMezbzBEaoxzHFQb3A9Nk3F1TGA52TaA8jGgBlzE5tJ4Ri8nNxXigUz/7upm zQ9cYH5RDzmnhaj9k6y6QzkpO7uvHu+2i9cP/3CFaOgsrmUua76kGmeFhvh4i2+qE2SZ38PMZv1Q XEuhr7jNWwqxzIP30ndjD639b42szLqoXThFUtXBdkK1aY0fMwVDDUsB3Uhm9+EsXKSkFzUSiHZA Xs/kcSNEGTG6Pd1JUYy7NbaIjrM0a2VLIHdngdeTj0OxkS+ex3xDhF3G/2F544GwQ9vI2kx/1NkR WDrcCLtiyh9kz7wEtchLQiZjNlv+DsteuKFrkfKAUduASJ/CbUDf5NGOpfR4WMzRCf5UaLt/744W 8Oq0N8aaHPY4dKuXENpTJoIBoijEdA1JZZUwDk2HPZrsUiHgUr0oYMqhNxmItBfYCu/9sf5ZoK9u vB4MUuWPllY13bKUiQ4H0zeLMbRpjXm1lAFxsxlflGv0bwAdxVsBoTMz0DRKqrmrYxxxtbft9YlD nlanSpIFpj9g+ER4jvh21+Vnvs61Cd5mXGTtzC2BnW5LtAIyMlVXEwmA7PxMXTHk+SoIoaMa+Cbi KBQRTr42YPwgEQl9z9wiZq8X5TwzdmmkVa+Am8g0SuhezJ/C3VUQ1IQhuR0z8B4ckGcgppbmc0Vj lr1j7SRq4bi1cnfhwJIynxdyMJfyPwxpdiFFgPQ622scFTqpAl4A6F37UsbUgRqUdaueab4gCGDQ jSWAkVEz5n/nArENsH1nWGGDMdk5Y2f+pj8NlospH9F00EfOpAkGwvmejIEgckmGTfZI7qWePNHr oYrFQELUkB4n9gIFkxy5zxFZQhMqfAP9kL6rkoPtWY1E9C3wUvU09sVF3VPa9rqqYTMMiLuzZUGw /+qv0jXU2SzDOZaXCBg3yY9iSZ8fmdwj8uikBsbxCB6uF2C7bIFQs8rbwu4p0ZafdOA5w/hIIYBp 2/QyAcTcnjZd9KNsioj3prE13/Cu1L2Eu02KJHvvSnLEJZcho557tDEg+eXC7Fxipx/NIPcD0B8a +gd2X8kQ6V91TNjUTCs/+1ykqYHAvfeKKp2OuE+MaJTlYDO5E/+vC/7d101QVdnH4HaTR3iiKsTo uXXJM5pt/XvVIHCyY2tfSmgQd3GXg6jIwCVc+VrdG10ruPrlTPKf9aLptadbpiDp5ScbcPOfUoJ4 WbwMPRXYvNDDMKoCGZGJXw/2vQyq1KUYzLV//aAKAD2n46F87munoGyMkwRLElWEtgwMVCZpyx/u JDNmblZf1DvATiYTCk8SCHF7RcC09qMJ61nJEIOPs6zMnS0fG9QRSGha8Y7LV5ZUyb753BPyNFXh jEimbQo2cSy9m80N4+LXk6+Xk43EPWdUlro5bQhcMXVOTMJ17GOCiJKTMKTLYOdaOY4VSR+QA71B TOtayCu6hVvId2zEe8H2o61SrIn8xrAco3ZbwJO3dnniNGbC08y3gU7e4Ft3rN8BSdUg3fsaZpA0 Yb8sXCWCBsFPbJ8nIriluxwdppYS7gdVsDQlzzboKGuTa3z3JbLQqOIwrbpd5gkM2fmBZ1BJquMM PFxv8LrwJGTiC/vpLcfH8+eXquDz9In7hVHeaiPwrpxXEDTTxvS9Bopjj/tIPQcJugg39A/1Nof4 GXIzXYSzGJf7vAn2oanaInmhOj02ytczofIkbSKKkgZk4udUFndJthfJja909W8IukUzwrzI2cry kh9FfFvnumT3TBKi3iXBskgdY4fFfixiB6nBDui4B0I1T2cf3Fk4pGRvZ03ts7lqcXQfe4BmX6Av F8iKG1tofNqbsQBg5uBVUL3TtG784Ju9Gt+0FNBeFUQxb+zH2ZafGVzbhWG97cA0GZYofNXLWcOB FKuk4S4e1BcBTUI4dAS0kD/hqDoB4quIki8bBCWjQmvDsBxtFNarh5wWgJgsgRu6oWtQYm4weqdy rt4r/hHUNAfPX7eX8lC8GCYu7lJ36r6qkFFG1Gp+wexvupKrF46CwCs9YAP81252LhalHH7F+cJT bsnaWmUc5is8SaU7kw6UDQkAIEHGI9g1zViWwBiq+SM1ZNvUx7oCU3j0Q1EC0qINtpiq0Isa+JU7 2le6wlxbLiCwzzoeRhPGWVsBTwGRXjvM0jfEjx1+TfgoU27y0t4TUebATlIcxp9ms20UECSrfyLC OqXLfC08jbRkbb3FmkhC4M0jIQ9nktldcTnhvhF6QZDSBfFJ9AnnLFAyYvlF3T///0kRDG02yofA 5wmfrAOQe/L8XTyY3ned7ienyx92lHGhrU0a2DKy+OQfU/ypb0KfJqazW8/91EFGxojwt43O6cHA /6rQCZXjFecs4p59HPdf9cn5CL8+3q9/oKpT5t2LLeKLLb6EvkHVIZUc+gTZc163M/2mUlm7N08C 0g2Oqk/VsDAuuPnzGzjHN+wWCab9ud4xy2ucUBSfeZQp6AiSppXbAItIRcUR5lz9tbdgfe1rtr5h HZ2d9vxdlLZVp+AZd3+mImgmGd3pqn6gMYVjA7NRBkERr4GXhmFB3txS/Y4e0WM+7yddB+XKk85y UXoVDqTRKkNQp593xg/gvstUtEhUuqHo1PZcrRsnRawcMkyrZl+otWnY+v+8yr5Aee7XZksuAy4A /XFK3tiNNWlI04pOZKMKy6PT/5PBkDDwDWDTU2wk8Rh8qVhptTaZG9uKYSEO+zqYKNrFJmF8eHC4 AwLqqdMifCqr8GDulBtdM8Mi/FuNYV3jcMo++iftnJp2ctRAi5vkEWFOLKpqfaGMtxD+GpPewMtK 4HvxJ7U7rgzLT1/ksCVs4PVDkmO11agcovYobRZsGkKCJIcMaLxlhTE1hDYbAyGAsU8cv50G3yY5 OaLixChDOgwvMnrdXCfyL1eMTK08QCxYrVVr4+PymG8V9Q0r2VDzgr/knGAkpyEuEgiDph05ywRO a4WBpGS38OnA63fKpAYF2J8Sbvf6L1E+XmRFE9VOFmSl3fmOkDRPWT965j+QEimaFTJwegPnmYLV 9q1Gko4i5hb27sjwNLh1SaRHMI2QiKr8NUQ3DDzQcjnh6dvObQ7X50hAyvOhLSRtLTvRVL3Zhq+l p3OWh1sKMLbCtfbKWm7JuVzNQ1HHgA3oaZoO6fksrZmSShKEd8y3okxYzBl54gFlT97avVqNdeZl vv7SiIwea+WnH96clkJORDlCMbo+gv+5ZkREbYD0EQat5GDKNYplcotYU4rE1Eqa/RtA5pS1KzQT voGSOSimKOW8pFMsuVA7nwzdxhD/0cQvu1DVQ+JWZ8tnhbSwTjPFf5xbsUyeSjjhQHoQnJ5SM0oc GKzY1XCv/+VXihn5oe3hmwzK7+nwhyMmmHZXnii4N4orFmryWJ+MnamdCHn3qZ3W9VGIFQBf5HSf +zaGOHtOgv1z0UA/cuhWdsZ6GDjKcXWRDVvdcyrSpfbB9s7kHZiBMydRQz1YftET3IIBdNN4+b/b 8PKZvjKy3OceW1TWO6v464/31RdF91hsU7VSBeAy0rwSIRAAHjwtEDjxlkR890SnK7YOyJDN4n0j 76kZUmmseC30K248lrdfxmvOo2qXCXGgnThHMqndeBE88hRPmEFiarkxHYfsAG2OvjIQgG8Sbjm9 2Ihz1Xku3fBHWdr8KFcT4ds1SG8GSHVn0dXDK47gQfQlXyL5kGvRX915mR+HrT0YjzuT594zmgj6 ysLFKkS/lcQOHlkYW2sRj+N/Frz+V2OTZYxzybzV0ZS8d+m/gmYOig/DYyHQ4mQnP++mzTkhzHV8 o7mCfytMPxfP0h8Bv5w0mVx43UGI+se2YgKa+Koaoax/IsB0ltxptxtIkEr/XthxZnjaYBdRiqnk pAMCOKn2fW7nQEkqsS0LMxZlC8oVk+oEdkqdnIvO3mcwSZbybOBXLxUH/pKodQNKPiCecsTqiq4h zCBTi+1UnFHVLvUDyQdP9uSl+nBJQxyGxrdn1YPa72NrHb3cDf9pE5ZJNX1FwLKRyElXV66rlhyo 7W07tba4Dgo1+kHwKh529O47ItbKeWPbWNDC0FnCuOvXR6myn4scgArd2qABJPEjBhISYeSw2iIF ZR8I+b3IZHkhlJQzmoM+sCKh/zuqQTd7+3GrLFhjl8TF/ypkOGaSY8mvheEHniKN94vtIlT87wxb 4KhTxu75K4aCETDMJsjN6KJXgI+rrUsrZblL/eQBhH76nCcKb4LMuvcjfMIvpa3BDWfolURn+ZtA wbQKZkB8WCbatBA/T6XZNGlBKoZZlqqdWCwbjvdxzkVfBhMbzM+oZlSpPc0GG9n1vkx8n+ZpQcJw Q9GkAWdobC7lv7AV7FzXZKOh7XrM8eBhBevv+mEn3CVZzRPqwPfrbFCE4CzTh3j6rEdFVtOnKxEd xKF32r7EB/AGlUGMA8TfQCTcd5AuSF69tSho8V400avpy7oG2uRHNfJfWJgAhGM42B9YXO4ZXPtZ L8dLJRjpYAF0Zn6spJwya+2YhQ+Sjm6ktUGNqq9WNwxaMmaPUvmPY5APFkXPnuBZvP9I2V7rktHG Ht0BFjgE1zaLmPSfLBqfkbpjTcPNj2VJMvrytVUhAWBz7y3wwXk4exVg4xfGzlRj9hZBuVy1TXuH ePqS4VzYiRVqOg2wO/w7j328KIFu6y1gcRJ/oAoFgbrS7I6uchK6M/5i8Wv1vFm8Fx5wrYRhLLP7 S5HkNN/elTqSiZzI50IStwA9EsvqTXa/FFLlBERrpG1667GK0RO45h8XFXbBdvh5tC2SP6XT6dlR cilwrmlijX7udVwHBgdWvN+ovFKK7SlnwBaglrvsjxBkCPPDsRq6Y9gI5hmlGWD6l84sM4EnlG9v s0UTYlDNCJ8H+tfa13TpOZ3TWrpTd2maKrEhIlEhSmbyuNEJw+r6VYYGyKDrmyX37+bELp5FrmKh xPMXcJcEVyJasb9XRhWtJwBf7iG9ucRZts05PtHhoYqaRpSzUw8ownavkjYdjklihXOv9d77AqWP i8hX/gX+fl9OTy7YnU4SE9UMjt0Rp1DzgonJeZtSj/QKgLhXSHqlVT4dLpoCnvaJsOPiZyPisd8o s7mnJqPniM64nv25MMGaVXtwgKO9lXkBL5kNKn9HNtPFuoy6yRCjkjSA6+r8Tyiaw6eTS9kw3oup kkIjXOsKWusTZR7kfevIsPp/3Q4UBoRirxekZG2Wqvldh6b7150LDA1lmOEOh29lBtsuBJfix0Wb hCLUJoNjYTSKM1iRUX1uWpi9avztoJiZWzm4w4OU4R5Acw0HQU5637m3U+O+x3KGd8I4dOYXtAhP lDIjuyznPGBzNDsdc5okkxPNNmbfSQxgHoMopCcGyZ4wPdeBIjLz04c3l7IioFIvqV1dc7po0Wk0 E0+O+Aw/S68TID8QiXaX6p8TQEFnq4fwHe4SD1NvYnTilq14RNF6/giyKqYrp28Wwd9d8t2E+Dxu Lwpl9oRSjJvUDHtD3O2+l/BD7EHr+IIfoaafPywT3cQOAdrSl2ErBnPtcehx04/AEV0HxDU94Z98 OTchGGLLV6xaIGqGZAsWTNCyFIAnqvf0Tze1ybj4g7KXwBIrZ71nA+DfF9BiRiHl/5+WUsjIE3cR 12K9kWrxgHj/6bbp68q+reV7d5EI9Zpipd9MM7jATAGSvLjo70zd84pJDnr28t/M6xIaE74/lDRI 9KCHXe0FCy6DnWtxMOq2uVKwDXZbxD2FdyGihr0MpYs5IeqlGjbhQIbzOxvKZx6jdvFkPzzOOoaC dB/D2gDtiRsUN5cdFfzERFoP4uGx3eCh1dMjeY93hjFdz/VKqbUxXyv+uss8GGBSCo7taaAukB3T /sKhCF0aGl55gRhvAaRjHcM6CKDz/oqROr1Q9JelIbpD01fTNJwB8P/rd1ljlLRnJZHSIqb2PoMr uAERxl/R/GF79gmx0p4FwetrPHvTx1Th4/WYOneMUDCCIg5R8eCxOtcNdhfxLcKKB7ewnNC9jPk6 wKFlOWxLskW1QBI9jW4AM/BzX7awZwCJPWdrbScGDgnvL+LrefIJSqtkrSbwa7U3vKVyvlir1YQx /Zo+HkV4/p0/Nz631LsAkmTz7At9SzvZ9psAHoQC7zFHfMNEVJuT3Kk1K6DaN9nezGUXH6qGIJbH DXNo/JGXdolcezJT1GTyQqhPT9jjWD0lNeVsxTByvI9Z9hWpLrn2jqiaBjVX0HPp5lwuO1mspv9l FitQcXpGs70aXvTYxruiqflinT0rgAE/Vj7S9qjjdG7s4p1iZReQ5DTZb0V7qaGccbNfEgEn0Rhz rOgvXF1wtDOB3qmVQ7zHRQs4rDwDKvm+Q88qpGhgX6zt/Dogvi+N+nscGTZ/EC4MfDahr+nupYNZ CQ/qQimH8+fifvi/OiSTUca2g72NsuX5thd6VT5WaIW5mUWm79fU3qUB5A0HJyxLQa368Pp1ZnX8 gvs3OOZ9soFqQFdgjPHGlYooqOSFJMMv46277RgFt1c+IGc+9XbTd1p3Fc8B1w0w4CIMrKxuMWgV auxi8rbcxXia0u5ycaC3hd8aneZa1wDRC0yZtY7x7CGLulGU/DqHiZLGnHqOAJ0wquFp3oOxCqR7 ipJdz5hVO0jCxXOjvsZsm5v4V+rX1f9uL+kdLa4Tl8JhhtHO9hEPOQjwsv++EOIq224VFe0rE8W4 WAB5Z7c/OhcuoBG3H2Hifh8XFVmN119w1S74UbREmTCcP8l4XinsteoVFLvyNzC1TG6YrcpIXKj1 7cWkRMbE+vS1MvrEv+jCq8AR1F3R9JoYxDm+KWTKIuKslST+lHUMe9B33496vRh13cZT8qZ+0ZAF kdp0BWwO2jXQvNAPLOh3OxLqQOFWdOE7iRQy67tkTJUog7Jl61q/0EccC2zNQQx80qa9P3f98jzP mtTCrdCfZQ7pHX1+uH9ATEZ14lBNOrWaQfONL7OE6JDWxeLzd0Rq8hK1bw26aOzdPWToLuMboWg0 GUogq/NZomU900pTadMKfJFrhk7THcWlh903ZDKy3AHAHHcX1Z0SkWoirsLPPBxPIvxCAU2IjkEW C0zR4+XBl7rZ5kpQl9jsI9HB7Gpt4ENCIgf5otSMNTWb0c+XaFFiz15PbOlIDXpGgGBwxHMkBQBO xav084OdGf3WEpOMDWU4yPKvy8ljTgWZnD7xagsUsaVFR1MJmrBemavknknVegtdAhzFaZLkTBeA +lg4NjI4Dq52R8tzXgw4DlYX3hRZiYvvyt8naWApRZy4ikAyVuBa/31bHtL5c0vTWyMpyDobITjH PURoNdmqfrjA5LgEtOQ91Usjj29Ajfry/gBAw4fTN8uo8judoY3TlAupSZZOTkTD6j8cJlB25kcb EKeYCSRfzXDF0AacvGQ1iyNw+IXFgO/K7WGxG4e+xDmOPKJv3RgobG7HtplrXWf4D6QCXHZnZ5jM f5SLBwc66VK+FW/0JUzXrIa1k8CM4jh5APbLwf5M8RToAFU3Nt/YTQrSMlCfDQqvA3TmTjFoo0ul vUAVr8gc5qmxvJ+vb0XHqSN1AyRQzVJehhrn6ZIdVrRvpVnCEbweRzy9mwoLkQF9S1lEo0JiMf9v Mkb/CDHjkn5OPSrFScTCA8jHJmp7swbubddX2Kj523Y7HoFPQ07AAePro/RrFqghYhNZ+Zt+6+BV 1Iw0Q3U98hGXEdgOAvPZ/vp44CLQaj1eMXOR0KN9M99Pz/kjEmFj1QaY3DtVnx0/p7uBoJORYVM/ 4YPQQVGmnNeszB7Bk2YG79gsKZL3DC0M/B9Wwi2i1SoCK6olblrzkGs5P5riYyb8OBzo/+fn9eOM c5M/TffIulCHfo4pAMH82Apv9h9tCXte55mR8xzmMxpAZjPfIsbpzwiBYhYrgwlFAsfCXaQDxR56 uBwfnxL8EwEvwKzAIT3NpLIddz0ZzfHYLpqGMY/pzIrtxRjK7/etnJwnWlkbDbH2oxCgeiyln29j NuOgNWaykP14sA27QMd+A0g7gP+srK4LuItyr/t4Kj+dMK3MRBQtRjIvvzqUaTC9BNAVq3S963H5 yl8F0GK9U3zDFmps03GrWWvcK9MgBQFq8XGgCDYGjTxHCamurlRtc+xNJQVR4CxTQlpkMqlnoBrb L9YwmsOneZS8Oc7m0gxvfiYF4Mo0O+Y3VvqSjrvVpnHLiUVYIjw8ZVrvitd7GTEfYWMJU5EXZE/5 VPyM/CTLFJDA0UzL7QJgLLTLwecyaGJaL4V8E9pjmCQ8q8luflQKlCB9p2CEBV1RBKTiwFCwaEgb qvcx4T4ve6haCjEOqrt+DDw+syccJLBZjKTGWdf+7+uIfTcifl7j0fkvLplFBA3xBfNs7jvM+1pz 1DYRqz/6gEKKh3yP2bo0a3dwo2AQjp7etZBBceU/JoaOTwja2WLVi6XlgteH4d6ga7eElRfz/7gS AjTgFtAp+DAyDkj+nuApbCGs1xJj+5zC1tdW7QbZHHoRs3vZTDk0S9jMAYiw5dHPj728mgE+r3As Z7PggsQZCQDYlf/EUbbVocw1W8TzmOQcZGu5iXoR05Rweo6cj51HWwEhzUWSGaYmQBl3ueGR8jqU COVnv+rl/caQRrBkdZ5DB3Bw1fsc53g0o6lTRrOlhzBEiz0bopgHCROpiOaGpsrppGKBHUJ0hugI HVO4sN+Km1RxwYNUaThJfqgS33vYCB86TmN0L/X9IyUr99IFKZtz3YwC9i99rTSO5F5gyFW6sLD5 v9elP2BVBQ3ztqSUw4hvDWAoyhWoPIWsGSEGf2nYKe0c7Mzne74pQ4RVl1r2/KBciangKryk6k0z s2de5lISeDBKJICO/naWBrhyTY7JjteE+WIpSVneVIcaQ9/pgtrL2kkyQ6zOSDwOOw3QVCqK1QFg 8ARHNM+f2570AwaHcuCLoUlgntdmlmJi64FAKNqVHOIPl2rDMc15BXRZ3OiOTcNbAydfUFg8Ijrc OkMVc7uPffCGw+Q3I5dZMFJb13PqJTK3uOC13Ak4h3CeWwWboKEw274IrWW8BK3PT5taq8Ec89c/ +8I/OySEqNYlNNHSdlyaLRmgM2yJkgoahgiJWkSXCO0Fh/yNWijMzQVJ+6ZWM9trkapUgUa8jiNB 4cBsiupWBq/I+NaXDGoATHr+BDcZdqVkJh/Lyzg/y7DBTWLPtdRU9mDRuQizO+Opl4roR7AYVxDU Ujvjy0V/t7alOC+r9bts8Cdr9fE0+OANEC9vd/8ZHghD4zq3eDXaNzZ8lGtkEEWF+bBRMT9NiaXY ZLKrAmXsCAckqq2JsiK7Xi99kVgJH+B1xNUk3UqPNSo0pm82UD8NgtqyQZELje1PbMoeUrt8lbSN qIchEAaVaqM89ANEdVe6BwrVEX/heR/2CznR7cC210PQZGLqKcWb2MqXNOtg8Il+LT6/HDf/uP9H BejIHM3PP+v67P8hL08YFL4xuQnnezs1h5kilzpg9cwdXDlqabx65jhDBkAluEsUVJ/QFBf/vafq OKB+80CPxGZ9zlpNLVdd+nSjVCymzIig1SddXrjh3a3v6veogL6d/fbv0duqa4zbk9K/432Ko+15 Q3fvhENR10vuDj7ynnT1cBHSdmbGu0Sd3QA8B7UD3psLqAftEpE6oYFhbR7ZWhxEvWATzMsu7UVA IP93q5TPPgjN7X5vJoXLKgBkoUg9+V3ljC/dKWSWlkkRJz9Q/yOy8weap70BS0VKQA7UN4qrva4s LPJ7O7hzXC7p3u3eMa6qarLGctPBbjiIzAnTb+gtcf7BDIGtqtxHyigbYKSqOgg5s6Ao3AZhjUku +WJqyWXsIdJGHq56JtHoM3victWh/J073AfCHYhJbqOhdRbyhWcDjHQfThSHDh7mS81tbyhImOc6 arvqjgIjQDz6N4yPOJmnFMrS48FbMBZ2/KkwOiSVAXuJWwRvihzNaa/Hd+hkz7/cj+0cicwS6bqc j+IEn9YEqt+EEm54gi+hJYiANlFgOjdBdW7Pk9krs3fi+PgXuQtI/Yf3TsBCxnqpPvZITKCXpGCP 3d5/judvCjLHyRJUguJ5Ax5LEYk0bYGzL77n9FUeWZmP06eLte3N62sbuxeIgxfD7t8AdwfyLx/Y VytbJO/pp7SwhAq7d0bOvniRRElIDzdtvN5j0y8k97nv0vbqQwD60gNMN5qkLOs/JPnprtIlnaYD muPgkrAg8AezJfTZqE7XmVc9aB7bOmpDrM731aNZw0EL4Y/UeOYxPZ7l3r5YrFDUolvOOQuDsfLR CtHcxzcgNmRlWgutIVfTnmJl0QvT+pGwdYhvfDQCcLQODwcXRMmScjyyoOu88X38QLmqJpaqlKZV hJm+QZ+Df7d7Q1+6gq8wcTHXYvr8omzJsMDLqFUv+NyfhfYOMuNZ9R9yA6ihL2j+mYlF2j1tys9B 0ZCFf2wuhpv0/4xV1QgPfijGxk6uxy3GT7iOq5FeqKuHLJL7YOUJBR78NwrCM35zSIhvsCQJ7Pro yQAo1UMMJMorRSbNu8oplSRJ4n1FbbaWwHYze3yxVN7Dg9NTMfu8Sh9/xRxlbS8241S37SKicVyG +L/37vCM49OW4eNlaqdbMrlsM35bdMKREKNqFjn+FTiOmofuubss4+lhKqwfkO4biqA44B5+Ulo6 OKnCkYdUglwiGSJsD0aJULWGneXcnXLhzWEsKzUP8JDpibOK1oekDh0ibSYgriLK6S8XgvODAFpu Cd7lJYHCp7mERuQt6971UlOFC9niFFS6NXJ19SUrmL3WId9rcZUB8/vFA/isXKR1A7a6pxboFpnl ytILUGPeZHjPBD1t54f3i023MfkZQcJs7JvtG1LIFH6m8Rz9O+4bS06EeJ/WyceSxXvq4oUrxSGC aIK83gniQF4jCe9M4PVec229A6OpFLbhFDIo1p7X7YOfQ7kNgI5p2vDfzI09VOtS/GtQWOmg54ad rPlJ1BVtOJLfMSAWp+aVVvxRCB1FN9z6ZjgyDEQvFo0TLD8RyhyqmzfSMMs9IB+eWRI1MSvT1/Ca zQW/8Dkz3ex7GT6m7WkU+W59pIss40yYqixT47F2cPg7eL3PlYhFqlsTwQ++6E1AJcSHXEXhSUaJ 8k647DaV+ySXJQjPe6+wCGAUY4lo9rTHqP8IVmFjUMD5ktc2A2Uh5Kv/xEUVi3uav7Sq66JGHc/Y e6ul+lJ9Tr52jqtN/p3ntu9OOPrG3M3ajRiSeD23RGCh8VpEbAsqm/iImptqYIQVzg62AaX/vPXa xCDJiuoV8oA0MsK4XzXV3dcR0e46zpqHdjWSAiXcyGVa1BIpnMMdG4Lsbea6Dy5GSTfg3c8GxsUJ iChQykLt49sG6KPHnehBNk1+IhYbPROZSFPpZUsc3dUI6H841ByK6X/wkkkJEXn1Zbw5aPZkhV07 hridlTJ8tDaRxvvVPH6Y271h5AVi2EQxOwVT9iyIFB/lf73AIMdfLQoLPa7eHUMGC/rnN38VmC8N mTRCh+usPf47BoU9nfwCsCyByoJsbGpLYnmJvDH+4DTJF+Y4BAdRVlpvvqhOXSQV6FLu/UN9wjZL WlH9qMcCipbTdWpVtY0wYs0J5RqzC0QSB4P2fJ2C6eqxOm8JqKQ8WxIxGFDuTEhAWTDsW3DQUwRZ nwODxtCBtMhjhOvyuherTP9Pet0H2Deoj/l5hwESaYNsWPQ3BYDBd+ioIwN7f6mfpNhUg7cZCF0I yySa6tsatCIS6fjx675Jf5qCFbt2unpH/DUt1CmcKY9dL2wp+OS3AYSnEccApXA0TGCTNv0K5Xdj 3HtsHEUci/0OeHPCQCPNeIq6v3V+GREzU2jaHMYJb2eaL4JRLuly/aEvfOo70u7as0L0mXc1bYJB nMkYsaUlVPi3NLPRrGY7f7df+2c9ehRHwCnwU+syG6+rQ8E7Gq3koj8efSGRhgzGsz8SbHsebBOP 0ZD9ggKVwkf39l5kC3ViOnY9/zoI6SitjQ3rKb1SHfBPpx2GIKyQGVA9tw58Ds5YaJH241plf4oE QDjsxJ+9UQzo2DL7lwyQvWvy/3YtAh4G7tgnRudJJNceeKaQzEzfRa+UquMTVDUl+2WUrIqFdkYJ Yb2UaCbwNxgamTvoOIP3JIFEXB7EE7wLPdN6m5A9LNyfYbkT01N7OWmYfjwqanAYjgnbncuNHWGj +PwZmuWBv8lN8wx1s/5fm0L0/FrEjwWEooqDxquKAK6pfKExDJzgwW2P/AySODtpfE25JE2mq1ZK LS/mclVc3L6V9lvDYDgMHYf1p0YYpBIDXgRlwlujzD+1zfVl1y9CCDuRUv01U+VVUrntahW036L7 UzgxOm61iVxOlf1O+p9DmddZRPzx7UsypNmIbV72iAJSWNRuzVBoRIh4lHoqUs8grKllSNWE8b6E WJSMbgUiDpDzMaxjI3w3m/eQrY5eRvpFAMx4ic0HmsycvJW+L/Flxq7g8ZzbUHcmgrV0tHRz87fg yJt0vvjhy7Ht/8ftAui6/IJwoKL9NfLHHM20X5/j5UZMOg+RkVYu7iBjx2HvuWc+iGdgnQad9jDM g9tP1cjOCbne+NTBwxI4tO7KkdkYER1yLvo9sPgbOBEBc8frbGoLU5kTbP85Z1IvI+W2OM9NWsvp g2fuQRVkqJQgBCRVU1MdEwYobbsf0LKu5ApCpgNeVJDy9mRSywpR6drL5Qt+ACzvz+TGk43B27g3 8xo2nP47WH8M5p2UldBvrhWaQ4okRlgyetjcYWabOLnuZTiXIUAh4VbWCqlHc/ooIBGnlvPwntNs YJumXg/KTv+T0NIC5cR7mrpNlKcXpKjeH/2WA6i3CVP4f+TWusUq6bXTZ2/6yTBizv6lusYge9/7 YVxq7NkD3AAsUwdjawRQmTULS2oWRQtKpaKY6DdDreO6FI2FzZZHOkG+pDPauLsb1hfNUs1Cc/x9 W8W9NSc0y5iNMsnnbLQf0kJvbFSk7XkR2+jNdUsPeWD6QzH+5itV9WVD5GR7vY2Nt2+N8bGKZvEP PFKFOCdHJDIvFuGcBbF+zUR/QsRmv/p1RBaV89DWy2ENWMYL0BDYk8K2B3rgIQeHWlywtENhwYCU aS8VWeuSEK42A+C2J6y9J4Jjo3a0DXbbrZkBAxe3MMyYJR/lyYe5DoZAPRIj9o9yE5x47FyQ7anx LRosVtZgub4gEiW1zXbB8PsD9tuS1FTiX4+ekrGG0I24U8kuszUHiPDpb+0VuSxsWux77ukrZLiV wruA03rXFGUNbIXRRZSBSLBgAyqlqpnA5p/rEe/L8dPV2vW2vUHQ0Vei/5d2PVVC9iJi8ygSl5TQ HOcFL+fOsWW3EYTz80zxFyJUDSU2ALVUeDEfJv2wiC57CpgXL6tR2qk3BllIR0uF1rGcstMqm73d nADnJHkIoGug5l2g+5i6z5nBRqWSV4cVy2GW+Emdk9hvjrbynPOopcQKRVD5wnuOoDG3ceUBs0+K RPPikquFwnXVLznX5UcULESX8+oxeFvLs5HEaPJUquNeXp5S7rDriNGRJc4krrEgn+CmjIxY+aGt V0A76Q2Xhrce2mvyWVZ2+fEXF5T5/sq59twC6ky+PigLRN6xB8YsOp59GPDC5omlNFC3CuU7RvIO dBMZg9aeecEgHWhiiF4L/3RrLZTDueN8NXnAdy6KO6In9d69kXTR3qbkbTbazM5K1bE6s6PuJzwu PMnl9uPz44UvCfOxmhd8pvRAcMAv23nYV4mZPfVe8+gECCyxUUMiXvy2u5wlmE9cdGg7vlnhyQCN o4/cq+WjVtC2KWmoF8IRNWEk/1ODuf8228CrmjM8KeiheiZ5aM62TaGE9+Qw6rzp2TQeQLT9tR+O SzFrv0e4DMExHxmbvO6ptd+JtiVpXr4CRNFJFdKBOwi1SguuA5s6iDbMIbh9baqqxQOdQqtr9yei PmpDYewhNBy5ql0nacFuknIsa5boewmPS0RxPFMi8lp6hHC7JjgmubT4PrZbhXE2JQMhg9Fxb4cc Z0pdWqrrHeVqGqvdkTjyYqnwLTXr0YpziJvK5irWa7/Cf/x0ZQD0RO2l/e8RVEpi2puGNoBpHTiK D9PGs+PxTTrrJJ8YsCSWXt9aok0NlaGbpgbjrPEGsD/cg7VMr/2GaGCsldx5Lz1KXcpGh7u/jx+p wJUGuQ1z2YTL+/y6Jlfr+Up0g6JseduYEMVNlCvBzP/X6Y0G3UAkgWQfBXse0lsMUAGMMe1Palzu Vix3cviVzXvWqZ9lu6hN5IpmNvm152zWk6WYNxBv63MwutyUO7GTLBZRTtrf0TsapHT0AmhapsvD oGS9HsY59zjr9nx51RANLOKQ8XWAGckBxZMAkhIR2/w8VZxydl5HC4DjgIYcnqKZtVxkKgsv4+sy OL1tG5kYTPRhrE/0sDNrLryk1MKJ4GVichprA7WFmhp3O6s3/hugZjPArITNcNX6kmjJ7FY2BPBW 23EJ4DjakEJ2ldTDi5vZyf+/pYM9Yz+DGS2xh0eCOpXW0EwMn6a8iScGehmsGlEGDZA2chlTef7m 6IKRtxvgGIwKWtKWPmdeGhPrEfQp2TDRyoVYjfR3xMdh0s88Yw1dkea85yUWYPQUwWeNWeYeD8hb LRK0K+k3qz/7NWOfhRzxeKYJWYlDAjk5lSCWJQ0rLUfIIFGKUsGC/3sw6tShaJPOiRar58SHlwYn Br1ile0ygt/38F3LDsgzVJUpXq6mI//AnT5QHdTyRFIkorjs52qqggcCq8sywqH7OEo9lYse2WbR JcvdkY7PtI5CUSDMC2N2qiqsyeURlKPELUM15KWiQYjin0W56K5EPZFVq2Gc+J/kuPR6518887xR +OahtLy03Tqv8YD35e0QiiZxEWZ2mTJrNj6rXvKWZ16a7fK6TgpbupMw3cfL+SoVANYhOS7bWO16 ZWoM2zE9m2Bya9soZZmjWDCH96qilMBsUv3N+1LtBusnLzXvu7XEG/lywJRe/2sJvODK4JjFGXyg QHLfAFkg0pQFCxVO2T0puwHeh2WhdC9gqYovSV3jjkPIuqNyx6A7iBdqewBFmQmeb/lPQnFyW4Ae E6qmHh62ep/TfxQl6sdDOa0mAWV9tnaADihnro/L4vhRxVM3FvHz5MNLSsuybWMVXMvWyVLdDvdZ CSvab0uGx+Xa/rGVQ5HaDAbaGLSC7rpXpAthKbdnGlxfourd5CjKUmBtascB4qPv8F5a9lF36vXD Fu53QIwvunx4mykQr+1sobS+sPE5JUFr/nUYLq6mGMJskqeqYuGz/p3NTP5Lmv7LHp+ZpUzy3wWg QTZTJ6iIg7gIJ3DXcYD1XzrcyfvSnDpVHixr5km4CUABe57m/5a3f0w7achRtlHxFvK+wnVLu4zw FbtRsfF2L9OMgycNYGHMWTUv+oN2D2CpZx6OuUorKA41eKBABC/ObQqum1dZn77mckSNvP+t3IHn tgjXM5jZta7OqHXYLhTpDrFPyvtCnSySx1LWkYqVFJOE2s5eylA7Uyo05ZJx2/6KhBKeCGgO9hHi euR5nD8gs+6p+RxOchHVSJPWcCIJ207t9ic5tIATu0+HNVwQQpwLicoy2qu1GSDXRfNBKh72GhIR 2JfTCIZyXIVnDehVwieF8HimDjLbcVkp7i0SFqnSkcIopBlaf3WXbsf6z2c5eH/FEvvGPO874Ac0 qX5pN0oTQWTXfUqrVhEPGIX1pxG1q/i5mPtZqJo3UYJKM96yi4fmqZ/+Zjn5tNtMdrv9z76/D7gj IP81Ec+QodTEI30CykBAihnLJEkxjD1hHnMOddPPoMkzGDDRg1mhgtFxJJGkvb+0Esp0kVFhxCmb 5nTq3Oun/Mwiy8xwQReRqbTWK32JSwGPxngL7XiWUA4in5/WUCP+4sKTJ/mOVC1daPEVboEoI4HH Y6eryoPXtVTpQkJi7G56phIv0/EjCXeVOg+4V7O4nNpisf8Oq6yU86Dtoz7cal6/txx/fou07rG/ F0NRBqfsioCVx6eIulcpjNuAI4hJnhWqF0evAit8+QJSIUUaLhQuiflZbBLy4kclrKqEzOj4Hv31 +OOYaGBAiKU6wGL3zSGZWXYwbD39Xz0h87eotXY/MhifiXfZALVg2+HMUSq9qqZaD6g3O9f/F7n9 VXv8hI+0ZUA6t5INTyZaFPpn0/ejCecQJKf3maK6S1Zuq+HkkjhrEKRP4kIx1YXr1sdNSd6TcUus xey7f5Psv1iiUuZV7TDsgDNA5+m7sFmX+hV2Y6l7C4hkq8q+iUgTuqmw0h5BrLHJ6ghLqIQf6Zfa Nr4jlj4zRiQdVh4Iyv0XBvvsan0Hnlhq9TbKYvNOb64+b22movg9I6th5g2aYywJnI39giuTXsCX WkY+cFLZ4Ane3NfOOT/H2obnO4p8v7TyDWoImoMwzVF+q6qYbmC2ophFBufi01TpWDcgqAwUwsA2 tDbk+TIeYDSHFchnVnOsQIy9+RfjrZyTEmZw6EALqgGL8mZWKcZyBDxgV3wmXOJPYu4G5yFMhJAt KC8LZS738p7DBPTPG0VMiAB3bZTpUnHZ4bHW4YkdOJXXUmMBy/GzfwLyjsZzMC/MU1F+Qchf0i9s sxIQzO41cm5NAA2vlpKyLTYNepOjyIoBrNATE97TuUE0cClTGcPbxPYRoOuLXPJ7evAJuPs4hcCS HYZszHrPDXlSQXybs9I29bQQ+Zcc8+as/mt4mndwyx2s6/viuThoUFJlXWoQX2PknWhe/2pXfW1L 48IboXo800NL58AKaKNuxMD5EvtdpTc4mWGkue5o9OzUIts60qyXC91xUQlMYLgjeT4TjnCM1j+c oAYOq0sgVerqTaZHBTaodVLSblABtS0ClZ+gAOVoXmN+QWZmZqqQctgxFgnEIJ0avM7MoPcXeUyh P9y81NG4hLFhz/eIhzUuG/LAqn5ewvJWuu3FGvJtacNbwjGtH42r2bgoem8imvMB9+GBTmVFx80J cNTiaqaRJrHStVPSuVOluc/F1EtnGrKbwDncp+dJgL0y31pyyrmcwH6bPpugbhJEncXlP/2igv1G H1K8HYOJ5Fnr8Dq/y69Ks92QCfqTPWK1VEvnDzoh3vFOZJpqE7TaID9R3hYcEvRj41mE1wjYio+8 2wJFGWHcDbiFprZeAgdeF+1PlAtVZRqch4M0QOEXAI+w+qYNZFh4R+L1qYNZCbV5WDO50O50ITA7 rZnSMmza4pYkBV3Jw0kzyzvJHlA6OOZTIqUlJEaQ6ByuTDe26pjz2dzwxZ7zsABT+HEyeaJasATT PkDPDFswQkROzq2y6v874y65JzX7a+SymzbRF0ij7h5yzH4B7gZG93tljMh5WEqTz9EOphIqQ5XZ FeerjDQSesAHkVdOD+b3mvAK7ovXpQJNRHqVg2eRDhRAe3HbZHklnCQJrexfq9PXP37UvXZZQL3N N7/IpaPGYybSnQaBzcAR9aTi8JxtwUgjjMwykzSJUPMgX90zJ1EsxBIs8Po1qt/82ra4QJo7of2p W2Z6WzZnFzPmny9/WTkzYSb46idRdd6cGr6sszs5tGHA8H56UzcwvtBQSC9BfXHFaWfukUXAdeW5 tHfr8vQOf1zcomysODHpaT9zpf74NXHLx3TVuPpHu2aNxR46QMFFBtraXWYXgvVPcRCe0Eg+i1ju yEqhcdhFSsB+gi56X5ogd4gfwfBieM0Fc3S03F+Vno40gL53KL8/tcYHvh/elI03gioO5n7zzynn lPKLxfc46YWEIP3cTqCWDjcmjTE4zkz+WBRMTkcRqm0cNplIYTqktxpg8GD1utrpeXEMaJp4XOjq MyTp98ORvIIf8EHFKSh3E0GbeWlcl8mdKMLzTSExNuo6/l953/x0dKoctRflQReQW6OWA79q9T9u COWYNDCGfcO4ss586TQaQphn3OgVe+sAads4mBH6cQVDP3d5G1jZVWdoUvlliQ5RfTUfqZoVUoxo svyCrWZ204b8BuSYUydkkYhDj9BYQkAOzmKbRoALGT9ScBf04qDYtosSYgX4XuxxBzC+C3bzorNK vP6mutSIMNSskMvyVO8zZudHUNKfoPCpuPsYfAJZIRqT15Z1517k3UuR+vmSFd566L6Gno7yOzPJ MagObx+GWRuDoiH4Yxr6Ib4uS8b26TJC27Y/8aDH1Neyft+199vO/yW//iL/FFiTZQtS0MF2tH1b 0kAoMLSipgTfI4haxoEJuaHNrMsM3JloDnHdBro27U1Q6+mr9TVj6Q3tG0ACvEt/9+UKHnwSRRV3 Qg33HRe5VkcwQbjeipO803y9q1FzqTwEL7LS1mBtpVLrJG59oJ7aQWzC02EWPgtsaX5NjBWw1aDu Hz8o+gxzZt4T1f4cJOiHodnh0zIQjUGsO+qD0MZqlhbS7+Z97rOjwWrnkE+o6cOzt22bZCtc2nXe jyQO4MKELAa/i8WKe7vutOS9mpJtQ6ykYIAfm7kT0YC2IfzAd53ibe9vS16OJcey1n22hiZbFbuR 3BQnoXbp9bNVHz+MqSf+1afcYMImxmAmr+dnG4zNGlEqve9S8/NBlM2enII6mZHKXeqSWLb2CLKQ 641ac6isOPvbFs84H6ZNS2sjNrxWY2Y701KHrEWF1yowae7D6k92qDoy2rw1uvg6Jm9CQuVLnBRT Dld2O9vshZqJUH8YbBOVNFwvKgaItevcJ3tac5gzz96ct2GqMh3wn1eDnoLzW2ECzVFGWxg+G8sn XerVW8ru/hT5rxRir8d4gL/Chs0KHhWTHZ96ruyP5LjwGw3ZMHwmW6IU5IryMLzoAvkNNjgB3Cjc AR0Kx20SidcGoEFFaRJjNZMV1lgPd2nfD7i0l2AgQwgDejcWmhkmC8M3Ejn2bzG++vWD5kwh+pkT Ih/LEmZ8F4dlqTS9hSJFHfTg04qke7uxxSoB5vsJQ3SfQN9rVm8dDxi5NJRgh7JXzSFj77HjmcUH FdjNqWFOMLY/fndQdV4mowm1ZBryYCR2gOWTV7Z+neZnCksa1E0Osu221K7Vud8uJVs9D6AlLG/l LqqOTiLexx6kdcl3Y9MVeWaEm7qhsFkg5/o2KoxJB1cu3fl2xsCFqZ8D7xGnhixOMCn01Ur7SlYP 6uforUL+74VhOapr3HPXEBbbWxvHeWf2vlrGq5w9z3YTwp/j2ATKTeTdvNKo/c86dY26SE+XiPZU QqBm40lEu7fJZ950aTafdDdh6QviGYXcSFzTHUVb/pFDwnIK/MxU+qtfMobCf2DoFGIpXbJYNg5a 8Ujfo/7nSBsDSA7GqeXPkUzdwWN/I4gJ3sOqsS4x7HF1mhhdBFVDxRVJjGiY4UlSGZ865XzR8sen nUmGwKsI5oK33/ic9oe01zy0GOmtUZL0buAP3rOB3eb/V76jfoU8BU2qoa03ohqaPU27lP5OH/d8 mnf/mNYR1P5md2BuS+ASQgB29YUfXlo/+vwTCzwSwhRNYhauA2mnlzGNFgeLzjpFjlg8f/H2XxB1 5lnD7LPJ1PqZzgPCV8lAGA/rGAwP2lp9QVsV6b5Yen6U0xN2rsvX1G97DvHhZNT8zft2+5HbXM+M 2Bji/5kz2zaF7CtM3lnuy3KurH08kQXX2mENBl9BYaZinUZ0t+RAOGlstTyvRqjrlCJv+m2CwNvH ZJ50B752W4uCjCg+IZkkEth5Gm+GD2dOkHVmitPnkEb1yM3cB76WFo8bNGgSwHe+GtM2YJqv1CL2 PC+hjFlErxlm+Ov4FJ/R6PC2dxlooZcdW1dY4l7QjOmpfcpUaNK+bTPOuBgCwWnc3J1OJv680drz KEZ+f1gqF4P02A1qiDiCo2cd10ooThZVgKPwry5EOqt+Hahn0VypKp6WIawVBx6BlwTat6Mq+oxS Xiv48pbGGdRpvSKweLqcDb3RxGZq+chXxu4FMSKX36aO/RjvTuLyw5STRYq40Hpqkm5wE9gGzqeo Pg3vwJneP6zM+ZMsaV3UhsYndJlqOMXSjzdYsRsOPK2lhQn3QHC1TBYWsmeiOsDIUxFnLF9tqBFx jjZCfMwVIwuNAey2yczFinodZ7VYDMJ94fvCMjuiXmF8vm+PnNVI/EREYhf8PEBkuequla/AGozT 1ugSghqu8fwmMOcjH9dqavaACxlxZtACOFsggv+JdS3AXR6t3O/OX2j3+Gb9c6pML9Hlbp37Dlvd bvwXFLI2FMlwfP0NDNjgkqA5ztxmsU+8PwSsf0RaivVU9cqXClHX2EApK1fo/4JOo0PfLcG71D/h L7sjdSHtjFvFHr2r7rFwpjsgE4aWUnHW+qXXeLtG9v+HpX/YJvxR1fstOn8NuL24tDmSuFCsFL/s F0I1b/Ca5ivo6+u0Z6wHewD+a7t+RWUPCG35DY7yIs74a7mJQH4luFAl7sj3ysrptE4FHLbQJM0J DZ6P6mQZDCLShMkJI1f0JSOLu4tWO0AyW0O8MxgJrFAHw5DJwyfOwxntPjcD+jFIuxp4FRdW2yS9 g/d/mhH612mORJYY4waaVrex78hwbT4dxK8ta1k3eco0jHms9/2Wen/7ArsypeTKc3BYpHEBshRb XjMMsJczWinMQ6POCTtEWyYUpzlLcU70QtMry9hj76o8HUwWI1gMfn+dTuUCgx4ec0W5oGactwsj XaIpfhwGGiRTLjfbXAZsTmWMfeGJ65V/5PQRKNA1cexTy/48exDeVYyBN8Zb0Cx/YJHgMfPxwVdA /6ehPuk6YVy/TPYZbnpZPqAOx/LfkwQIXjIWbrmlmCTXWIttUe4GddzX77shNggIMdUOrX5+a7t0 ntJ89nvtwid6+rN4xuBHbaYFgoj3OqfnzCkuHAZ248mvydZEnVFFtxjnhh0VCpOorSryuPPSDpVw VAnwr0Oj94xiJx3tvN9uebaoVBg/KHceKAe2yRF9Qz7m95AlkY4kZvgyGmj20wEFw7JLIwsOWHAt WOry1SW9kmnBVAq2ItRHnuraUT2uWNNZ/GR/iyF+TDOpsRmO/rpKDR2pWQ0JAiam9EErfbhGYjiU APLLHqKt9ejb6hO2o99DIcejhnF2B//2rBVBjz/xAP/g8kuM5tXjRDp3MtJSXeIFwcGxP73nLxAS pHHbC2YHXejCHIhUTR6RV7sWFp19PH1BQxNmBbkKCLRf44s5VMQxSOMNDdP0UqLVhCf0XeOS90Kp jvuh1rkGy+4rgoP2602ozqX8oydBpncpsH1bpSuR5zNvW0IjKDRjsjq0S4DmeuRXKQiDwuV7yq0M NkBkXV9mBlKU/IYxlLxdfrnPCp1NlscJ5t8s6AmS1qpW00Llk9bwJ9En1g/DODG9TrQYdfvAW1Yo UgFzg6dyS0jr35L68o4tYJ//6lk/dYi4sY4d8yOytHnrpDfdwSGEQPRkzU8+tN9isFa1W/BAnX8g G3yrFwm/pNVtzeYfVx+y5PNWWlEe8apDgEfTApMIJOmX57Ua6COp5IweZLsK+2roFDKMCTcAQ/Xl a9XoTr1HB03waS8zqb3luUDs/7fx+EZk359BgpPryR/cfDm+759t7aOPAN3vtzeRJMAP1WWSoh65 m8Ot5xdN+ZjH7f9CEtWDq1QKggRWDkfBciFmYR+1GHlyoMdsRwGEqXYPVHok6fCFjuSY6yAAiofQ twfVTbMCGQbEGbhCBtoqItwDRagKceQjREcX/E6ude0u++wDw1fhmtycyTR/7ulIHeo0T18+gtQD mMkVfcJB+ET43WNufBnVaLH3+zfDTrWeamNVsT93fXhHLqKkWsa+ZO5CM0tLrE5GhM1tKrhp34gi 32sGLBtn7zBokWbUB0w0k615RhHPD7XS51OiaHUwK1spRDOdwXrew58UC6sR4WwFqjc/eSJ0Wrn+ 3vJAMg3THpL7Lxg1uCgIoqcD90sQNrSDNL6/nrjFayL8N//93bx9IpYxyK3TRH7v5FW8+hTYH/38 bD+OvTcP94WY/k508IWS2nlUSe7lgf/1Ih9A1XR63KQd2RqzP+B8H4aef6pazc2P9jseBY5ICvzY NsNVXTNSMe6mlK4WDwmAeCp0RmDejzjGiipT1bUXpvmRshikMtshd7iv2mTpaYegNRj+nT7qhAf7 i8I59F0OXh16SGZS7l9fofmFNTDQEY7kTFR6GuoPx0RcfZVBigYrRL9Z7RNynVgyFaiSByvQfVbw O4t6w8E38JmUb9LqeJG7x8BOFlioV16kOchqNRAGSKo2Yh0kSKInZYSvZwpmhlmDt31HEi2mjTF3 op+zEjC7gu2x4L86XL5BVoHqyKNvJ0IfDwv+L73bmJRAUbdD8vWJ7wiytbkE2Cg5qjiLAKStIlvs 6f9k+hY1NYHIX9mOqDiU+gFBFqZ/zYLwHJRxyVwznJbzoqiW9EBY3VF61A17vC46FaOSAS0UBN/Z yphoZDiOVtyuVopgvwJGe1G1f5k5wBs2wd+mtEMCoj/lM+Ux2/+6XYuKK8q2h82048RNFggYqmam I3hCcdSmeTGVJ0B5U1SaTS9oxJPGxPVB01yucscFjhQ5BZ1Dhja/pR3w89K45tujeWTiHpdR+U2+ CyRPWQAs4tz+PDbD5DA62afBTxOJ+HJ2N7D8uBx8Ok/2QWF46ymHnyyuC28w6JnPviAJu+Hja34/ v0ARhrXPQY5RXjsuymdmzmo/JuMiv2k8KH7ubn1ChqdtlKwdgeT0JOzljhlTZNpnyP7qqXV7SAWg afk4CoX26VVFjqXtKi8tB3IWYMrYWOD9n7+eZCi7DrcVoswbRC8UwFXeguqktuwJiBcI3Y4MiwzF Vl+G4xfTSp3rOBjVkh42fTAur6YinXJww36039/wqVrS2vGs7LoSHtAds9xubAyjuGSOpKL+BUW4 4j/snrl+h1eGWA745itY93c0aEDnRTmri2OIoX069R4vSNuZYhz6KE71rJOBgHpTXtslrh1r7ME/ eQSakFrhGCvgbWAvS7kwvfvnDy8/HWLWJIi44eTACVwYfpudImGdocGCuIiCY1ZLtEYMh5uQaW3s rxKzpkMk5GForSDhKv9qKp9agGgdPA75y5+RYBOoTGsz+D3dS5KNGRUHRzs5e37SnFO6pQCLg3Eg TGMmjTM/d5UEUR+NRScB6/OWayB4A8Xv4aQb3K+DaG6AZ8gLIbVDnEVK8khFK9WGPdlKQHixaiRq 48We34Idm5Ov2vj2TF2VVZIUV09pbEfLp1+z6xFykNVTwB6ojHonIP6sy/1MW/YrR8ngKlOoEiOl 0M7amUrXJTW7h3R73M5hFS9LDmNzhyC7NYkDqzi4b5ZVVU08JFePD2drFmlTe6Y8hj5TXH3NdHBc 38Qdd3JTiY5yjd5JSljiE3+nddVBj09ugHL3X7BlCo3NVaeLJkxSuWTFyWINSPLOo5kgL8HzcW6Z vlucnv+FAKTpqG3/vFT0s7KdRHkzS8nBq4qSf1U0u5g44auMyEpuWhYMSylJHlMnODg+taTmv14j GA2k4pi2HQldaIMzvEe0IpE//ZuQxSnsxfeh7uoDFCyhKByBUuGAxHrL9aRkjX/UhGumwfvjcec4 anwW5vPO18H1aQK+Sdtc5W9n19F2cwhiTJK3ZMqN7Y3wYTXhd6YglCakdHemYRpGaCnXutPevBfo ZrNrHUemaUAAJZjgxICnl9ilukP2zivNuzAcK7xxl9r59Xr+Mcys3hOgQVmSla6R5IZcN62UOg2h cTcZc+v/Qh73mB/4yMqU3u8/xQ30ZuvAWL8fTnz7rgDrNPTMD3LWPjJiU1n6qJif71a/1rTEZm+m j+EwhpltOci3vNqTW4tByvV/SEHgL8i6c5XKq2Y5twss5og9mbq/THNskX/DeWdmd6oUM82MD4yh /CEOysEysGTf1qDOfLn5y2TAb5x0ilu4rgvgj6xUa7Edk4BmVrLkeLM8hwLGnlAkmMi3+xg3W34z Z70gEyq7sKaqrYEGmCQ1YTGzdYPYHlHckN9z/PbjW3tAiL8EYgAG2u1tbLqmaqvQFNCwrOjh3w89 byi/owERG0PdsD6D6++vZ/c+4mwYnOJ6W/wOtfW9OepIjYEmyAnG0e+JHgL9oWHjjfUm3ZH8hah0 H4HCanso2pb3AMOEV7a5FrKhWDbJ4QoiOqK8yrtmIQl1wAWyflKAe2jNSs5PJ2PFdvI8qvon3K2c n4+sikfE9Uz4sTwgdOHz3SSjsBs3cs5QujWpvsBUs1QLs5aUC5BPLlGDhm9xcA+xsZ6axP5iu60p jz+keeNyktVGGVJ8bOV26f5LA7rJY7gmTerLgZowjL60Wx6hz3aYOsJATGa/Uln3NrWPZl/Giavv oneVR6U8zsnvm0Rim5hhwQcQ7pqOuFeUfRp9TyrM1fH9fOQvAGl5qIXtXlfu5WdLaE2G2ez5LijX 9hY+/ZuguBTk9MMPsozsDITZTzHfEJYzn4/sQzRVkFzrs3owQ5NpgYszJQ8nQDoZHf9e1wXw+lXD 5rkV4LP47y6nRJxjVOAJirowFlzad8pJXSJK+8NOTE8CIj2HKLadJZ4M28WaHceAX6XO10FQ8E7E 22MmfS7B+NdjkMaLZ8fYgCkvsDc7Q/bDSR1+2NEqQAHdBn3BUWgxxCPkFTL/tht2fl5cvvxZymSz +liOEsdwB9FZnoOr2gvWEs39GgYWxvpmiJFayOkjIoLe5wBu3BhIEeVJ8n/VAJF4wV7TvuVSjBuE lAh/S+Ad/kT8OdLrajzTahBG3mwUfSzU+4lfV8vJygp/+on+/iFfcGK/9aj1GVGVAMlOblh3upcd 9DvGHgbUqJ5Tn8SO8saBd+mZce0brcYn4cTWisJnAg9Q77T7Jzd36j0XygR5WhYaHZoPNC0uOymO pseE3NblwDRn4OeuzGBxBWxbREo/1nU6RDelYf5KhA+dzYAaulESX1c/3pPQV6k34CV5GCS7KAql o4D9Pgl9EzsLk7p+/4ubnV4GyHF4P8NNj+K3/Zze5UQAqyqfxo0VZbTeLZygqBxlCOS21HlcLMRg s/EtXwSFBJlgqtadRyQGrMBnRzpd0HDkdpnyAkON3QSwa6t1z6J1UEhH2HsDOv2aQlobQwKX2iEf J+TMszAVNiw3SxYW3GEZkph6zUAflGcRO7YTHlr5HMJ5/MgGYlKcgTo0H8INuuL2NxU7pisz0edS Jb6kfNreaQD+JrRtUfEletHmV9JUXLorC4rUCx6xK5uIWfWSSBTIclq1HzzrpzxN9PM+nvCBkASP EOT31oZMaN4btwsClCqSWlKgqh2cHKpKaOoOxLstXbUNLH2yHp8vAbQS+g29qbLvTcqqgLbnHoYP T9XxWDy4q/vUVnZIBN9Rt4HEtEj3l3YVukAyoolLv0vtys79gI/3/0+9i/sbH5vRIWYWnzfJipZr kHDKZsdqavo8Vzv8tj/BgQGh+QnzIoAfrb9TKVVtNrkJ72Dhp2Kzi6ZVP+Z4euGFYwp1fIS2iD5N CMp4yX8Dgu4G/IpEKPoZmpBoFAXMf7J/pQjEfF4rJATYCoCpF3mZRUgvje5ye+EmkecDIb/ilrka J5r05/GEAmOU4lWKCJU3UZfaNw1Spm7NA7tKSl/oMh3VlI5r0MkVjN7DJDKuFJx7ugvZa5mHnxc7 aFDw5nq2dJvWkvRetiSNmxL6byOUx/ew6MtV0J6ZM+qm1KHKm7372ixo52crS+pWxOOLZucSZLDL OKnNGs2JskINzs8JosxmXugkoCwyVFh7iD0Is2b68Lf2QPqx3Ps5WIOycKULc9A2t7Hda2VdxpBA lmB2It2PE4b6cW4eWMJ6przvdWnyTeZj7JOyXQK+kYx7I2d/qSiD68iO9LodqOx+9ET2n4IH3S4F Ll9bmx3peIvH2rG633hL1wxZQVbfMhJTRLqsHFYWQ128OmejcpiAIbO+nEVvSxOIEC3dbgfjdyUE C8k4p4X1p/5Es3b2Pf+wbwyFQoOMQn7jjzUh3pUQ3hmhzPJY77jzbXZde+Sn29Wa55lO4TKe33jV ywJOVNj+WSV5wVp6oe/XFTWqkyG76mMB4oI2lkqKPsvmwSx8YTZAu67YfaK86WQfURT5l9RZifts yHMH0aSwKLBTde18JboBmoCHwGKnyXteKDmo9H1sRooK91QcQljyBkR9fU7tAnBAlHBi6LjE2nzR j/zi9EVzWUA8Ii6HCSpGf638a9NwfD/6X98+qMOLIn3l2/t35kk529ZR7L13wTeMpNqFJJVK4F0V wKpWB/4wAd/Mg9u8bavKU663Y3JwW3gKtZKl4iXgqrKB1kzriDtDgGlpff5q4uwiKtYPtgoFTZ/O nULUDk1QtcGGhu76q66cPuwqpNn/5gvnUoqMJgwiEKqjsHVSfKhuuPhfnkeO3STf2W4JyoGtNiwv /5sxFCh5w+GjDlTVxjl67n0Lfj36GPYsQz8+zqsAWA7gMDB7BlYV3X8I6zf9VUOkph8Lcd/qkyHo T/dZIxUla0LJjl9DjYRjT9SjzvRd76Fl9oi9QOeDZ/8iZAUiCFkhuOVjq0SoHvCs29ozqKe3fzRM Jlod89ek2UxO03DtujhYF7OKZyKsmfndJ2DI4CBFUgZMiXAL81RVZHdMX81LksMKRqeNpdzI6zH9 Gm04BglG8wYFJL0XqJtHtURBcViMUfARquwmyrA7kbT7ipGtaXwiWdw0a9epk5D8z3YjDdDj5NC5 X9cKq2FiWr8eduZQdF7e2cVychOX366ZPKTHrHt7ap/ypCFWkLX2jN74hSPn+YRcZLYJU1Os+oYq r8+zMdO4QrJkMo0xzAOYVQ22ek4KOWSfq9RuxCe3QFXnkqxakmy8Jw5NQEWvU/vg9H3wc+PQvbEU 3ffjxrry4yQUip5JyjwnlHojhb3JqUd+gbWHEChXmff8GLUqZebqMUmR63IFimSmC/GhPviz+eTs dZB+DuStjpjD6WiI4ngcnQoQGJp4WubCDExcskvxs+GUSlBlHwz6eFmJO/+awNFUkeJYmywZRySy oZxaT8oefGgJqUCXx/9KjUp9a+FUK28fNGYpljsFJ9hj4fjWnGrDMTae/GcRPn93qSPrv/bWmN7D oLOXNBO2zPSLcC1L7I0HEkb08e5D0nr6GlAtIKyyURqBxr6jjgL4tZhyLrXwX3K2KtJw5rTplqwt Kv0Z9uP/0BgoRTUKXUUKEgnf8uOM7+kErqu5t9CA5VVLQyU+yw0egsAoRZj+O13drjPQyX981aCe l0HUeK5PCV3w8ZJgz9unrdQpYoe44sqE/akbNUp4YPFQE33ltUqUOwBQ0awqULO9yH/KVYXQ1P1q bBFnfk5SYm3jbywfRulrb12MaNKBHKYsZZj3hy3VSQIB96VrT2QMiBYQA79niJCvRkHXjs+tVoTr Pojv/BECA7TZoyhGSOBht6T4/Xxsn/gMPy+bBOhrT3iHSirvNA3OShxLBdXA7ALGWys8eTBXr9d9 D7fZKyvW505htda1GyLNpj0szWu3x3eB7C/e6jOhAXoadkJETRfSLF+KzauRAF872uzhT1kSWjfq gcgwkMYw1rsHdQENXa0BIyAFycet3VEwslLhKncw+pq1fcDSrBWgJ8ce8f8MVUGjvHMNGZd7eOWz GgKfaWubl6yDYEgKvFhQ4deJdAGD8XX1y6eNHiO5LZ07zT+vcMwwwh43xLrGjS6VDkjSrmfibpBd Mn6ZM0KTM0irPGjeTsJ4zJPJEaQw8gMHOZrBhmcnrUj0D2CmDUjI8+tiPODMl4+lxrzvjPubwGDC 51Pn+VDWUai0KtoAJGIsbcWG5fqNJ6qiqkzBykABfKULADNqgGLKL9ZPw3frsygLv8l64R5zgdiK 01ueoKU27G5kjrlxgDCAI4elZz8EftaDnTgcwhRrUEOlX4PQ5HjNd5cALpIAudV/d/2Bh0u9SXhw CiWwSLBN40p03piXR2++2NB9UmbwavFUigwcSYDSTm5emHBorX1vLGxMwNcwpYCU+ITWCoQ6Ilua bKB8R5MWncym/dwpPncHPm1ztOF6+T7TOnXremTqa84KurXzUybeOisgfAnOQh2pOODRRxHQWWFE DaGbcr0YzD9dLIl1x0eY7wn5rnmXhReGnHsqiOiHytgox1OdqcdI1+K/+gbFfW9YaR5Lq//X54K0 FowcC2P+0rBqTnyVGsoQ9tUVjJXtk21gxIvRUs1JNyBReDos79JAdiFMlpiHbAGaXU1nLCYj/1EP DDzPwi8yet19/Xu7TA2tKgT82NnD6//lJYltg9gpF2uGPJztiUyb5V0hpy6T3d1q6WosF+S70Xe/ HA+ULYVA0g1FAzXb7OvH63RGIDLYAAnhiicOnJw292YISbPBmWHPybqm4PLiGrPLVC4l52L4i/S7 +COUeHfP9roN+Jst1hZhmY1mye4Ug6n3ez3paVLj9Sf/j47eA3JB8l4JqEjo5BzP2WY1ckICoAmP swga0Ica1OL1SGj5mvRFEWg4w+AmnIQiZUtbocbcpkzw1ZBfYzJLL4O0oBou+CYhpjSmXNVS3r4v 27z1eFzaawzgVJ3pmRPnMLv5JOjUwL14KNdh0ny7JLxz2U0EUfJDE8LpdTci9jvS7k08cISmuCjO Cj1uIRbBFsQTBqgp528NSxbK9gfzu1gBjHSGt5uHQ7zun6fHeRGYKgawOabCzU09XTIFOfCqg8DR Ya/0Rui6oBLe7NmB73S5XXqreZ+p55y1jyVqCLlqdjLv3Ccu/WziyUzBcHE/wf+jsRYb3GHQsK7+ gC2UL/l8/INzwSehPhbg8Dn+xqER9AQF4fcExJAAYH/kqSmXCLQOP5UMdy7BJN9NlU8XqvcOEUr8 MPX6cA49LmU3AnlC80j//2c59WGT5z1PdYY+LaESGwtb/aGP2IhDtNprfmNeyptfvywTa3QceXBi KNtMVSNUqOXlzjPGiYlmXrXDngedNnMd7SpuVbIRtrVHIGD8kaxNTdtxsTvG2H+9xF0Vm7EGn1S/ sRgBwicivmo1yC86tEw+6ejklrJOtyHYnuQZDZhIWJ1vRut0zfRMZVqsKVSR0CmEvCmHHp8CRQXb dGrGyHmBbYHSL+nq5a1iPMdcK9A24xIgc1DtEB/wggtVDz67EG56Ch4tav+v8HnkM9KtlxrF6+Gl pcMlI2dOYLhRNQyKSnon6xg1iGd/5/DWo5Zbx31d4LJLOXodBU27prZKDthpX4y+Dj1VxaR05etn 41JeCIUuBrvPGvCV09j61njmP2dpI9jRJdDqxr/0WMQpCN62vuGhLA70Z1yP5K8+gUcP03ZR8nVm QLuQKRpuXs/SnVh020NWj2XBYi0ATwYH5v0vJOSwEt5iVNtnvfLI+aurCV9+nAqbKmScXphVY6Kg z+JOo4VgtLNlHp0slKnuc2Gj9HplOvMmx9ibzD/4Hby7p1MaCcxpE12G9Q4l/eXigm2jjC6LYvb5 0A81pewR2yy4+9eBvz2wlBYJZYbmBKKL4NZnGMDLC5CAlW9vNySMvFBtcNUwPs9eNtYOEGovaPpG ruedF4P98b1xvpHtpazZPkzKT8psL9mq2fkNPR5uCERVbjr480NNxc/HCjtQh4NbVE16pKCDBjn+ 6ycMhPt4fkow1hff6QwdUy0m6rgP264FMUNkiK8rzAqasOih4xedwGg4CZCWDuVyILq2bESyJrUv c8tfSqhqD9BJheg/zYbyvtVxXfjJ/M4tpjo3YtRczr2XzWGrodqUTmtueYwZ53WX2+F/+Tj5Cnv6 GTrnmkYnRZTp/n9D9C9OYESJ76uSouFY1XWhPfpHOPq/9v5gzOcujqNWqSIsMGOwZQdoMwlW7Awj iBFnE0Z0Kax0g/1On188+D/RZN9mc1LfceKpAqYWWCk2bCIzuse+w5O362BD/5yo8YDSF3PYijPh sA8m0XDXpVPGmV9kaihDnxeCD1q0GPO/FczqqjyHNVY8Z4Y3d5t0DX0wdHL8ysRsBGt1QG1qLine 9DYTCTaQgoYN/hp4Ed4ttcyyQD5AhRgdDqZ0Ia0maYayE6nWQy6qKGzl8bem/1lj56YJ7g+W7vum aMwwdM+lA9o13KQ/NKv0habrJIBBgc0IuWQPy2Ncgw/ptoMk5E5QIXTHnFduGPSBAfy7knBxzJBG EKQ75ie4x7fpCR7cEfZ5odGVhNfoefdg0A8Ufls50BttaBgVFAXUWNDvWItT37cpcjrgRx0vHdCE nAQ4JWHcpBrLIBjKJe4Wq6ceuLIiqvLI9tn/eSV1d3lv5RGMPpHeR7V4pXjNkUCSNxXaimTUdaBW RMGkpdPdjnIJzLx6z/rDyFO05M1NS6YI9JUsutg28uattX3Y18sXl/9P8cJ20YiyE0sNB5y0AJ1U HlhFLreGRsT3QrrpufXFlgiCcsc2VcjuBHC5XL8JMVjGfnuvzRzk5TAbKudRzq3yApPd8e6w0/De 9IWAUw6z256kUDc2WIJQWE4AxYlljYb7BotMGQg8ncn1exMs4tEJukUgYrCvF1C3LQpqcisOf8Oy 3SYSHJcHzozEbHx9gDA34r4KJTFGoBKroC22BLK6lYxOPsEX0jSRaQjTd0wKvi4TVc1URW7eDyTu rho88PF2iBq5Fx/Xp3vWsj1w7J1+Pc3bO0k9ifl3GPQ6O6jVgEnYSiP0DQwJ27oJRCUSEtylfWE0 k1ozPSJW8ofCHAmf8xiJ4dZxm9AHWsZOyNdnW9aKEZzaEcWSTBJ/2oqM0jkJh4w7w4RxqpcqJ2s5 NpYy9ru1/kdN996iRPJ8MCqgF9xdAdJyNCMfEzA4pzvC5tWNmgkhAj26pAJ5/pSAhx5+8s+7RYy2 2XNhjUBW+YRqNmm23oXbCH8o1/Qj2w3r5GbTFGM1P7aCR014b+oC5VDLhTsxQsGHo+PXwpIku08T rBiQzXnMtNHszMIAyCxzWLsp/6QoVjBLW+byPFj6vGHi6EC1y+7fUSd4nkBYsdCgF8p4b1ESn6yf 6pAbH1kRZV+LyMPTl74pQZsmaJXU3DQRFYAgMb9tzrFyFK7FbIffaAZ6X/DjuMVBJ+HBy+DJHfBK ulFVoNR+aOcJF3HPCzX8W7x/DVemN5RlA93zTz05FKmy6QqgdEvY1Wdfy8fbuMT6xbVVFygy8/gj UKb8gELUU7mjgONw5MAlUoXxwgV91Y+p4cz7DE8W302lnCFCjuIFCj+/Or5icuXy/4xLRi8VzxX2 oj08DgKdxe7iRmmHyQjQMMn8OYofv+lX8QQSbTLAXX7670iHCC9pTadD/okUyGMu3lf0s6LL5MzJ P3JpguUHyHBekny+YQzPM8fITUJgQWK08ilsGkXj+JyTK5oq1tP1o80anIUXQ+eW+DhS/k0J3RAr vH4rrJMnC7vhKVpbvYYqtKb5RDqmVs649WjQgIpdVLrJ7ADuxDON43NkgmsS3BWrLBjBZCBzG8F7 myeVw1z8581M92oG5+gIdoIwdGEWE5AC8OMYzIVA9W666Gtu0mbfRfsV3Xea0fS+XDHvgcNZ3ddW kqTOE++d+RvzrNPYJNszbI+HIYkk5UwfYOyvftkp6i4w+U9lfvZU0I2w8aEHRVrA3FjbpFX1ZxFh SKlSeC+odDKLhg58BB66VQGC0BWELpFtMq769/y1fSUxa9ezCXLqtwSm1kaw/GYqpycsLFrDynDn QeB9xvuFjIjcra42oIsvMbzZ4OY0eFyUF/8ech34FciM/UmeEmFD9v0oOvL2vRviv3i5sTrqt1fV m9tTpb23OU+ZhwYAStn7e46nzgx4075KN/4BL3Afd5xPGMv2dg5y1uSpa/XbqL8aSy0IEnpt2zZr k6bKYHz+3nQryS8vc4tjN4X74Shl0JaxbEDwvr4VE4J98nzG29rWg7QHrbd3IpE4h0BxGrcNaAgU ogm/mzIOEzj5rku88kVTA95o3m+OX9Id2Zw6h7GRpXrhfcdcnb++d0TgXxDoJqhFQkybY8Of9ACT Qo5ygXmAsHQzh0mB/MJKUHGmk3duuNagVC/nh8LlGCNahpmkW40UY15gfnKkEd6M57s2RYM6JIGK KYDx0c2RThvWufa+7Eow3lP7NAkBk1hIDy4gNakkTi20qTkKqfic4NrfeMskuZs9IzOBX0hkUKj/ fpS1xpzvul1tVKy3jJrHz0KnLA0RvPuYnlY42D/ntb4g3iR2LOFAT2v6C5qIB5OncDySAlGw4pUb FnvAmaD6400vfVYF/ZW3d4FtdD1YO7c5xS2jEXaLHOtvBsgmLYIQih6HOWvPCuhXu+fiJN+mBRGt 45JPLnKiaaP1MVk1HfTs9hqWex3Ft0D3xI5HnK5pSkVvzEfC2eR2SHpkQmUugROO1reHzNw39KHw Af8NLcBz6PfODTVPotRT0M0nUkRgYB64dR9L+01+T8lepWsdFm8Fzg7gVP3kKR1WuXWHwj4egwn4 /dM+96I9G01Uo+fttzyF27D6iuFq8jhIU1u/qL5lSBN3DEVDv4W11eVPA3YJKty5PgrHwqltSGLb jejjcyHxYxHef9oLxOBeAdTp+to20WqyN3VMYjcqbd6RVqpvfAjZYKWVo3tkwLzBf7SqlBvh5q5A j/fK1kezSJMRuQzvXVTWdcoAg6ECqcpaLUq6JMb2NQwZgPYzRnGA0zO/NPY0Ykji8ZmbCze4lMSg nWdWh11byOTZ7WcV7pMXme3Abf1PnUvvyX05Ix6n6EDiMYZl1zzSf2/rGe/kynEft7HMAa8qNt7S p8nZkxLXvNY8nmXbJpczylWnY4dSZ6CWHlou7Ti8V1taRTMJ+eJ2mK+X010PEvc0hWuLUcSz/Roy k+hF8C4gafn0K6UT7aoJYyr1BkeWklAVqVlzKkZ+OeQwD6cNsRvXOoDoJunY+lvj6LhtGQ8E6XDK 13wYtDSU4pNN6uSGLGXcEKhR1V+2fi9G+F7wlIFatZdpNMjVRG0PlkHZJeScu/2haXfSh3R/3xvw IZYz9PTxUHjuQCobuSsEaf7MZbu4NMnZ+5Vb1b2dPjtNhfkoag6tjUtoC/BYG1zgrww5dITUH6mm Yb+Ad3UVhlvTJm4kFzEyANLgHfkIxrSHLQgEg5CL1zJ5OxN+cyKfLNsML+caAnhNo4OYRCgQ3vHu gBlxweHD9Qaq22jfatQl7dnlfpUYntFoysD/2L9s/GtwldDwVtkIZxugqrqc7hXf2TEAeRT5dzNj r5fd2guiLPQfCZ8Zk2/LYYbBwxaUaciRymXXZxZUQNJnYXRh3pqRS+3n+SSKTULjAJKCHR55FcVp STGkULW2wSp1113Kt/Vig4riJSNhO70u8r5uYUADzzds57i9RGVVWAvM+0HGYqYN850XPE0hDB6Y G3GUpJlIuRLZgT4FH2aGFHm/2ThI1RGdklkM+65qzdAZ3sWmbTIzZg8kaTNA44R671xirZDar85v cFj/TnGz2u2XUlL0d6H6BIwU/tfy0NkrGUtlEiVdqTlrEeK2k+aHsdk8lU9dfxcTXg8oCvK27TD4 uH3Hihjx6f27Li7oQfM4BIJwNa7qg3EN+ePn2yjtvG+XaLCJN6ED0smlm8m0fNCePE4I+sgOegg1 5go4posW+H6XcDqZBvL+vKjZeM2NVbc1kWcHEigHFaAov26t6K+Xv5vYD1MxfT9HaQ2K2hKu0H/l XliMmbYTT5SdmfWRlAtUXZ0I9uTtYCPSo4sEdraEKeKhBu0/k9y3K9djYxLRlonOHtZEfnlGsQcA F3pD+YZrq91Z9shIu4CESfBsUjkrLJpmAogu/5LxyLrl3cwiWkkSzcvj3/8BDONqe1ogLK8Uq3V3 Nc49lR2eoeQjj6hMRZkn6VM7s9Sazh09RRpDXlJgP16b0GiDlQSGF0l8+f1lUKJZp0urOmSeUxtK 2hiwzPLead40qBGIbwAhBJpUd71PPloZU1cU1l6PHJUTCLlV8TCT/WW139KAqxGNzKEY2oxjAS9A 2cOocowND+jArXbJv5HimWTJ/41pH1uBKr7hStM05Eqls+JsFkuL/Jrwi1nxNBfWX/rfQMtpQPqQ p1SdVEpVGj3xIka1yeWG0rAs56WmgG40Ke8GNNThKybdLxds52KL3d9QxaRtiK9Q1jHRYPwTUr2v hDDqjY415V8mlkL9NRrRC/JSWi2PaPINFmu3DNClB0yCjl7NvPRKAcEtJNQoP4y1uwvH12Ov0+bW MCd8Z5YoykV4OiifddO8xjeUwu9ikcR7ly/I/f/744AEGTwn4zF8mLkyzdq+oplYtNxYWJyQ8aLg 04y9hnWNn8uL/+bDeemTvbFxpB7OFHnwspKuNhMK0/KO3TC8hVYh9KEOWhTFirLAAJbDfmQeuhk0 xk+5zn4fVSYGgOTIzlGv0jmpNLazxEzXCAiPTCjpz9cTH7Gciwg/ViWdYmPX/2XfB+hbQ+fTssEp JUHk95YLY/lunvJOUTDyd3lXrAYkqGiHy0bH8e/tEX9kuXocg6TwkYIa/u/m+qiHtUn4Tu90Fysx sY9XeoNIvc7rXoSNrEL2bea02j2JveW2Tj1xWAluqO+upcaSlxW9gx2g8yS8sNrJHtnxvwmakRxf Mp96NSyqufFJy8EhCk7HaLTJ25wlPsiGHvJbV2THTNMZSGnzGNoe5BDcNmWa7ouh3YIAgztR1hdz n9FTKIHRUgfM9+XEpuCg23MoKrppOKZuWJ2pGzRNzMfdjs5gtIwfVdie9To0RBFns8BOkjb7VNs+ 9B83JsRv1tmwIq4Si9diMVFKykXIpYQ5/ywbOzFRTFs5S4fysWG6MVTY0893ByjZbd81dWP5ONQ9 CFIj2d1qjyuwF4dyQpgUanXnxAID1z2SOretl4RZCYacLtqJda4uY2BDroRLWW8QHPUCPch6Ll0Y SuAAQpgr544H9TT0LpEBzZtsQSbroy/z5SbaJxCdJ/UnI0NSg38hv0mkLAxXBuN7SvGhyivhaBRb B7tlpFEoYdbanixELh4evgBFonJ3sNn4c+OMKmEQrk4EMC4BI6720VfLYrPJMoeCqwZxcbjxu74v FwculyLv27qVF2bSCG7Ve9dMqdeYz2PmoiNnvc3livuIwnrErbVbAEXQCwCmMMFVmRnJUUUlVcX0 3aScwjMbouvJOAwof0CHtkCNOdeetnpZIWr0LazbYQBEutImg8TT81kBHzmrLVSjOhbKRotBGXwO NM5rpA8mA/o3XhV+gr+eZPeNStn9C2FSCR1UMLMHpA6hrWV7VwCnEBpnjXwC3p60tvJkVo7F1prA BA1/aPaIJF6qdAaJOVKYdMYUep2/oyOdTtcwsZS5MvxJrOCsH7O2JXpPlg4BLcpbs1sCVHk2XddP 9z2qk7C+8OqP4wueAtXWTmnKjL1nkG4BKMaCSEZcVcL2/DNGbS95w+QIIeoXqVR7eacycyW4hEi5 IPlRLzRlDd0s+tgV13kzpy8F+KHpzLSLAtYCPECDz4204P5LIiHwRgM0SQEqrneSkUS9bvgtuHjs JurD48Wzjlx7PYzE5By9BTCh+7S8rjDmEFoG2kTHbJ52P+8ltYZ8OjhXSx2LE5cr6jdJlBYequuJ QpiVbvY+kLjAaL2VRtxTFGOjAPRF+OqkdVKzRMMygFd0/o6R8TMaTMA9T44VteotZhpacMiVa/dQ YeyulT9p72gO9OBz/eu6YJQOxfAJdj9+KVkMIEgw2qDUCuHPgJXuf6+lK1GI2K/pAtpgosLIiZG9 aQTrZBG+frherB8FQo/clGpZAMVEe82ArtCYkNaerNurzS/KIobn5562hq2vH7bP2oaHvy+MLBpR fkimqACOXwaOqyV0o4HWQ3Ze5o9iLXRipiJ7r9fFbHPX6yYFw3u/vw7fXzM0I5fBpm4zncBRRaqT dQlJo9XXBLNwQjOqmLNn1DFe1sH6832rJV4Hno3DX2iKDCFBLtFat9xCwjc+dAcNtMaAtBVcNf3o Z5fZvnrX6EX8FVAaPYCdX6e9QDtbmANHYHH/DTrzRG4jU9iCgj5/grRNtYp9rsOKtNsGA8pE8TSo PbgWzzjasaUXaUgA5HiTPJkz5DTQazxQnVK2+e4H6W5vSV6pFgBXIHAHen80v/r8ApJZLPI+esNr j+6IdHpUj4BNyFl2clEogmOtPhwe/YQqrECAfuHG4Z02hMiYRTQPaSYipkYEDPf+RRXhWSKVSbRq qy/cyEhxfW6ao4ynWx08q3QGkGhtukFHz7bEovNBvzniUsPi1rlCJDOL+dGFJ9cc1THKisl7s3Oo DohiCbreVNNE3vu9X3Bw+Txtx1t2RLFEJUXltcRAcbQ7KNH3XG7whHAYbm7jcd6TdLPRjv8w8oau fih3GkCMURqMKnRifPcsHR1RPNqQ03II5CsQ+rOw9YqxftyvjwdEXwNxS9tvTPC9ZfkgesrRSG59 uYiHhrwD/EubumcER1FsRVNbzeedojyHbCwj39JpVI6WCaNZBMzM7ohpIS1/6S8+PXLI/KPVNM6T UpOyGzV9w36Cgnd+koroiFYGk9Mstr6VLYr0kS7QFog5PGUarn+p/CwGLX679z1ZSHnGoJIDe4ka /ZbJvdyoqfql7js6CDH6r5AaA+rhRbEIVZTg5uTUB6NiMKuUUuzHGiUNaMjFlLqTue0PQbyCyq8S YnXSkGD2lC2yB9aRfCVA2JNil32O2AtCIJXW4cVDFkxWYFFO0EIgBXeXHcY0+jZIvSHVUxA9ffNj HTfVl97aZXSj7VG1vG2kYQ1sXnC58OkHVwujnK/cU1+6tEt/Rq6600GC5oZzHe1p9CspX4PmpBqj C+uHUkmUG7DgDsKbXQbDXhvyvplfBiozOkzW5wXdbOiGPEP/ZZu7k5OJiWCx3HbpPeryf1TdQ7at qG8/oQ3nFJaBa4ZD8H9PYK5Dpada74l8O4j7YGEvtDg8y0GRabAWA6Oo5x2GafHY6sku/sDds51T lerjq286DVkguUj5uB64B2cjbrideaA0piiFinHsSbVNbSL4lwZmw31QKxJxbtU8U4cQa4QpbjcS K+XaNVUaRGMGMZzYPNt4nCCVKQ3OMuk+uIdbGucOiKdHkDgX7Z4nqyzJm5LTtnuEe+AAXXiEM53V rO5wF4NCfSik8HEIBbwta09O1Wjk7nzqmD1WiwR73nKyPts+jfblCq5vg7bIfgm380VtC7wvGWnn HvjGTx2OfhFRFT9ywGIljD7GjnT58xSGIyhJ60SI9CXZe81Sv/SRhkiBPABMG0RO6VvfrwvxUxTV 4oU5STjcg5qLHul72qA/C2plpTz5Ze94G2zYvyJoG7MMCswwSmgidXIqQgz8UkSiagAxpfuR+NLn Z+UBSmOdCOImfXDTnHAh+X6tQcqnGFHok8Roxp+GgcEa2mogj+Tl871j5lQbOIXiMeh84Akaa4zj +K/vr5dNISDccpZFzbPdEpRS2u8JRjrdE7YpFYg3r4Iw4uF7MFceNhIz6wH3mSUUt2g/ENWYgMSb iQzYUpBBDiz83/odQUpP3YNbjceYQmV+0rX+QbjlTKR/uh9wdu06ji7v0mAaWRN88B14azpmsm9X R4uXmFrN5jxn0vLX1JwlShAIUoJPXYYhjMRPDv4q+NpHnyYNY2YVnunkXOLLziDgG1ZKexHNDdEh ag8ECyFcrrCBrdIH/k0Fv1R/MwnIEAZZPjgFKqNZjqrr0aRINOCelTqQT5TIXSbxjLC+0UmG9Tms kKImGPU6cZsdkRG3tie4cXseSxLM6o+NF6Wpwt7+jW1fycg7RiyPdj49cOjEZt4T7/6uRQ008ny5 ZVi76UbIsKE2fTiK5Ib8txG7xIFRZ7ePAtfprQ1fF1VkWUsRQ8MllWm05BVAov8zMqsHWulBIETa ESHPKlXeYtJOdFoJR973tVTpVi50DAj+QaG/GHGUmcTvbx4IRZXOv/hL9/HSu0psRIpeQ2PrLOsJ tEITOcM8Pt84MsTuNoPdAMs/Mn2AvoS0PFjQrHiAGGt+zTcjpUqiisZvrHByfKLkWj5WEi3WcCAe zLjDJRPu2wOuZEuESpWQsTV5tSyshoA/HhpbHJW5+O2j//0F1JBw1qcPgCMwEnq1W7jHRG+Apk7Y x9At92LnN/rsiAddDnG49dGqJ/Y3oVUk8W0mltiSH3mUf3Agfno//2Unv6651MroALb94YfmisUd f4DJGdkAGCLMmnNOkckFDp0e9m+YB6bLmI++HR9ZEsa0oF6rqrRhoqASTDwLshA9/eEaR1tsTsH3 RhhOZkBMWltDbDckMXleA9vXMi9vEgZF6wPWPGbphZhATfrYps50TF1f+yVRlBpib1KvNRW0/mRE Zs3UhWFAnzoJcWkBGg/jP3d26xXAL8CEsD7oyt4XSbGu51Nx3DkDYI4hCon8b+QbR2VSlr/HNXon UbAPlXAqtWGhmy7JmGbXYYhMrvsaItX7WyC4GPq0bEtaifLIgm81AXcGyX173I4fU6mXUtXWhXge UW2YXNG97EObqJTItY7bj8G/ldMf3T1z4RnOlqYwttp5DU1vBuR3Db4xJuBp2Ly8kaseZyVU7t5O bCoOheD/ZXh/hQYSnhJ+VuKqNBD9OlaNeiExpLa2SPRC7/m0Nt1khq2A4DPUO4B5njpOqocPPyUH iQJjLaKMcDSFRVD19+3D19AN7XdWve2epGFwm8zimdMGj7DptlK9Caf4Qn+MvnDJNVD3D2R7Cvpc 2swPD/dFd1fSkYhAEILDuQ8j1AByFjTFbzN98gwe15w+hjj8ggTGv0JRxNE8sdWQsYiYVJ/lBNGX Smxcvuj/5sDNYIalXlYTYqTbOoR7pEN7n9JOBj5X/DaG4oHQjWgGFaSJ+2ddW1tQBFvVKRzumfTh JCJ3ERHfDwQfYoYMtgbJnZD+g4cbNfMI3YDniOF8AtWbX2it+0TLG8DHMxmCUUhGcdTXAV1npXcD pUHseqkOgGOuJuB37BCyiMPByNgcKpvo4kAT6xyhF9SEP8AAqaaMhFEjCB+WFnXFVnXvX7TpS+r9 1Vc9BWAN+SZ+joh9gKz59JXjyLTXVel24W042qOa8hdgoG5QrkYNfyw9KOc0MkOAb6XXeI7x+qPL FeMiBycbuAcV7AUbE7Q287PxtFNAF3XqPgEFVR5U54RrSTZLcEmDo48RMM/go9qLqeZcYmZgxFKw wxssA60W+PvW0rFFXHhixaDU5829KyYxdLWPTjqaCN6qB7Focs9yIRtS3QY2ipnfK94/GIgKBvjg br4NhlSP4mHTP5/0jPzs3mTcsIZaTDocxvH5uC5Bbh7zcF3881kGaOP48nnf/Q3mCGSleh0Krrb8 ANxo5go9XgNYmZ8WFIh9zUhpeV4PtLJOc8n0Dv77Q5vDS6ZUYI6xF3+iKkvBKiPhXz19KDLBlllV 6PAzVbcyOhSyUPcu2fOtXLV4EtSAaYL0T1oOMTPvA7h5RHeb8pYgYPoGm6aa4TTxYuMdwoRiX/GT pADnzy+np0RD2hhcX4RxJiv6gheoA+XqH37Sq2+w1yP4uu8L08Q5aXHugQl5POMkR/Y9xnoR1UKc L6loDKZsJtm+VNEWFY2ZVEbL/s2PR83WFKE/NWBLWBoggZPEM51hmLI5847M+Z90UG14CJNTFzrQ 4fnHWS9heozbRZ+38GYzXxsV8FsODdczqqCwr5ElACtMaq6xlBOpxiI86r1iwqMG8FqZHhQ0QL4p Q6gc7Ml0QPtDzSiLdBZKcAt/yhU5Nk/9i/QILfoD3KPoG6G2Yzi+KKDAZtCrJ81jHMpleKrogv2S OcPTX2ZiXa9A4pXcnmD/ULnh7BvXfE3a5RlRrPNZ1XkYRjr0zKakz0sub7oAcHiP4uoZLBBHDRHV /UEz9EJozdhAL7ZpXa4+DylfChFHX0rSnxwWNCvKts4RJa9dGUm4NiBP1ONLzFSpY6sJ7pWNdbKO r7FuSJmdGqzl3PoAq6/yzIi0lFk9BmlP2Q0HT+hMP2GV93J2Q/NmQG6CdwNbmtNzjPp/MR+h6lE2 yFerqKo0rpxJSy5I6w3QbGlOMiWJuSbQfAAGYAiK9u3A39BbFXrV1vQOzbOfW9BsigwwoP5qpshK htL/WInQtHHoiO5x0/P5UGIFa7Q+dqLYb7DX4zeA2TqasKhZm+UCsRl3W+0M/1xj5bDAiN1ALZe3 ByOw/tMaqm5TgjXBoisJtjZ1XudTcv1h5yJWOMFwdcqeafGJ+pqmLrlNcjeMUvLGtwqDPpYowEUE b9Ni3HVp6MyegUzCCz7/74mwbTogS5uFf9AJltUpcYqpF/aG45LOsuayO+Afqd9qIJHZ32amRZC5 FEVlLOZc5jpvh6TBnck1hXW7l2G8TLI1akavBqym0QSsLgpAiqZ0IOWsXoI3Xd0bIP+vzTBo7RWi jtFtuFqSSo71renhzn/MSoN7nvIqdhY8e4+oksCB3rSjWzhR1/F6GUkqqkcoF84d9jzeAGoLeXN5 q/Rt7GDb8mD/YlWsql0m6Anqxn4EPWN+bnLrK5adafLD8+ZlaBJcSlYmvIhiRgmkhzpzX3YE28vl 2/0GFzSGyevGapR5bYZ5jWiL8ra6txUwqg7pTbl8CK9g3ziXQON5l39A4wJSkGOKJn3WVRfRx4vZ KXwp4H2QFFbbkBXB48WGZ9YqGaGt7TEu3ZFIGj9xTXAAfPDvAko9OD3Jw/B06MGnZTFx/1oJq0Ad knuvzhF+3jKAtxhIJSJ1NNOVEpFRuTx9W2KNnG2PQd10+cDRpS9oeA2RAWvZ6QTwNfHnmoMqEe74 c5ZVvnIobZK96z8U34suP5Se5K2FfUe1/UAHifKXD+CwpVRE1DOijri+C1SV75IRQZ6WSwMC/R27 b9LzT3deiuDOSsLOpVF5UI29b9j75q8bUzQwJmZi/28JiK6EVxoH3oX+bUF387nGZq8blwWzJLGw htQZOFoSgURakmzRquaXhkMvUQxD1xv8vkX2kHMAIqRuZbk836EZzvwZIcDiIrvmH1WQCxTKOqn8 ntQJh9cGqv4Qi2xdzfoGskuw1pVT7Pb5PDi+qUYW8abCV6d+URcoPYjR07LEER4RAXW6hwmZCEVh Tq4JthK0pVQYWnryWXy8kin/emzikDGyflcD1HhG637ERqy+wrHJ8BydM4Ijag1w18Nm2h1yG2n9 AmemN2po4T8YW8tiGKujnbeSCUyvfzvsChZ7h3XJ4dY1xpDBLswwDjLt9oUU0s5eB3ea8unFsnuk Kof5HlUQL1fGnsU63ZrnSgkYiL32xGdN9YGIzxQ9Ls7yXWeExuPbkC0x5hKOIpCvd2aaAM8TnVja hU6J1hkotpOP8vPRwjPucvhsaxL1gcnb2DsbBd7tJfC51fZi+WtIdefWftSgd6YfBbzYiJAfxQBU VQlW8EE7GJzgljI2j7vMXU83bFqyRxB2UAWKzS34kM9lWE0VliCy9HDkuB2Jn9+VPGf258UMusgO 58Q4c+ulEuyK3mHXR05IvHX7OcG4A+jHB1J0Y7WHqPUqY+0PCVyWvhPwmrIoqAqkboDVBxjpJOSl Tt3YvIEJIJ7cENfYxkZcxalRkw2xEOJ4FqdPDFpKhpcgmk+6I7f3QasUIN281fmwAYbBLY4Epghj ZQ58ydZi45EgcvYLhiq3/p8rqi6osUWPDyk8hHiwjv2Tkr6Fzvlcvawq+ZO8ktDnxusm+V0wHIeG 11vCvI2Avv+GvivodazkREylzZnKMmVNRUm6cxLFCzGksvV6Fyar+qP7efwWi2Mj+vlMWoe67iaA 2TWcjeoTNXH2wDO0U/ApXrIrfF0mE6ziyW5g+nnuNnI1opaIElfPrbnkPOlJkHBD3zaojcway/Wv NYd9qHZVAdWhz+qOHsUwTfz4BKxpBMmDC5GnZrxHREI2J1BK6GKNaQSsIQl5hdkTYExwNr9gquMB k6Wk57etrkEP6z+xRn9/W9JCYbb1ECRWyTG1O74RnxOOPCcf9tZxNxaG6QXvTrei4S9GHqp4tFdP 8DJAtayq2iIJjxazrhVoi/6A0dvEq0AJQsiy68PGhcFXIdj5x52mMsb0VwQovrvXaOCZXne865jk 6cgzt2PlbL3SKtZXptEIRBV0TSarfDUp/ZxkEM2m4ILJo9ffcXIHzQb5hHkopYCWmMCzFGjyJFMH SNt9pa/IL9GCjqLrp3gSb5lu4q3Rwf07TMAvGo4AL0sk6bTwVDA+DmDXri3vkpsV6bUp0wZifAdM 7ZUCNi48t+Y0LSDRrFzdK093QJVs7wFi8lciTUetVT9C8bKatlgsCNiH7eNbwRQwkLyys6YLBXev reFe+aTHLR+SZrggfGaOenO4nZT1EMcMnDiW6K1GMCT0h5739JVMclEw7Hkcu2IBIOmTh4/44iov LoLYOiLjDsiqJwyDz6yjerPSnEXUkNmRxVkZRZF1PMFPTFxLwY1zLSkY5UBlbXCkPx+k/u5bB46F IX1d5ye+qOX1NKygvAK/++dbv1PweHIpqoUxQUayW0feQgWTFsKk/a5rA3k3oit5JDfmix8+6ZaO Fy0SMRjcEVCkO5RoyOEIoXjzQ9c+TxF7qH6AM0i6vkWgycG/g3gnyXciMEzlHbumbkfaZaZFSUGK Tu1Z8gMbofIbrF+P9kXUJqjDxBj94H9qCKeRodE0TWqT5wh5flqPu2FkMHuJLSKbuK3KFP9e/J3B jQU0fEh4HXc/HaiA2Jd/0l2Yj88J714fV5PR7YIK29jQcjq6U1paZ6AeK2OaiP7ffsYqBV1FRi95 IgCQFS1iY0lSKBvERDCnEXYGrXFr7kxN051N4FxSHMwATVeIbjA29ep8L3d2hnikNbaouWVIoevE AyckuURbVAvMZYcgfOiyV5UlF2pfVmR8E5Y5POwlzA9g1mxhQMh2nLd61xbC8p8hwa6J8ziydvvQ uQc15WAiFtt5gUB5sMCdkqT7Oic7h2Tk502hPQ8IBde4EuIeXP/1TKNvHmy/XL0zT6zDgu9D1P33 rVzrpca5HCSjRMWWDAHzs5/VEWsdX9shhZ09iw7m9ikP7Fotd/SzNDicisfVN7tlEC9PKCiUo+eY uFOCRC0LZ7edYGyqSy+ILNtHr52jUpIu8PT+bumjJcIws0BrlnHiAHRdPTLE9Gu1FyKT3MQL6Ovb +r5cKdXn/KssZ5FsNtjUwUljEqcPC6iqbnXCHKkENaJC/YOSXlHJhKUxPmukw5QsVAMksl5fGNm3 bqo8JODi6ZtYFI30RcObzPGSrtbIT9qoq6oZctJAGbhRZKu1sXzaWDTDn0IuI2cZ9bBJ6+mo5TS1 YvZsgoJmA9yYvB6jyLRPkyBDElCNYVMerLWFZHB6AhnOLvbh9CohnDyjDKH+8tF8zG9KWwwTaZNR cekXvMxXa6+OKFApRPUsIn5jQsC6w1UjoYmceep1cGgtNdtNlYgSPORBX5VwGAHOeL5XkFCpfZ1P 4/EwzJWZ+tKC0Ubw/LdlM3maeOHe4hgi7p2Fw2ToC4UF2Nw9KwtDe7sTK0/ltgOp+nUhxsjlQZ8N kyAfFVMDrikdOxqO/R0AGNE3+uAf/Z1JWTWmhAvGmYd1zx+JCwCyLB2mUwEZYS2/GWLn3aebTxMu xJeS4DenftnAx6V1uVQFSKHeqcqxppf1+ytuHV7scS5zZs8QbzynVMyRy6iH3RZCADDAxaOyskc9 3I0iIJrpmpWI49GDJjiwqn1VvMnMZUKb4iOiZo+rRARmP8dkHVaFOcVtXYtm7emCZYr0D6ChpvTJ qXJWoFl+4Lo87OwI/m4XaC1+qwpAuefg95kTkipAqz0S4M+ogNeK17mrkNyRQV2TGSyLotCjkwj3 K64e4jYuZKypgg3Qv6gjBZ62q1Jij8JKxDFq9Fr9vFcgzPwus/d7lARWpvQV/yf3hN8pPC4RyJHG EJTXPl+SVozjMs1FD+5QLjNo2jJT4E+UalZQOCVkRDoz092DwyrT3/mpBoela484xr1vkcQJtdpa gAopuyYKRoXMDpCug8nAwyibcuMKBwxJ/MohgMeqZQvDouLnRmRl1g0l8w2IPm4nWwmX9y6cSxAr C0S4kWHh9CndhsQdAbMb2cR+LzeNHUVXxyuD1VmJg4KeucmikA+qtbvClSFycdnjlzptQ59iUZMQ cC4eopyp4VDIEx8cDhLw/2+1Rd8HaxvIEIKATiwjtFY/r8hNdtii0MykP2gaY3YSMLIK/irvQoti k5ZqPRgM725ZLGYU7JlpPjEMhF5P/F7tivysps2kkeJZezoILWg3a1VaxBNbJFfeEnpSnL5yaGpr ZjHYx1vOS59jYma+QAE94TQ37vSR5bRrhb3r78UTRrmifwUIZVVHm9St2KqGAc4I+qSYY9oOF7uq 6lDFvRfPg9Wes0aSehP7AITNe/iPiNGf94LvYgqwIwVESI8tfrZaQGanxueLDXRPYuSeDFxgxHI9 KT3q4araf/T0uvg9pvZIQ+/nxfCN9PWnW28VguQD6kJ/R6AoUduJ3U+k7qV9XbfLDII4VC010HBq n76gAGyLbH8ovgk6AMl4SEYu/FebHGfoiIq96dHITPc9kFtyd2StzscVioYzIWqvykry8lSgbVvw tsDD6CChDztfs3dflgO1/iU4glEEOvZMvrT032JwRRN5clWRut1zrLBuJ+bwsoruLV9cBrdQUJkC uaysbSGuYVrhQIJIgRH81IUbKFM8SbjCat1z1cWPmtm6ANqoS9kOcEJP8YEYoCzqTS5nPDO055uK kCbbKx2zGFmT+lVQOxLG8kmmTGfteD/urbkXb27jx0T6NbOKzAZzhhxIzQept9oqbynmDyek0qtj WeAw/716tAEv1fX18IUDCws9snRBSWsNvftSiHhePRl9U9mygbEttrMQ3OotHb6gRE66PWHtI+in cZf09uJU+gWbASBtk5E85P6wzuethdFOuRA1rVpUHPksKkRIQjsJeKoCAZ9HKiUDYoASbhTHIUdK 5Ifi+LWidWwjwF8Q51iNeoFFETyVGi+NX/Lkb1IIdv96kJdiEKb4dWpQ5P1SpP3tLsDUrDLCQMrS EERTJnmSTr/erPhbeG3DmMOoQoANdixsyPeC6Tco16ZOhfPluch89F8akJ0N3YLJLMsssiBm+K6c 9WwlZ1guSW5epEoigaOBxOWD2AAixTfiLdVrVgoOAgQ66sqzUiTtjWG9T76H+OrXequqllYhvAmH Jp7KV+sxxT7wmTN2wUph731uaZdQFuT+cXwBI6XSCThGScB607oUv21L+kKomoCAQ4Db2PdUHIk9 RnikQj3Ip1OM7qpt/VgZjEW8XuiEMM+hfdBJQxMeZVBZYN+2VJbzEe5X279CoYXinVenJIYAWZ6t a8S3TFNddbVbwMdHbptf+GH9mJu6wRD6MaCp3vz562qbp0RZcHI1diafq0kN8DDobRm8PZLnwvTX +y4bOWPQF+OBhx5eI36xK2xDk8/fDEzjI8JWhdK2CbuXOnHr7V7C2M8JDLu9ETitFvAxLDgsBE3D oJAf6pgVZMDaY8qqNfdOlnsDPKTB53fBEioP/+KsVnkVuBZwx80I1zedHm+YpddZSCDFRP+ep27h 9RQtsTQiBsJeQDqLKklaOMWVXOsv5PGDSviwSQxRDhgNKUS0cPrbyQr6AZAblnFsdlxpb7l0ecsw wj8mNh7fTSnegR2QvwbjVdf+d7U1DzSJ5zsKjqvxWZduZF+O95sQVABK7P76Oh7ILANK3/tXDxq4 yx0Jp4RZdxuWWag1Zht5Va0ysU/CVL/rh+9kberJ//900p2M+K81L61QPSuPjDPJ3/PHv3FuqqNO kC9Wy0l9JjFXB5hWmDhKLOvLOQvIkt4jq2pmRm35K3DWKEf+huTsvw6YAeJ2h1RzpzkXXu3Ln2Rd kBVrquCSHKOSBPAdLz3hwUVvyhbrPRli8Mlkb9QDmNbzJ7405NWVibCk2kB45oeDdV1kgIaD0Zd2 MB5DI/NvtQmLSbJuKgUPkETBaRXQrCozgZqW8p51mzHn98Vb8t/gxn5TvHIe/2+kDGh5S+Y9UcqY mH1hcrxbDm5j1vEItopvprz8660LpN5GGhPyFYcYiYTO1UW42qWe3mS2JUvOoHo3NDakOAFFgpKU hKf78BSFxzhIKx4MRocVWPeosY6ZzegBPdE26nDa9agccsyJpbMttzFigik2U4jYiYFPht/gdwWz 6VNukotPMtXjE3DKY8CR8hrpXaCP2w9oKdcqJuVuawFVkneEy36cOvGli23X+h1bFBilAfF4gRkl UOaTsSjekZ1NWqDXddzcxH/GQU4RHfRB5miK6tnrXWtJWCx1ogdyJQq4y2rbiNSlurEEnqGqFsPW orqmSQodkF1ZF5OHXVT5cKZuqsAWIjccm25+bSXbeDCHEWc1pLQC0klnq6noBmpc5Q0JgvOgxt18 aRIY73Q9PxNXWYimFauBUiOOo3knmm4ykiQWQXMS0VTIRryncuQsQyw9168t33fmmyS9Z7jqSte9 /aXEfgqkpO6pk3VeKwsAZC0fc320KXrk4LBB6ik+nEBBgmUnQhA/9ptQT1nkNjGbaAZTeIXjv0dm uvhPM11Uo6g+KMtaI2RFAruAroSsUPjJMC1ZsrPHJvtxwPYgOEwboPpJ2ZiniODF4cY2KFZxuXJT 3ryxRuCon/tVZH/ursv8RCmmMmWicPettmClnn89gDIzClfvcCJunpJaMujHJh7hAnwZxGbSamwU iLSHjwDQc2alcD0lM6qkOVpQMxew7QQGgtaBqb1wt3T6qAzHzww+hwfYO1wYmPM8huNlA4HyEAW6 U8IklhmqCUide8uGDgJSGoZrSOqcmlLKtTwNr7VnVK0JAmh5J8gSeTf5AvprD51KElCx3g8/yr4i IcrjDJ7Cnv3TL0iZGjuVvOOk86o1DipSYSNf6Bovqh6JnKDrH/EkrwVZlWQt2kV0jSGOvlHWDRXj 6WzhF0mDt9ifr6/Yjc7dSds1KB0vdNwa6SkRrL0scEb5bo94q57/HEJK54XcwynpbSLJOvRNoly/ 8RaD46k704JMl9ZzL73CDJqHq+fzHUCzHCO3vtVzcd0rjEWbCyQv7Ql7+Y+s34JjlJRcp3vIuUC0 SZGjBs33apAN9nt1XpdUkKUQtaDdUK1GzZ1YgkSHG+EKRwgndCagQMtSJMPF/eETNvmbo4x7TsaT biHueFWiCj7ZtXuA5AGHatWGFZV5fhJQiRc3ZE1R7TBIfVGFhQTJlbjns3XAqbgxcR8t67yFnPs2 19sbxQ0aM2A3j8vp18CvRZHZ5MvH52+Y8D/ehW97E37TlOjpi+2+H8uYrn1rKmg9sU/JfLlgd+WG OMVlOVrYrzGCOB/A4Vd9wtfRogkvSBOVYmNiLlEe/e3qfLiiwldkd7y1G5gZNd7gUf5XvjquxhBG qYplb+Goez6sNB3lPd5NjMthEdcolVYcFMhdWVntRdIUiK2H2F6Z6Vi3XyTTySYNPH8yUt7Fl7Xn Re5PPCnykQ0XnPZtjDhPAQXlxCY6Yf43CjcITzH9tt8NwFhW5EwXxxRekmQr9/b+stBXAxhXjZuh QibRRic29O5/X+9UJn50YNsc4lnU6D2NGb0xlf/gzD/jYcKqrwzxCo3KxobCjLv5bKHEU/uDT09g FvMr0gHMWkv6UklMMmLCE2Y62go79MES9HBwgOfkDtghgQ6SXxJfxhdDaUsksGYf5MPMZ2KJlCy1 Gr5/h7dXYytMidca4jEbGFqmeNNeQ5NSMNKIMxP/C2SBRJxCQ6ffKRnGTTkPzOx3TnmHhP6ydLHq NMYNad0+bDBNOMOzJyuO2fOSn/Ky8lflNUhcfIHp0bCYj1Du7RALyJUJ/vIf/Qab4DiOjx1SDxrF ZkTtZpLKxrkcveikIGSRF8R1H6rwJPmV6B8lvcuSvtAf8bYWYn8maT/md9sHvP69EOtK8ThgSNfx UMknyQOLmuMQyS6JExiBlfgHiokyYnvp+ljiKcd8/kM9W+eDHriwqTHkYAtECxJ/p/5hNyidM6VJ GPbGBHb94fFjEVAMglzhmso+scp5d0LrSvaXHkoTnBThjNzV7dFOM1X5PuEuA76B6EHsdsS1uyqO pMU6Rp/+SPySWByQFaMwyyx/lfpFqe+YgxV5juez+ulSMhVSOVCzx43he8Hq3jluvPuhwG5bz0s2 67KVmZ3NbEPZv/r7IgBj0G4CfmlLTESyBoUK2RXihkNaaqynYgQPgjQrv/+5vFXpMXQMANbTQ6mo 3nqGzZCiCL6PKRGEkxafj9nSNeIOxBhqkFrtv4vjOo8TKcnCE50rn7AVD2zYccewgwtoLiAIIwjZ hf9oCzU3zbd6tVpXrlqj1A44VAsPK7AtIHalOzBnGN8wwEi/znU54r3W+YNvMy01BCBtW5HCLgZk 2W3NumtPXQtMyWYZEf9hSR2Y6zJpgn5mvIvZs147tUvMpXQt/h+NT0CWlPAdA0mzsoUo+OyVYfqj UFYMykx5YkNwE+sViPMZZIA18yqpE+Bv1tQbm+vGrCPOWyjzeM7Ml5hxILmncMNLFkIDRxoJRiTh yWy3ahG7pqZWFH31xcF0TIg7E86wYU5EZQc7MaTSxfTt15BowTfGD49AfMA7M+qd2B4bq+TDRL81 +59Z1CzzMgMeLJCdu0HUZN7wtFh8B/2u2yNT8tLgTjaWTf/KrlxBUAEujFERCuzBevmHIceuPbSz FUwVQvEnI9mjUdO789JAMLnCg9Cc8WrO1+RGy91OpSv7sag5otdLcKHPMlrmEVc/mhZjA4FZahCF GZ/F3tH0VQJ9fnR6olrT8GHO2ORpklCj8C7yOtNWf1jYB1FD0dkzg0+dxCFP8Ovzv3Li4J8arY0o BDI9MhEd8p4KfFTNpCNduu1q7jxjc0yNg6wOLI/EeQR6duKqA/DSpm9VdmPmP99hs1sCzf+ZWSpk nQrfPWiC7W5/3wF40s+Ax6qfMlNehS7dgP+lnSjz+UYgcUjJz8gsV2F8KzMHiXxvukw5YA3wATLX 9XjtbgvrCUbjjYhq2rKgZD2kd//KMl7NgmCX1g9U/yQ+G8ZcBMJ6Wc75afcf4L74Qtp00tsKRSmP kG5/Bu50Nsg4oKABLMuJQjGB3j1GJPWxpP+dvcybPOgK80BukwO8KkiteqxcJUfwya0ktqw1cl55 HPEwM/eu1pvXrqf5XK9FXtIWh1CZCJLHd1Rx6G35oGTSr+tyTZBmkmsTogYwWUERskOhiQnGIIdS KuJQQEbf3Xi+VMJpPzSrnLsqWCatoiljsTS8CMksSNLNk++lQ5X0/uLdQX6VJdE8HoNE3Kux77vc qjS4X950c2wpGC264J0Iue/j0qyvErOB8w3WWud6k16LyDvvqDKEqE/pvfBjwb1HMOBWYrUzFjs/ BFdFcVyjHpwUY991CJQAw8Bn4PBj0mhHLkTUc8/+q/qs/OrIGzO3r8FSixNI5gaXvmI2l//cfD7G VzjQtCTuWvbtuC8ovbysJ9fdHxk7/jofxz1HnGzjuTH+nfXOOFnS8uH1kcHPiht82pB8614KX77I 4BVhfMoF+SaSt4/AAvII4R0sdoF6ctQvycQE/TMjvCFz7gAUcDdAjoG2v9ZtGffngy8TkrLXr+Za Fj97T4zreB9q9nKLFhKKXG6JY8/0d5kCuYNV7++w1/49EzrsrHLffhjG2ysY8nKo+Buk25JtMVY5 hWeO4+SLXOnCn+dgzFqM9Ee8cnfMWIuaRSKrTEF8eJsHXOwKaNsNzKbLLFNpjfj+gUDePGAT9WOL NbF464Nyftqee2s5MWNEn/WiPLUebAFkvMS5vkBEhOug9K+tP1ZWuXSt8V92vPQS6gZnLmEFIKjH qkmb59pYng+bAnbk8457nyXNTiZoDKZpSh9x/NZebnk5uylNyK3AGS3lNz8WpjYSeugNDRo5PUhX 3EoD+o4oZhFkXsCUdS98/Sc0CZxCxDHdf7JOvDaMCFpDCoN11RqxOfVln1MEotY+rAhbmDDMiNkQ novbUs7bsfE8Hzr+dIPTimKCFJsvQh8kB2SiEW4Rc8Jr4FfAhDszDdGl85GG40naX3c/FFht04HF XjVKmmBgcuYi8Jg13OruZrgeb2sRA3KBtdZfJHyJknkLYMLoUQEkicacPV9oWj/DUrk/vte1rqkh bAQJpWhCWsd0EYCDachcH4S0eracwTqfq6A4F3l/5XW56c7jSHj1mObJMTxry46nVrvAQFfphjjk thSJ9nALrYlcVArGYYhUwByanr7d9NHLXZP+hjKVZa6Nu85wGfS/4if5TdzvqdVoseXCHwzo0d/Y 0qAH+AoP/tLw7X8XiBSL4TaVnGs2re8a72GD/jtv4Ncg81+7tm7KcrKI6LYEpOVq2k7ky4vY4aip jHIKTDj2BiPikvfTbanaJw5spHLoU6bPU565d+RDgpYELyzziLIfxFLIy3BrMWXII1k6pjWusTx+ Q3IpMfmx9Iphw76As9ZDgG0lb90WoKXwsa4F10q3SinxKfXagkTF0mTql8sgWkAS26C4RZtN5I3a s3vg7BFMYQrWAdZyg0AQQ3e7R+n4UHnLG1CZfdhPb1eKHdQ275PkjDOAF6klMo9dcR+HkdoACuRi weqf2+ykChyASNnKKwf6wLzmcBEG2M11UnnRge8lFLRh7XsnNm/IQsWM2eVFpQ5H1mhnNIdUtJ77 fJaMrO5PwmUvEXWGh2cDgEE243stquDwXdtccF3a7N9JAV5E5lwHxvII9HQzCq55zfRQXBPy6QRl czn0rPSqdzwPpqTOxizKRpVd4STpFYb10EhqapDbOvwKXdO1E0YFsMY5q0MGXsM+wui6MAEDvjyZ zV/vxfvZMdYzG80kV1WpgokePVwLecKMc1pjWFuV3zohPf8RhAmuF+oSkrmWTqMMGRDXDfIwsnB2 pgVeufspV8RRoBjQh7agSZLaZpZosaKYko66jwOiBpQ4/y24KMB1prRA/bHjC3v8oXSCHZ/d4Gdf lwvtIjZeqR3uwXzIqT5NvNPm3gcqpiFsP92JarnSe9ZFzqLIZzBWZPD0erZ/BWqE96bF0p4XGmP6 0+R6Ulxd0OFj8ss78r9Gm/z2nvSMBfFBB4/w5PyNxmcykyuy+hLRAVj8iIwMfpirrfHo0YTHOgCi 2H/SobSd6dNn8feMgcodExRywI6RZSgV7nasrmRj01z1LbhZzgMiqHpyLNOPk1DWRglqLOi3pcKT 2ECNiZbckJToAKZNUpdGMkumAiM16zgq5RqEXInxjstm2hbrU1ZyLz1mKZLM7s2Mrvei60o5RK7p NzFkfEw5T1uHM5sR/Hld+YAonb7yrDllu+3PeCQKUIubYd/ixOeWHt9ilTjWho7JKgA/zdG8+FfU LtrGizUyuvSVLGFOSC+qv4afndZpOaNdORa+QvXi6CMmCIkPOiTfpFuYDOw+zfPraj7ReFSuGrdP H9BLQc7SV4MY3TxfRIHArr6DChZBJET8jfdBEgcxgVKvvTbEzu1BcKYOhIgsj2x3yTXVBITZ/Sdw W+r2dQQJZepgWHIbazmuBzvTygSZU08aV7+u5OgrZmW3DRTM//f9ZvSrz4uUkUEgfphp+EGiLDOg kNku952mR+xqKJ1sndMBpkBFKShSeM9Q4LTsf7rPZ2S9YahtH7GxHGcooW70zMKBhPEkD555TZv8 YMnCpAu41wTLw7TrOIeHg3lu4GwftnxJBrUoaU1dbd3N9q5GxoPa1EHJLxoFr8L7TO/mA+JtadLi 2mEGEz78NUUxIxkrvkBbW8e7cMwYecS/z++J1pZQnKEhHaDoiavkow8BvNoAdtZ/PfgzLeEeAIGB 5jTMWIAUoH4RtGZ0ihgkhCqF0NWlBRPGrRnwskAT0xIuU8yTKb12T4s5q/f10anExXp8V1HEjti+ 2wCGW2FoIdhYyLK8XlixNyO0v+Q/uz5RTCuz9L8z1oWYQwGA/L9yNnww4va/pA0Y96Ho3nNMUngJ gH0Zw0iG8jk9yAmgUqEl2MgQfL0VbMucavm5vlWLKJ77aKTCDMaRQ4KMKcqmZL30k0jlq/c1so0U LaXxy0ohlTgF+5EtyXUmuzGJI6gI4P3CizJwk4CFPA/LjHsB+sNJuQiZthlNkd4hFUKwpx6ClDLw 20aSRDhi9gTd2PNkAGiOd8htXnNlVBr1i8qJprAS5xloprg9uWcggoa/e1/NA60+81x3Hb1SAbrs FQkL3EQjHRrKKj7BJMgNSoACSs0JJhDLfBHJQztnqn/ATGFWVbyRX6ZxTEX5EAjn0wse92eIzC9n iCV6CUPxVl25a+FKe90gMv0Xq4ebgFcDxtqidjI4/FKGrDSDm8RQYEz6q4AZ+j+l7e2TC/enFdTW VBCmSpggWyBShnIUhlICoOQht4ht+jTPPa4df+nRkZ+RebyZLPV3otE/tnjIiK6h364KyWQnWh7F b9BxFBgugco7UBDRpf29N6er2TC7jbd50warsTJbrPm8rFrSFalX2aW0QHCMjdS5eJEdkx5tTyEQ M/HsC62BZIBW+jFT1UH/NWx0KFixX7/p1YaYHGA+x7kLRu3VDQycKtkYoOQIakFHSFMawf6tnOWV XcLaIuDWCoPA3R/6tBOT8Fufg9Nxw5Ek64N8OERSfK7qQ0OXZGEobFhq2Fgtiw/s8qpBFGPRtP9X I3Q6B8XMrWW/aWbf3MHNxvkiS6PFcUipZgBRjdo4PgmJEP+j7pTDsp9lN1jiqbKsb2IrEh5OY787 NJ0Ia7mjwer9FpD0B0NGxA+DSxDNHCwywkGuxO8APkas+5MhewWpioz96YJQcmLmef2Om/Agqdui 02cCEaNMvlZHk6ShKd8J1DD393mwksyZCkW81n58J38oVOTl6tY6s+KbnhU3sHpJkBx0DM/uRQbC 75Tv+FAk3XJ4PPjxzea69YlZfm8D2TUtRNiHPO6hAvg/x+ANpmVoE7HCgke5QiJziQfu5zzrV3Y6 qoyEz6AJt9ivuIQALZ3jch0sBmQ+bz+rrcRyu20At7evYXxuwkV3CHVNban0Osd9lkHUKDNXGaxA 4nmmQZM1Wm6e+63qyAt5VJtSM4Hhh/VJrvtOvDKdWMW5YGzrBXU06yV3ZRNCffbi4RU9G71vj1x3 q33I8kfOGj0nXMzV2V7dcgK+EQrq/kEhS1ayjwCm5PFkvoUfoAYOqUl0UihRaR/BOOBTAVeGB++r pG1zoL4IIpSr6yEqMZCulJwsTxFDLpNC6muX8iW0yg+dZqTcz8KB8+zVOEjekFmO22ZcoTR8wZuj dTwT3yyXs3riEhuQvt71lHHtFo/snl2bmaYt7PCslt1hfSH+WUcK0fGTwE9+Jerj+2fDxlOih4NA rLfAnnj2aOTa4NV6aqNV0VMRiniQwZUBKDXvkaXU15wFo2VPs2wcttUJl2LVnKMUOjHFY7nUQHIY PVosJfg3zhQIls8sWtGnEj8i5pyKg7XFpPDN32tK8gGmOZn6s8BNEltbFGN2ZHum8JoLA2V4gbcJ FhNeUa4MfcCTx4uQRumYa2Zt7R7gnTquA8Ww3QqPk49GW+g/dKyLMiVu+PbukW++dh9aWTExZORX Q8viO6tX3DtfgvT8ctj+RBuld8WVv9JB9WQb9cG80a7EiEBd0lEpeg61uy691qUnvjOFca/jxl7b n4+oqBDiI/mrsm6TymYvzXQT7fW8sAjEWKfCNctEj7G7xq/rz3R1HNgJDcOHjuaxC9a8ybQdxZWw zdwTkn0cvysStIGZwp5yDOrM9Ir6fWtgRAOqTjwKHeiICBM6CIPxWz//eUvs47zPVDW686XN+2F3 4X2nJdPoZMXfEeGnxn8g8ru1tjcUFnh8ApaXLABHhfpySKijG4CMUw0Ur6vlwpXTWI/gKeehl492 0HsYPRo61KGxE4yTdourCtjeT8cZrko7bnxQzlUMuQPx+JK6lmSoWs3z7/jF7a+HV+MOURnwVcha tp5VoQW/PjIerqtNpRJuTdwvaVMzTZgHz80HSkqWt++f+8140H+h9kbsOfTKAGs7HXsHZexlWfBK d3VKYqF1yGud4Y0U/KdR5Sc7mhOYcQxE63hIiO/Y8+XJQ6cfPmNoTPR76gSmJxZqkkoNIM7RDlPY L6/hw82ONaZ6u6w86iYN9oPMlS41CHFQv2CbDxO8lM1sGUQWdGU+fFEDGTYYmDdM30bZt9BElOAk QdeclgeqDqsSqMG7OY9S68Lw8bP9CLG+1g7mOvVcraIQEa5XhfQvL7elEQ2Wugbd6+c4X74VrYud Zn97h1EocyPgjjRRJTSGiNNOyxvb/GikDIyLTuztrjNqcdVZk6+dP8EijmAGV1Ac7t/vRFMCZ8pB KA4ZW4OfD/lsossWg6JL9A284tIh0XmrNHXZ1LHBV6ITOv4kFPcveyoaCnKzuFyEaIjFBUW7pRne Wj9YaFYMF29wW7Z6ecNgMUVNuVt1fdY4hTwbvMwZZT00zQurMCelFlvU69WgXvsR/iKmYo0L6zYa JMlgiq2a7O70goNjOUH+/KvWhOQQEgpxGxMdrfseOk0VjMGnS+1r24yB/lVgTiNK7fTKj4p4Lmjq q63NatZqdnPnw5skmPdG3ZaZJMU5lCpugJGq4SM9tLT3F28no7OjDu8nbX7csKTX4jF0EecbX+E8 ZTn4FNNDxlfLwiikpPu9CHX54won9bknMB7r+QIDBSUD/WPttNKdL/9otfZs4g+hramrAG4UotHD Ny8cwnalsAwIhfUPm1HPZL+kEM/AA2LS+muGGV1DD8zliZcKEsHbpda/fNc5xQN+h8jn5VcjAJsn 9cgIzfioecLMI3Ds5Qzg8hYpTVo3R8eSTHDXloOoZJ1/b7nHqPpKOfWMjOe8uJK0nBO6IQ3yFEgr epm+lf/l4YxvQIF+8or28xy0advbjS5GsnWbrh1iqLb64bZgVgrYDtF0jfZZld+EHuC8OaExDSEn hm9ArB4vlFeE2qlkX62VwcMXv+NBitoJ3g5S0IQ/aC8l8d8GUkjf79/lCevkHMehxH2gOamqOK7/ XiNo0C8iDoRunf2BMoMo+U221rRbbBOPSOOz8TvIpop5E0n7YijRMsqNlwswNWiiUEb3kz1GDMbR i4oK0u89J4G2M6okhJJLtCM3o9Nabqgg+VpJOU1W0vsK0TsP/X2tZbHVBeRou86l4E6b6iFexzGm QOjyuWi9NVXYl8ZvDf6hbIQdL5JKeRWzw75/Pkn/geASULpc3n/RPaaTGDP0uIEPrUzPRe40fDKp zMRVhHyLAe/PrlBKZ7AsgmyFjLT+JYrEH/8C71Hq41bFcSHItdwS8Oai2Ril6ARTNCw8x9VHttWf ibjPgh47pMu6lZGgVJLDaJ3KFndzkUpNS2LfEClUhtQx0bbEzI3qKPngXpR9ouNM9TMVvxo2iUNR ULOEhg5NAar2pIvzHUwHBUP1zC9H2UFZXi5DtVpLN1yb+fb2mfI2JaeAiKUyrRO2VVczbF0/FJ2O btUp+Lg0Hy2Y55snUEJxD1LGnP7l1gfGc8qdmcZBnZ9hXyPD6pwCSomGEi54ZQLjHlP9V9QyuC9N 9QjTfriY/nmiWvsHfOlxF54JaNucefwgpGlM/nkhgWV+3RtQF9nn0wJlZPziifk4hnd7PbooPKz2 WN/9oIe4UUluIWN+AOlsNsCY4Vlror4EGyhGVfA/mj8QH9vgy9FjvTydaXqYvU+JRCcTMutTiI77 ZZ1TUVgXbIzOTutSMX5XP3QQL+spSAK/Cji/Aki3XH0m2pn2wG3TbvSu7o3nrZFUAB1lBLKsNZo3 wWOwVw/yIrY7ANM4kmhvL4hE0egECZHSAurkS1rmpMBrPQC7fzmTB0MJ5U9nWPq5r5OBbn9Rk7RF 7zq+hyrV935YvgllmNKrOvnSmFp9jV20QbCG0V+j2oMYn/9vN2jbOUCeIwzgPv23rSBmNDCiI9Tf apIEP/AUjMszxWyGMDbkGorg0BlLMJWKgZz0GaVB+QRwXOhEqt80t0pf39HSj8vNG1C/BNSWtkXP /oD6PAdWev21DaxfR/emB7kdiDLjhvS0yxmlZ9kzjR/dg9pLBymg2/DbdXUi4AaNmUA5OuUFUoCR wkXzd++7xWM8VHBA9HTWktdjnH0D/PO3akJmD08ecIpvW405NdWLdeZzZhXqdPmmd4aBeLuKmYqM fp+3wHM2iAH8pvqqYHbsld6w4+NSZKmi6IUHMMxzPJRLoDrb4CbaS/wr8/zyls3LkegPgDBj9f43 nVFnZyNL3ywc9J3ReurLQx3VfPTM6NMPZASYLFw1QkvkS9NCUElF1kkP9JicC8dm34/ZuFRpqXMF zjo0QbIrCs+R6grU+C5s0SoL1Fajr2Yl43JxIxSnHk6NOJjsmyebJuIOhyYin/PtD+gzGX4zmZ1n pdD3RVrlEMB5vZnaEnUeE1rf+oam76fDbaRWqxwDWRMoDBtpT4IDleWmuMgLL3rlJ4WwO6iRy2lZ NxO3/Lc+Cu/Nmq4KMt3k5RepcmKbu/5Bldu/D06d0W+w5TPsq10PGtQPSQE8zuDAYbcmYotJYHO9 SP5XZuS89DjN+tGKS8Wue+6/9KTsxeUglvSBiamuhYiIoDYDkadrkiB+a/k7yWp2wTCvaYOEntQT +AfA7gWPNQQac8bMCcpfLD/XmKCfIjDF2FX7yORQqdubrqGdQGcJVb65hzWewdvbYG32ErrS5dPI DCYfkg2SOOdgstEbIoYK+DHb+8JuDGkxedcu1MMDGXncLYXs83QnNfvPMgNN7hJYBcHMyanZCDWY f5m3ofjNkROM4p8oUbNpc5XfDrUVOKITx9Rvw+NK84aGrWmUaGDODKjCOye8/D/A4Z/SbKEGXB4Y VLuoQbJcBJ+DxAcFGU+faHJKP59mHi1M65RWNi5E+2sZBKILvEStdXLRSOwcU9emGjIx9V//1LsE X5zXTnD8L2rBUJVSCLFjfxsDlwTRoUNlnrteICXIt0XMw9UF23Y7HMnFxSVyq7ZSrNuKcBKguCAJ bLPjvVUcOZO7nKWP8x3hDMLH136dT9EwD6kjjnEAfuQCG1aAxiF0wRdMOf3ZCvY+daNZxkHlCdm8 jrlLDEfiPivOtyjEoLVTPnJWMkpAShJGqbBNlNvxrQq/CwpGYdUomhrkpoXFezm5xOVzFYO2V1D1 Fy1kbRrcI5j9H3tvHTTnAWZroINMYdCZ22adRGU2B/zufDITXW/apufzf268TY3qb1lzDaByvSyE mz/v7PtGtTJOYz3qJAQdR6wjsohNczqTCJN/ChbVLR4zdbG1qHDk5fbkTWj2bilZmCfSQXBoagEn J68ZRnt36BDh3vKd7QUNm4XgLTruur6dMcDrqSNBB53l70WvlY/KmJoa3gd2ZMSVlgJFHYad2nsm eD3ONwx9LEeRz7J/W4/rHuHJw7CP/UVl+39kTDTHx4Xw2PKdanZ+EL6JdWoyaosWJ3tOCD2E7RiR qd/gsTwZhaqg5wjjOgfq0utp0EmimU6ysDQc94KTt9RGsTpVSJcQYagv0holYciALRLFZfEkKrbt 6mqrv3WfGsSwELvZo1OIDGjT212uJLpdNBokTaN6LY6ipsFnJiCR/OTZHxW8bCePSEVNb4R3DCvj NUtY/G/eQwd7yPoM/u9eZ61MQAAhDob81tUbeS+lrzH+qdA3hqsRdpDCxzE1dosiJdPy97ju53yx VEZU+s45t/DHu2rQD5XtTDNcbJuk5LzRGNc5BbtMPKeSDov1ajI99Y56j1nxNhRjf5I8649d+ba5 lPdYV7hKHijsHrHckxHXb2tanOT9UfZPnha7x9EHpQk+SDDXZhZY+qgeNKGQu2AUN1mralAouhBs 0UU8Qt4Wvwv02uVXFo9heRDalGfh5Wl2I8rayTxw09/mPtX4xdnNm7OmfDz5Xl68HSwHpmL3MIXe 5/t3X3xSvEOZLTxB9YvQHR0rGz27LMXJ09noPif8v+87qRam/UmZ1oBz5mqIxmnPxEqyUOvLeY7w OV6dvowsURB4hbdW4c/c8qxXlJ/DiQ7G2hc6OjB3RjY7iEzEOu+SYAo+9+cT1puEzzhMauoh74ih nlg2fwM0v7Au7XtYY3XgylV5kF8176WhCAlDkA102qoM+HF5Xz1I8mA53DySuYPR3DqEQmf2wgHW nsJV6+sGhvNSKum1K0V9jILmUXyW0FMi4ynB9iLprilA03nWaDIaSN/915GaJTcemIkob76ayJXl 97+y/pkwRLj29pF71h3NNNEUbCUsWUxpxQNk0IqQIn9JQQy3Y94IToHiQmmmLrD4+Y110K0PYgqj ii3sZTYijAVWX9nCru0rMxmUMc6nCaHvIKrumilVqgHdxvOGviW53smGbwK8B33M6Px/8d1jgqru xD0QmBSPvJSlm8kVsRkhtCheG3WN3kev+rohn1q+dVHhDQ5Kd9mrGYI+cDYMVVaK6sQZl1UZWcUo daQENOIAyxYvKKoTKmT3B8XY5du4nOusW4MKnGMSWe15vw3e9+FL+0a/8yM+ZrnSltJHYmWHayT9 uO2yQWy64CAWLrjLEy44SPLnkRC1V7swsTK+8Xjo+furcNk60LdTI3q23Yidu4tbqqLAd0JsVZ1P vJDYBty8mqOH18I75gpSoSfU/BGrCEtKWEp23Q+3ITaIWZYPee6+kOYi0OexSsCnl5a2bJ0CmMyN LjzVfbf/xGDjCMG7LYUOxuO5LnEz0/JIb4HC27Yhj+Ss7PhM5Nwg1rVA3qyLO0WodRggeMRNXzP9 MX45eaoMoX/syK86+AYAc6qLDjJxaSmg3+mNlccyTVTRL/+73kwaOZtSeOVVGKKN5e/vsTolXa98 hZKsB5OW7HUWxBbk+c372MiA2cJJpR7UEfm1WhXtB+NWI1CxTM+4WqEBZHztVLcMxxVC/t2ljfaA ZmE7kqMSvH9wZLrW5T+LVwEo9GYyw8UGfm3/qg5L6qWRTBxs4BuZ6gCxO5mOBeTV54BNiVVKvArH JlHt9RpP0L53sh8xFpIKVhZcuY4oBS6UHtgTuFE3w2P42SvnXIYoSEH/y+GvYtsnN8G6X0hOt215 n8MjiPA8x59KxD2EUa3JNWkmS9AeiouBPZgklyZS9ZP2hBe+W1GBaJMbOQm6HJOe6wrfh7Q4botH MD+BFRYQYSztMvWI9FGtuzfUCbxlR5Xd8Pe+iwa+3vwzrqmhonHHOLApdp+V4g8RsO76n64J4kvD IIOx94ve4jnocOv3CX+lWQJIGAgs00RQIEBzNvuh+C2HThfRV4XMCENll3JM4BXUymTfZhE2kCGi 0j51na52TgPOoo8FBYBP2EGPEFE97+ffDnC6H+Cl+iObZMifACWyk5W4xKj2MbcAD5nMzftoi5I3 t2aqcC9U2lQv018ml38Vk/Akg/nRZtbIrJn5NVh63DQ9ZudZqhaZ3j12LT2z+73TnBtN/7ZGL6vP 5xuDDZyXMVbG3AhUtZ3Mb5gcgmmKQ1Ro8R6iJGOkiyDHq0/yVjw2UdI/lETMJlVDdvjSBKkJQA7G vrHVIp62VZjQhscI/C+ygxbjGQdj/aaM6/3n28ZKwTPhCsJzxQTI/+nOeApnLzVp/8Izl0K+IWDw zaovidrTR9HUL0J/daFC9JlTlpbr/8rBHkXPMJvXS1T7y+d4Yq3sc7HxMAUYiI/O1BbuOlwMgpeJ NIOfmuNI4CxmPsJCHuRhwk1u0XDhER0xUpSoTfmGbzwoYaI0oshlQ5GYOBdcFTWplGwY+lvyxE13 Tf9MDXNZJwt5QUnFPt4gFPfn+vrYzrbGAmSlmInkpjTCbBMMDP4rqDytIqoiy9gsdLBl61NbQCUP 5roq2criWbq02eHBygC59qzQaUvFJFnDaP4FtdE3aBy4cOMg84XfwdZI9guzKHFdhL/Vromjhqb3 GNYrgOY5K3uWs1tHsZMLcKf6B0cAdbFK1pj393VWDwv8NOm9Z7xzt34x+Y9JuajPcXhONvamJrmk PuFJqFy1NKYwht+n7Z5kz5H/IDH1PrXHSPCTBLEXqQ42oz4TW0VgE6V3qF7ciyXuYYDBJrpQFbLG YnLYbd8WILHh9LsRW4mEPWDegQQiiFEeuIHYucfw9JgqnCvfrUafKov805AvgE5HqPvYHaMk9MnR lU3i4JhcZkLJRtIu/iU37MmoDi23ZF09ib0DMdQ3TzXoAn8ILGC13YW4gQOVisJgPyJLjefZTfLb WgUno7WnUgjc+AxmVNbPBEo5U97Ry0OGVuIgsdQKe+/vriuxT/4EL1qBxy/xUEjNWVBsdEMZ2DRx nHPJPuPQrGa2g/IiW5xTvY8c4X27p6senpc0Nj3DUczQ1HLcOcYxnd2RLUFdY4wL/1S3bCS+o0dA 1BSdIbIj0Hae11iumcosLkoK94Pl0+V6m+IsPpbEs9BAeoAGZmd32Kg1uouZ7M2Y8DZbb4FkIF8m XO43M5EDi8UYxmSwA1y1XJrL287Z5aF1K/sZ3JtSa2iTpIUMHGhVp+TJLqqZ8B+MNyLxYTk9TX5y 6H7EYST0D/CVEvXTQgxCz6WxBjckZSg2re2dv6xrv97ZtWLzIw0aZ2NiIUMduobibjno/wVui2MM VRxNMUKxGtijyQm+mYecjm2Tba//kzajUD5/HATX1wbUuJ0Xz1orljm+8Sro5iHL40Ltk8ktKZNN mp8mEDSzHW+43Rwm8NtRsDDZTGUUTCbR4tNMtwbCWITHuUw0bjAkVsokDADE06U1lKBl3x6eKXei Pdb12C8gqUyvoge/kuNBYC+8qSHpwmKLGJWfiH1eUStxA4muCquseeFHkSGrj2BEiyElWGgX+cUr XGqzLiN6ZuZ2ea5J3zLNxUSlnGp94tTYeGYsQdqdSMEF0xpdbUvRgNloEo7Ej7ppPwfbA/vs28ig npxKtheJr6noYb55N0TTg9U05RWUgciDyq94wWRy5XtqgVRc353orTNbXdRYEvZpiKqJumz1pyhL ZfsiMl1Vxylz0MNKhFWffAyr6hUrhp/v2zGIQBkYJsoLfxKc0GkzGQ3yO0lY0FvDojBNunzBh4IN zpZ86t/SoPUm866CdtrxDUJUSbDDS2/1p5rcOD9CxBNGxvcgEYIhoZg/Tsm1yl316Nsm0GseSsoQ FgvmB63fVx//asrj1SURp7fw5Tl1dUDTYnmslmCLU+2j+x8L4O/Uq6cqjtduqtdwMJXKrPg2zs3y y7+1+4ClqeUGEyDkAnBCaSuir1RIPqmYhm/b7SqJyRvj0yMG759alp407gch+mhe42ILYQ7gGrlh j+gUokf7q85k4JPxhMSs7UssYiivCWwfjA8xVls1DqejUlc+gwXXH7uKm6+ZPIXjqfApp84XidO9 gkhEjexvzxjALfaiOYgY/VwuaKMKCBrm5PtJW02N/ZgrQJhGuMtDqoG8hsu9gPvqS4X1jTQ/+Kzq evpM1X2yZlRDbuBidkbLfysvEuOeKXXibIMdnOK5L5PfspaEFzYpJS0BfVfwWMfw03uZ/3yN5NrJ pw5egF2B9rRRSErKqZoO+9gqLP8BAFBvfpPEcIr9rZfYF1cv5fvLVdekuOIoCm6PqDDn9ORfkRNN +vtsMJ9rtx0lczb9ACqwQHKmoJc+2JGP4Oy6aVYRpXbQI78diQNSuRPrBfUQBCUgivSPDlZ7llvu JL4Uu+7qkh0ZMkZdpH6HiFdJENfcu6T29RXXdiMaYShrPp7ZrAgyk2Kr2hVLqhEbinqn+hShJp4s eJVvUTHXs6+gDzFtv+XoXjPgDJzDlY13Ss8UioHB8ZW3wsNgRboR7vP03HfOF0vb7E9An/EUs/5M E7ZlRvNfgjYuSmOFwoDRZW6vuzJ5bSNNBD9mOek4DU/w4GaxGi9gqVkYOaM6u+S0LaooHs1UyNEe +3eZBR9cv/zrb0zOYSTCQDxSu0I7fs+RNbK+7Ss01G+itrdNjJrrJpmpHWgYcMkLkf+IcMjBRK7H xxdZVraKuzjRG6b2AdwkqD91LxB/YHlDJuBFxmCgd3f966FKTck0b1PwfCgSUMhnrBu32LsA55d4 5kXpmwsqUul+RpGY9T/IW4JHjAFTNHaLXawSZETTZ1i1i7ARLSFVnqjgdUsasnfzbXbOlMCRpuXd ygC+wOrdegj1Bge8RRtaVC8I1oN+OLI0rhR+Nhsguxnq2VJ3840Le3reJCKVLGpnSgdk1gqc3Jis s+8fu68VjWMssEpJKWhbwIuKbVwP3XwLK3TgcIZ476XUPmPCl6DXvMoa3D5sh6tOjb5dPopPi/vP vXscFTIxPju1RZVqd16M2/cDkzYiPuYRH5qBPAWROP1F49VnWrtzkTs3j3v+1HYvM+9X0+ADOeq9 /JNNb4dNZ4pXfNzRBU6JAxNtN6qbK7YqtQaifVEGcU/GjUo8BduhGnsq7vEJkPVgHEGBiATYhWOj 2MOjItSwHtiMFQgjQt5PoY+bGPObv6TIqarNcLY5R6+SIkp8prWpZmPutgEbvPXxTL1QeIFAgmfW wmIVgwLHkkeUVjeRaERkZ1zg2XDznKtdc50DDbbEv12Mgu1t0dtbt7aYaz3qbVWrbkIjtLHzlZVi tts45ndLAv0S5u4JSaaDGzFcWLPC4G50W3jNltk2tqmnF4J25ON97eRtaKPIIM68dZ/TnBPAhFsn g7C0kAn/7hBNMDoJCR4qcooeqnTzSb6YD3QF4sQLsM+cSIf8HrSdBkHD8f0kaD4GTh5CvBTX46FG rGqo6CGTFsANdLjFPX3kpagse72Jq3OYTa8KrYe6A5Kx+ljEjWbItd1Y37DrXN84fBsmjvElIBy9 JCTN75Nh/YF5GF2fqmCPitF+4q4jsDtoQ9+PQespOCypnwUbcVCM7HXmfaX2vsI0X4vE34MOeAAH tj9UQHXHdqBWnuQRSZu+m80j5cSGw13Gauvy7ZY2FWUTP3io780R2A9s+gTGkXAX06jDIywKxVm9 CfJGrppBCQ+iAto0ABu9gGJmMEylTI53u82a7BDee1hDDc5snfOMhqhI4U28RkVrhLdl2lnmZvJg S1kSTTZIvk4MhbrGpAVwYv0YE1Mlqo9obAb29CyAfylwzpyEa+nLXK//GMiTXbE4I5GdG9nZ5oU4 vH0AdymM4Oo29DHFSJkLsJnzrMaItIfdxqb4EmYnjNIEx0vwJEJEhSRi9S7mD1hv75oxg1KHZGDO Itsoavgz5jL33yZo0Mc1IY4ajb2T1BzbEKOk1NwyGkZQzfUwuqYz/WYq2nZf8BVIXpWOl00ov0rr lvWyocU/s0opFczfQs5+iGDW+gJFaAPlStkdUBzfBjUSA6qeoaV4Alhp4Uu8HJ+wcIou8kYkxStm 7f3lbdsLaLTmOJQVFqsVHZBPRKMiKvLOCcYmybuMCj1UZuEv0PFnRilZ/hk9mSfTJMqF4xjqP5zm dy9ysr3kYowQLFqthDnzQcXsoT52CCrCiMVdqnFH5GpKAHdk0sZuFXPLx9SXRx7mK9p1UY3oZi9n lwiERnAJzF3NJLoVLcN0Wb7uSyo3m6ALH3tGDWbPkEEb6cMPRlmh515xR2mE2conQlRFQRWon7Zj MhrdpADg74sqffLSMc4u+d0wBLRID7xMUK93eM2MXDThicpw+rD+ZhElMmUZl9X0bMbJg/vZ+e3I y2ptSaG3GIOCgp05/Fzfocl6047ItehJgxzqwGhAGbgSapZSKnecaLuI4Vj5Jh4O49k7r4IsrLev MFu+iF2tk+/zOIDt1tXEO81ryjJ6qvwIPQIm/KdG+8cbI0ieWHrTL8jsDD2X4SktDcEpH6Oz7OjE 1PINbA6ke+KH8Wa/IyNpdx/qUZbjAALq8p74XEGrfF4SqF3e984TSElNKuJiIxNFpEpTHwcc9cZX Qrih/EZZSiLlRtnN8wCKEG29sNqH4ibuoBr+yHbKJtp3s7pDL7JPFA0woGLXrY+3u8v+MlUIa4Px xs10651OkdES5d4Eyxov4v/HGohlGtB6XZTaYBrYyGAZMGRA1SV0bLPqEf6OXqIV1EnVnoL/MeN+ srWZ0OTs5tno3ZbFPwXASROW32yzFAtF5FaccvGG3eEbH8ibcXZIw8vHG8RSxIkCbqAKfpb/93pU JX82Rei8Sy4DV4bkSC4dJ0aS29JczMga5pmOK1kd6ftBkaxZr90si8B3oL4YkCWGwZhXQCilbKWV WoQcmfwMJ3LaxyxI0S3f+5cyVvjHWTgtSsURxOdZusyrt5P6UD092bIO7lM+lLAPSOk607jSMqv+ IeclTAutmsDF2aSYVjG2F+rHblJmOx8G7enog4nAsSw9R9mSWkrcSlBxGRzpxLg67txgW5i/G744 4Y+lzYVqdtLGiwlvL7lFiCq1XhygKBwZ7DV9kmmjD+GxVVEwD7J7Rwua13oDV10NMcdcs8cVdxye 3eWfzl0XemG2lQzGyZTsl2OmGhj0IErYllqQlCMcB9cXFZ0akANA59iSj6uPz0KX5f+9v66uXQfC rA0Vq3fSkOjLPwKd/mj1QXOJiNkQhU2XIElzpZFBSJF1559CzyrDZ2aG+xfXI6Es9fCBEK6VrzXV AKnO6fyGSfeKMDC/josrPFq77bj1p9Faz7E7BlbzJSc554RN9i+IlB4X9P3GIR2bLCswf37mdP6h SJdUqnOVYcoi9WkXWm/izM371K1T3aJdVZkJ0u5pA47K/5H4MIyjn2+bGEEEnYy3vUYJfaUV74g4 jaWG+qWBByDd5TkKAMOCj92+4LtAoogbo2Ga+geRGssg2GYBJAaFk+e2cZLdFOfgA3QAv2J1Kz8G S8+5NxhnX7K5J54zJM0QaJIbpjMv5dpnaRUqEUcyG9ZERSBtJKPR0PAQ57WYDMfRWbnTtUKXFi/x wGQL1s3LN3W+6Rc0Wgg1zGefAt/gARjxXP6f+8pLUKZos9x50s5X16m1ClmGZ8fCc3MBUhAfFatm koDWKBLUpoBQ1KJjKUy3uLlUjNhQARfcAa42XJUxbg9FkDuUMRKY2vHMRpbqDRuMJwBvFarvQ7kc M8uygIht7eu54Zq9+4IVlhHhGEEnuyXO7Bt40KDbsEAhxUlxZQzQ/IVleo+7f/YEeBWdbgHu24WS 6lwHmVwmrRI60wGgncoKdc2uxTcXoSSKsl/X2yzxxQCdSaJQdDmVZPCcJkvN05C/D93Z6780tj6v myac2UWiSS/PT6b2L14324eaR+bOEF63GntBHeCvVRmOxpbw+2w/XVQz9ofPJ89/5ZAVoxiMtwvj n/dtIKi9vmvW0levlMrjKIY83yg08y9/oUFFjTxaD5Moz+L6WO2Xgxx/O8eH8LAdcVCRw/xyKfX9 AEnSdD+pEi65NMKUInp5TP/3DZlM2C2cw/hUT96dPWrYInznUT6ErjyxYCPOSacLgOGjI3YaZXf9 H6ix5KbSFnjFizJabyMwWiYytzR2BjOmj4XqV0m107QI0Soim1h/4UpzTUahEDcx+CSwLwmeB2y1 Vy9bWaUp0GkzFC2h6TtSPdjwIMDsEY9unXaO9vYItqJuAPSjZqaKNQgUgB9sa/1mnocu/DRlpRG+ fR7EbdIsJfFMsL+blehg1lpsAnlU/WlszgDZAlmjI+FkBnm1KW0c9Bqih9mscJDfHoYsxv67CPVi 3/lpHiYyxdWWkmcTBxTK4GiXCXFa81sIPt88d8R41z78CZu+WNb36aLR+/z5irNKlmBvfaoVjdJ6 RNep9wCbVunXlGwdYySM7yrEk0CmrXsPPNT7Ok+CDM9VNyHfGnHBJofZvBSu7qf5dgEfX5cTgWQh Scag+8TJ7d2gQ7IL5qH86VzaBzU4hiiN5bZPPySNLwCr7jtqq7hKUT4CVT4JbgmXBsOiowR+/RsZ y2e62vLmETulq2y2v5f9pUaQDm4I96Gl3myaIur04LT/LBOkD1uXlrb4dYdUqRtr8QYUNcXLEcmI plbhPlMoLV5+CUYLyc79X6RtCsltaKPQv/g9Li8jPsZeCxZHS02PzfjfRFMvPeXHFitNIJunjByQ N6JlLjtvPbXYHAitKl1s50HwsxfTiW+7+YCW29ZdSvcHgFXRMkfEYSzRFxeI3kBx7ktA/fWYBsME cb7pirWtLftjyv1gTyjgXBOM03nImYt6OOjHbh05MPQ0RlcTbthIgielJYLqCFsHD/PTzS6gi2X5 px2j92ivWmbIZY6k8zq5xPQ+czvX8Ey0XV6PSpchCevGQnLZv8v/3PIf0sWBIdPZul+wrSIN2osm PmbPm1LdwqTYa622kRBbMuowVpcEUdgwBprYgVpFbFl40z4vVAHJXk6+/lMOBRG46wcp/6QlnwrL plyX17U+N68ChYyL2qutaukRvGDbgjEuFJQnHqSGCl0CC3aWoiE44BToanqO243RkgYX9wCPdSFo ruFSdzteCKtVzZmj+ideqaY6XmFIt6yOzm3S+a6ryweRc4zNZkOPr/AK1AD+lcV0YqYLMvubvb+p 7F9kI+KCcYAVPVBKdyHkGlbCJSp7XREB61WsZoPnC2pON0qb8wh3QFQlCTsnkYOaQ18/rXKkoWwO I66EizHC3QYTr+dL/YGRc1g2QJPSiPQ6Wrlg71RkUOk3Aqtek3ImDwSIc51eZA/UO3Sl1dyQYYr9 Unb1On5oyNWhlOMBeVLH0EXlKbYah2C/hP7QAeAN702OKPHe7jZc/Kgy+81LuXzTuebrQWHV1sju QRvtQqpl0ajb+1RSwCDL8e/rTBdJdwmj/rhMK60y/4hTy6xngxhdZGSvXDyR0PCqENLXX9WetCQB 4gnL83hVTJgKiep5pjRsxluRGJsd+8epquIgQngCC5st9HwOZDsYOvW6718L5osTemwUTysYIjR8 fmjS1iZSz9/WQ5DFXlvxj1ZRRUUL2f5/bi8Y4cwlxkpzKPIURgIP7GwclF9qzRp5Pyrq8Tr4mcVZ tDo1SmqHhh8E2j3znARJu5YV02A/vaGonBi0vuZSU74osXcF3PvMTcL/F1YyhWjjo7d134S7BDhZ FI3mUWvGr2dWD4nCxPu5m8j2H/Yj6uR314ScATeea7ELTM6P+ZCuLu9xg8aXqCVWDBOokR2+ZZgd RBBfIgZQwNtq2LuxBuZvLgvUlnDgnmarSUHjPxSr1jzKvGAMf92Zq9HxUldiTfG1149ezkENSZlF Kl2HlvSUB0xorpqZrfkCKoNxJg1iyMzxJGwbxCLssEcqi2e9Qt8AXoPYGBo2+YrE1EWZ+grY2a5E u6N7QGIDKqVbabKsxYOXsywNjEdbqNIJzyYvnTSsUfyje2NTLG5mSGBMLviTcPT1cvHD3wvWLx1N yv1tEbckiIkh378aKazoFMsNKSP8AYAU1gtBVI3ysnBHpwXSPwjVOLhHxKkmAz+B6bzHPucJRL/E tFlIu7yP+1E44GRCgO1++5XsHpP+D8uC0oQlndy+KmmnPkkwLp/RyiNP4nzwrh4fzGZfUuHtWusz njL/N5cpMkRGNpr/wXlip8Uj23yF+ckc6mE6qXvGZfFkj1rPREg85+lTJGy1UaFs0X8Ji0xS2iz1 0QI9KcOvxxmAuw+hLFeuATWE6IJRwlpcQVihVSQlqfJ9d7v4104Ny/8/1+pAVXibw53uqHZLhhld ZTAsmivaJFYyKD/lDv6vELcFBKQ4sXT/qj1pwDpxm8hHX4udfsnX/8EpI7RDwZkH1JkATp5Nlmqc KtVUFnsFoaaJ4Z7UiwQoXdwi2FYoMKfsbfASFI3IB0utdL9GAFI48uMKaxXjWc0MC7CLsgqyHV9b minXxD6SEvuKIhtm7CjqRmKa98d5gLBkqAIeZLBFtahQ6JBvdwOP5CbO0RZxCLbn7k0h2PvU+wH8 kgYmvJ7aIH7L5DrndSKhnSfZrHzOeNtfhQ0ItqAT5vMuHtIDD2c5/FYDr+txVfY/FiX12aPDYaZL JxGAqubOhPLRPDrTKejg98lgMFdBUw0BX2HyLeVlpmeup1KLvTrc3GcO85ma7wbjXAqU+B8YDi4a /Wu761TecYfTzJgls/6ft+zzHz4EEaymdI2cKmP3FNhRbKLXmPzW9BWvH+BZHnfMA61rbb2UGlNo 8WeUE1/HqwhOlhbYQsASDy9RSETT1KDWqmPNMH/9OZviaq7wpKNVkTa3CiwjSd39mdhXL0OfZF/d D56wypv0Rs46D8WskoaPKwkxS1mccRHx82Td0zniCoI/pSTUBBB21BRaIwiqv+TMFPVoPr9CQrF6 pNcAJ0HQua2HhTxGlfLevSSKcE5+t4Ut/w/UlMksFQLz9y5a4dMWqcpejfVMo4bukJJ8SOH5hg4B oqR8Hw5f43IyihQvOMMDl5ZrCiMgEg6Uvty1p97u1oPjBnvVWB70cseZM6FDid2CMstHthLuJ8T9 YL/jxqLoUuX282j84lYNtQWeiIx04qLNd3NQbiugHXOq4tlRblBJeiBL8dzUZpfqR/5qOq7zTcGw YnwOKbTTgINWlqYoN1X7X2mR8EmTOH84oewQVJXVaXVYxazi+qrrr1ytgdSBgnAdrVKAK3ONMTso qatSYzEU2cgOrdR5/LHjdesEIwO8CY4Oh855dfgsWehZ7qsCEiN+nxBDUhPT6f+rzmAWkD/XNBqT Aa+q3c/yrBB4JGJhcwfBrPnzJru/4hjHVICJZbs7oLws0j9GAvRZUJ/7Qj0mexhsRhfdJnAeWOLU I+3AqtE6zK4ZxFe5RwNz23qXLByZ9yZqjGlNBWjI38WmnOoxIYN+EeLW9xHEG8hDkJGMzEFsWBdy WRO7M+jbCAHIvY233MJAgLUM4CDvzGp6Joi/kFDMLNhGDsMmY5ppRGUswoZhkUM92proVGw7vqth Ze/sOT8IWC2L7YhR4FurkFPoS2nZXxe/yKEwTi+41gx0YMiLrGVQKzc9ouW01cez3qn9Yo8yDRNp s23EMI4qT/Gy+RxRolCAg1taBww1CEKyt4iczDhieLytAw2MYuW0njfth11FBe+V0sj/hUau9v2g kBCnBrrp2E5b1H7LsEeH/u1yhv8jUm8TPIGYT7OpTN5KcsbRmUR83BS0qil98cbkMrhZZKMLi/43 0CQ3vtA606cLy1sUVbve2OZHHPqKVdZRn7vC0kvHe4Nl9RXKbsZP5jPo+15XkRRH4vWVtTeG/M0/ NK4Ieo+CKy/TlfIfbNH6nPVhYYllVT8VPPeTRGJS1EnJJLGAX6AZefl1jbnISlD8nEiWgDq8E/PR cgSZqg5t00N5kbZ2HAP4HN7SIKMgtixkyPkMNSajkwL8WZj3nIqFQHti2qOT/wNktOeqgl6bzX+y hc3+qDuhCx6Dt3BBDDlvBFG+BpC7rTMhU5+n5tXS6Rroev1TQmP2K3UEDZ/Ru02SXs8LMzwg0OUG Lr61HQIH3xHJmO0Yqm9rYRsCw74EYwZmbPbKeamuImzpprk6vXPksJRo/czUaAeazqJQodW7/U7p O1FJRTcMadod8/7mydZQXpwi+J4liEXIXoeEEpY7utkLR3HYE6P9HX87AKOHe5GEV6L/ewZvgDad VjMa/DubQLCoU3qhr/SpvwS4jaIDcEbs8Q1PEGUEr7QEXU2ZqlwM4/hJWzDHUygoGhsMDc51mas3 3Nwql8/73rbIHy/NBJpvDoyJFw0ufgUEOAb3jEyo+oDJotawNnQzyF8lECRxJTtf5VRQipVU/XKN nZNZEVSxxNcAPwgwf5zA2tUOmSp2xAiXl2HTBBSA7NrMI/o6IZTd1Lbu77QbUuorZ81in+OfyvqA Js1ISkrQl/pyGhZ41egrvmLgAxoSj2ExDnlxcXN6XIAnovgjYtUOie8JUWeUzA+oiRCbEHGWw9sK 10vnjKAshv9g/klWoCTVO+xxUgpc2f9KXlaqXugqv0rEklZi1E/uAIvwFN1Jy6EQ+D8TvEPMw6DJ B4bssO4PdhvAmXkCQSY0HfmvM8pBAY1D8tkJxFVJkmnw1BciJ0HqdzZ4bwXpjsMzeHjUjwcDOC0m r8y9LoxaYj68bJyy6lZoQ3LRzHrjgmAqxCwjFaiwMyXfDZjv7txfCWF23+9z2goQ5ezCWOvV68a5 8qhWC2abkj4T8GHwD286cXE6kU42Dglu7BhQ58Mn8Lo4tYyWZlzSeOnogPHmdGW6DwV75Z9MWEGX b93jQC1W4O1IEIDJOfVAjsgOvoXYKhWrm2CanO/FwUEFsWTLohM1D811ZlWpC/8hVgVGt4aEh0Jy dYHhr1dCgdcdZB2+nTVJ6iewTWr4it9A2GcqE7v678f7FoscSuCc2ujxCJAhlnz/zixuJf3BG5V4 iiE+Pr4GZ/3Ss4g+3eNeZn6h8OdMTEQwODGZ/RPevvQ2QKjBytG0bc9SUGTSXTu222s6huerfVLn 42DmGEOblilGNdseW726mqWiWi8sXdsjbi0CIBPTcP5xPWJgmvI0rq5GzNgiw8bbqbfVXMmBJZMl n66ZwEPOrXeUyS42iUCV84X5egauGFrRL1x5s6j2EI87NxljqvjdqQik1oOSav7UXpFwJ0kD44iK szYyn8cjspi7Cpag5omPflHc1s15Jq7k/OlyMejsD1UelQv+UTuXKlGG2OQUh+jj1/Yed97nC2Xp HWvYXxXW8x22Rnly1h9lkWIie3MxCvzTG9WNtKEFyD37FkSR4SOoA0CUtZBSCj3cSMJB4VxV7Dq1 F0dc60/4FG4B0sL3UKjvpxVsGisxPtVKPbnWPv5KFrq3C5Umf2ro9wNg5xwvCqiitvlfnR1/35Dc q6EAY8G2KFRqoBJU+u6rBz6Jr+hPQp5Q3AN8SzgOuBtui1xPGFxY8HhfMrCptfsR1gNkkAfkGHxI hW5FPoC1PGVA7KdiOPzouN7WXaFDgzMuVSDpoRiTvWC+lNvYQZdltpDEE0p7gIhOfJAYqaD5hC6C PTGDQfPsUlh0I6quLAdBlo1gndoCmyM7HjJ5MhyHW9bJ5ENyrgTzLbKyKC5Mm8/XiEu5g3HpMunV ro5W0rCFyN5cr0ETkk0Zi1R/fs4DqB/ouajvejzHhQGpIIq9kc3dknNRGu5Uh2b+dkD6PMkgwxYA T+TnPaOGKbY78kiQBeJNptqNDlMeg28sDREG43APYnEW47javvlYA3JvPRqj9sjEhfnyWImJSY7b 7wCJDeb6yQCAGEA6SS3GfHeKv5/J179SCX020rkuq90P6fZwH1bPoJWwmKOSKkVjd2Tt95YQluMU n4JuntRcR/1r4DWRwX2+JI29DWSnpdLGtKcS1cwMcWnW9zgUTZriw2QvN2Ses0eOsaI9QNuGAY/P EHg8QhZoNmu2tNTJsJudQb4H+EkEgxdE5i1lyyVs2UQwXvtdrlV5D1Dm1zM3oG5hAq08RGrfox5c 0uxHE5GCu0vDrSBe0JrsrqWbmGCEeBWQ+35hqTkSm7OzXDEv3QmOyeRjJ9CEFa0fMJMwjMExaSqy E+l9QBkGeDF04obeQtZMBcas7mq5/tJv4ZAFt/Z1jTpVr563IVfxu5GZHMgdgRNrJ/BeYb4fUlBW ieJyIay8nODfdBEcM9xnlsV/mh2d7Y7kd2n3RTeeXPBvs6JECOJJsBJIpHcEBi582Z2NTRqsVw1g UyS5AckZCTQvvJs7zlM43TEQ5k5hjspEmaaWpzAX64L/IRo3fqTsXRW4aZM2Xf10diHWmU3tN6hv wlSUWRkhQF2JM5UiprD9YBKm1n/DHRjKoRVygNGrONoSzBDYgzDN+WHdB3KCa6N+mGwqxvBG1vpZ 8j1DTvuTUxqB0Z5fNM61MJN48jBBGUCAa5TBSGuhHuk0AxgWiYjK/L4gVP4NhAJPmSvJTQ5B3boC 96rMz9unTHmcz9FxANmHPAuVmDXllhJuYkgv7ajlURaHqBaOXeWExG+8SimkVu28a4t7+lxz0duu zlkWGSW+AgCI96nax+lugQBgRekpjKqldVIRtbm8iCYAm84xArsOC8g62VtPBv7lwCg572TAM9Wj TcCMWSRf+vXEQ+WzVl2zp6oaqLgzA3FhJGMGU9WOlcpFimngS2XZDxymNrioTQZPe3vZUYKo8TAs WP1mfLMEhD5gs8J/8UQF4g1WwOhK6jIVF82X8AvgJ5yVpPlA5D/hW4SHzHpFBahLPBnq1k99Dgm7 fTlmF8zuAHPYxPka+mtk+5j5VuhM5QVD1/4jFNfcwIwwqvy5wU+EPbhL1d1t16T+XIkAIHzaTjXT shui0y8pU70+DcdYMPRrfGp0oguV1x2RSOgWeWTExAHG3DgsGZQwMhl+ACm6vgGnc1NEX16vRlPo c+wTtvvKsI+dthx9fVgV29k4AZwZWAQBMFjPF8/52xrOBj+KZgz3nNU9GOhY623um3JYA6ZW+EQx FcAXNZ0heGNd7aOjLtmCKUTl/sNo1hm31V+tPWfzXCIm/aIff5j71shxMu16yv3tM2jpbIpLv7i5 b8LYnT3bO8rFJoZKqfOFxF/WgLHDjuGeQ38eQDPAbO7ZW498OTUk6JGdXHUZZSumZydpQfkQVFSM J1z2eLrvrvw5/+y2Ztj/kJoJyOs9Vhl2WRP1L7+/AdsDiEQjsFUbBQoKg0QS0K+6dz9c0HHey/eZ MJEOLGZ5M0x6AO1ttz2zBZwuETMTTY6YyBqLSEx0g831RgRhpJoED1BsFhJtKuCfRFnFRq6N/JVN 0iGq20m6ZqSiP7hbDQHaIAWOsHPJiG/FvDNsBR7CN2crqNbvqSW+w1TZWqZRQKh747E0c9/gU5f7 r3x+uSkNQmFaSmS3XeqK+cnlg75+o1HAdKoMKE0qdFLbXDc1dgVDN4a4vbWydjXxPtetfoedrDGc McLhqI3FhJjKOqwDlkstceqkeHSwomgqx0D74Y7MPbX1bD5HgSJXDnGKmHioYXmrzfFyCB4u2NxG 7duNDy0D5IwLwm9KJg5DZV0rnhR+UNEyWcOYvIn9dgcLJAitO+oyDgGiug5bYZMr333sHKt1PoYY mC4EAwFIWcGtwuUE0Wl/VyGcwYMlSfpKRKq7MWYwDQuBfDvRYJ4fblTZU/UKYgThqt0v1Ca3qlC9 oSCn/JH+5e51kRwPTKeaFSje5bcafx7RPABXaC3108jI3dOcoNwAndqNmxYaI0I3IBca54w92tK7 pV7V6yiWhHLAvCc3ra+VgoVKnilD9Pu+u9eLdQJaMZzR8ZYNV7iKGk4bOINKHekNsGc/KJ0NOgfA 4e2QChfdO0ogANvsVzruvvv7F4HANYtumhQmHEhykyh2S5rpuyUJgaETgSrfYThEvKyic5Jzm7GO f/Nu469P9KzjEAwe/cDCmifzi7eDcxHVJf1K4pyjVa62CGojxD+pjKLxmOPo+FTu8jB/z1Tsegl4 SKM3dG3SaLNSIyG8DiJGnjomDsx1OoKqBUOmUvZfYWs/weqIhbeEGupeeqdjKH+ENDLgg2O/zi7C G3FnGAaQVqyWzhxPNHJ+viyyY83ejzAniSyUHbtC4FTNJvdi5mUcgUxKNtjceJJ5JEPugoX89nOy ZuU+Skb/AumioOLMyd1YOR67MEcNu1H7xDXEG2tm8Z53H7IBZLQ4wYYDg6ShdyNDeJzT3BpqzfDF AGeiQeGEikxV5m4gPh3vAukxKgqeYTNO9GWm3PmcB+oXzL+Vhasgqdyrjx0goCcBU2VuFYicvVwE ybeEUApe8MTjKm94PkZeRjNZls7k33ExqmBKBjB29n8TfjVAUUazSwCEOvve9GjsPl1wN70iAUOb jzY4J3Dt0ha7ZMRaiIC6+epp26FH+dYmOuauxapnaPkR/HRVPblQGwOfoaaTwhDjJgwXU6/WLlvj xok+YFziB4h5XTxNLIidKoWjihnAK/0seuFklTVc0k9X0TPKkmoGa8B7yXhMyaQsrU5psZ2/FCQL EGbQtCmrhDmnogTTRXPxVcKDkZld1Z81Utoqd6QNqIQhcoWTzRWh0egfmAfw2U95RXiB2MtR3o4s BCDGmDeETk8QMzPgzTqXJw5TvO83pJ0zbhuroDUhDNQUuFPho/EDN0pdDGEkBE/62NtTDneaZ9ov H2hW8SDxDii6oDBH+GRwsK+m0dSgkHrkNarFyQRJp6hCoJe6TVAI/litV/m58ZwzxK5rUvwd8qVn W1rJyEp6FYXNgjcUAtNQYfzT1+W4RllUo7hfhPXswAEte9GNcPoOpC6g5QyRWuWsybFOUmBvkhG4 4L1MiLZb+OvAx0crpdShdlQQ54pX0SPS+wtWrrRcsEQt1y61Ra/0+OAvIs8Pi4XXDWB8/EM/eiP0 +bh4+YDxlmx6iSQfV/JgvqB/frkiRdnlgDHIv1is81tvh+78/sv4Q3PV+l8Yqyv9E8LU2Va1d/eR qi1ydYYk6q2k94UPKwViSlAyC3ExnfPcPT3fTFrDDEigjkPYetaPHwSxmoanoXxwbZoeOipOkhIG BKTeIkQRiikqRGK11tLamImpDXW15LAgd9okLEOH5G5NRi+1FvY1YsQzXEd9VFBjkwvXomZ1QUI7 kZ1nv57mF81lMJ3d7yCHa0rvVS/G01v3MyGasB1VuZTiyyMeTozGTlqPvVi1W48Sx+585Wo2fBkb 6j2PhOVhrznNemwcEx9a1yEURrhTy+Ftn0lFy+AFju7ImqD3p9WnJs5KQQZ+2J5diqsh0KI8gbqc tXzrbV1Gni8kKj8UwyeWb/QsbPDntAydXWLX+7rRCk5gLXbhXoSrMM4COqLSlRNsAVhs6Goi031o yl3tcCU2Ad8EFkD4YTD8tkVFrlVcj7NZoCvT4CP17DTqX5cXg0lyxqXO2+zFQhTX/nksTsG6ijTj f67VYJfCtLHY7OAGzxdI9g4iXFgLivml6fAWgJCRpqExvk0quATYAWCzgQ1ulM2H4mYH37G3v291 3AUFKKDZ43qv01BQqFDn4bT7YTaMOldcT+AfysEhQbDXwJlpzQTN+Eap+EnfBTmwEllCAxFrbMyX LH9PtuFHQLntHQn90aoqs2LtrHvKnTf9vYgXsyzXjwffzB5ikaxUsBQzJAqKi5fLFJlb9o3uMz5A Dtg4Z0M5vVthqkStRe1WuduV1c2tNXSlkXjmqHb99lcpmueNIl3YFS9YQJnc3DZxUWB3KBDjRI+X 2PZN45DLeTQYY7SjRCuZ2xyCA6hqzyWgEsIso+/lqIjuCZfHJgr5jJi/tVD0PdmJhbBpfkqgksn+ jkHVFmh8Uc7+C3GG98K+taDpnN0PSuP0H4pEVVJ2pluWDFU5Zvtg5VxdVF4ElzdwN5VO+hfwL+2A XZ87xyFHjOG8Oi9FCFC6pEVTALJPZKKc5HUJN+cLvZH4JBAmVr9buPUymy+EsH94v8LZpKmCPRgc DDIOPxHYm+Z0VtbZREJzHYIbHI7Um33gH2UyVnbjb9c6kuZnrYuuCFVAkkUrmcakQWPqcIZMPItP f9IA651k4P7IcW/qeZU95W8b6G/2VDlnGFav3Q5RWi3eZ72hpEzGBAO5/msyoeVGsS5HlBp2flcG lC6ThbR/KSGHG4f+rfkXHMXCdRUSHd7qOOzOdLD2tL82kBz9pgq/Ue8ETasg2AQxzj+EC/e/8wqn DePtTZois0HPQhElHmMrjweFKp5p1kzNxSMQSGArj4YJuei2SuSXzJrP9SPy2VVfeSI6WTJcosVS x9xXxgeSeOUk7hWpUVsb8eoruL5/fhyZNphLZCZ25tuqsvwSMxkcc5dF9i6tvwTlVnvfBDofT3TL KsX46zHmExQ6aTEB46LzMeCA36SqMgCe1RXSH/bWyjHMk2rLsNr9P96MDNrHOs4Dc01oIKnQ2hUg XIc9oA2RdeUr6pdDsfjNrWSWLESdJNEMvENslPFXlYlLFpzzUChYPdXnfKh2wFjiA2imeVqaV5qo Nm456Q+yEPo4rXebljtCnbKH9Livi7R82szUS+8lQYtOW7HkR97TeTC++EuVA2dkYjbv2VO6IlC1 yiUZ1DEYY0LlSGpenehjFKuh4eab4tnRPzpcaXGCAaqeUkIqjXQjfN8aJuRo8fcYsg2JZ0Wc21Sf /sdQlSWJvEUlckicPVmCIb3oCM8mAIQrS6czMBkxePP0s0gvJzQ20lJYMzCBdEXqgfKyR8dBJD8Q l795FLK8994vZThzth43zFEr7Lyldx7Ph//wAxjCUUzslinkwYeg9lDOEfJHYq2fHAXFs7fT2QhP zR/VqLgZI3Rn/QfDlzlJijN/CcdE9ocr2zwpIDksoYpBEVn2Urgv5yDTLUfMKcToKiCnzL+Fvqnr x8Ktt49JlnFg9oGT73K4n4BLb87duIIarjM82OF+szTk/BP2YtGV4NnjuSsQRPJKMpVr9YaWPZdK Sq0FJft2/qKRa+Rvba6NFuPTs+tqvW0u7ifHQ1MdzsIklfx5hZtkRZauP+jad7nlSSCJdPiVG+yC DUOsXd9CGreWbL7L+W2+UmvH90KKEVHZLIS3LOpMD285vt7vsejbJJps/upRG6bv1ZzbHvHYEzTj ZXEIoCEaB0thGfLIvS/GW3RZZsVfIGEiLfob/LS0pZvUiQ+arz8pHZbfY8YNMzzX1IyrUHpf0DCN Atnw7QhZoTgQu6oHS/SolBHtLmLduv+ON5EEQKYHLBfXdl0Ycbd4FmQEoTdcPd8N4NaQijrkpuBP 4NX4R5Ys2FlioNpaXMdny/ApbHDp0XbN73hnJq/K1w7nNkbcCJ8KDIrh4iwrmSA2MjIcgIMwic3c Wk2uDY63d0EtKNmoJeTLz7GblvfO/k2hkQa37mUTRl839oR/+sk4NdZ2l4t4Ofp0fTdZFCiJgVgT 0pE86+W7l3Ippix28kETW0AZFpwMDtTgxPEba8600RVNjVitEGMZxKzqtq295ckTqSMrHKngovTS A2tmNbIkmu/fY1Y5gNta9igxY0r92keEjiVYYaS7zuJ8NUf98OeSGyBTRx0My7TdiKDwHgzOsUqC 9xhoIH4U/pbc2HVcmMqCK53Qaik1lVGbvh171WYPnRKgfz+RbXWpgR/AkNaMVYTtDEDN9KgGjnwy DcORX17OTjZVQt4ZSXxesVatFE+wX+8LpBNY0Ar5Vu3wecOlm5pG27/bPvwlxSLnbdrY1oaHIm3b Mbwyin+EVxP1gdk0ArdtAyPTWukObYx7jyHp9TRAqEenYsK9YekIoh1ZrYtYeIkhy681MAywGgWi C1Kn8+YcqFkPT7HBZsoxR+mItKzU0ehm+5IusDwmf+Mri9j//GLdjvHg90NEGOmConHKB9PRJnoQ Pgy8BsDvk005HuLqf4d6nqsm+tK8Q4+XaDFRKVgIEQcjjAe5WgQwUM+rFndqlKp28FirLTzqTsPC /q6uWfdNyXSJjQ7O75izZcAW/qBZOc54cIaRWlCi8Ubg4bCUF6VAd2rOGfApkvf0Nh3Xy2i+Tda9 BvwH8H/ufDNDdaDT71rKKW7hm+3rsA2tTd0n0j0lPydSabWrwheKiyAQvAjvzAm9ca+AxajaOvTO TqMQQetRty225TDlA0AS0t3At/hMq/pNfz94wNoP2w2NP/aSIsZoFy5Allole5Cu3N5WQN/yVCYg mWJBFaJxOj/XlE7VapECO2u+0eyyFBc6sK+KhOl96va7Uo2skZPfCQvv0hzvQvBirOy8RmqHVS4U ibnR8osibMzH5Q8Lg9O9j8l1FhLlZfG+Mm1VN7SL3Lwkb2aN6FqkujAftd5r7dgJTLoJEWZe0tIo WLlnJkmRjPCAZdON1SiCeItpQ3w/AofC3LFG0aTE4RNHguoTjok4tAuTdnilKpdTwZtxSgY1VrHt 7UOpYGKngx/v0o0FGfnIqnXfpS13ydWlBCUkAFc/bp8qrgi9y4NIfAPbKu4NEyg7mRAIX4T6M5Xq kr3zTL7cITGUzSTwMnA1zUCUzCXzOuD8ZJRUdGMeoICTELKCukd2qlyItQV76+XMt7ifiOQhlDf0 nV6lMIvhDxUngMUNGo9P9Y6P+ggWf2T9i9gfkPLJH9HVnt7qCm8F5GAMgrEgxmJA4Qdy9unOEsd/ b+xs1s7jJ2/nO8B1jiCXacqRsGFnY9eQiJiDtitxZ37cb8SCq8uz0h1z1eFt8L70dI97RrZ6ShdT Lb+56aHl0eRUgw5mcQlSIFWlN+BwvdnwbMz9IjvREjS+3lSHf0bSZjYZNGk7bxA8A1/MoSnOCpK5 Bp/1T2z1Ez/HhXDDE+OF3e1f7e6U+xv8rLUKYMYp9ncMn7VeERc9k/JOjJ7UNhKIBiKtIVkPMeRx rGwepfDLVDegY+2N8eslbkkepvDSaDl1Gh4yHVd6PfqAgjtnHeZkDpN8jgV5LJKHgxRIZJyoSstK KpnAMu5lCP6Dhr5IL47iuXvDClqaijtaGG1lSaReGOBzuWzkKb8o4NGeUgauvzs+ZgfuvRdPWlMM 248cwRnn2e6P3FvT+SwdiMrLTngTfGKnsP5B/l1UHToQp8ozHfIABYzVorIJxktmc6jhuYKZfGb/ 4zQcGhaV5eXesSbwQpP9eHiEjJ2QyHdZy11QLbt2pooarZPdHTv9qhTyHaGbm0BSXHQrZO+wm3lV TbUz9iwC2JOI6h/aiArqGUOwbdoE5wCnn4qD1bt5N+mEb1kyCBPAd1NtPQTBUvg8ZjgTwJCRAYEG zWVX6f691Gbc4OTkraShrgt8TCsZsnmD0kkIbsxHlJjIuEMZ56B9dHO3CiDQa6ZMNCE/d/qh7aHA UGoYssxPsGcE3QY2xFVO4FsrkaulqleKsmQpjIB7oSq+DajpTfqXcQb9Jg+50WF+5sbG2Bml2IyC 1oVhXr5kC1VKiUsUcEYqsS7NYcsHEWDnCHJqwNRY8zDsIWA2lFrjl3klbZZ1LMjHED+2e1xh9vtL NWwutCJy2vXojMuBg6sYZSkyUo3Fm6LiuIUeJJWiZMN4EpLn5rrugnfn2zstaikjeRDJT95ppdqP CKLcU38vbWdnAvNZRO5Cnx+6NSCQHq2IoK9XcF3s2HeHpcynhxLHb6j0WZp3N8e4klIOvZY7yinG HKpl0cglBFzqlKVG/HBK18B9ODs/PlA/PqLvGhnuCZIJ+zSmyCaSCCctnAvAnJMkaOYMVabNkbl3 0rcHIXrhPE+coQcuCY3dAAEFObbuRPl4fcR7bnNKh9/19TlNlx4/flNhtEFHPN0qUaps3UilcVH9 heEssx5nZFyiKfPTDdBXpP3d+nNMLTx+oMAZ5lVjmR5aU6eCn+37Tgx07NRZpgB0j6kyQ3aVuE/+ ijoQd9gqoL7SEVGjllzuIdb4GSJRGdbqqmroMLK8DBNdk0GF01JkanHkJcWPJEw0Wmr7HE+PAIw0 ZCciDa+xN7YKuRi2dQ5FZPjHpE6mGUg12LDEjVAwbs/z85r7AMEnLSJOk59n8rJf46XxexQvGlHq bLgOMHbIYFIStGfR65BWcCH/UjRB6oTY3ip1w6jhbsa5F4YPlYSdiX29Yxer5yZwUIVxFVg49RSw 8fJ9QyAQOJpBICWqn6p2yO2/kkhoKvfrbviVGa3C4w1eJtt2PiPPeg865nsEouJllBb3tQ9HWyK0 Jrk2NrCA6OnYY+3dV90wXLk4LpJgQxAzdtWQlKRuW8Zjvr+qqzq4JmwEXIcAG5WeBPqfguqwLxvP UCBPvgpCrPqY+S8zXRNJUeN2xLhabOuG2L18PW0SrYoEegHMrqzZ7Dio0trwYCPC2KOoLwn8X8V6 ISnAegscrIam+2E7jsY8lR1Wn8Z0Du8vv8rMfpShqTwtk4xrZ6U9ug7i/4xoBV6nVS4MEMMlUYii S12Orx7ERg4n9cctWhabsGxwpHykvVQ99LKa74x4WTMMEa1INfyl4VCF5L48suICLwHRp06tSXqq iVqpVyzEEZYxwvsMAlTIIH8SoMUNYeVKXmElVunMTwaZrrYmSjV4vxGCqwwiG08VOYhGljNfpVfK 4srupqrSBZA2yyJ4H7ZRXaukx7xJhx9myjSvf5LoEab8qUga9UwDDTKhyzoQycLNYgNyvnRiSUdX 8AesmxWql7BtbXkCyijV7W8aTwFD/Dlwg5luPGCSQB1vp5/P+3GdHI98oW/BHlR5h/YtnQnVuaUJ FSO4a36cLXpr4yHRQzVBn3NSi9FHY805BgAgjnao+I+uHwM23ATy0R+gFMJ5FzP42eIVwaDSRhgI TTYd/qml43sdDPNscXWbl1Xp82Lnooa2U0YyjsCfnLpLI8ClczOL4/oHfV1I5nF+7pmpGBD6cPhR 5s1duwgLE5TjALLGjca1HaNLuSKkIpIMI3Hjvyg5hXpKEhijCm3El9BAX/Uww5vYFaQ8AGyTwlU7 j2PExsSK1nOFHuKvvZ1O8JmDeE7ia31wd1USdNj95adDb92aTbq8qI/ePdJwn0pIz9fOoY+dCea/ 7fHVrCo9KH8s/FjdHixD+VYKYT3xwndx0Y32irkLAfszoevarSfduim34X1vQreLOHHf1uNob5Gn hTiR6MRC1OlhLVpKTtU8JAAdaf4+IEOkloXjrdhZJWOKNeIkoKD8kmc+IziS75+FZwVUyrFtbfR1 I2aqVt4/Q6wdeivuRTOC5ykQFSCTq64DOFD+Y7rZtr0Upa2tXSr/Jf1q8D9oQEMZ6RDScjQMLUpQ 7CUFa27qcqrUArqegQaeLyyUE/e7zY+BVhXtLi2NLYgW+gXrHUD73HZmz2umW0zA9Z3p9PI8X6NG 6ukV1e32Ic8NV54EVkojDmiqfLjHXJm3K03hx53rnP3CtSTH8WWZDv9gUCJa6nxSPeKVlColFF/X JrvIz2obhTzK7JmMseg9SnaUmUgAfUdz5LZRTbob6yzUZC16zFUOdmomoRHgnKyiLSWk/w+WDWOy eSCXgRJdknx9L57wbKBPjaexWjvVDqD0UbnOp25Ty3lEEbIPpT3LDOk05CtKDdM0Kkksg0u6S4bB jqpo6otiMM92dsBfDoxEwkQsgy37YwyDZorqKBO1ZvEzA7yymbG9XZgdp+C27bczh6LD/ROWmwS+ juqRBoVVcmydK9mtil9Cy+Ji3k5NKSnrpXpok91viMKadBLU8d4czv4n3N540hJcTP6Bux0DXrvk QZzALK6HMTiGbBCSNG88lH7wK6/KAk+wVzLrykKhcDr+CMrilsTZhrdiG0rVCphqGy/9Iy4EH9+/ NNP1plSlB6ruqIazPjUpSnisWDzqnUMOmbaE7tAzBCkBBaRhlSuFhbo55TpzGP2vmoXj46Qqyhcu 8PCtCE/RMfqqtekskme9mwvXuQgHFSnbjCu0Sv8jjW9F2kXpkSGqnegUcOJF8/1C5N70BmaTGKGr rT1Ql9/o1oUdpHxQiXb24mESECXDZHngBK3Jmoz7CgUqFudSkmKo3niULQBqd6avffebAA4VfHM2 5tRSEE1HQyqzmKBePlrI7GYMJ8+l2Fd0mKmWnkodA4FxyMeVQcqmI3yLqmCF5lqXSdYuy0NHcUKL y/wH/ER/6CIzip1DsogwizeYSygLK8UTzq7schD8y/URuuGKvsHbLKGE0TuB9KcbrJIo1fk0+MCd ovBwYQFRXP7nmU/fvfxIz2eFFZevUh5KUrSfWHTO5A/nUIjeUiBKMQbrkmQL8x8Mllvk2W7meEmg 8BPlna5F0XBXNnF5iyWYkgi8LlDXWLP8xWxbe+CFThkAkY299XvnCF+i6mh41xfVM+8YdrUk9guQ VqXNTH1g6jop6Fi+7rvq4Z7po7HCophffpdHizLQkijz5+uTghoKybiPm3YEpLwqdOUVXk05RQAc RH1e8cZKJYEBcnSLmYLvMjCBzpg6kOg0xsXw4TbGPiNW57CKIjkDRJ3PIQzQUmyN1xtkM3hGAmqY niGaFh4xk6wgozRuCXM2MCzj8nok1bv651qXmdTttZrhmzUrDUyq3bpdVIqtC5/uTOSu8DaY66Ua ZQrgCe1VW1v5PBjzRZsiulzjp2BXdXldODlY9LlM9m2cPMVQw0zSUUuj3GoyKYpFutY92ZDsyLqK UVRpSShzpaPYluR6PwFTUnrjcHX2UGzm5+qBDApKwda7yjPnycN0mVV2KDxtdMiS9vLtYRb/8Qqg TBFfdTG3fq+mj/A0z1A57x2XOiChEbYxcYILAW4rEVqIfU26hh//UOb7D816WbaaIN5xsXm9Wu9D QGdDKQrw8KIRNQ73g+SDjsqN2Qh1DU6vjhMZ/R6YB3SIo7Uz7JPqqa0rJ6n36VWjJXrwwGiuUxzh Tj6z/fQMNTrDjjDzDmOQXdrgcsS+AwZGw5AZQdOivQP5LHbBKkEqCGfHY0GLWm+A3xEp+ukQxlU2 7JK+vvnsu8dIzwC9p/gl1lDKfdA+0zs/KKWjbWqqALcLRK+vC9vyTKYKVh1PvzeTewchMP6r0SPn yLnlLkmcnxlzTTkiYdlB270VM8nXEcSYrLxrdiDIZod3pIBfMV/LbpOfJuEaiCb/l+MysddbJe4s 5t87Kgx+h9oxcqvajzOb/E7dyMfL5+WbhMkYX29HFwatkWli8KryD4bj3rTDq+7Xm8TW/6JZYsrL LcUvkp++AEgvwMYfWVDm+q8uhDLrtCCUH1IL3FfScbMk/lWs64Ywl8di+PuvAUfd+jRyqwOhdily dRgLEdmxkIZ7BhLibGYQTuuiyyl5KnsA2rVhZEzQh6Fnqiu5lP1kGyEhVipncJ4+051tlgYy29Th bzL5t4iSsMp9w9zwLln8BzncaczTWPSIJbHSky2soAgkgUNPb0ciHEnyRBZvSAyvk9+M3EKt/3c8 gbEeT3koluvrEMwwA6mwvtPF8g3/Jd5hIXAVMQk5DAOaBauPg+SPWL/cbNE81EFvmQHyRocdZKKN VYLqaQyjeaE5ezf7jpqlesYKvlw8RPy3z/AeakPTGD1MZYFtjN+fc95H9JfsP0XLWStGwMkbiTDv amURNGBq4QAvDgVueZTQdoBvXLRlIAOGn2N95fyjaDW11pdUT0dhjg7X7R14b/HVCiQAmGqeVOiQ dUTd1AV6agHnwUe7YgMttgONYJecXR4TXYsHp1CTI+BFxQHdqPbpjKTxE4GsGeSSJuDl4SJ3gSKB IWIoD1B8sQnDvC8JyKEG9PHzOBanCZUifIOQ5O8ANVQYY14l2/xj72LxglEr+8/fJ3rgMPAvmxh7 yo4gRmPUnlu85ptSw40a6kJzr/Ld0ylDj3ye7nDLwsIb0YLu0uCHYETClAiazJgEQHHVPgVRlX3n gw0GSMOtevWIMK1R+aQKjJuL30Uf3qjGiupk5y75faO//BsWmHf7qIYFwtcZxBTHspEB46LgLaRL lDUrSaNxKhXbpIW8SK1cfJqkeY7N2ZVJ9CO5RtFcX15KPtBbxfP6eak8imsG0KrjM2QKSMQ/r423 nrCb7QFnbe6fstJcugWPlzfcwQowVvxoJhqgHGHqD4dobbu0of89a6A7jXpmHK7E28eBXpoE1jRw EtI9SrOu5aPfmk6a1b/uNwhn2S88M9ke8EXQGSxkjUPWUTMQ0kaIfYry/IJzt8AbDKJf4cKUvuh0 tKOKMEP4WZxVAfNo/MFnIqy99emBybOlaCvaBidEy6H2pORzVQJ3NHEdtmp+frbqkt+oVGOtq5XD VhwlUp3M9k/SjFE44ID5l28csMVREyQRWJ5b+5z+5BNrB4iGsLVRr39MV87k8MP+zKPItUSoBBBZ ps6f8U3NhbAVzaaq4NJSdwI+R/K655b6J1k22KoY1DKaSk27bB8VeOqSTNlLLmo1Ekvp6RGxbkY5 7YSt1S/zNQpdNbT75FEfLl2OSxRq22SD5fceeoDqvi90vB0pNM/BfTMmftcDSKZfyQv7qbWXGlEt OZcwyVG05rq9/WMAUvRPg/r5txGSwOYnadlxrm8FbtQI1ZV/FjD9Dp9snbbbZyVI21j6886/PgEA 2fu+jWJE0kMG9tL+W2+NVWy8m5PsmRKavboRlM0vyy92PwgKsaqDUXtzzuzyBOla0PfyGa3tD077 t/yRyEcpxyX5/DFsOA68kAHG6ltsF566RPd9O+IbACKDQc45UIIAAvygxIjcofaFWy8ghNmT8ZEX /CDoitj0nEP6CxnPEtx2I/yPpJWGm+ITWbHs1P3MACAk4nUrirgf6Fn7v360/ykxprIFvYyNElRm Xbp9KdzrFLj9n9HuwCshlkt6f/3rR24Beul1Quli09wFigjt3buG0GPV7EvIELixW/phtHsRjiZs lM90SQfyWf9WveCnfoPo5XZNPitQLZlaFRLnKtzlsXYEpf/jNW69sQ4hRVWLj6ALefS/A4RZoWG1 fxnhNIIbykgMUPT75PWX2CvKFQ7J7/1Qnji3zfq9bW8vP8l5PMGj4swmNXVaARVyFOBgh1bIKuKp jA/ZUjUHq+8ronBxs4fTFPacwt2h418dUHdFixuzHIkxtWMahYuIea5Go8voDTZI6kngBcqiFGlr tLXDD2H/TpT+AOkUuKS1q3+8X3Mffxpx3GZXoeFjEZ1cVPTIG37w+R1NKwxDc6gfKjphquU/kAg7 NDsy343vZDSGAq/B6S4NgfVQVlSS7kfzBLsqXx014R6WHsKB/jqxEjMlBqagIe3SCbtrVgeojPZC XJzyMoPDM+DXiWO1Z0G+cfR/Wuy+Put2/lxP6mMxisdJsBtYUnrWmleU7TsgIZjerNyiZJbLenNY u6nFXPJ+WMcVfV1sd4TJ3fx6BrNllm6A47XDt4M7N2r2CUuOIeCSp9M2ovwNu5bQd4m9F/hNrAY9 j9iRDzWxAe0Xa1Lr1HiRhIq27hUNYM/06Q4gAyYxtBoDZj4ScKMXOiySHHw4wiSt6hfGk7UUHJvn +vT+ov9nACmNt44xKqy6kMPon/p1PTvU1a79QPlJXMqtejQWYCTkQBDRB2wj6AsjDPb6yDjJ3Vjs 5pzbwEU3SswduPQhUA/jn0KuKlkzlONfSEozhbqbfWpOU27AKAgMAgQzis79U6glE6kA2M6Xj6Kx B5qvxb00KmOn15tyQgYw1rbDWY7nW58pbTrJt2mLTnlsWvqjxo6y3sxNcoZ4UzLwceR8ULsjCoN/ /0xWwRQSFc126noKo58lAD0d7qRdp2jog7ZzbSRV+ltR8p0j8fD3pT6oG9YGjS/X6VEyk956/GvV LiGqdQKatT14y8/urGXXUeDby0Z3FVBsaA/NWpaMfgETKkOB+3UiOD5DwP/af7Ppmvuzj59u7UdK Qm0XUpKhYPcZStMcQX2CU8Nlcqh1pv9bIkN6PCLex/lnvJb7J+g8Um4Y/V8cfPqvnh9qS13r4gyW am+zqVw/UMH8oCDCguXY9nGpdbsg/Hw39BhwqECd+UgeF5NFF8RBTLcItva3gIYhqdusRp4+o5nn DEFR/ss9AeNrxd9+EayuVIspZ2XLUAQIlVfq/9IvWdbSBxTieRQUX7DG5syP2WPiWmACGJojtOJL NciDyxnRV5SrXQsUogHFTCVwqMaO2QjjAMia40ytabfVnQvw2+r2xtoKhoyV1LbXPIPbpChq6dhy FxwjAU/nNJxRHr+ocyW6WmkF98rfzyU2DYJJw4TgI2wHHfoSD5KL9U4x13IXh7VVI0llNLfcMVzW BXp2Pr1Mx3RsLLBx/tLJcfmHvGy5C4Mb+njbTV+iPIM4eZ2Mp7NtivuKNCzPUUTdtYwn2N9H9H6g yeqb/e/TdJArLJbmIhY1JA37wpXQkjJH3RTYwjJxQqPlxTT0dQ85l1Pmyq4j82cdxyRhajwhpOyv sNA+NxP5OMRd2RQMvQDwuSLcnbBvBaD9D8yChnbn3hfApBc3vfT67ZyTAHUr8GpVIG783Mej/XOj 9AL6xKQxc8ggMRe+r6KyCWdhHxt9Yp1+YEaPyIzbcqx42cB1Rw8ntl5wFltKBncHjPjx29X6VXQA 4alGsfJ9ghzFy4oOIeTAQh6a9tVh2XTzCwheSgXcg5FprmZ+g+kxwKCaT1tqv7T0OB289W5Y57bo AyYGlBSwSPsAGoKR9ENoHl2Z7s3usNf5FPYhcARDCAOGQRw+SmLOS/3uKO6kwb2XK8vEE6BL6JjK Tszrh8z2gya+VaoiJxruj1cTaFAUN3UxUk2Pkx7bN/wSP3xojnCviLuEn+V7lkRyRDwoyLOCRzzz LN400S9acMR31BPQGjSHBrFpMy/6/sbB1wHMUjRPz30THLuFE0hZTrsGZhJ8D6i2D5/0XrNwM8go i/k7ZTk5VS2JMJ4PttUzqbdcNxKiLhMp15ckpAoWN1uPXGkrC/twE2RLSg85X3BEgpkL2/6ANrU4 7ZLZOcPb3SZSC6IfaZx6u0M57b7IhHJRjjgTbQJvKZ3kwSQO3bughy9luXzbMZIlzoWn+UNhqVtt AnUfoeAM5aGLS7ZZSB/9ybACzgiuJThy8weqEpQbbTsQuIEjc+55Q1dZhsrIBTIZGMwfZJ4ukPzW lbY/4CP3V9i0Biq6k2C1z6JstCgQ6ez2HvFlXoJW2WuB++JTQdyzdJdSaS385Q8eTwaTz/RfAxjJ jLbcpKdJqkEZSvG8g87a+YvBBk6kvKh9bIqr8XMzgpSxJfMWg22mRjzW+2yrx0VdxvhhCyPfR9Vl F87lNfUeurko/2ISLZyTz9MctE1xice2J+Kjk+R9MmFZaXsKq7pfFepi1aAMtHKruDjXWPlBhXju ps9RMZx7nPjZhVp/oS384IvsLrFx5eBIAeGjdf3OGFD7EPEsbZtv9CfL6pugClAGeaCUIBk5W75T Vmev6UQgLDAlGF6AiEO2d45UpJnkxwOy9O7e+Qo9+ITqOrGDVkODiIaV969umZHT6HXySRqIlqGF sBhI912cpIn5OjnihkliX8sZVG9VXZkdjL8HMj68Xiod4GW1vfsvjQy14oWiZjno24+lH2pwnMyL Qtqt8Vdty20hjPwjfQYIjU3ci8OlnB7Jk6RI0RXr2Zlp7Pa2wG3c9BhOznQ4ACn6eRrMOu7AYRTj QqcQw9lZcnv+mBKzPXm+VphErmgC14EkEdT0XUozE2GuDj4U433h2bTizgKtFfDw2qoRWQdf40Ku sBP6HjSDJ4niX5tKjO/g+qYJpK9Tm7jpI+QUhm4l7MWFs7U8WzFcykXWLuV4Ifu1n0OrqRQ9NKeV whm+8G6o5qpqC2XUtq0JUsh/vOPo/dLQMaZTozcQDAVIuCa3GH8wp95hyOIrVFO9N4CQ/7SYIPMm +F76LX7iQK5XPJ6DrHxG+gh6SlMZ8gQDndvO8KfjkrBrVQa8XtdDWLdpGN5vVz9TS83fqq6G+FWR y8bNI0KIotvki05eauY+QmSNB2tUXetBNCp2Vvt//UKOeAX4fNF5fq/WfhLROuAApRimU6KvzNLd HOpOj/Yfo+o+/wDWEK4zmksF35XTF8cCDzcDAM/wMaFl35jnumYpQs4N5y1Gc0EXQyx2PZO0oCEb p0btAxO+F+R360eYgd1j85A9iFZaRESIjjzQkSaa37Ls7EXbDOG8xJhJhb5SLM2rcNvsOZIo59oN Yld4rBfdlQQKHo/W+GVh8aN9oqL2ZoVZEVXu45ijD7wT/sjHBCyIyeElpSev9hbIbgLnB5jzdYYc sME4PS+sj9rS5RlxRFSJFLnPlTM1IAMuhB6kZPrig5RmmLmzOCAF4sMNiy81Xz2BEDtB/MMAlfs4 P4rcSQFcM559nG3dVUL8Hv8E+es/aMiAjdTUG4hd4z1CENOQXujAZJf7t5+zV6DwK3qhZcPIk4gY VsofXoc56qa5EJIQC41mbmg0/yf9SBXI6qPfndBi8Z2ZUCeNVj7RY3grQg0xjUAr5pV9pnImpTgJ bfQgqQpEDO5YmvrW7WnpaNDBpsDIokxd/IriorVy5FPJeXeAHwdDCQJFlhUtG0hVTs3XX7PtjFBT /vr7xYIylA3eT11hTWGhiQM9CpoNrKxeoldNuHZLHhTbBfMYh2m88mGYK+ESI4BWMEFjILVF8UOJ 8bigLGQGpieG/gFwvbWuyQuxzrwfiTVqzn8wRPai+7P8ePyRTJvl7yOXs6VRFGN8ho1XodSoz2Fk xs5sKQeF0KTLbR8vF4LSzGJp0lPGy9NBsPw3GrSZaVHKhxDfpWGJXTB25iFf2Bt+fKi37LuNjV5L WJmH8ZDAUeIdzzC10k7rdS/AHTB3ye0aGTIwukSGP7t5tUtDapBExdCtpp3BMvxyj0t2PJK/SQqY +m438jpXZ/mG2kC5zVKrTVG6ednorOBSC9I/H7842WC6g9reh8TMUmI+/r/thuuajddEy7vGRxNW MCxGyAqeL+l2Wh50M75ZLaJ+dK2t9bGr+aWgEUZlLUNuB5xvMgar478KRQrQwrLnja1XAjQhETdV X0+jRn/pmsLFbmq4VTkuo7wbdCR2gUPUW+rFzyBvRgQ2oB1Tg9VvK5sR/t/qir5Q0uaYBEhQTnqk 3afqWnmuQq1scnBhWR0SKOVZqyKRZ6ll2eaAGC7D0d+oCEeScwZ3NA+9mM5ehcukaOHOSiSkthi6 CaIqzNm2SF7isdM2boklpJNFFipb8XrB02J6Tmeg4hw4+AKj1DcHwqi2CPOnWxiUZvasAJEalaHw fna9RFNrUQZ6PoRJkbyu4KGM4BSiEZ2bzShR5gAoi/aIbxD27td5M5fkvVRCP9s1RmAo7rjfi7EX Tc35XqGPoHA5xU2koFyfIHokqHuQxFa3ZMbGjCB45hbZrE2NQ2cQW205ja5/2lKeJ5H+tnSoX4B0 UfE+vt3niwAsh5JOrQHNpgOIuYsZh0YFeuY2lsPrXwPHwzlBP7tJrlCkTrjIenNCgvZg24TNELxC X/OEEtCPQyeRIHwF2m+7qJ+KUZckIACCVqOsMqjg8u3h9qr218KfKuU/d46+CSy3z1vfX/geUmc/ 8oyWAzAWwlLYPDdnIwqe1DRtyAY3m0BX0Dvdjg9vFCi7taJyCpz/OPCparN9nZEp05g3cYqY+cKt ItIsPKrbSvQWGVnJXwdUwg8IvLXRefp2w5rCNcjttfR8veCzmHWQbiEtar1LZ45MhCtfbBaNWAYL S2rnSycq0Vf7abYKfPPni00Pp/mLCwtQgBsihn7LUJ9DjTiPQwu6wD3T83IGRHb5iQUrO+MMfGIQ BOQIXHYwTzM9r5V8GYVsqpPzk5MXHT4cvA7LKWwDj/0wi0OpW3sx9yXsYus8vIYYYw0syObSxB/i b0alfqAwfrimEC729Rr7em8KPo8me9q6qF9ULLpZ6ta+gOANL+J78YYFmbALRTIBZJuPP59wwyOM 3qeuBU+PHji0wxnBshrDpdUs/8vBI8qulbnFxb7wYdgEjrQgELadnOEc1fUhPHsr9iXibsXM57dG WSjHFOvTkMDY0kx/p3b1Yt5VKXAeO+r9vff66fIgBjHWqitVpswYdajLCdio+mS5r3Enb2l1HA9I tuPZ6N0QfnP7fM0R5SBGqo1ZV+2swkLD5wMxeDOJDTGd17E5rpPV6E24y5nnu9CnNw6fc+u1n4tF wExLUvieVcg8wH/BPYomJm//A+RLmB+KPOlVaXzwEz0Vx7bKHrcFZTG+p3G+igCTfqXiWyrKNc8E GDNp5z8KykgUAIUYNGAa7hxTxhDkSLoacIbAZDe39ayynMgQJog3okNspqlpDVrQjmNAd2EsMDBM 8k9ukvw4D9cMCVi2aQUxPjwqOKtxag2bFNKNJEGf5jt9V1jw2LIgAWalaPnSeBev1H/8wj1Jy/c9 9W/a9EBmBH98WDIgNTwbuvfSFt3Bw9NFGr0JJVef2BoiionDM0vJxRHMftyGlyJUiBCwh+wsfXYl N/JQ4BRcfVgD2vmFyvyr7vFmaohQ7mU6JirXQqp8q/WPJE9dexiEmPCyxYA7ycCUxEktzVgisvJ4 P59o857m52z/zyy+TW6okcy7gYcOpZxfFw7CSrR0qryFoDMtK5MN2mTOqa6LlBiV9ucF6UX0r1WH 4YtdGeimq88L7OTKbR6HQZ8lTB1bNGS1vr2rUFEbycI3zTdL0mty5IihQmQvbPi/YM+9jK9AQA+i j6+Ybpj+h5DNPUxqFmWm8TnhZG/SlQXzvycpDb5w+EN392axyzNOnPQR+BW53OGietP8yDx7wLvQ yoMU7g6ehcUb/jmENWv7Be+64e0xepWYVS3XemMgFkzaCbYzpdirwPjin8dhWddhhUwjMRgihLgM iCKiV+mshgEtDDyMRLFRqOtAHrHLF6u4Fqqd+AIKgquNZzhw93jGyhbVBYWFxI7+fqYT3q7x8+7r vcPltFCrSt+o6D4cKp79+TQVIXSECrX9PeIAkr/s2dPhWegk47f3+Br301mAm193K+AC0Wx7dTyz ls7y/gaF4erEzAIAxTKb4+svT19ufpEUlUQ1gvjpFcjentq0tpof2i/b8LDWZUhUZJmveKtJq2fW 42bMkC7E68lnn+HS2pz2A6S7gkMzkGZ373VKjKAEctQhqCx//DQfSBpE6qQrBs/eof6fJe099dXQ 6ZXk7cfseO7VWPKF2/PUv/9O9aaEvf6Bi/XgFdQ0VuddsAC2ThiA1kYD/aG9jmESI6U3FQlV3TlA mp5PvLUfuiDuPWrPJCvOYnKEktoIrvHHC8G3SP1uZz3jImE80VTF/hSgcFM67jrCzVNGc6dfmjV0 ETcDjvg= `protect end_protected
mit
SumejjaPorca/PeekaBooCode
bower_components/bower_components/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
mit
dawsonjon/FPGA-TX
fpga_tx/bsp/cmod_a7_15/bsp.vhd
2
14973
------------------------------------------------------------------------------- --- --- FPGA TX - FPGA Based Radio Transmitter --- --- :Author: Jonathan P Dawson --- :Date: 04/04/2014 --- :email: [email protected] --- :license: MIT --- :Copyright: Copyright (C) Jonathan P Dawson 2014 --- -------------------------------------------------------------------------------- --- --- +--------------+ --- | CLOCK TREE | --- +--------------+ --- | >-- CLK1 (50MHz) ---> CLK --- CLK_IN >--> | --- | >-- CLK2 (100MHz) --- | | +-------+ --- | +-- CLK3 (125MHz) ->+ ODDR2 +-->[GTXCLK] --- | | | | --- | +-- CLK3_N (125MHZ) ->+ | --- | | +-------+ --- RST >-----> >-- CLK4 (200MHz) --- | | --- | | --- | | CLK >--+--------+ --- | | | | --- | | +--v-+ +--v-+ --- | | | | | | --- | LOCKED >------> >---> >-------> INTERNAL_RESET --- | | | | | | --- +--------------+ +----+ +----+ --- --- +-------------+ --- | USER DESIGN | --- +-------------+ --- | | --- | >-------> RF OUT --- | | --- | | +--------------+ --- | | | UART | --- | | +--------------+ --- | >-----> >-----> RS232-TX --- | | | | --- | | | <-------< RS232-RX --- +-------------+ +--------------+ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity bsp is port( clk_in : in std_logic; rst : in std_logic; rf_out : out std_logic; leds : out std_logic_vector(7 downto 0); gps_tx : in std_logic; gps_rx : out std_logic; pps : in std_logic; tx_rx : out std_logic; tx_pa : out std_logic; ld1 : out std_logic; --rs232 interface rs232_rx : in std_logic; rs232_tx : out std_logic ); end entity bsp; architecture rtl of bsp is component transmitter is port( clk : in std_logic; rst : in std_logic; frequency : in std_logic_vector(31 downto 0); frequency_stb : in std_logic; frequency_ack : out std_logic; control : in std_logic_vector(31 downto 0); control_stb : in std_logic; control_ack : out std_logic; amplitude : in std_logic_vector(31 downto 0); amplitude_stb : in std_logic; amplitude_ack : out std_logic; rf : out std_logic; tx_rx : out std_logic; tx_pa : out std_logic ); end component transmitter; component user_design is port( clk : in std_logic; rst : in std_logic; output_tx_freq : out std_logic_vector(31 downto 0); output_tx_freq_stb : out std_logic; output_tx_freq_ack : in std_logic; output_tx_am : out std_logic_vector(31 downto 0); output_tx_am_stb : out std_logic; output_tx_am_ack : in std_logic; output_tx_ctl : out std_logic_vector(31 downto 0); output_tx_ctl_stb : out std_logic; output_tx_ctl_ack : in std_logic; output_leds : out std_logic_vector(31 downto 0); output_leds_stb : out std_logic; output_leds_ack : in std_logic; --gps pps count input_gps_count : in std_logic_vector(31 downto 0); input_gps_count_stb : in std_logic; input_gps_count_ack : out std_logic; --gps rx stream input_gps_rx : in std_logic_vector(31 downto 0); input_gps_rx_stb : in std_logic; input_gps_rx_ack : out std_logic; --gps tx stream output_gps_tx : out std_logic_vector(31 downto 0); output_gps_tx_stb : out std_logic; output_gps_tx_ack : in std_logic; --rs232 rx stream input_rs232_rx : in std_logic_vector(31 downto 0); input_rs232_rx_stb : in std_logic; input_rs232_rx_ack : out std_logic; --rs232 tx stream output_rs232_tx : out std_logic_vector(31 downto 0); output_rs232_tx_stb : out std_logic; output_rs232_tx_ack : in std_logic ); end component; component serial_input is generic( clock_frequency : integer; baud_rate : integer ); port( clk : in std_logic; rst : in std_logic; rx : in std_logic; out1 : out std_logic_vector(7 downto 0); out1_stb : out std_logic; out1_ack : in std_logic ); end component serial_input; component serial_output is generic( clock_frequency : integer; baud_rate : integer ); port( clk : in std_logic; rst : in std_logic; tx : out std_logic; in1 : in std_logic_vector(7 downto 0); in1_stb : in std_logic; in1_ack : out std_logic ); end component serial_output; component gps_pps port( clk : in std_logic; pps : in std_logic; pps_count : out std_logic_vector(31 downto 0); pps_count_stb : out std_logic; pps_count_ack : in std_logic); end component gps_pps; --clock tree signals signal clk : std_logic; signal clkin1 : std_logic; signal clkfb : std_logic; signal clkfbout : std_logic; signal clk100 : std_logic; signal locked_internal : std_logic; signal not_locked : std_logic; signal internal_rst : std_logic; --tx interface signal output_tx_freq : std_logic_vector(31 downto 0); signal output_tx_freq_stb : std_logic; signal output_tx_freq_ack : std_logic; signal output_tx_am : std_logic_vector(31 downto 0); signal output_tx_am_stb : std_logic; signal output_tx_am_ack : std_logic; signal output_tx_ctl : std_logic_vector(31 downto 0); signal output_tx_ctl_stb : std_logic; signal output_tx_ctl_ack : std_logic; signal input_gps_count : std_logic_vector(31 downto 0); signal input_gps_count_stb : std_logic; signal input_gps_count_ack : std_logic; --rs232 rx stream signal input_rs232_rx : std_logic_vector(31 downto 0); signal input_rs232_rx_stb : std_logic; signal input_rs232_rx_ack : std_logic; --rs232 tx stream signal output_rs232_tx : std_logic_vector(31 downto 0); signal output_rs232_tx_stb : std_logic; signal output_rs232_tx_ack : std_logic; --gps rx stream signal input_gps_rx : std_logic_vector(31 downto 0); signal input_gps_rx_stb : std_logic; signal input_gps_rx_ack : std_logic; --gps tx stream signal output_gps_tx : std_logic_vector(31 downto 0); signal output_gps_tx_stb : std_logic; signal output_gps_tx_ack : std_logic; signal s_test_1 : std_logic := '0'; signal s_test_2 : std_logic := '0'; signal output_leds : std_logic_vector(31 downto 0); signal output_leds_stb : std_logic; signal output_leds_ack : std_logic; begin ld1 <= '1'; transmitter_inst_1 : transmitter port map( clk => clk, rst => internal_rst, frequency => output_tx_freq, frequency_stb => output_tx_freq_stb, frequency_ack => output_tx_freq_ack, control => output_tx_ctl, control_stb => output_tx_ctl_stb, control_ack => output_tx_ctl_ack, amplitude => output_tx_am, amplitude_stb => output_tx_am_stb, amplitude_ack => output_tx_am_ack, tx_rx => tx_rx, tx_pa => tx_pa, rf => rf_out ); process begin wait until rising_edge(clk); if output_tx_freq_stb = '1' then s_test_1 <= not s_test_1; end if; if output_tx_am_stb = '1' then s_test_2 <= not s_test_2; end if; end process; user_design_inst_1 : user_design port map( clk => clk, rst => internal_rst, --rs232 rx stream input_rs232_rx => input_rs232_rx, input_rs232_rx_stb => input_rs232_rx_stb, input_rs232_rx_ack => input_rs232_rx_ack, --rs232 tx stream output_rs232_tx => output_rs232_tx, output_rs232_tx_stb => output_rs232_tx_stb, output_rs232_tx_ack => output_rs232_tx_ack, --gps rx stream input_gps_rx => input_gps_rx, input_gps_rx_stb => input_gps_rx_stb, input_gps_rx_ack => input_gps_rx_ack, --gps tx stream output_gps_tx => output_gps_tx, output_gps_tx_stb => output_gps_tx_stb, output_gps_tx_ack => output_gps_tx_ack, input_gps_count => input_gps_count, input_gps_count_stb => input_gps_count_stb, input_gps_count_ack => input_gps_count_ack, output_leds => output_leds, output_leds_stb => output_leds_stb, output_leds_ack => output_leds_ack, --transmit interface output_tx_freq => output_tx_freq, output_tx_freq_stb => output_tx_freq_stb, output_tx_freq_ack => output_tx_freq_ack, output_tx_am => output_tx_am, output_tx_am_stb => output_tx_am_stb, output_tx_am_ack => output_tx_am_ack, output_tx_ctl => output_tx_ctl, output_tx_ctl_stb => output_tx_ctl_stb, output_tx_ctl_ack => output_tx_ctl_ack ); pps1 : gps_pps port map( clk => clk, pps => pps, pps_count => input_gps_count, pps_count_stb => input_gps_count_stb, pps_count_ack => input_gps_count_ack ); serial_output_inst_1 : serial_output generic map( clock_frequency => 100000000, baud_rate => 12000000 )port map( clk => clk, rst => internal_rst, tx => rs232_tx, in1 => output_rs232_tx(7 downto 0), in1_stb => output_rs232_tx_stb, in1_ack => output_rs232_tx_ack ); serial_input_inst_1 : serial_input generic map( clock_frequency => 100000000, baud_rate => 12000000 ) port map ( clk => clk, rst => internal_rst, rx => rs232_rx, out1 => input_rs232_rx(7 downto 0), out1_stb => input_rs232_rx_stb, out1_ack => input_rs232_rx_ack ); input_rs232_rx(15 downto 8) <= (others => '0'); serial_output_inst_2 : serial_output generic map( clock_frequency => 100000000, baud_rate => 9600 )port map( clk => clk, rst => internal_rst, tx => gps_rx, in1 => output_gps_tx(7 downto 0), in1_stb => output_gps_tx_stb, in1_ack => output_gps_tx_ack ); serial_input_inst_2 : serial_input generic map( clock_frequency => 100000000, baud_rate => 9600 ) port map ( clk => clk, rst => internal_rst, rx => gps_tx, out1 => input_gps_rx(7 downto 0), out1_stb => input_gps_rx_stb, out1_ack => input_gps_rx_ack ); input_gps_rx(15 downto 8) <= (others => '0'); process begin wait until rising_edge(clk); if output_leds_stb = '1' then leds <= output_leds(7 downto 0); end if; end process; output_leds_ack <= '1'; -- input buffering -------------------------------------- clkin1_buf : ibufg port map (o => clkin1, i => clk_in); mmcme2_base_inst : mmcme2_base generic map ( bandwidth => "optimized", -- jitter programming (optimized, high, low) clkfbout_mult_f => 50.0, -- multiply value for all clkout (2.000-64.000). clkfbout_phase => 0.0, -- phase offset in degrees of clkfb (-360.000-360.000). clkin1_period => 83.333, -- input clock period in ns to ps resolution (i.e. 33.333 is 30 mhz). -- clkout0_divide - clkout6_divide: divide amount for each clkout (1-128) clkout1_divide => 1, clkout2_divide => 1, clkout3_divide => 1, clkout4_divide => 1, clkout5_divide => 1, clkout6_divide => 1, clkout0_divide_f => 6.0, -- divide amount for clkout0 (1.000-128.000). -- clkout0_duty_cycle - clkout6_duty_cycle: duty cycle for each clkout (0.01-0.99). clkout0_duty_cycle => 0.5, clkout1_duty_cycle => 0.5, clkout2_duty_cycle => 0.5, clkout3_duty_cycle => 0.5, clkout4_duty_cycle => 0.5, clkout5_duty_cycle => 0.5, clkout6_duty_cycle => 0.5, -- clkout0_phase - clkout6_phase: phase offset for each clkout (-360.000-360.000). clkout0_phase => 0.0, clkout1_phase => 0.0, clkout2_phase => 0.0, clkout3_phase => 0.0, clkout4_phase => 0.0, clkout5_phase => 0.0, clkout6_phase => 0.0, clkout4_cascade => false, -- cascade clkout4 counter with clkout6 (false, true) divclk_divide => 1, -- master division value (1-106) ref_jitter1 => 0.0, -- reference input jitter in ui (0.000-0.999). startup_wait => false -- delays done until mmcm is locked (false, true) ) port map ( clkout0 => clk100, -- 1-bit output: clkout0 clkout0b => open, -- 1-bit output: inverted clkout0 clkout1 => open, -- 1-bit output: clkout1 clkout1b => open, -- 1-bit output: inverted clkout1 clkout2 => open, -- 1-bit output: clkout2 clkout2b => open, -- 1-bit output: inverted clkout2 clkout3 => open, -- 1-bit output: clkout3 clkout3b => open, -- 1-bit output: inverted clkout3 clkout4 => open, -- 1-bit output: clkout4 clkout5 => open, -- 1-bit output: clkout5 clkout6 => open, -- 1-bit output: clkout6 -- feedback clocks: 1-bit (each) output: clock feedback ports clkfbout => clkfbout, -- 1-bit output: feedback clock clkfboutb => open, -- 1-bit output: inverted clkfbout -- status ports: 1-bit (each) output: mmcm status ports locked => locked_internal, -- 1-bit output: lock -- clock inputs: 1-bit (each) input: clock input clkin1 => clkin1, -- 1-bit input: clock -- control ports: 1-bit (each) input: mmcm control ports pwrdwn => '0', -- 1-bit input: power-down rst => rst, -- 1-bit input: reset -- feedback clocks: 1-bit (each) input: clock feedback ports clkfbin => clkfb -- 1-bit input: feedback clock ); process begin wait until rising_edge(clk); not_locked <= not locked_internal; internal_rst <= not_locked; end process; -- output buffering ------------------------------------- bufg_inst2 : bufg port map (o => clkfb, i => clkfbout); bufg_inst3 : bufg port map (o => clk, i => clk100); end architecture rtl;
mit
VerkhovtsovPavel/BSUIR_Labs
Labs/POCP/POCP-3/src/DELAS.vhd
1
380
library IEEE; use IEEE.STD_LOGIC_1164.all; entity DELAS is port( D : in std_logic; E : in std_logic; PRE : in std_logic; Q : out std_logic ); end DELAS; architecture behavior of DELAS is signal S : std_logic; begin Main : process (D, E, PRE) begin if(PRE = '1') then S <= '1'; elsif(E='1') then S <= D; end if; end process; Q <= S; end behavior;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/led_controller/led_controller.cache/ip/2017.3/2d864de4d8e716fd/led_controller_design_led_controller_0_1_stub.vhdl
1
2702
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017 -- Date : Tue Oct 17 15:19:38 2017 -- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ led_controller_design_led_controller_0_1_stub.vhdl -- Design : led_controller_design_led_controller_0_1 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( LEDs_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "LEDs_out[7:0],s00_axi_awaddr[3:0],s00_axi_awprot[2:0],s00_axi_awvalid,s00_axi_awready,s00_axi_wdata[31:0],s00_axi_wstrb[3:0],s00_axi_wvalid,s00_axi_wready,s00_axi_bresp[1:0],s00_axi_bvalid,s00_axi_bready,s00_axi_araddr[3:0],s00_axi_arprot[2:0],s00_axi_arvalid,s00_axi_arready,s00_axi_rdata[31:0],s00_axi_rresp[1:0],s00_axi_rvalid,s00_axi_rready,s00_axi_aclk,s00_axi_aresetn"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "led_controller_v1_0,Vivado 2017.3"; begin end;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution_OH/impl/vhdl/project.srcs/sources_1/ip/convolve_kernel_ap_fadd_7_full_dsp_32/synth/convolve_kernel_ap_fadd_7_full_dsp_32.vhd
3
12833
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY convolve_kernel_ap_fadd_7_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END convolve_kernel_ap_fadd_7_full_dsp_32; ARCHITECTURE convolve_kernel_ap_fadd_7_full_dsp_32_arch OF convolve_kernel_ap_fadd_7_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF convolve_kernel_ap_fadd_7_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF convolve_kernel_ap_fadd_7_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF convolve_kernel_ap_fadd_7_full_dsp_32_arch : ARCHITECTURE IS "convolve_kernel_ap_fadd_7_full_dsp_32,floating_point_v7_1_4,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF convolve_kernel_ap_fadd_7_full_dsp_32_arch: ARCHITECTURE IS "convolve_kernel_ap_fadd_7_full_dsp_32,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS" & "=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=7,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C" & "_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 7, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END convolve_kernel_ap_fadd_7_full_dsp_32_arch;
mit
VerkhovtsovPavel/BSUIR_Labs
Labs/POCP/POCP-3/src/DL.vhd
1
424
library IEEE; use IEEE.STD_LOGIC_1164.all; entity DL is port( D : in std_logic; Q, nQ : out std_logic ); end DL; architecture DL of DL is component RSL is port( S,R : in std_logic; Q, nQ : out std_logic ); end component; component NOT1 is port( a : in std_logic; z : out std_logic ); end component; signal nD : std_logic; begin M1: NOT1 port map (D, nD); M2: RSL port map (D, nD, Q, nQ); end DL;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/led_controller/led_controller.srcs/sources_1/bd/led_controller_design/ip/led_controller_design_led_controller_0_0/led_controller_design_led_controller_0_0_stub.vhdl
1
2761
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017 -- Date : Tue Oct 17 15:44:51 2017 -- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS -- Command : write_vhdl -force -mode synth_stub -- /home/mark/Documents/Repos/FPGA_Sandbox/RecComp/Lab3/led_controller/led_controller.srcs/sources_1/bd/led_controller_design/ip/led_controller_design_led_controller_0_0/led_controller_design_led_controller_0_0_stub.vhdl -- Design : led_controller_design_led_controller_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity led_controller_design_led_controller_0_0 is Port ( LEDs_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); end led_controller_design_led_controller_0_0; architecture stub of led_controller_design_led_controller_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "LEDs_out[7:0],s00_axi_awaddr[3:0],s00_axi_awprot[2:0],s00_axi_awvalid,s00_axi_awready,s00_axi_wdata[31:0],s00_axi_wstrb[3:0],s00_axi_wvalid,s00_axi_wready,s00_axi_bresp[1:0],s00_axi_bvalid,s00_axi_bready,s00_axi_araddr[3:0],s00_axi_arprot[2:0],s00_axi_arvalid,s00_axi_arready,s00_axi_rdata[31:0],s00_axi_rresp[1:0],s00_axi_rvalid,s00_axi_rready,s00_axi_aclk,s00_axi_aresetn"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "led_controller_v1_0,Vivado 2017.3"; begin end;
mit
VerkhovtsovPavel/BSUIR_Labs
Labs/POCP/POCP-5/src/Task2_TB/Task2_tb3.vhd
1
5414
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library IEEE; use IEEE.STD_LOGIC_TEXTIO.all; use STD.TEXTIO.all; entity Task2_ent_tb3 is end entity Task2_ent_tb3; architecture Task2_arch_tb3 of Task2_ent_tb3 is constant delay_wr_in : Time := 5 ns; constant delay_pos_edge : Time := 5 ns; constant delay_wr_out : Time := 5 ns; constant delay_neg_edge : Time := 5 ns; file RESULTS : Text open WRITE_MODE is "results.txt"; procedure WRITE_RESULTS( constant CLK : in Std_logic; constant RST : in Std_logic; constant IP : in Std_logic_Vector (3 downto 0); constant OP : in Std_logic_Vector (1 downto 0) ) is variable l_out : Line; begin WRITE(l_out, now, right, 15, ps); -- write input signals WRITE(l_out, CLK, right, 8); WRITE(l_out, RST, right, 8); WRITE(l_out, IP, right, 11); -- write output signals WRITE(l_out, OP, right, 9); WRITELINE(RESULTS, l_out); end; component Task2 is port( CLK : in Std_logic; RST : in Std_logic; IP : in Std_logic_Vector (3 downto 0); OP :out Std_logic_Vector (1 downto 0)); end component; -- Task2; signal CLK : Std_logic; signal RST : Std_logic; signal IP : Std_logic_Vector (3 downto 0); signal OP : Std_logic_Vector (1 downto 0); signal cycle_num : Integer; -- takt number -- this signal is added for compare test simulation results only type test_state_type is (S0, S1, S2, S3, S4, any_state); signal test_state : test_state_type; begin UUT : Task2 port map( CLK => CLK, RST => RST, IP => IP, OP => OP); STIMULI : process begin -- Test reset - state(i) CLK <= '0'; cycle_num <= 0; wait for delay_wr_in; RST <= '1'; IP <= "0000"; wait for delay_pos_edge; test_state <= S0; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S0 CLK <= '0'; cycle_num <= 1; wait for delay_wr_in; RST <= '0'; IP <= "0011"; wait for delay_pos_edge; test_state <= S1; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S1 CLK <= '0'; cycle_num <= 2; wait for delay_wr_in; RST <= '1'; wait for delay_pos_edge; test_state <= S0; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S0 CLK <= '0'; cycle_num <= 3; wait for delay_wr_in; RST <= '0'; IP <= "0011"; wait for delay_pos_edge; test_state <= S1; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S1 CLK <= '0'; cycle_num <= 4; wait for delay_wr_in; RST <= '0'; IP <= "1111"; wait for delay_pos_edge; test_state <= S2; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S2 CLK <= '0'; cycle_num <= 5; wait for delay_wr_in; RST <= '1'; wait for delay_pos_edge; test_state <= S0; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S0 CLK <= '0'; cycle_num <= 6; wait for delay_wr_in; RST <= '0'; IP <= "0011"; wait for delay_pos_edge; test_state <= S1; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S1 CLK <= '0'; cycle_num <= 7; wait for delay_wr_in; RST <= '0'; IP <= "1111"; wait for delay_pos_edge; test_state <= S2; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S2 CLK <= '0'; cycle_num <= 8; wait for delay_wr_in; RST <= '0'; IP <= "1100"; wait for delay_pos_edge; test_state <= S3; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S3 CLK <= '0'; cycle_num <= 9; wait for delay_wr_in; RST <= '1'; wait for delay_pos_edge; test_state <= S0; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S0 CLK <= '0'; cycle_num <= 10; wait for delay_wr_in; RST <= '0'; IP <= "0011"; wait for delay_pos_edge; test_state <= S1; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S1 CLK <= '0'; cycle_num <= 11; wait for delay_wr_in; RST <= '0'; IP <= "1111"; wait for delay_pos_edge; test_state <= S2; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S2 CLK <= '0'; cycle_num <= 12; wait for delay_wr_in; RST <= '0'; IP <= "1100"; wait for delay_pos_edge; test_state <= S3; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S3 CLK <= '0'; cycle_num <= 13; wait for delay_wr_in; RST <= '0'; IP <= "0000"; wait for delay_pos_edge; test_state <= S4; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S4 CLK <= '0'; cycle_num <= 14; wait for delay_wr_in; RST <= '1'; wait for delay_pos_edge; test_state <= S0; CLK <= '1'; wait for delay_wr_out; wait for delay_neg_edge; -- S0 -- Test length 15 wait; -- stop simulation end process; -- STIMULI; WRITE_RESULTS(CLK,RST,IP,OP); end architecture Task2_arch_tb3; configuration Task2_cfg_tb3 of Task2_ent_tb3 is for Task2_arch_tb3 for UUT : Task2 use entity work.Task2(Beh); end for; end for; end Task2_cfg_tb3;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_1/impl/ip/tmp.srcs/sources_1/ip/convolve_kernel_ap_fmul_3_max_dsp_32/hdl/xbip_pipe_v3_0_vh_rfs.vhd
7
30625
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FrkYJsegAq1MoDH5HGIwdN+4k1L0VIU7oQHFyyYmf8Tn2Z+eNQUuLr5Efzi8f/+++xw+VO6xJE+G Z62GRKBttg== `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kvZuwC2+OAvLyLexIzVyHoHsrQU3xqRVX7xqcIGZgth+YEVXPmHZ97wXOHY0w76GSHZRPmTESBef UmyT94pdlPwjBVbLqGGn9JkpBvy9BP28gYkZVuck5qcGorwpj5wySMlHtTyzJQ7pVArwQdp6pW6i jfzcg4Z9CnZGExh86QI= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Sa+w4uWwUJfTGJEbtkSOuUyW7S6augntqI9akj4HUHJiNhp3J6YAR5kt9JXOvRBVdhlB2pg68Odd z0Ib1I5kPtWtArUigyKylBsH8NMaBfxJ7T2PyDWiKTzSKifF+1X3sqG/7Rxw8bIrWwfs1ka/NB4Q zuthtl6Sai9yRwvwp0Odus3o1bNSdZf94YZQb1k0IStp24g+YTCxDImuzkh3qWke79Sqp7MpUDt6 ugTOvJ+OFIiGr4/ECM69cYJgjZJ4uFyi1PhTsZWBlc9XUploQvsTgiCTmLBYuTCr0WH0V3AQBMn+ m1wB4JybYOAJCUsTl5/H+h/vcegX9LVxaVk07g== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DwzKkQIierHSkADleJ9pkST4lG2liNlP9+6hJEc4/R6JVXTMi0Fs7zpRsgkSuQcBQ0FySsIGXyKU 1P7Y1f8KdfU1Et/8uv8DELhP2VwBBDAaZNc7/7d7wIau5hgt1dk6fREHVHyJyH2y2zzfbFQmbxvw Sa2EaU/c0ch9Ddu9YuICaG9Q6MFRvw8D9PkS8QnmwdGraXD5uzUthkQLYup/F702NZ/wgx37KADa Jv8NTYZvOAhE+4zXCweE03DjHEbpfPhyVinm90+HC4kSngZ/pagc5TuR4XEfBFFH02SjZ9eYDMf/ BHuNGs3LHkJNdpcMElm4jBc4O7R0mV09caqymg== `protect key_keyowner = "Xilinx", key_keyname = "xilinxt_2017_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Zkr1WS1R43qeu4tutsKhGs1h3LznfOwcfFdLcj1CPhB565SYRhc1fBFY5Tlb2azgduZomVdbY6kh NHiaYEOZejSRsMyUoqCB5defv37HR9/EgXqAu9NNTVlrxQt5/nnmXd3wTYPq5bs6sIKh+0j2Mwea WTytCMkLV9lAzcrx8nJ6cm7MYTBv/WZ81PO42w1mxaLRj7aR0wA4bs3el4VdJxOwScSoC1TjVEwF fYKQ/dRu0C3NpnkKR+V9BkfQzF2V6GsvFjCICN6aos07JDm3D7EP701+uWmBO4sDOIyWSnwf8NpV QLhyuxNmDT4jWsekGD3rbjAgCNfLwhZFc2aG7A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VELOCE-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oem0KGpz5A5aiUbxaK/moRc1f+O/esT2a+C+lnGnDtSWhtJPShBpMzU2xhH/PT90Ltb0UowrPhzq ajTrLFgk1ASQYxTvmDoA7ejBQHy0/OvRWtTwBRETFdiGmOXc4MAOB5E7L/mEt7Os8HqgzbmpPg4E 1kh7MD6cQJYTES53jdE= `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-2", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block A4qvuBZldPqjHiThVdT5/S21WHqgWzM5PyyiFIL2xr1u1MxSTq2xdufbGPlPXoTRxb/q5TztSBZP W3AmHTQa9ZmmlFThQFi+sGg5o4RejZpHIF+IkbrZYCtB170eiHN8z500sPpe7DFx1xosdHVal3Lq Efk7hiajYW/Lb1fJmY8fxnJzj1IyaeESn/fRy+tNPCl/S60J+PQHZLrn1LURgzJLE69iPStuKK6g H6GEj/RV+8ouaFq/LK/PQEzKLWqblZ6hXsXu4KPTT+2tj2eFDPSFeIt4Ad3oTxmrnCcbH9GikyKQ Ey8LBjcN7FLHDBLa+3YZxsbXewpQxcAWAjQNQw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20128) `protect data_block wKylkT5LICCblcyq2O5LkYsIjFtgZotIUlW2nE2KFFntiPb1JD3ulir2L3Db5i5zxi2a1+6C8eRu yb6EadbGZAi7Kjee4fDpwIKC2q1KZsf5mVU3dPsvgLFJRMSwk6K4ElwoPNQ4aquPH/zcs0Xlp7Pe ehTy0seyItgn1kQgCvg8qgpbHTQQ2t05qmXB+YeHM+F1BV5FMROiG1Sw26MNF/IdbPVOxPTHtLmY AD4iY6mZbVO+QENrCRXNWMt15XkxeqFIYsj27R8J6X9seTbVKZ15aZfvKK8kCcyKaMJrfeXzQG5Y tD7s+sctn7Q204l6cgLOwC94PbO2Lvk4jrMA8s8HzNfYMgnLUvMXMWd+qle1YaSITxoRBpz4R35v khxhQySfcZZcSPBnCclxoIUuOehjxYFrJ3JA330RWAY4qDHmPguXIO2yh1sX7B5YtBB4IgPQEkNn f4HbhdvH9PwC9QRXkNPQd0/DeBmRn++7doj1RcE2fyBi7Dx9qgFbECQzS8053+B3TZZHi78MVQ35 KHcvqkM5v5eHGQ/QGXwshAKt0faYVWpRNOCatKMz05lKqSrLYAIh/v6MqpO+pnEsCq5OddF9RkZb JA9WqGa7YmKYGlRr7oMMKvvg08FTK0OgkJgsRVJvF/ufiLfQjaGcQA0PM2EyIcYWmGucJ8L7/hMk jBxN8EUnCF64MGLj9DnPFWKoqkMlN1unhZcyPE20mwuTcWEitd15rVmSuHsc+DQK8rLJE3T1w8OB vj6A/uT5wyYkUC9hl2AeMofdaxznTvgya1+9p/csc+iod0V0KSRJRXME1oq3lN5ZCXMksf0SUZrn ctU6i+UaUEoVrK1P9K6h6oOe7F2LRR7iUKhc8uAW7Zt+g+WfFBjCyLMozPgz9gxRo8GwcF8LyUYH krV964IX7ZnjpqhWL6OtZkE0fRFo2dHO6xZQaiZJDLVgzVuXvc6iDWV+qw6bFdpyVJSm0ly3cJw8 XiZ7urg0WqXMC3kGSuoXU0zlp6EXfKrm1zA3Ua2016YNynm+zUlwnwMS3LXz9c9z6M2bKZh7zCZM Z2tv6Tz8mWJcfuK2TE+pX36sD651Wi62giYF8rbzrXNUaeUQFdUwxfXdzSYSVZZqlxt8Y1IN/DZJ UNwPtLVeFW4DTyKxkJ/C2T+Z60Cb1NPC6/s+uyd9n0CUcKBA2rpckHi5dK4H5bfObw5aWguU5wYb JBBH6Rns+p9P4tJ1bXd/6V7VHICp0YDz6sj6jNjdOnWrkoYh2mKIanAtR3HmQgzagW9SFLbz5mqD 0n70mgFxOqqOBUhvt5WrJz90+6nU4tzRXEoZRpDs+/8zWZxgqePk0GCA8tiDT1XH5VjEK8UmFULw pSQmjVa8xoA8JoKz8xOE+JZ1A1Wwi7XlGTYTdnuqUYoBqTLdvX8dxzDdEVMMspWJhiPQrtGwqSe2 9+OiLrJnKB9TKO45NUhDfW75INEYB5DFCcnN1cd63T1/sxTY8FrEbeAdvgxNzfiAdpij8sMSJo9f ++kofbkka4ig2bl8LbILv44+Ei7DYRHxZbKK4QPr1x3tdbF74iVGFisVEnCcc/NUb75YBUVARCYw PO2NZOnO+95DwPQPZADIrDCG9qBMeuWaZWIemXAUsyyhjghrCxY6RRejXBM657rFAWYImPuCwwWQ nEqIe0NrIbO4f24BHbAZMk/Yr0MamAULSYyZ3+iyp14x4BLzdceadrfZYMNoD4GPCPVwKx+xEARt g3+hjZzuMtWtp6cy84iIQ0DCG5X8n2ON7zYDh7fWPJGB0onHYrhHAhCvmX1CvY5qkRN8SZG/ipjt +3Rq3+l0Cx4jTAuGcKuNvyFzrgtIY48r1MIJUrkObJyvgfakzggKP1VxYgsdx/V3X+wHCgVPjXzW m2CZMA0DUNyYLod5IduTparxZjYY2N+CXqEMhG49//cIO4MjhwulwNh06A0IHNaE8tRaF9RW3iLT QmdiEpekukfetVD1BRlYzZitPjYjpvcX2qbSjS+tPnNnArAxGB4Htn0RSwIIHAnjiXkZdpkiKK6w 8Cy2M9iX4AbaOSMBjo7UYcBNkRT85e8bcrIlXVehy+FKk/qrF1cnYHOdhj9+v3kERbSKrfsTW1Sk Js3es/yCTvrWM9eJgytGqfln72yuTW2E6wuK5NOBvtTQOgwObLDWYwScCwotITHA5wDeHZV0V42N i7DUUGgmYBynZo/ehDGx4nhkzRtP4TaoFGU9qwCiMhxxFXE2nR4b9T/19asCni5FYS7fNf83YtMw dOuQCfDlaumU0bAiCbuKPl/EyCa0vzsLzAq/2VbGRmVTmUKlQoz+dHYORn4aJpPboKaNDOLkiKdk WaCcCgFmRfTkz95o9Iv2TlD94G2X7wCnfwg7mZgl9o6YG51JT00GNnTKhYwiD42bUsG1ZoWtgc3L f4bAqqon57xpuG1K8yBdrcBJ9ey8BDKR4LrN68te2BBjhfs/y7n79AR8UGJUwRnzlfiqk+41cdLA 3bt8NisSwDrZ8xpDMG2Aqa6hucixDFGgRUI5TR8IW0tl7tUeEUqSDgcNvDW0Y7IHCUi4i3BhJb7U vXR47KnpstuG/c9QlX9DkDgYAvhaVdPTWhLMlAO2SGn3zHRN6GCvIGPHaDqpjT7JfDe4Pou1WT/z 6WAYJmKKoqx0lc8/NgQIUyEUHgtVIEGLd8DpR1sWSSCkIawJP/K75Gp3ZMpANT1TaVl433/+X7dc 8suIGyboj+Yx1MCotLIjGBJBPpkxYiE1puDYW+sra5ZwCIPtNuHkevm2YlQryEjPUp4tLgaHSpwr 7xbMGBV6KukwmxaTi+uDawjUk8m4LTbQVqsKqnFhZAJSINcnqXLh4EIPhvnUnjNPE6KkVQLvOP3M Jv1070G2D5oyWjSVDInIlWuo/wMPL3Xzf8+29mW0Uh/LVG0Yg+S8Z/51ONO8ifJJ6cPlbEKYRGRM ScP3sTIzUR1/SCsLAaHuqNBitsUNPub+X8on5QMcnsF0m5qQ2/q8iRepXj+KIfW9oAE+u/2wi8AI L0OBMC6A5AK6CSP5oOUaQ+jPyYiAhNwfwjpuWPm0yrGQY2CPsRs9gs4upNmFL9WRj9qsH+lbiQHq 3WndLASr0S2zdf7zZPLPm0//uRtVmuAqC7takIr5Amkg7JL43Dr8ihDpSjZ5fLjJLuWxyYGw37Cc snclbHLjYYr7QAAZWTwif6m7wW27FwLRXxAabsdEUs/duxZ3zpMqpZkGPRgo0VlseViqfcvgvK17 Mz1OhIWKJ+27xiO7A0zH+IMhFHEb/cXFKXMrc10heueGc6Gdy+zaCdLS1UjwfOQae537/JFZgJlB ttiMVe82Vi2DRaLFAGEOosU7vbiTvONiYmqs0Si9xf0NjYvxgwWCDuUyVL0mI/MGmbcURnA4yG81 0IMMtJbeVLQRY0bHm5zhip/354s3eF2IL9EGUw+aPbxWwLYHfEgO4r2rIjKYBzwFMheRZF3/x+sY 2HYAyG6YtHmCC7lgiqeUO5tN/owV8PRgU/Xg7uF07mxITw7zFNfjDx3h5jldrObrIREt95M5TH5J vPKUzLdlap3rTVMqJCKiaRb5a1Si110WTYaCjt8PVR8Pn3EZcMAgOzd5wudEHGRjKFqvDPgV+ZH/ 7/osBYQvHu3MMR67rszfubGwBk3RD2PurHfJ1kLmQySVRsbAWRp/f9+IhjIQYOsGnkDbLXU5SBZN H8tszoNzTE3RUsupW36+dAh5il9bW1q2kMLEfaiKlyDFJJ0qF6PsgA+zUzC4tTQwzrvseARcRwXn 615DQDMwbn2CECMR1nrPkjYXGIg1KLUb0OCTpgywKHFeq77A5HulLEXREn6lUsEwZIef2DwpgfEZ eZCDiiGN7VOpj+PWALCpiseGVUYn/TkTjIxqVs9ou52TetbZbcogiDHdIv+Qo2DY6o+YBRfo4vp8 izkLq6ToVyBymjCov8KYARo1ys2KrT8BAJsJFskNCsatb0deEGUY9xQsC+xf8nsInbbw0AUo08vY nQWBwNLXGBEvNt4ymjSczvsOmRsDPoRVNozF4R6Hkgt0EVh1gCwK7tOZReuc9jFR0A9fBxEVfwIr ifz8c1ffuSLsZz5jyQgsj1oQ3ByQbuOf6u2CYMnAS7DG6DvezbWGUS8ety/JU/LDh5BXktCVJDJm /SkjT7mW+ymhOno6ugVND7mlK76lubBfsMqCeBxkTyjfrwF4sMCSVHaHU4wTe9SG8Q5uPVIMokc/ 9oglP2JKClgDo5w5WLLYDGu7kAHst+gUpu7x8TdVao0ipdrjMp8AP88lBcaOkTVgjLVgu3K/aB3+ yxJbxImPAnzYjfDNglssMyonjJUalAhRkKccfbFHDBra2kYLUR+O6+0Iiq/upVt0PqXjy32dqM8a PQAmhyS4XwtpbC8MEHJUW58ZBZ6fejSgkTlBOO6JEhyJUEJnw0j0gXYU5dgmKaIzwv1v05CDFIdU AE/nIvf8+jS4A/BUtljaKkuS0L191divIK5ynALUV1cC0eTc+k7FhFcLlLhHYxREA0HsYZaxlE4e WdcjhVyBF7FiTtUZ9q++8c+yS9C+/jHqckfvi0GJMssWfdGLqsUucDj0zk2oXBnQZTbYb534nJ24 gCTmi3MoqMJ9vPCxI1VUXC4rMVmeSUTeiltBuA2TUyLNnA7Paz/Ug/A+8qV4CjzM88V7OJjKQJ+2 5SOnv2Q6IZmdtAifqvV5TWFOw+onoNOozQ+b1bJkIuljJymlUl9LXtZSRi7tB8phKnN6y05aN3M6 vg0nTfdRELmo79ai6wes6duD/fLjXP1TdoNAZnYQlr1shKr2Tdky9gbUOCzVNuhUTrt9n8baBKif KDnrvy8S3j5eEwV7g9frLauRPie7PjtczfIXmoPuUiDDdl5aFaW8a7Kt4D4dnc/tPvBxSVVj3K0O OubwQtWDFpkgS3GCFGanYqSCYFRWkz3s0st64vcO8WMqqUF5BAelDYgFWInMh2kzcvn+0+auuyJr biY9hDr5Hw/xLhtqdUUpDEIxMXWXc8led/EQ9FV20zNVo351RvVx8aUPDXCTow7HX2uHkR11ukvS 3+wXG9c1mQ5XMgEw4LIa6cCYywX/U6fEV6GpdkOxR+rc4LEjzdeBm5oPmQTCOhwIg+BtuHdV5t5L tQZrpJE21EdGaikMhSrnjubLQ4463YwGMYSkk/beXCiQjB+TEf0HtvfA5HbilCxFAewzAbOSLsUH 7P0ntnRpxj0uEIaE4KoVJPFEe/C+/KeSJgCW8ZY07csKGoakgsWR+9vE4P1LqQkmx30HmaUL05nk p/Tt1SLyiXa4bBL0QbhyChkCAOM6D8r1LM+t7HUVUBJSxO57j6DPoddesk72LpEG8t0ocbP6XC18 MVWQCooGI6BwbHST0xsQze9np1Bpluf190uhbyeJ0x3SWFTcjWsHksrRXSJUjVN0T3ZwzDYTvVV+ jVizkubaVbDkOEjwLjjHLI8kXQt7LSa2w8a4gDLvW3PxcovQ8wV9a6zswe5k8BXPnZYbGg9uRvxd /RXJeoglzJ8toNoH3MPCv9S+7Oawwj1q8+67m+NO/bTeY6Lhwap4rOT8YZBtl9ICxaPTv7XRx9XR lLLy68ERfZtWVWz1CTUPQtROVdjAHINgJ6Ko8JiBhRn+J4L4wq8NRiGNzuz7hn+nSxF8R5XOjzH6 2xDIa8wFPaRVfycr5UCssx+IXTNtzDBasgWn0BGH2crNPL/CP2YfnLAZhyktP44OxBNEkX0V0X/n 4Qz04WjVTd13w6NCfWIJtKbuX4cdzl7JDE5kCgfSZBxAgJXNwuR+//o4ClEDswts4put64eBr4Pa eTQ4rFODjzCq8IgdQ3il0D529nGmWFs/3YJNTe+vkp3DyfY9yzjtfStJO26t3eoLje/eBHMdXpyl hML6x0OuW69MKHxov2UO9OUPGwzbzLbYir3Mv5smWFiIJlS0xPdXmJmlMnQFRl5DEDUlstkESQ6v DWq+Y0TzaLUsR6Os5Iap9jlLvzFgfrz5L89jokGmvnp4HEKkjdWMSOhBAC3idi75gVWzfvM1N4iZ m7mdR5M6wh5PvDZrbiaXhvGqZREmXgZwa9Om1sIKq+ABfTwYV98HoT8PubGsV1H3osmIJ5mfC0e5 /IAb/NqGG3LYs0g+apYCT5vnbZYutTNPPMm1lM+De2CrSPqVt6jao6JP6JS0sbWpwhqWhBKo/4ht Ll0ZJolZXTUMMpWx+HUngUnICrg9/RFoFiM7Z4VyqO70niwOILaKAdk+KL/M9EOUE84jlCbcptoA 2e+FsTqX30fmivduwRiYrvj9VZv+qYAloze5gEplG12qXT/BYgDkUz6qC3taWQEY9Ys9/lGWtSYg fhK0eayC7npXtujOhgLeYJ2A0Cmg8YHIP29nIjYaTEn/NZ67vDOw34gib3tVvWW+xWsCZ73/r9/N gHFkFHX+dR55OcFo5BbscK/9xhsEZdUX1NZcj5LgUF6yNrV2DZ7XPPXY7blUzu1/mM5z3pODc1BJ ImINJo+6JSaSHW5HLnxFcCvPiCHhLJUHGERZJ9tXF5uiSFJqkKvvxQRQj/znx+cRWc60OcYVWMek 5pAgRc+IWosqrYUBVSFANickiMlHgRk+Chis25LmIiSs6IVGjEIs7U9AbWjS/bVgeBkrhkuccT7a rd3mKevYmd0zoI/lW9rMH24zhF1HqyN0Q3zPRFosToLBwxuKnm4mpq9l2MkEwt0OPKK6+PRE5Gdr 4LVYvcJhajYx1Bu+pTHPKAniAZTMGefTvIJ/oFbzPsenMxGGqIY3OwnZVh4mJHk3xHc1iAukz/o9 /Z/+OwwbOC4sR544glJiMTnTAo+veFP+fBP1jUM+WwvwuXyeBui8lWd/22KIfcPE/drzNHF2uYFU beevhH2/YsPkshhVZpS4flh8SrO9tJh7bcBhle7GCFFSgUDLg3F4ACPlD/6aoMuFH52aP0ZbJ8wW DVcQXVQorl638DmHNOUXyJmJ368JE03piu1HyqMswCHyThhWabTW8rugSKzSa4SHMfTf7WyTf6fQ Za1TOW/pbWOF8aSw6Vg0uwgrwcNqWiqERJDq/WnX6CAh+bu+BP1SAMHtU3nj+cfRQAhIwmE6eXca f6TnZ+qTqfm0+hUn1/F+8H0z7ZosZfQ1ybQcJ4lYNCltxwWd7zXVzD2tFwHmhLrDpGJTLm39qgYG kUHSyKPraVWhVrdAwfHvKOaFukf/8iLYg5/ELZTeTP+aiQASzaY9GkfkPHwMvYJjolrDnHnAzYtm 5aUtqezoJYCogIzrubibhlj2uwQ3hN1cGcH1IqMbxULweDyO3XBYfipsN4HfmUE34Oq0XeTl+P9w q0qvaXjT3lRCl+cJ6SiD18og45vhKuzBzNQ54YITO8M5DCRen7yi+ungTX6dU8IdIN2Q73U4iuEi aElctv3pudh8fuWrYzFQyhSbiyJKBY5oMuGItRHfHQ6qZEWsXkDVGWTD9nC6exj62bEMIxvJIS1Q g4ngv1/KzBQhQzziIAKagIQ4Q/1q/yUnAaGkFM0sOI7r497djQ0lWPIc0lw9IFmEHRtlR6BhCLmz 3LzJe7/zqTLXNMfnKSpjWYjp3q+v4Rs45zV+FdAAXyGWvvhpCnGgk4OtvjgFq3pUAr8T93mRhmaQ iG/Vlduw7BAuVUMOCQjUeMZrXhLjjn016Eni7zv0XsOUdNfPHC7FJlYWb7kvRQ5yqawMmc5y6zxu BovAIduvXytXjWzKuYkpbOA9R959eFPb7PR7L4lsdOo2TNiqEwevuERglU8MYohwXIaxUfdX7V1q LlXeDMo7Igdn6haxAbyJ1llL5eosZwjVgAhV0yHRWEcpsK/KlQZYBTReiAnHYhZAPCFgZRoJXRUL NwQKAGx01r270q2xfiz+Srch6bvojikUGDf9J1TH8TvjpL7PV9WeMq4/9mqVVhk+QF2LPKzVVb5f 7JwHnsR2ibTTBPwvRX6CdFX8njjbTHduH2TCklWMyXO8sLlWIm9b9K+fJym0kynYstONGAydX+go D+wFBznF3s/MKgVPBZ2BndEN/IfNl7WQQmR5g5XWjszxnQljY8qG0xsrlzcHiBrTT0GCRZOY0s4u 6wIKdobsOtDP9hpl1kOHypaD3+7MJEDZq4Qdrz2eWqHacYRVXl2hLpCXaEoU90j2kzMsKEYkC1K6 nBFuFKkqC7knk5GTu2R8nJo0hflOqxPxqWvPnwtixm+RVvQhslMqOddoaop9YLKLpbuelMx3UWTA bykbK46/SJXb1Z3XSEL9yENIn7B6lP5ZcIzPh4lcBF75zJung15HtV+WhVAOe0eoxvcV1QnOYVN9 QVJSZEL4KyWPJMbVO5wNZEGno7MM0mNfkb2FWnGTCtzWnuRKlHSlHrCdUhio11I5t3BTjt4bLKJM R7prpuczaJAPbZrlcvzxe9Bof1EIodkJEVq9v+1ObfTRtKMu0fin7IprcICEgkV+0dLBTb4Zruk/ dlU00EnVOKGQr/JMwTCZ+lPyXSFj/DwhrVWtnBIswwtG0o77cGEyJwai3MfdpP+tOPImkmqXH2fL zMKJUqDlIxRNaQDs94pJKlOvbofF5Ld0tJcl2lzMXXrawl41tH4l17HL7AtSVQ9Nvcj2CgjhmyDS sAGM63ysyBDw1rpilzoYSPIsnTc2DM9mJ8JZZwJu/n+0vlFKTpHUg1SsTFUTEeGuduOHDOLXGCNa g5J7wZwQ2j8b14Et8/4AWz1xEFVnjQX4iHddI0SMWo9wjHknDfEkK55L53/UynnXDQs9dfbNzV4a yRmR0iNVFplKeNEXPG4CrWFbcAymQVxUmE7QkAjW6VygSbmNIiTci3PBKGvDmGWkU0N8X4d+bU6s qAC/D3dWJgmA9JLEaYG698rEpZnH3FD9FdGU4mjiOt0VCdY7r5hH4A0N2pnp8FY3/LNOsaMoZ9DP GebxRKyyxCGBTlim2Ulla/670l48+2q+J6ylDjyQlTkLMV1nPVY0pflPk11/ARMqgp5Z/fo6KW0r jGw5ezkXtMgc2QrytTTLcXTm7hUhIs0fR4K+Na0BVIkioiXxYO/fxwkL3xjriP6DKBxcFUH4Tfsd 0+NV/S85Jb9QwfNpsYGjybL6AbbtPkWQ4Pki8f7gUIWOtWvizgeNFeCaOYNHxFuC+8izyGXb6BVM KC8etEIinHbxzJPtDA09gP4zcOkTGJyPoW35Xm9WA2YFopHG3hUpz/R7eZUS0cHjerCYMzRUASKI souLSH2YYDFSam7ZJDhjj0KH5f23YWwhXjXF2M49/k65GwK4yUar/8bAKDV7d9RY6Kp52Ad4SYDn 6l6BY/0aO2+uzgF++qyVCK1CloJJ5D9VRz+1QxIHezaJsnMJ4/XxoF1gky1SN0kKS+9H99zHqjZl 4iEpO5YaeZisDt5O6zNa5K7bkzySFUytkp+KU5OFe+NAMB0OSIlOwqny9nJaRYvTBpeZPFv7vm6B vkWQ2otF/Sk4B5shG160gVmfIyA6Tp+qfG58xmsSDoMu6MvzNgnOiNmXul0SqMEtg3Ux+/LWZCAp FrR9NBHmXGo0yfndTMrnZflJGm6neQE2+KIR6mib8irgTwgzn6Ll7XGBJNhNd7ViR+blAOy1R19h NHDWT8wAC7k2covrKXVxQtbHjNL7WrVYFYYNmrJOhW4iYZBBssI3OHVHUCpTvYiilfeU2/5KBJUG +vPKqFiC/cSkSkK8hiJ/zjDKd6yhJo6X/MSS3FKZlsuhVh0iGdYb0LRQ6n1uLOviz7bBKSHZef/R QF4kqATKKGQuqjCTCUlfarKy3+LUHtlB/akknl3wKkrNxidXj/Y9+5yJPvV82e5DVSLFw4xdasn6 y7vcvHmiarHVrYLyVhDOLqHVsL5fEtEVC1b1l07XrJ2YkYqp7DrXgJZaA/jFUIBzNn6gd/RJleVO e4bwnUvO9rqHkuQ+Px4U0ydN5FpdKBcX76shBHjknSIy1Zgo9M8lB1V/f8k1Vuk6Xwfl6TvkMgWJ 3YcO2ye8jHH4SIc7u3Ib9Vaqn5Ag7siZgX/RbDEt4VP6NaCBHYKWeXxF5DpFHwYUazWU938ixLiZ NFmxEcG0yRCrebwXPIDN6oPkGOTspUUcZUU7JPP2dKMPKe6yw0fs+ioLWwu4Ccet9F2orPV77B+k 1UZndZE9aFUyO9ur7eMpf1GW/8oz8hGgAEyiGVjSrq7zsVrbH1fnINaZb0VYW+cQ9Gig2otQfDKY 785zQI2gazbS7YQrlwNVuFkIVGFc1+QAGP4ZGohq7y+vDbFCGclNAY32tD7dDoWxvT9wIsMUZmUE fpTjhzzGDXvO6GNuAEO2sT3LCpl6eDPiqUMPluGuR8jAk63xUdCo6SM2wL0yB60P9sYiyaCSbvS5 yU3JDbqEb0EaZVC6Wa/1L9Fm/+HKOT0xe90a/zT6Bg8cYsc5mrQf8F+/WzEtUrcocFkuBnponf78 0mGany5IQLcarNZ/DWpa2O8NZpnCTxueRDnDllEZ98No2Hyel/nHDadBabiT5Pk6EFvGvzw3rT7O dRS2D3de0WnZdxPmmcFCKqZmsiLfLML1rvYDg7+z6YoUud/76xEtf/bOktN837H0xyMafgAvfQdu DVOndbY8fg7aHNOtRApMMNxoJDNyZgu/tETBu61AjAlWpkrmpoR/7s4+HVg22G25hcIq0ujUBqdI yxjPQUWaV1Fe/z10AvGJFnd3DuRmyIO46N+pwP5aeneIrn6jXvCu//0wqugMs8CWlx02W/gpoCQF W5aTAFDz//X4fof+sO9UQzxXZXYsODZVam7O/xlrEU1AD98PQJgAqKwCvemYgBGn6u6X1yGcf1Cs aoPrkExoQ3tM3YTE1yP1ljWzn4T54Je6gG3p7yQHtsxMpLzXP5fT//Ca7ABIwZv5A6YmP44Dyewb Ug3rPP6VBHObDRmj7IohMSpdfvBSqiElEzwbIm/k/HlZFjRfbJBtNjA7xn7D0NNRAMcGpby5GamC 5orHWmT7amoyZ2flflvxstR7NDJPc/RyXmmD0Fa4ZjyrcHfvWryCT8bVkXaQdsndTz233DjrPsXX N+g+M4R2mmhXhDAkfiv1vyJVOox1qkPe77NpJ95AhAwlvmGXcXQa6X7VVuHyjMXkbQP7SWFKa47E ED8qeuMRyRPDLWcOBLkG6aQS+TnLa0Cc9/qqHb4GIhwB7z9RH17Kuvff0RcvJDAWEEMXCZYJrPtc cRNVrqdXHL0qjr02enxqwyBFFzsMb1weOQcy4uvUPVjH/87nDClSCaWkN6u8w8q6dzAHRBItzdG4 QrDo7sM8J8ZFsSJvqm2nEokraw+XRqloYQMR8+qL53/lakJbl9m16fH8SOMazCyR+Cx6/dAYa41C KYkYHiPfKwCccWpY03rweUN6IyyDaL6dXgLUCTqVNcusSEyDU7+TCguYahnse66kFCHzoQ6ef7fk MTnAxoF1M7AdqnzAc1+ezAL5d9GrjAKRoM3GRlR9mEevdswb5Xs9bRIRIqMdTrFlYqLgeZwudjQz IY3sBznBBXfhps0iTfXonM5TZ3zYkUfzekQC2N3EfVgCgv781XYhiZWEERLLYPPAGkuorE1xuksD 96zNnnOdapsCUvM9SyV8rCfr9JV76tG5Uo73yNniMCSpHrj08v+LvuBRAM2XEUmRE+oowWBNig4c r9GAFWA4sVh3JfLEus9KwvEXpouBgFWSZMn5yvEe0JjdltDaGNky5u+NkPzkHVF1n8c4O8A9Awc1 RZ9i4p4rvLCe+KkC8ZYQtROnrMKGFBeD3ZFJlvZeFZsyIDGURKCaQTZptIQX5Qv1V5W6ljB+Nr1D FpGCbXbR8BecmFh2OK3V+QN7d5dVOxwx5sQism+YCrUiBPayHIXXrtLP5HTFPMCCTeeK+KcucPmN mmNysNhAhujOex7oTLTvdQFu3rrZGQmN7FwMZjns9J1N6El49gJZygMAL3GBvc5bX8jdURmAJeH5 XKDVGAf0+bYXsLsfJ1S9+oaLcwHAcKbylNIds9SjS/uYjx0SMC196tphKrNaE5vmV73DrMBdgsXP UdaHYMjLF1+1Lx/0AgjY7Du5VF4oIz/vKqoLHnsLnFpLuK8ogC5qEVQHX5FLqlCyf91kIP9KusMc a+LFPP6La10uX/8MWByLVUauVtrvXuj1OlTWYhSED4fsKuawK8fciHDZh9gkoD6hbr2jiBsePFQq LczooJ9fRH+P18dwwhRb1SXCBkkQ5FoFGImKbtMcw8FsUb36hL/ekHa/CNIU0PakFtRpjApmz1Ba lWCzTxYHu7Y4W8ISy0rW+iXeuRoiZz90Lukk4Jl8EvBNFo3HcuLGAiE3JvNxStQk9Lh3Wz/2jTV0 FnIWWyll2UEzGvqALsBscZ4Lti66U7UMwMZL8NZz31atKQe+Jqg546qA3dBatsq0ePQ0jxwTqrC8 itawGGY1mXCp22Ce8vn4RUvgBjSgcrAbTwLswkGaX63L0sRxMy9Jne1TnOPoXECXZ7isl8OJNk5h T50eo5PHeZU3IU0Fx3aN4CNmgTcid51VTds+gKpiAF060RgLCkTeNVW59fOSHROP5gEtYoTqIsqJ MpQaaa6KDxxm5DRwhf4A3LJS7gGJ3N7xmYYekv+t+/AUW4b/TrKtzEKtE/M99mYXEuqhE4isczXR +5ni2BKt+O+QXN6uqz/S6yNrYSHOJkzqWtnoRQerzK5AiCUZIg93PbO9G34Ivpz6xJ3hZa6wHo7q DT549Y5Pr73kKY1GUlKWEeGF6vZJGHrJm5Vz834v+FJqkFzWS4k5XZc61E02kFMivLUO7VvyQ7Ry q48uRqiXQJ6IWL/YKcDHe9j1S+XmQBqkRbW027zTXOLfidICnMf9mw2NXZrIgAbQsqUMfywjGA4r H1aUsSaXxCysF3oeIc/kMoJNae+bXaEAes9iIr2JUHN4xveyV4o9enklSPcebWflBWY5FhPWO3rU CuKUikzcD81EEQ6zFBz5n5fRmvLC8arruXwiqT+2nl2hWt5vbGasezrJc1NmkZYpc9ky0fDdQzIC 0tUygwlG07mixpfqK6TGvraHYjjNayVySjVXM2bo5Bo/RqQtC2Fxqyoc/OMIaE/0veyEenJE3oQh 5eHKCKZwfj2wsX2sPYPq/G5hLT9huqygmolDzxirlLzRDj5N8N3wfpO/OPk3LnaFOh+RaHIJfnQE IaJolWBxF8Awf1MJn6QRAEFiq6MFmVZOLKRi7vIfIi2+2NfMMg5ilTd/7hqw9MYTEcB28PCTUldT mbU0hCpBG1GCSCaX5Cxe8xGCJ+vcqz1rK35d0ridcV6uJH06XmOoVZ3MBOQGPtJceN62/vXYlFJZ QxuTaB2C+/7sDxEqtVGmLBtgihpXBEEg79uJGuAt9m/KqwelmGWZ25GUru4BfkdogjqL54ZgRzFC J7FjP0pVUSamdR3P2+64WHW58mrc9qU7DgaftF8m6ulcWjRxTXYsPygpqx4wy/xRHAr5vPtm8Qrp IhtUN+mdU392HRPa8eWLANMfZPimw4rYF2wjQGL6xmQTB+fbzmM0pnVRfR7IqIwTrXnOXQ9K6JX2 tKH09/yw+sZZk/X3aDcVmiwx7Rh3Vu8SICRLd3KdhF2ya3OV8PNb93/iVD8bUwjDI3PTg3BwnOQy 0RN+UMEW112OrbEH7orDdjczVnQvZ30EBaa232Lh+Ppc2ThZKVIBWqK7nedO2EJg7YP3dEX3BjEB ZRc4AAPRTMu+bUFNn/KR/6D5EsBc5SVfA7lLd7u+F+im163pFfOIyedLOM/qTVYthFLACCyZe8fk QB9PAMhdSimeGlp/JQGA0W1qjF9y068bYBEjPQBeRBak3pV3fgx5qMpLbeONc6a+vsY81VCtOPve pWyQ1SBZxV1U2UdFhlDDiqPpGQd67ib4mqFxmTMMU/u+gTAQPsuOGHPF5KIbOI41lrjsRF/gkQ+a 6Cg/A4wyJNLi9CfNLW4Z+hEM9msh37TRGhAZM8rKk0ZRhvpt8ALio8BRETtworFld6I4bzDzb8OW XafkNozF8nYuyPCvFjTj6B0dbY5pOHunNd+vdQUT8bb8uy96qHFgtiwJ+VlNvqnNfnRsvdj3V5HG DmfFbNqXNhWaA2N+5JEP7VmPedc6Ne9NtRSeTp3wozv/RxKm/y0oKdAMELWS9ZimB5q4aL+lHujx r3ALojn7acpvYTzziCFJCPNlw/VDysRhzmClYr11f40uJHxT1vhp/P/gROOf9kvBQ9XC2zGLUXiq zdloU4ZRfDwQkqOfIA17AhrZXmJea+S9Ejozv3XRCnIHJarjK7kYVYIFU6kMys8avpSHKRsAE8zr 90d8SZieief3WnWq+G130QzgFyExTG0U3tQuTqcPZnZDP4kmINyT/oJqFzNC2VMWqJzu2lpepmnV 72b8dSGyxUePizzh/OphwtYNXiFCa82L2eiwrZk170cO/ijgTBE2O2voJphWR3mP6njcZVTWO+hi zKLt8irb+/RAysWW9lFjxucjNANOY4vKyQlmwJl66DxMYkAutiTsdWGni369B2y0lTypq9c4CF4P cwmYT8c+6HWh1gDVDZTjxbX/++hEXapp6ZV7ujyHCQXwfS3bTUnHZRqsj6A4QWwgRCvWJjW2Ucy7 eq6kIVcWsNzU/5mZUdalywNGF8Hcjns8yb5lOvEFCBGYgXVcpdvGxsai0NI6IKyW88L0INLzVSEi 0tzVB6s5+cZxR9CiN1qUkn0NNWBGLTqJ6qmPg5pByyLi4yWEOHjewG1ZexkNVvZ7UHVS+dK7HFKf 2ObHzWb5QlpgnOyjfftiz6ZoP7Ze4Vrsxdlrybn7qbdJkaF9h4bUHb9yrpszuBfuiq8sp5XoehTn EXXcPgLEjgipavWXC/K+9YuJ4hiHvxYeYVTvXhNLwerrutWmfWB0qA1weJw7jnKdmgsqSoCGzxL8 PPuRYHXTvmOgeisdHJfW6l5Liy6/kP6LLHDbkmFVktAcL2hKvA1aS0q87Zq4rm6H5k+oP7/jtY00 m6mWSt0X9LMfrUWO6An7Z/6oMRwKVwOlIi6ZFaCrRHRW61dcGe+c0XgY8Nak/4D4uZzf9OhP4rtX x8OgdaRIrHausfW0xtN1/OCu8EKo35csPxNMRhNwSlwHRP1c6lwyaKxhRqLndsjk30Yx/9856EmX CGoLohaUT3UmestaXOmzP3eSinfpUIscAO6rpPr/TL/M1SFkW84fnj6+cnDnQjjVHT+HTWM6BGlu +yTP/JwxTYKwNar8E1CgoXY54Gofus8lo3ukSqcCFr4Wf7ucWPoncNjPumBqUrFxbVOYyrAK7I4Y uE1Nr6Hjrg1KBM+dWEBZtGxyFQewVpWEYBtHkXgE087NQYkZrC45b3oUYwNmpq/h+5Llf9jm0w5P Emcsk9HDOQJgdVyxl30E+wKTfaqrDc/YnM8njcPqalHpo2R9nRUjGjDMV5t3meF+HI8LmYoJaVZJ 9bCM8KnM7gWZsJTBLfuPPol0R7uyw3V7KHSgogWoSXjIhs8yeTv+sTqy3dxnOcvGYzC2iTEIo+Hv LTXSMGEGtuk0a7xSCj+KR+LGrd2NIChHmxBnzkZj0ePC1gY/nMliQPnIy7VP5QXBkiwQNJugEe2K LRZCbtOy5ak16JCnHMZ3h3fWUAcNVIgu+Z8mgkF2POGxv3c50XR9M4RUG9+Y5CUXSeZoYb+CaNY4 rLzxxlJwNzCkl3/wXSCxhBysIpqe6ryZZ+Qy4DAktKJd1xgYpsbecIHWW/PppsMaBHuLHoSoLknS U5esnvyg8WhaAGdUSIJr8ZJrlmFzhF2UDFGYIh7lZv64wSEG2Z7CDi5/jJAwbE84ThYwqJV6pssy 1Xw+nubmlLVXK5HDzm6cReVZ72xm+KGxUsXrPwvomV+HWQPB3yRB3bg4K02RyPz9Q+ykq28PF/Cr MxstwrkPn746k9jAr03gizI6S7pIRkYWJ9vOsUj60tiBFSV6DJkmfWxN9eNEE21lkmQUJOCg6RG1 ndZsRNLIPpmcY4rkr6Mp4imBo2qq2dcuDKx61tK3DLoDXqY4v5RfGw/r48I93leWFPoCYzHz7nhX wpyTjKlsIT0O0P8Dd0SR0/TV/CfmF0+3bnsU6X8zzlqb229DQfr2dfEYG8HNeOe/4ieTOHDplRrg oJpJRg8SHO2Ma3s6OoSpyDWBCpC9Xis5oPXlbsOx7qp1pU38NtJX62OcCfpEXiR9RmU/I53dQSbd 1htcBQeb/EMquXCHUiZ7AfPYb6LyUjVZh6L4vH/VKaDlQZKsmEnPQKCtjl9NPWhpIMZwU7uHd5YO 7x7GsqBgfLSBhCOpfsEksrPbkc0NBBahT87tflWsQgUY0YDiJHEHqBwRJcQYm2Bwpih413ZUpYAY TwIvKGXkhwlU55CBQ7nQ8Zl0sYQP0Da3xMBHtB9sFjWypvyZr9PgoaguybRNPR4k4aRZIqZp/Ema 4bJC6N+9U6lW1lQeDojsbxAtPbyleUU+q4TqQJ79xMHYeBLr+9i13MzUDyPniaLNNDdKv+omzDt6 xT39U46JroKAK27CPT+fuK1YvsHU0bk6q05AyGlJUShImi/Ek11WpERU9r6S+Jrs+atAMv2aoaJC xORYHNeHLjzL/7zh/rPagqK6wi4N99jv+ZRrRbkT57qInjicIPU0k6r+jVfbdBpnYdzOT8cP3tuW FNrTf16TmVg5sLC9GbBjjSuw29fd0n3zU0aejO4tEF0QWDhmbn+rAHDivtYhwNc8DgYxuRh8SPPI 0mH/qu15dv6IIy5UkSlwOXVXPJO9OIvRs47RyGaWGgn45HROVPST5/9jvNSsLPYjd39yOROKGUNJ l5ezBb1E+afdrCOc5GFdMr+06QkljWY9+IYOtM6+Inpqb0MN51LnI6+euF2WHu5v1NLJgifUTsTX u9sRiHeDBevYcbx7pUfDg2lgcl9oUkT3Y11Q+82UI02MUFxlM2Je006MIN3y+cnbyAT2O7Da56sQ zQaOHWSxJwtCdsFys29I2rImF17i7MawbqEJpVq6Xl29xpgpI1tS9Cuut+DxiF3xgQL+CPnVf2s3 NLrF62Kztyj0O9xl2j1Wo3BeYtVdm0FiKjZ1/uDCPd8Z24wx57Ku2QX+LT/7kB+p/Qa0xsHPFlZr wd3dFUe5yVaopffH6d2hwbLpeZQgG0sB1f44B0noiXnKkAgRzHqDZcyE4qK/CMPYQcJLdtYb92Di AO3tdYNwl6Y7Cv3mQWbmwiOn2FhM/qzzYZeqbJ/IMY+2UnIgGSU/NgQbvTOr/4OYWSfpV1f6XKeN OvAGIrBBcz+Jc4hjhgt6u0cHJVDvaUHILfgTQXbPKNX1gwQGJ8McGmB0bNXXdAh8FFJaP0XrZWhu N1EPgVWgHNmwMmxHbNPA25JJ98N5suvYQKcFAfoYSuj7SND2r9HlsHCm00+5oJTdTB2q4rTtZEDS m2675MV/mBxpBZkb0Hsrcvjd+EYtyK0pawTM+MYU4HInLoz/pLhCMvWby3c9i4Gnd4mXidDOIJPU vfZdXyTexPjxIrzOoAkAm+0QWbt8AogDUeFlCq1FOoJH1ACCbP1bmeY9yPib8NabtTw+T2p6370I apOVyO2abcMP2RK18YhNfXul0V6EUf4xytbanKxhVrjHLEHgBUCZDHfKUQUysm6RxHhSPSw9zyDk b4jXqzwQRMmKcBoy9QZ2pPjBlWlWIdOavYp0K6cxLVWlu3Hc26Ms3c8asFOEIhaJdvxfMprCtw9k qv8PO6Eza6Ti+dm4GGyN01LdIynoiCN3B8AHL9LuA3Iywq6BRrDbPnZKdAgRG76NgU/+r3ZOWhlC H9UgRwhue5FaeFNCZIi1HOg0+Z1YJUgXd/mX7LEWmWTLx0fzmB6s9sDKVVYo6Wmsu6ggWt1Vqe4z HYVk1BZs73I/emJAK90QN6qeUxfffAzAZJU5SnN504x+p1pRq6ZPvH7yZGOKZGOemfebbnIwXsvE Sd9Qp45nP4UDiO7rM5ayBUOcIhT77MliM08pDc94oh47I95NoXoMEIS18zM9ySj9HObmT3MxCLRx XRVpRcf1geCx9tJnxXuDgNctGO9/sEiATk1pOpEJXjrn6azmV+RFSapRjaH1zjncAObwB7hy8kSA LSbFJJ99xdQsejwhypR93LUUSFxa7B0TXZNI2mwQvnHMJGgafsmHdZNVKq1fn8PsrDNMVafQbul9 ILeE90v+HLukqg9PN9nLbR51ajRQrV3bRN0dTiCTp25CI99g4mls7Cm1Se69cNmevxVucOC8eGUk w7UBV6E/wx3EARVqAB0+GoEh7Rj+vUBcNbzouPnLjhhbEtVvoKfcXC2JN1MZ1e3BFvqmqeDrGbdw evbkL4o/+36R9d2bf49CBVEa7Bm2uQEwMO7w7mdcXqoQV5udXorKE0hshCCrvyq5j4h03tHx8sNJ oEQhT5+p4Mcu340dBhet38Nt5tzdv2avcsLySNL/1WhsYbjztrt3R7w05hjIzItp4GlOdMc7OsUt dmLm8BBccaGM8D9nlhisrOjCMmLEFqUIeJlPhYp1fmb/i9AOFFZI9sBQXRHt/JZCCU6L5f8VRVpV rEvCyRvF0pO273FqCUIeviJdVpkkyItkmn3t4NUQDtFJMq91bQgMTzPDfsSmPlGslLGtRcZ1tYzp pz1KlFnEiaz4o6tbsPi1mPJgTarA4UYdHF4OPRaNRSvRgGf5JAGeOEEGXHtatgbdZfdKeMHvT29q 9ibbzrXSsJLY9TKxzDkRZzlsvE8vBnYFGsDJcdzmAzJj0JmlgZ2zeToBQsta5NwpgxBUMWwjV8P/ tEDlj/L2sp1dc5ped2tnHYFuog9IXw4DTUIRnqnlyPHO/CpIgPd6QJ3WhnYYpoUfmBNeKrkRflre gDtWZMuB2ynmG7TQ6voVsQBKxeG2//gDR4uFS5+qB4bl7Xp2pmTKDajHdRjc1/128VGJsWJ5/PVl NnxWKlnPtscC1bEapy+3MZMNdOWPn+JBfadijHojqZhwVbz34Txyw2ag8LH0l9Or1Bg9AvkBP0+Z rEAhWu8hjdBblo9RglBGVjAdI7HaYw68PGpMjn5e6zR0w3V9JsUoPPahviTrhWjDIO0RaubCXlt7 S57wBYygbsklim2sb3WgqpujVBwjPJDna8ygGjylT7qJ4gSbq2WUbkp/KNl6igLMa+k2N9Jg0BHL ZTAI4KxLHIN2VOep4QjJh6EozLqX2BK8smr/5Si+IJoOqimNEwva8rLr4Sa/Oa736Hy3+J0wdo2J 8uD6YGe3912s3xqbNxsqmzCZfo57IMC68Qr8Rzi8GjfW556AQGGa+UieE6Ve7eSpl0JASLUfrwhm /lG5MzkROW+byDgJk3/Gf6l0BPg8H9/btXUINP8a7+y1T/+OmzsJ/mt6/cL0esu6um0JLhSEYKLB uYrC/GA+ioMXnZLWaptYnJiwvhLKIVVDvzpoDvgAMbpvvaCrzhhenX2N4UC5SA+nZ3zVXv5t/vqc U3+x0wGRUP07EfYMXHFsMUdOD0KPhjucInA5PSXXx7q1Fzjokm7U1c7ClAEsjGGhXvCicCwy7/So GGXbgLFTu8gwIdOrm6A0V2uN//b7bZs7kKFcFcjKRvzgEu/DugYbiZneHSpJUz2kVaU5bZkEypu6 WHFe5Te2u1iqKWRC+Fu+g6SvKiNDTe4/swYeJZtBkhbmcZmp+cws+0ermqEnT3aNxmLSbXkaY7+w 3Ds8MTyVUU9vXxZXNFtFVlCrQsh6eJPgJMJUWpt5a3+bF7RfRwrygxk7mV0aWXOjryzN0puT7MGi 72d5PCoF4YdhnB0VtW7VrC69hCbDw/qLsFT2KrG8gG1KEOSc21oz4rKeSN//N5Mf7no8sFhZ5u5+ h+mHCMeRQkypFnmXCw0b7EdZbZjKyKg7LqD5UnsvKvZijesmBOLm1ITgqZvt1/ruqqGV6tpN4oq6 AAbMlOqwnMUjLA75x2cLG7A0ZN8y4HpXh+iDHv8y5MCIsTAqAWGYBXOXNovZPtL7IVqyYOI3xGDk m2EDpZGb4uCMaMe9wgMsPL0mN5vnstLmhDLuUqITo3RxnY574llas01vUDL0Pv+HFesJqTTTsJ4P cbQGd/6WuNIL+N4xHIgf5/J7g5p6ehbO5RP52QDFSam/Cg23uwIZQIHh3+qRwBAvB96gWGR3OQmU RUxXnFYBEZYPOGZm0ygH3OKt8MOrKVc2MQ4ETdRVcRQn+GtIZ0srDi5BCSwcdE3ZXCNVFUy3LTG4 FSVNsFKlXJHSyn8NTXNI3fiAn87aYz2yC8b+uNCRfM2zasbsRyWuNKHs/a8LPD0Q/jzG27mMmtz5 ftuYQw8z7O3iOswDSEZQJXsLbFuRwd+i8vSvCupp1q+GJBWDIDVHuf/pvQMl5XWWiQLN9Khc6RXV 685jC+O7RfMQDG0Mys64U69lMDrd85kXjTQz5yQK/ztxJ5TmJ0+9aoeBNd+E3y8E9u1gC4xVv8ai +xYVTZ9bvWfPMynwZo7WCXx1v1LWOYF660lGeoSBSEc6ZfQaHDByhntY/ZFxy3Vgzjzjr6npa4pB 8cyB5uC1kySAazfjP9aWl44g3kPNH1LrWFrKJ7MdpxI3T6KJ6g0yt2f52Z+FjB5Nu8EfZK4ebp+r fFt/en4wmgXMR3fpjQhuQOu8Q5un8P0Bt7+PpbCBzCIYxP/KXXdJ1H5wItKpzhgc86ZRwl0/xcP3 2Mbp8ts6UtFtyROQ/6ulxfCRc9o88USLMWrT8AtPJcs8f5jZlQLILE9lczjVCIdSJDOIEeOiJOJt /lKZhH1VOXZEK/zURoKkpq2fdhY2cNdj5/rmDJex5ggfWfv9gAVaBXl/+ECNm5Ijzq01vED3PD+F ouMDtITuzpBPlUyEPAouHhEZNQpHJQEvfORGDWxotwztS6MyA7fsDefZGaya0REDfKRnYr8rAfQ5 7YtQAKEqECd6nw4Vg+9zlT30tSD9p8UD1Vb4RIEFsmYKgT1AyTxPEZy+dWZBS4KZro4/yCvC+usQ mUPib7ut/o0i2FX4aDigSw7zvIguQRlcOMXH0w7kwyOYmqA8bLLB6+5/VL2pMW+huFVZLuR4ERHk CzyxvGQu+xBJ/jTCNzVI8D3z3tksjYF9+ZMSwOXvC7PHhBSja12onXYmuIBqSc5Znnisf8b7/w3s 0T/mM90vrVDN5qSt+ypaZWeqhjUaXoZ71S4sHIIE5AbaUbizWMmJ0Xj4A+OmYYnQze+Dm6int9SR GfIL2f2cqDWuht5HltpkAuoLwuSin+n6uZCb9MKKFsaTuYgi7XWNOf1wD4p9IkeK9vP8hnGnoT02 troX4frOtd37dDmHXUE3HMU9p/tGFEgrQtBYO4+UOdljkXrbZcj6itTesOgrW91eObGNitWF86Bm Bwikna+jKnRT4Maq9WI8lYsZxUqrBliODZHPkIpEezNHM7VRwKZk0qGwnZ5osww2DS3ODO0I9j0z sbv75nQW6dUF/hjf7AbopBq4aHbd/h+LWsca6wbj7YKwkEnv2t5JVgCp+3TMF2eHq/4ebVl/0C8d So45BUTcdwBQL5AGkYZAHnQb54QmJxx8znMzHvPnvK6hIPavhJMdGKEYKBwSART8TgcpPmVvFTlC wuAO1an/XAgS1z9on1Yjw8ilyNEbsa3LUn4nF/bwd/1jvtoZF0oJrqcC3GgCTiEhUAv+w/Z+3qHB UWVLTPfNh5RfDxIq4giN40JIA17DMhFPHCT1JGQUS7sSGBcjt6BbzSddtwwNvgRFvy4wP1TFaski 0lwcZSFgtGljgjjl5k0VfVVLNUK3CHs+KbKfL7s24+63IYxYE5BQ3H1HXvnJhwpOr4Pwa14LcbJF G9xtGmJ5otzmujcKMUegBr715D2LZ/UbAJ9/gdNeo7wq2X4LKmBIqBNKQxEiG9FPR8Vj39aXB3CS 8++fxit7M8IwnCf6Pq8nbHcHHzyjkrOAbgBpf9NvM3kgBGoZWgJG0yfQDqupiQLZMGrZL4STi7ZZ mUzqb2uQpTEFncUnXVWNvUujtPzB0x6GltFOZusV4pm6Bbi9ixhTihPEKj+nBnkURfvq9k/1Ie6n 25i345xSB/J/B3sr+BO6xOUXNXo16OFjSZkiUgmDrGDqzvgQbQXjuzbq2hQJQbrErIbwpv6iO3VU l1V0605y1zJ1Y5HCfFMXl5WDH4zoqhpbPWqMXTrNOMfT4Xcf+HiOiiUm3xVYPPeL1qd3Ue+GZ+PW MPA0i9AIrYxJbhzHE2qXVKdv/mNbuP99ba70zdzUaXQCIrCtAkTk8Wg5ujb3QHZwsvUO2B4OS5dk 1VJ4bJAv0p5ivh51OJuKEfcA28rqGwx7+nwqq8/knchkuTRCa0OGQn8IJGzsgyWk67Ru3PrnIdiC BHzPQJWdKC0h2vgMnGCGOLSd/LtroOWKS76TVqMFrYPf6MsuUoEVA7HzBHPo7ifmVgrv0jX2U5cA u2BImJYBNe3z5h9KMitmR1CxE1fDit7VE6Db4J+jD+d6doN9Ei8gAKc2p6LGMSlen8+UZByfQ7fK 2CWWj6U3IUtlFSL/Z/PIccoC/+rx93a+xMPrOlo9eBrSNXMn9Eequ3RjuGByDpPi7D1mzGk30IKi pif2o3Fb7rishmhsvypLfubKe+lp8dF2R6XqS55TUk5+xfwb/QN8a4y6y52WPtc58GuWyjui5vz7 MsZ4lHfKNL1vJ+/Uv6YL6cKIk2WMCehSolIu6J2Xpc9IQsw6wSclHh3PK86y0ynAFi7VkAzfd7Gm jcMkrXkW/CkyDhPxBWKVurd33+Rn9wahhx+v7amQU/5I6kRbPUTMjHn3y7EgHNbsY2EBIB0/5ufF 7NSEx4c27VPeCtlmQU10A7MCyf8yP9qYCNXE5msWQrN9FT1Vt3vvNDPR8/qdmcJZ1Q+Y0yWHSKuj tDD8ygsLTi/ViXlTX9LCFQR5zw54PrXo24fuEFEZkQcYrPsMJtPOPo+yOcCKOYAokq2ogZT9fBAR 4JTQI1W8rN6HBFsQ7LyI1G27XBj/e1FvhcrlQj7H/3/SwqY3pf50xvwl3Nsj+9PfstBIrBcKnGYX XwrJvkvanP9gOyNGOo//+W2LUggG/oNRD/0LqaK2PY0mc9YTH0ApuXKrNgszw8g5TGoz+BGBG41X XywBBnEYcz/JlOeajt9uAQ1pkXUlMIQgb36eIZD/QA2HHhA7J/Mlh+iDMx1YToAORtzNVm7zVKmJ x/gCp+YIu8U+14Sz+UWbD5Gip6e1ZcoGZMpFsUHrkvFuuETehpu0RdVyFGdE176/poGAn2sS/uw9 YqKoYQqP2Qco0WDPAOWIpdLi44/QLMYkyfWsf+JxfWEY6Fv9txgGWvGf5STtBf9dplN1ovAoiEr8 8ja1FznDB21uAODSHMfTxX393Xrez+RVswMvqbuYIZSYrtigPwNU5H1+rWMloWCP4/ronluliIxX Yyov0fyUVTTDtY4k8eSZD0KD7GteiUsU5+CCDioh2Z0jh55sMJoVA1R9CNea7UWCsWsd9RQu/iH3 vkndQYL8kDGQ9YwXOruiQ55ojOKzaTSNpd9kRlBxtMXax7vqyujxoOodEz2L2XdR65zszQ7hpdHt bh7ooZjaV/oaT8xu1vaUuyPXl/dphLixE6rLbsczKSoFldiYOp1vw45opjju+m8KgTmXn58l9NNR BQyp4a20WBzeaKEUxK/vk0mLSuCrkCrSBYi2WZYNVfVfKDAH1tRkOB8jsw6Rah3Yyp6iNt/09RMi h9R4VkcbYVyAH8C8ycUZxlHZIdUZfX8UZsG7CuD0dMKBzV9L7LLyLC8H73Q+tKIryPEqPdTr9I4t 6udasnFtR1LWmQJ2xX1HmhOGB5r+apGlVQpX50JUbZ5s37o88/5eMPzef+Lp7dCmFMIEF4K4gKPM ie+rEopTzT9Mux0qzVhUFc210pUXe3NJB4wibotk8+MnGUR+xPbd0q2uVcMlKtygrhwOCCSm8zrY vz6KBSbogKeP6MeRnRY7zPgKCutMIeXee2oFUWiRYM1pYANWxW/JE4BtQ6Z0xTEUHEWpPNhvLpjS vv3vQOMnBSA4ty0njXbQote52UntJP/nX7rnH7koNo4UrSQYrJnuf329JI6Q39mn/k2p0AeqeRAz DeZXO2JIv+4cA31k961L+RX/0wMGGf+5upw34FTnoP+8pb6P+rm8kyDcdaM2zBbsx48A1/ssklav JRrdBmkpMHVHHeIuYKldseSXTMRztZJrpoIbJj90RXcf+1xhRyA1DmgQLLhJZBFIeZrvHnXzs60z Bm4w0OjssdUvvMK9sqlNDSLnPI5HeycicAhj6R9XVOI3KX4cJi68+e9OW88TYB83zNlwP/pjuxKQ EFGATVEkfhnSkE++AW67ngBhDQQQUEkMW86bupno34AeDmu929YHXjOGDLmaiQQIKvvPOI6EsERk 3qGca9t/c6XFzeqHHPqrhWnbpIMUWJeHSMn0YSJ3YANmGSOAkwR7bL5PaXTXFIyvo/rLaBCGrg/T RoUFeX2bUAl0NujEEAvlNcdUNGc4XE8eT3NKToXwAwTCSWppgVz+wcC3due7UrrbjEQArLnXrrin hOkATEx2RUMk0uZ2r/jvWZXAqXq3dwSedXHFLbvZwPPec5/Yh2oYUT24mUfIlQht28SI3SGxXg27 a5epA8ml/kq4cnOmLUZ7ID+ZWQbialTL9/tb5BVUVgfgGocipl/IN9O/aLLCJN1VrwEWSSmYlNcm KU3tGDE1gbdON/iG2nvwVCFuNEfonq8anKzh1FGhKpB1bXgdYiRoJnbRuJHuOdaCCQVbuXqFK107 RSriR4A+nDjt/3q8VY5y+hj44F+4L9goXsofQdh9molajrZay+RTtf31KeUzSzkpyv2BRDsKeSqi sj5++eKLz7AuS/sh/H0YqdBzxjyTfRcXmiP8KdrZ/kmOh3T6WBIXnH2istujWmQhfsMWsG66m70+ vIFXGvPyK8C8QnHGcISvzCLrKPqeQg2TuQkIpP81Zy69vGGnVB2LY1obMJd9YD7RA8dg4pKdoYkk 8G/037DlBCLJSBb7JuYYI4qptrt2TwZjsWkeaN8ko7882T8l5xYIvcDpdxq4l2MFnyhkzDJQvuRV nllInqkEKkIolMoBWb6PeRjhqcl8s7ksbQlZ5zVXFT8VIUImNw5K1Ub2BFkTiBEjWEImWQeeF+et 2+lXOXTmOL9H6MA3S5b1bSwdy33aQ0wemy5SdBEoVX1xuODoNPuLai1yR+dOMWD8Yb4HZySs7a1N VuznW036yELjM5IJ88uBDAg9scwSM4dWJt7u5J2Ez5saozPNiZXKEmVIIQ9CXy2XKiUxBsYdqBYX 71bYa/F1hCnbQf1Gdstk7MYMA8P7t/nK/opzswPpgBv452DJdj7Vn/Ts0wTpgplfZZcgcglaVra+ fAEV1/A2mS2ShpFUTqOdIu8ObU23inHacltrL8FVSKjC2AICFZRwxAhROgHtEdnCT2pMRH1OwUPA M1SL5/xWPNzKADHhcpfCKTrtJTSmt1C3I467IsWHiBFzTgIgyIl8n0QVO77ppQn1dYECyFbeXmCn j2zkhT6QlqrBhPPUX78Mp6yPigpTb9LjRPEbFuAt5YYT9U80EB2BEP2iC81uvxIz2grGaDz+7f98 QlHE4/RsYGtr42SKXBgOpefv6iCAL6wiOAHTJyo9DK4Geblgcew9vRa8M0UBW34qhHGK+LNZePMm iK/RhYjZShso8n68bPcaa+b2YsYLAx5NId5Ybc+wg4zpTr9lMJYo1BhNeGh4OPzgrXLrVxxz5pRQ q+9h36Uzgtsoqyt5rYa47IBKvXH+4+8kxHeaw4OAatBI4g+LlxFA/KHmhRonLPYcQublml/nTxiB ea8bk05OpHmqrZhqC+TfPogeksVDkwPzxi4iLYpOCax1rmTS9XNrI1VpeoCQlZslZmmtyl5zo8MX zaYreCeOTLlovqPXiqE4t1OsOCQy1DXVvyw3jPQpr858g6QOnRx8Lq1FrLn8AiqFuMJoNH7TPAdo Rw0fYbAdwgqbcDqMxkacImXjurpBb7KBOjG0YVErZQuOi58e+8nCj6JF30LsIpIXDjcGGDCfFVaB 47wx+UD3l/9NRShq7/leBjUtJedLu8VobQMD5lwJM2gnLtMQSEragx7bYxP3GKjkxA/QZu1IK89T tCeZaUMexH23R8bo/utCycqnGh2f76wYLCitjiSGwEGJqwskoHy7FwY/eMftwcYccBJKIfyTBzwx Ga+SfEVwqCqbKZw+dcHa2LcIPdekX/1WA2AYylrN9JHD9tyoiVhAsV+dQe6fHZ/6C9x9PdU7Jicw 5RwdFPxG9m3nD1A3+oqL8zRNA1wh/P6LM/cjjfa/QpcoBbV9p5QW9dPuvn+W7InSfyp8cVyIkP3H ajrPxdnt0168DsmA2huWakV8X/Gwg5K6gzg7fmqDgDgGufeOChrkGjcReV5xjAR0XBoHLbSh4IRQ 4Est8NqtjD57i59k/dqdUIHD3aaP5Tli4OrEm5znqK0gs93mpbz0cmDUdYZiSiR6C4KkjaE8dkdu bJF7undbzHK+tj8xXM89BXmdgw0Z10HTo/UGRMNpk/+bUWdXfPxclwK5YT+rO68dc650IoiAkgzA nExcGYaPUhokHJdDeJpNp4hnUXIKoP5oKK1ecCerCpiUIxcgciB53X+SRt4MYKAsIJ+tKY91UhrJ lthGT/2TN02ZFBBysRgskGwIMpP/KPKJmhwfwVOfiMLJM8sdGaxSQ4DRc27QwvHZxNSWDckHllFM UeFu2vGuKA== `protect end_protected
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_1/impl/ip/tmp.srcs/sources_1/ip/convolve_kernel_ap_fadd_7_full_dsp_32/hdl/xbip_pipe_v3_0_vh_rfs.vhd
7
30625
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FrkYJsegAq1MoDH5HGIwdN+4k1L0VIU7oQHFyyYmf8Tn2Z+eNQUuLr5Efzi8f/+++xw+VO6xJE+G Z62GRKBttg== `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kvZuwC2+OAvLyLexIzVyHoHsrQU3xqRVX7xqcIGZgth+YEVXPmHZ97wXOHY0w76GSHZRPmTESBef UmyT94pdlPwjBVbLqGGn9JkpBvy9BP28gYkZVuck5qcGorwpj5wySMlHtTyzJQ7pVArwQdp6pW6i jfzcg4Z9CnZGExh86QI= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Sa+w4uWwUJfTGJEbtkSOuUyW7S6augntqI9akj4HUHJiNhp3J6YAR5kt9JXOvRBVdhlB2pg68Odd z0Ib1I5kPtWtArUigyKylBsH8NMaBfxJ7T2PyDWiKTzSKifF+1X3sqG/7Rxw8bIrWwfs1ka/NB4Q zuthtl6Sai9yRwvwp0Odus3o1bNSdZf94YZQb1k0IStp24g+YTCxDImuzkh3qWke79Sqp7MpUDt6 ugTOvJ+OFIiGr4/ECM69cYJgjZJ4uFyi1PhTsZWBlc9XUploQvsTgiCTmLBYuTCr0WH0V3AQBMn+ m1wB4JybYOAJCUsTl5/H+h/vcegX9LVxaVk07g== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DwzKkQIierHSkADleJ9pkST4lG2liNlP9+6hJEc4/R6JVXTMi0Fs7zpRsgkSuQcBQ0FySsIGXyKU 1P7Y1f8KdfU1Et/8uv8DELhP2VwBBDAaZNc7/7d7wIau5hgt1dk6fREHVHyJyH2y2zzfbFQmbxvw Sa2EaU/c0ch9Ddu9YuICaG9Q6MFRvw8D9PkS8QnmwdGraXD5uzUthkQLYup/F702NZ/wgx37KADa Jv8NTYZvOAhE+4zXCweE03DjHEbpfPhyVinm90+HC4kSngZ/pagc5TuR4XEfBFFH02SjZ9eYDMf/ BHuNGs3LHkJNdpcMElm4jBc4O7R0mV09caqymg== `protect key_keyowner = "Xilinx", key_keyname = "xilinxt_2017_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Zkr1WS1R43qeu4tutsKhGs1h3LznfOwcfFdLcj1CPhB565SYRhc1fBFY5Tlb2azgduZomVdbY6kh NHiaYEOZejSRsMyUoqCB5defv37HR9/EgXqAu9NNTVlrxQt5/nnmXd3wTYPq5bs6sIKh+0j2Mwea WTytCMkLV9lAzcrx8nJ6cm7MYTBv/WZ81PO42w1mxaLRj7aR0wA4bs3el4VdJxOwScSoC1TjVEwF fYKQ/dRu0C3NpnkKR+V9BkfQzF2V6GsvFjCICN6aos07JDm3D7EP701+uWmBO4sDOIyWSnwf8NpV QLhyuxNmDT4jWsekGD3rbjAgCNfLwhZFc2aG7A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VELOCE-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oem0KGpz5A5aiUbxaK/moRc1f+O/esT2a+C+lnGnDtSWhtJPShBpMzU2xhH/PT90Ltb0UowrPhzq ajTrLFgk1ASQYxTvmDoA7ejBQHy0/OvRWtTwBRETFdiGmOXc4MAOB5E7L/mEt7Os8HqgzbmpPg4E 1kh7MD6cQJYTES53jdE= `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-2", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block A4qvuBZldPqjHiThVdT5/S21WHqgWzM5PyyiFIL2xr1u1MxSTq2xdufbGPlPXoTRxb/q5TztSBZP W3AmHTQa9ZmmlFThQFi+sGg5o4RejZpHIF+IkbrZYCtB170eiHN8z500sPpe7DFx1xosdHVal3Lq Efk7hiajYW/Lb1fJmY8fxnJzj1IyaeESn/fRy+tNPCl/S60J+PQHZLrn1LURgzJLE69iPStuKK6g H6GEj/RV+8ouaFq/LK/PQEzKLWqblZ6hXsXu4KPTT+2tj2eFDPSFeIt4Ad3oTxmrnCcbH9GikyKQ Ey8LBjcN7FLHDBLa+3YZxsbXewpQxcAWAjQNQw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20128) `protect data_block wKylkT5LICCblcyq2O5LkYsIjFtgZotIUlW2nE2KFFntiPb1JD3ulir2L3Db5i5zxi2a1+6C8eRu yb6EadbGZAi7Kjee4fDpwIKC2q1KZsf5mVU3dPsvgLFJRMSwk6K4ElwoPNQ4aquPH/zcs0Xlp7Pe ehTy0seyItgn1kQgCvg8qgpbHTQQ2t05qmXB+YeHM+F1BV5FMROiG1Sw26MNF/IdbPVOxPTHtLmY AD4iY6mZbVO+QENrCRXNWMt15XkxeqFIYsj27R8J6X9seTbVKZ15aZfvKK8kCcyKaMJrfeXzQG5Y tD7s+sctn7Q204l6cgLOwC94PbO2Lvk4jrMA8s8HzNfYMgnLUvMXMWd+qle1YaSITxoRBpz4R35v khxhQySfcZZcSPBnCclxoIUuOehjxYFrJ3JA330RWAY4qDHmPguXIO2yh1sX7B5YtBB4IgPQEkNn f4HbhdvH9PwC9QRXkNPQd0/DeBmRn++7doj1RcE2fyBi7Dx9qgFbECQzS8053+B3TZZHi78MVQ35 KHcvqkM5v5eHGQ/QGXwshAKt0faYVWpRNOCatKMz05lKqSrLYAIh/v6MqpO+pnEsCq5OddF9RkZb JA9WqGa7YmKYGlRr7oMMKvvg08FTK0OgkJgsRVJvF/ufiLfQjaGcQA0PM2EyIcYWmGucJ8L7/hMk jBxN8EUnCF64MGLj9DnPFWKoqkMlN1unhZcyPE20mwuTcWEitd15rVmSuHsc+DQK8rLJE3T1w8OB vj6A/uT5wyYkUC9hl2AeMofdaxznTvgya1+9p/csc+iod0V0KSRJRXME1oq3lN5ZCXMksf0SUZrn ctU6i+UaUEoVrK1P9K6h6oOe7F2LRR7iUKhc8uAW7Zt+g+WfFBjCyLMozPgz9gxRo8GwcF8LyUYH krV964IX7ZnjpqhWL6OtZkE0fRFo2dHO6xZQaiZJDLVgzVuXvc6iDWV+qw6bFdpyVJSm0ly3cJw8 XiZ7urg0WqXMC3kGSuoXU0zlp6EXfKrm1zA3Ua2016YNynm+zUlwnwMS3LXz9c9z6M2bKZh7zCZM Z2tv6Tz8mWJcfuK2TE+pX36sD651Wi62giYF8rbzrXNUaeUQFdUwxfXdzSYSVZZqlxt8Y1IN/DZJ UNwPtLVeFW4DTyKxkJ/C2T+Z60Cb1NPC6/s+uyd9n0CUcKBA2rpckHi5dK4H5bfObw5aWguU5wYb JBBH6Rns+p9P4tJ1bXd/6V7VHICp0YDz6sj6jNjdOnWrkoYh2mKIanAtR3HmQgzagW9SFLbz5mqD 0n70mgFxOqqOBUhvt5WrJz90+6nU4tzRXEoZRpDs+/8zWZxgqePk0GCA8tiDT1XH5VjEK8UmFULw pSQmjVa8xoA8JoKz8xOE+JZ1A1Wwi7XlGTYTdnuqUYoBqTLdvX8dxzDdEVMMspWJhiPQrtGwqSe2 9+OiLrJnKB9TKO45NUhDfW75INEYB5DFCcnN1cd63T1/sxTY8FrEbeAdvgxNzfiAdpij8sMSJo9f ++kofbkka4ig2bl8LbILv44+Ei7DYRHxZbKK4QPr1x3tdbF74iVGFisVEnCcc/NUb75YBUVARCYw PO2NZOnO+95DwPQPZADIrDCG9qBMeuWaZWIemXAUsyyhjghrCxY6RRejXBM657rFAWYImPuCwwWQ nEqIe0NrIbO4f24BHbAZMk/Yr0MamAULSYyZ3+iyp14x4BLzdceadrfZYMNoD4GPCPVwKx+xEARt g3+hjZzuMtWtp6cy84iIQ0DCG5X8n2ON7zYDh7fWPJGB0onHYrhHAhCvmX1CvY5qkRN8SZG/ipjt +3Rq3+l0Cx4jTAuGcKuNvyFzrgtIY48r1MIJUrkObJyvgfakzggKP1VxYgsdx/V3X+wHCgVPjXzW m2CZMA0DUNyYLod5IduTparxZjYY2N+CXqEMhG49//cIO4MjhwulwNh06A0IHNaE8tRaF9RW3iLT QmdiEpekukfetVD1BRlYzZitPjYjpvcX2qbSjS+tPnNnArAxGB4Htn0RSwIIHAnjiXkZdpkiKK6w 8Cy2M9iX4AbaOSMBjo7UYcBNkRT85e8bcrIlXVehy+FKk/qrF1cnYHOdhj9+v3kERbSKrfsTW1Sk Js3es/yCTvrWM9eJgytGqfln72yuTW2E6wuK5NOBvtTQOgwObLDWYwScCwotITHA5wDeHZV0V42N i7DUUGgmYBynZo/ehDGx4nhkzRtP4TaoFGU9qwCiMhxxFXE2nR4b9T/19asCni5FYS7fNf83YtMw dOuQCfDlaumU0bAiCbuKPl/EyCa0vzsLzAq/2VbGRmVTmUKlQoz+dHYORn4aJpPboKaNDOLkiKdk WaCcCgFmRfTkz95o9Iv2TlD94G2X7wCnfwg7mZgl9o6YG51JT00GNnTKhYwiD42bUsG1ZoWtgc3L f4bAqqon57xpuG1K8yBdrcBJ9ey8BDKR4LrN68te2BBjhfs/y7n79AR8UGJUwRnzlfiqk+41cdLA 3bt8NisSwDrZ8xpDMG2Aqa6hucixDFGgRUI5TR8IW0tl7tUeEUqSDgcNvDW0Y7IHCUi4i3BhJb7U vXR47KnpstuG/c9QlX9DkDgYAvhaVdPTWhLMlAO2SGn3zHRN6GCvIGPHaDqpjT7JfDe4Pou1WT/z 6WAYJmKKoqx0lc8/NgQIUyEUHgtVIEGLd8DpR1sWSSCkIawJP/K75Gp3ZMpANT1TaVl433/+X7dc 8suIGyboj+Yx1MCotLIjGBJBPpkxYiE1puDYW+sra5ZwCIPtNuHkevm2YlQryEjPUp4tLgaHSpwr 7xbMGBV6KukwmxaTi+uDawjUk8m4LTbQVqsKqnFhZAJSINcnqXLh4EIPhvnUnjNPE6KkVQLvOP3M Jv1070G2D5oyWjSVDInIlWuo/wMPL3Xzf8+29mW0Uh/LVG0Yg+S8Z/51ONO8ifJJ6cPlbEKYRGRM ScP3sTIzUR1/SCsLAaHuqNBitsUNPub+X8on5QMcnsF0m5qQ2/q8iRepXj+KIfW9oAE+u/2wi8AI L0OBMC6A5AK6CSP5oOUaQ+jPyYiAhNwfwjpuWPm0yrGQY2CPsRs9gs4upNmFL9WRj9qsH+lbiQHq 3WndLASr0S2zdf7zZPLPm0//uRtVmuAqC7takIr5Amkg7JL43Dr8ihDpSjZ5fLjJLuWxyYGw37Cc snclbHLjYYr7QAAZWTwif6m7wW27FwLRXxAabsdEUs/duxZ3zpMqpZkGPRgo0VlseViqfcvgvK17 Mz1OhIWKJ+27xiO7A0zH+IMhFHEb/cXFKXMrc10heueGc6Gdy+zaCdLS1UjwfOQae537/JFZgJlB ttiMVe82Vi2DRaLFAGEOosU7vbiTvONiYmqs0Si9xf0NjYvxgwWCDuUyVL0mI/MGmbcURnA4yG81 0IMMtJbeVLQRY0bHm5zhip/354s3eF2IL9EGUw+aPbxWwLYHfEgO4r2rIjKYBzwFMheRZF3/x+sY 2HYAyG6YtHmCC7lgiqeUO5tN/owV8PRgU/Xg7uF07mxITw7zFNfjDx3h5jldrObrIREt95M5TH5J vPKUzLdlap3rTVMqJCKiaRb5a1Si110WTYaCjt8PVR8Pn3EZcMAgOzd5wudEHGRjKFqvDPgV+ZH/ 7/osBYQvHu3MMR67rszfubGwBk3RD2PurHfJ1kLmQySVRsbAWRp/f9+IhjIQYOsGnkDbLXU5SBZN H8tszoNzTE3RUsupW36+dAh5il9bW1q2kMLEfaiKlyDFJJ0qF6PsgA+zUzC4tTQwzrvseARcRwXn 615DQDMwbn2CECMR1nrPkjYXGIg1KLUb0OCTpgywKHFeq77A5HulLEXREn6lUsEwZIef2DwpgfEZ eZCDiiGN7VOpj+PWALCpiseGVUYn/TkTjIxqVs9ou52TetbZbcogiDHdIv+Qo2DY6o+YBRfo4vp8 izkLq6ToVyBymjCov8KYARo1ys2KrT8BAJsJFskNCsatb0deEGUY9xQsC+xf8nsInbbw0AUo08vY nQWBwNLXGBEvNt4ymjSczvsOmRsDPoRVNozF4R6Hkgt0EVh1gCwK7tOZReuc9jFR0A9fBxEVfwIr ifz8c1ffuSLsZz5jyQgsj1oQ3ByQbuOf6u2CYMnAS7DG6DvezbWGUS8ety/JU/LDh5BXktCVJDJm /SkjT7mW+ymhOno6ugVND7mlK76lubBfsMqCeBxkTyjfrwF4sMCSVHaHU4wTe9SG8Q5uPVIMokc/ 9oglP2JKClgDo5w5WLLYDGu7kAHst+gUpu7x8TdVao0ipdrjMp8AP88lBcaOkTVgjLVgu3K/aB3+ yxJbxImPAnzYjfDNglssMyonjJUalAhRkKccfbFHDBra2kYLUR+O6+0Iiq/upVt0PqXjy32dqM8a PQAmhyS4XwtpbC8MEHJUW58ZBZ6fejSgkTlBOO6JEhyJUEJnw0j0gXYU5dgmKaIzwv1v05CDFIdU AE/nIvf8+jS4A/BUtljaKkuS0L191divIK5ynALUV1cC0eTc+k7FhFcLlLhHYxREA0HsYZaxlE4e WdcjhVyBF7FiTtUZ9q++8c+yS9C+/jHqckfvi0GJMssWfdGLqsUucDj0zk2oXBnQZTbYb534nJ24 gCTmi3MoqMJ9vPCxI1VUXC4rMVmeSUTeiltBuA2TUyLNnA7Paz/Ug/A+8qV4CjzM88V7OJjKQJ+2 5SOnv2Q6IZmdtAifqvV5TWFOw+onoNOozQ+b1bJkIuljJymlUl9LXtZSRi7tB8phKnN6y05aN3M6 vg0nTfdRELmo79ai6wes6duD/fLjXP1TdoNAZnYQlr1shKr2Tdky9gbUOCzVNuhUTrt9n8baBKif KDnrvy8S3j5eEwV7g9frLauRPie7PjtczfIXmoPuUiDDdl5aFaW8a7Kt4D4dnc/tPvBxSVVj3K0O OubwQtWDFpkgS3GCFGanYqSCYFRWkz3s0st64vcO8WMqqUF5BAelDYgFWInMh2kzcvn+0+auuyJr biY9hDr5Hw/xLhtqdUUpDEIxMXWXc8led/EQ9FV20zNVo351RvVx8aUPDXCTow7HX2uHkR11ukvS 3+wXG9c1mQ5XMgEw4LIa6cCYywX/U6fEV6GpdkOxR+rc4LEjzdeBm5oPmQTCOhwIg+BtuHdV5t5L tQZrpJE21EdGaikMhSrnjubLQ4463YwGMYSkk/beXCiQjB+TEf0HtvfA5HbilCxFAewzAbOSLsUH 7P0ntnRpxj0uEIaE4KoVJPFEe/C+/KeSJgCW8ZY07csKGoakgsWR+9vE4P1LqQkmx30HmaUL05nk p/Tt1SLyiXa4bBL0QbhyChkCAOM6D8r1LM+t7HUVUBJSxO57j6DPoddesk72LpEG8t0ocbP6XC18 MVWQCooGI6BwbHST0xsQze9np1Bpluf190uhbyeJ0x3SWFTcjWsHksrRXSJUjVN0T3ZwzDYTvVV+ jVizkubaVbDkOEjwLjjHLI8kXQt7LSa2w8a4gDLvW3PxcovQ8wV9a6zswe5k8BXPnZYbGg9uRvxd /RXJeoglzJ8toNoH3MPCv9S+7Oawwj1q8+67m+NO/bTeY6Lhwap4rOT8YZBtl9ICxaPTv7XRx9XR lLLy68ERfZtWVWz1CTUPQtROVdjAHINgJ6Ko8JiBhRn+J4L4wq8NRiGNzuz7hn+nSxF8R5XOjzH6 2xDIa8wFPaRVfycr5UCssx+IXTNtzDBasgWn0BGH2crNPL/CP2YfnLAZhyktP44OxBNEkX0V0X/n 4Qz04WjVTd13w6NCfWIJtKbuX4cdzl7JDE5kCgfSZBxAgJXNwuR+//o4ClEDswts4put64eBr4Pa eTQ4rFODjzCq8IgdQ3il0D529nGmWFs/3YJNTe+vkp3DyfY9yzjtfStJO26t3eoLje/eBHMdXpyl hML6x0OuW69MKHxov2UO9OUPGwzbzLbYir3Mv5smWFiIJlS0xPdXmJmlMnQFRl5DEDUlstkESQ6v DWq+Y0TzaLUsR6Os5Iap9jlLvzFgfrz5L89jokGmvnp4HEKkjdWMSOhBAC3idi75gVWzfvM1N4iZ m7mdR5M6wh5PvDZrbiaXhvGqZREmXgZwa9Om1sIKq+ABfTwYV98HoT8PubGsV1H3osmIJ5mfC0e5 /IAb/NqGG3LYs0g+apYCT5vnbZYutTNPPMm1lM+De2CrSPqVt6jao6JP6JS0sbWpwhqWhBKo/4ht Ll0ZJolZXTUMMpWx+HUngUnICrg9/RFoFiM7Z4VyqO70niwOILaKAdk+KL/M9EOUE84jlCbcptoA 2e+FsTqX30fmivduwRiYrvj9VZv+qYAloze5gEplG12qXT/BYgDkUz6qC3taWQEY9Ys9/lGWtSYg fhK0eayC7npXtujOhgLeYJ2A0Cmg8YHIP29nIjYaTEn/NZ67vDOw34gib3tVvWW+xWsCZ73/r9/N gHFkFHX+dR55OcFo5BbscK/9xhsEZdUX1NZcj5LgUF6yNrV2DZ7XPPXY7blUzu1/mM5z3pODc1BJ ImINJo+6JSaSHW5HLnxFcCvPiCHhLJUHGERZJ9tXF5uiSFJqkKvvxQRQj/znx+cRWc60OcYVWMek 5pAgRc+IWosqrYUBVSFANickiMlHgRk+Chis25LmIiSs6IVGjEIs7U9AbWjS/bVgeBkrhkuccT7a rd3mKevYmd0zoI/lW9rMH24zhF1HqyN0Q3zPRFosToLBwxuKnm4mpq9l2MkEwt0OPKK6+PRE5Gdr 4LVYvcJhajYx1Bu+pTHPKAniAZTMGefTvIJ/oFbzPsenMxGGqIY3OwnZVh4mJHk3xHc1iAukz/o9 /Z/+OwwbOC4sR544glJiMTnTAo+veFP+fBP1jUM+WwvwuXyeBui8lWd/22KIfcPE/drzNHF2uYFU beevhH2/YsPkshhVZpS4flh8SrO9tJh7bcBhle7GCFFSgUDLg3F4ACPlD/6aoMuFH52aP0ZbJ8wW DVcQXVQorl638DmHNOUXyJmJ368JE03piu1HyqMswCHyThhWabTW8rugSKzSa4SHMfTf7WyTf6fQ Za1TOW/pbWOF8aSw6Vg0uwgrwcNqWiqERJDq/WnX6CAh+bu+BP1SAMHtU3nj+cfRQAhIwmE6eXca f6TnZ+qTqfm0+hUn1/F+8H0z7ZosZfQ1ybQcJ4lYNCltxwWd7zXVzD2tFwHmhLrDpGJTLm39qgYG kUHSyKPraVWhVrdAwfHvKOaFukf/8iLYg5/ELZTeTP+aiQASzaY9GkfkPHwMvYJjolrDnHnAzYtm 5aUtqezoJYCogIzrubibhlj2uwQ3hN1cGcH1IqMbxULweDyO3XBYfipsN4HfmUE34Oq0XeTl+P9w q0qvaXjT3lRCl+cJ6SiD18og45vhKuzBzNQ54YITO8M5DCRen7yi+ungTX6dU8IdIN2Q73U4iuEi aElctv3pudh8fuWrYzFQyhSbiyJKBY5oMuGItRHfHQ6qZEWsXkDVGWTD9nC6exj62bEMIxvJIS1Q g4ngv1/KzBQhQzziIAKagIQ4Q/1q/yUnAaGkFM0sOI7r497djQ0lWPIc0lw9IFmEHRtlR6BhCLmz 3LzJe7/zqTLXNMfnKSpjWYjp3q+v4Rs45zV+FdAAXyGWvvhpCnGgk4OtvjgFq3pUAr8T93mRhmaQ iG/Vlduw7BAuVUMOCQjUeMZrXhLjjn016Eni7zv0XsOUdNfPHC7FJlYWb7kvRQ5yqawMmc5y6zxu BovAIduvXytXjWzKuYkpbOA9R959eFPb7PR7L4lsdOo2TNiqEwevuERglU8MYohwXIaxUfdX7V1q LlXeDMo7Igdn6haxAbyJ1llL5eosZwjVgAhV0yHRWEcpsK/KlQZYBTReiAnHYhZAPCFgZRoJXRUL NwQKAGx01r270q2xfiz+Srch6bvojikUGDf9J1TH8TvjpL7PV9WeMq4/9mqVVhk+QF2LPKzVVb5f 7JwHnsR2ibTTBPwvRX6CdFX8njjbTHduH2TCklWMyXO8sLlWIm9b9K+fJym0kynYstONGAydX+go D+wFBznF3s/MKgVPBZ2BndEN/IfNl7WQQmR5g5XWjszxnQljY8qG0xsrlzcHiBrTT0GCRZOY0s4u 6wIKdobsOtDP9hpl1kOHypaD3+7MJEDZq4Qdrz2eWqHacYRVXl2hLpCXaEoU90j2kzMsKEYkC1K6 nBFuFKkqC7knk5GTu2R8nJo0hflOqxPxqWvPnwtixm+RVvQhslMqOddoaop9YLKLpbuelMx3UWTA bykbK46/SJXb1Z3XSEL9yENIn7B6lP5ZcIzPh4lcBF75zJung15HtV+WhVAOe0eoxvcV1QnOYVN9 QVJSZEL4KyWPJMbVO5wNZEGno7MM0mNfkb2FWnGTCtzWnuRKlHSlHrCdUhio11I5t3BTjt4bLKJM R7prpuczaJAPbZrlcvzxe9Bof1EIodkJEVq9v+1ObfTRtKMu0fin7IprcICEgkV+0dLBTb4Zruk/ dlU00EnVOKGQr/JMwTCZ+lPyXSFj/DwhrVWtnBIswwtG0o77cGEyJwai3MfdpP+tOPImkmqXH2fL zMKJUqDlIxRNaQDs94pJKlOvbofF5Ld0tJcl2lzMXXrawl41tH4l17HL7AtSVQ9Nvcj2CgjhmyDS sAGM63ysyBDw1rpilzoYSPIsnTc2DM9mJ8JZZwJu/n+0vlFKTpHUg1SsTFUTEeGuduOHDOLXGCNa g5J7wZwQ2j8b14Et8/4AWz1xEFVnjQX4iHddI0SMWo9wjHknDfEkK55L53/UynnXDQs9dfbNzV4a yRmR0iNVFplKeNEXPG4CrWFbcAymQVxUmE7QkAjW6VygSbmNIiTci3PBKGvDmGWkU0N8X4d+bU6s qAC/D3dWJgmA9JLEaYG698rEpZnH3FD9FdGU4mjiOt0VCdY7r5hH4A0N2pnp8FY3/LNOsaMoZ9DP GebxRKyyxCGBTlim2Ulla/670l48+2q+J6ylDjyQlTkLMV1nPVY0pflPk11/ARMqgp5Z/fo6KW0r jGw5ezkXtMgc2QrytTTLcXTm7hUhIs0fR4K+Na0BVIkioiXxYO/fxwkL3xjriP6DKBxcFUH4Tfsd 0+NV/S85Jb9QwfNpsYGjybL6AbbtPkWQ4Pki8f7gUIWOtWvizgeNFeCaOYNHxFuC+8izyGXb6BVM KC8etEIinHbxzJPtDA09gP4zcOkTGJyPoW35Xm9WA2YFopHG3hUpz/R7eZUS0cHjerCYMzRUASKI souLSH2YYDFSam7ZJDhjj0KH5f23YWwhXjXF2M49/k65GwK4yUar/8bAKDV7d9RY6Kp52Ad4SYDn 6l6BY/0aO2+uzgF++qyVCK1CloJJ5D9VRz+1QxIHezaJsnMJ4/XxoF1gky1SN0kKS+9H99zHqjZl 4iEpO5YaeZisDt5O6zNa5K7bkzySFUytkp+KU5OFe+NAMB0OSIlOwqny9nJaRYvTBpeZPFv7vm6B vkWQ2otF/Sk4B5shG160gVmfIyA6Tp+qfG58xmsSDoMu6MvzNgnOiNmXul0SqMEtg3Ux+/LWZCAp FrR9NBHmXGo0yfndTMrnZflJGm6neQE2+KIR6mib8irgTwgzn6Ll7XGBJNhNd7ViR+blAOy1R19h NHDWT8wAC7k2covrKXVxQtbHjNL7WrVYFYYNmrJOhW4iYZBBssI3OHVHUCpTvYiilfeU2/5KBJUG +vPKqFiC/cSkSkK8hiJ/zjDKd6yhJo6X/MSS3FKZlsuhVh0iGdYb0LRQ6n1uLOviz7bBKSHZef/R QF4kqATKKGQuqjCTCUlfarKy3+LUHtlB/akknl3wKkrNxidXj/Y9+5yJPvV82e5DVSLFw4xdasn6 y7vcvHmiarHVrYLyVhDOLqHVsL5fEtEVC1b1l07XrJ2YkYqp7DrXgJZaA/jFUIBzNn6gd/RJleVO e4bwnUvO9rqHkuQ+Px4U0ydN5FpdKBcX76shBHjknSIy1Zgo9M8lB1V/f8k1Vuk6Xwfl6TvkMgWJ 3YcO2ye8jHH4SIc7u3Ib9Vaqn5Ag7siZgX/RbDEt4VP6NaCBHYKWeXxF5DpFHwYUazWU938ixLiZ NFmxEcG0yRCrebwXPIDN6oPkGOTspUUcZUU7JPP2dKMPKe6yw0fs+ioLWwu4Ccet9F2orPV77B+k 1UZndZE9aFUyO9ur7eMpf1GW/8oz8hGgAEyiGVjSrq7zsVrbH1fnINaZb0VYW+cQ9Gig2otQfDKY 785zQI2gazbS7YQrlwNVuFkIVGFc1+QAGP4ZGohq7y+vDbFCGclNAY32tD7dDoWxvT9wIsMUZmUE fpTjhzzGDXvO6GNuAEO2sT3LCpl6eDPiqUMPluGuR8jAk63xUdCo6SM2wL0yB60P9sYiyaCSbvS5 yU3JDbqEb0EaZVC6Wa/1L9Fm/+HKOT0xe90a/zT6Bg8cYsc5mrQf8F+/WzEtUrcocFkuBnponf78 0mGany5IQLcarNZ/DWpa2O8NZpnCTxueRDnDllEZ98No2Hyel/nHDadBabiT5Pk6EFvGvzw3rT7O dRS2D3de0WnZdxPmmcFCKqZmsiLfLML1rvYDg7+z6YoUud/76xEtf/bOktN837H0xyMafgAvfQdu DVOndbY8fg7aHNOtRApMMNxoJDNyZgu/tETBu61AjAlWpkrmpoR/7s4+HVg22G25hcIq0ujUBqdI yxjPQUWaV1Fe/z10AvGJFnd3DuRmyIO46N+pwP5aeneIrn6jXvCu//0wqugMs8CWlx02W/gpoCQF W5aTAFDz//X4fof+sO9UQzxXZXYsODZVam7O/xlrEU1AD98PQJgAqKwCvemYgBGn6u6X1yGcf1Cs aoPrkExoQ3tM3YTE1yP1ljWzn4T54Je6gG3p7yQHtsxMpLzXP5fT//Ca7ABIwZv5A6YmP44Dyewb Ug3rPP6VBHObDRmj7IohMSpdfvBSqiElEzwbIm/k/HlZFjRfbJBtNjA7xn7D0NNRAMcGpby5GamC 5orHWmT7amoyZ2flflvxstR7NDJPc/RyXmmD0Fa4ZjyrcHfvWryCT8bVkXaQdsndTz233DjrPsXX N+g+M4R2mmhXhDAkfiv1vyJVOox1qkPe77NpJ95AhAwlvmGXcXQa6X7VVuHyjMXkbQP7SWFKa47E ED8qeuMRyRPDLWcOBLkG6aQS+TnLa0Cc9/qqHb4GIhwB7z9RH17Kuvff0RcvJDAWEEMXCZYJrPtc cRNVrqdXHL0qjr02enxqwyBFFzsMb1weOQcy4uvUPVjH/87nDClSCaWkN6u8w8q6dzAHRBItzdG4 QrDo7sM8J8ZFsSJvqm2nEokraw+XRqloYQMR8+qL53/lakJbl9m16fH8SOMazCyR+Cx6/dAYa41C KYkYHiPfKwCccWpY03rweUN6IyyDaL6dXgLUCTqVNcusSEyDU7+TCguYahnse66kFCHzoQ6ef7fk MTnAxoF1M7AdqnzAc1+ezAL5d9GrjAKRoM3GRlR9mEevdswb5Xs9bRIRIqMdTrFlYqLgeZwudjQz IY3sBznBBXfhps0iTfXonM5TZ3zYkUfzekQC2N3EfVgCgv781XYhiZWEERLLYPPAGkuorE1xuksD 96zNnnOdapsCUvM9SyV8rCfr9JV76tG5Uo73yNniMCSpHrj08v+LvuBRAM2XEUmRE+oowWBNig4c r9GAFWA4sVh3JfLEus9KwvEXpouBgFWSZMn5yvEe0JjdltDaGNky5u+NkPzkHVF1n8c4O8A9Awc1 RZ9i4p4rvLCe+KkC8ZYQtROnrMKGFBeD3ZFJlvZeFZsyIDGURKCaQTZptIQX5Qv1V5W6ljB+Nr1D FpGCbXbR8BecmFh2OK3V+QN7d5dVOxwx5sQism+YCrUiBPayHIXXrtLP5HTFPMCCTeeK+KcucPmN mmNysNhAhujOex7oTLTvdQFu3rrZGQmN7FwMZjns9J1N6El49gJZygMAL3GBvc5bX8jdURmAJeH5 XKDVGAf0+bYXsLsfJ1S9+oaLcwHAcKbylNIds9SjS/uYjx0SMC196tphKrNaE5vmV73DrMBdgsXP UdaHYMjLF1+1Lx/0AgjY7Du5VF4oIz/vKqoLHnsLnFpLuK8ogC5qEVQHX5FLqlCyf91kIP9KusMc a+LFPP6La10uX/8MWByLVUauVtrvXuj1OlTWYhSED4fsKuawK8fciHDZh9gkoD6hbr2jiBsePFQq LczooJ9fRH+P18dwwhRb1SXCBkkQ5FoFGImKbtMcw8FsUb36hL/ekHa/CNIU0PakFtRpjApmz1Ba lWCzTxYHu7Y4W8ISy0rW+iXeuRoiZz90Lukk4Jl8EvBNFo3HcuLGAiE3JvNxStQk9Lh3Wz/2jTV0 FnIWWyll2UEzGvqALsBscZ4Lti66U7UMwMZL8NZz31atKQe+Jqg546qA3dBatsq0ePQ0jxwTqrC8 itawGGY1mXCp22Ce8vn4RUvgBjSgcrAbTwLswkGaX63L0sRxMy9Jne1TnOPoXECXZ7isl8OJNk5h T50eo5PHeZU3IU0Fx3aN4CNmgTcid51VTds+gKpiAF060RgLCkTeNVW59fOSHROP5gEtYoTqIsqJ MpQaaa6KDxxm5DRwhf4A3LJS7gGJ3N7xmYYekv+t+/AUW4b/TrKtzEKtE/M99mYXEuqhE4isczXR +5ni2BKt+O+QXN6uqz/S6yNrYSHOJkzqWtnoRQerzK5AiCUZIg93PbO9G34Ivpz6xJ3hZa6wHo7q DT549Y5Pr73kKY1GUlKWEeGF6vZJGHrJm5Vz834v+FJqkFzWS4k5XZc61E02kFMivLUO7VvyQ7Ry q48uRqiXQJ6IWL/YKcDHe9j1S+XmQBqkRbW027zTXOLfidICnMf9mw2NXZrIgAbQsqUMfywjGA4r H1aUsSaXxCysF3oeIc/kMoJNae+bXaEAes9iIr2JUHN4xveyV4o9enklSPcebWflBWY5FhPWO3rU CuKUikzcD81EEQ6zFBz5n5fRmvLC8arruXwiqT+2nl2hWt5vbGasezrJc1NmkZYpc9ky0fDdQzIC 0tUygwlG07mixpfqK6TGvraHYjjNayVySjVXM2bo5Bo/RqQtC2Fxqyoc/OMIaE/0veyEenJE3oQh 5eHKCKZwfj2wsX2sPYPq/G5hLT9huqygmolDzxirlLzRDj5N8N3wfpO/OPk3LnaFOh+RaHIJfnQE IaJolWBxF8Awf1MJn6QRAEFiq6MFmVZOLKRi7vIfIi2+2NfMMg5ilTd/7hqw9MYTEcB28PCTUldT mbU0hCpBG1GCSCaX5Cxe8xGCJ+vcqz1rK35d0ridcV6uJH06XmOoVZ3MBOQGPtJceN62/vXYlFJZ QxuTaB2C+/7sDxEqtVGmLBtgihpXBEEg79uJGuAt9m/KqwelmGWZ25GUru4BfkdogjqL54ZgRzFC J7FjP0pVUSamdR3P2+64WHW58mrc9qU7DgaftF8m6ulcWjRxTXYsPygpqx4wy/xRHAr5vPtm8Qrp IhtUN+mdU392HRPa8eWLANMfZPimw4rYF2wjQGL6xmQTB+fbzmM0pnVRfR7IqIwTrXnOXQ9K6JX2 tKH09/yw+sZZk/X3aDcVmiwx7Rh3Vu8SICRLd3KdhF2ya3OV8PNb93/iVD8bUwjDI3PTg3BwnOQy 0RN+UMEW112OrbEH7orDdjczVnQvZ30EBaa232Lh+Ppc2ThZKVIBWqK7nedO2EJg7YP3dEX3BjEB ZRc4AAPRTMu+bUFNn/KR/6D5EsBc5SVfA7lLd7u+F+im163pFfOIyedLOM/qTVYthFLACCyZe8fk QB9PAMhdSimeGlp/JQGA0W1qjF9y068bYBEjPQBeRBak3pV3fgx5qMpLbeONc6a+vsY81VCtOPve pWyQ1SBZxV1U2UdFhlDDiqPpGQd67ib4mqFxmTMMU/u+gTAQPsuOGHPF5KIbOI41lrjsRF/gkQ+a 6Cg/A4wyJNLi9CfNLW4Z+hEM9msh37TRGhAZM8rKk0ZRhvpt8ALio8BRETtworFld6I4bzDzb8OW XafkNozF8nYuyPCvFjTj6B0dbY5pOHunNd+vdQUT8bb8uy96qHFgtiwJ+VlNvqnNfnRsvdj3V5HG DmfFbNqXNhWaA2N+5JEP7VmPedc6Ne9NtRSeTp3wozv/RxKm/y0oKdAMELWS9ZimB5q4aL+lHujx r3ALojn7acpvYTzziCFJCPNlw/VDysRhzmClYr11f40uJHxT1vhp/P/gROOf9kvBQ9XC2zGLUXiq zdloU4ZRfDwQkqOfIA17AhrZXmJea+S9Ejozv3XRCnIHJarjK7kYVYIFU6kMys8avpSHKRsAE8zr 90d8SZieief3WnWq+G130QzgFyExTG0U3tQuTqcPZnZDP4kmINyT/oJqFzNC2VMWqJzu2lpepmnV 72b8dSGyxUePizzh/OphwtYNXiFCa82L2eiwrZk170cO/ijgTBE2O2voJphWR3mP6njcZVTWO+hi zKLt8irb+/RAysWW9lFjxucjNANOY4vKyQlmwJl66DxMYkAutiTsdWGni369B2y0lTypq9c4CF4P cwmYT8c+6HWh1gDVDZTjxbX/++hEXapp6ZV7ujyHCQXwfS3bTUnHZRqsj6A4QWwgRCvWJjW2Ucy7 eq6kIVcWsNzU/5mZUdalywNGF8Hcjns8yb5lOvEFCBGYgXVcpdvGxsai0NI6IKyW88L0INLzVSEi 0tzVB6s5+cZxR9CiN1qUkn0NNWBGLTqJ6qmPg5pByyLi4yWEOHjewG1ZexkNVvZ7UHVS+dK7HFKf 2ObHzWb5QlpgnOyjfftiz6ZoP7Ze4Vrsxdlrybn7qbdJkaF9h4bUHb9yrpszuBfuiq8sp5XoehTn EXXcPgLEjgipavWXC/K+9YuJ4hiHvxYeYVTvXhNLwerrutWmfWB0qA1weJw7jnKdmgsqSoCGzxL8 PPuRYHXTvmOgeisdHJfW6l5Liy6/kP6LLHDbkmFVktAcL2hKvA1aS0q87Zq4rm6H5k+oP7/jtY00 m6mWSt0X9LMfrUWO6An7Z/6oMRwKVwOlIi6ZFaCrRHRW61dcGe+c0XgY8Nak/4D4uZzf9OhP4rtX x8OgdaRIrHausfW0xtN1/OCu8EKo35csPxNMRhNwSlwHRP1c6lwyaKxhRqLndsjk30Yx/9856EmX CGoLohaUT3UmestaXOmzP3eSinfpUIscAO6rpPr/TL/M1SFkW84fnj6+cnDnQjjVHT+HTWM6BGlu +yTP/JwxTYKwNar8E1CgoXY54Gofus8lo3ukSqcCFr4Wf7ucWPoncNjPumBqUrFxbVOYyrAK7I4Y uE1Nr6Hjrg1KBM+dWEBZtGxyFQewVpWEYBtHkXgE087NQYkZrC45b3oUYwNmpq/h+5Llf9jm0w5P Emcsk9HDOQJgdVyxl30E+wKTfaqrDc/YnM8njcPqalHpo2R9nRUjGjDMV5t3meF+HI8LmYoJaVZJ 9bCM8KnM7gWZsJTBLfuPPol0R7uyw3V7KHSgogWoSXjIhs8yeTv+sTqy3dxnOcvGYzC2iTEIo+Hv LTXSMGEGtuk0a7xSCj+KR+LGrd2NIChHmxBnzkZj0ePC1gY/nMliQPnIy7VP5QXBkiwQNJugEe2K LRZCbtOy5ak16JCnHMZ3h3fWUAcNVIgu+Z8mgkF2POGxv3c50XR9M4RUG9+Y5CUXSeZoYb+CaNY4 rLzxxlJwNzCkl3/wXSCxhBysIpqe6ryZZ+Qy4DAktKJd1xgYpsbecIHWW/PppsMaBHuLHoSoLknS U5esnvyg8WhaAGdUSIJr8ZJrlmFzhF2UDFGYIh7lZv64wSEG2Z7CDi5/jJAwbE84ThYwqJV6pssy 1Xw+nubmlLVXK5HDzm6cReVZ72xm+KGxUsXrPwvomV+HWQPB3yRB3bg4K02RyPz9Q+ykq28PF/Cr MxstwrkPn746k9jAr03gizI6S7pIRkYWJ9vOsUj60tiBFSV6DJkmfWxN9eNEE21lkmQUJOCg6RG1 ndZsRNLIPpmcY4rkr6Mp4imBo2qq2dcuDKx61tK3DLoDXqY4v5RfGw/r48I93leWFPoCYzHz7nhX wpyTjKlsIT0O0P8Dd0SR0/TV/CfmF0+3bnsU6X8zzlqb229DQfr2dfEYG8HNeOe/4ieTOHDplRrg oJpJRg8SHO2Ma3s6OoSpyDWBCpC9Xis5oPXlbsOx7qp1pU38NtJX62OcCfpEXiR9RmU/I53dQSbd 1htcBQeb/EMquXCHUiZ7AfPYb6LyUjVZh6L4vH/VKaDlQZKsmEnPQKCtjl9NPWhpIMZwU7uHd5YO 7x7GsqBgfLSBhCOpfsEksrPbkc0NBBahT87tflWsQgUY0YDiJHEHqBwRJcQYm2Bwpih413ZUpYAY TwIvKGXkhwlU55CBQ7nQ8Zl0sYQP0Da3xMBHtB9sFjWypvyZr9PgoaguybRNPR4k4aRZIqZp/Ema 4bJC6N+9U6lW1lQeDojsbxAtPbyleUU+q4TqQJ79xMHYeBLr+9i13MzUDyPniaLNNDdKv+omzDt6 xT39U46JroKAK27CPT+fuK1YvsHU0bk6q05AyGlJUShImi/Ek11WpERU9r6S+Jrs+atAMv2aoaJC xORYHNeHLjzL/7zh/rPagqK6wi4N99jv+ZRrRbkT57qInjicIPU0k6r+jVfbdBpnYdzOT8cP3tuW FNrTf16TmVg5sLC9GbBjjSuw29fd0n3zU0aejO4tEF0QWDhmbn+rAHDivtYhwNc8DgYxuRh8SPPI 0mH/qu15dv6IIy5UkSlwOXVXPJO9OIvRs47RyGaWGgn45HROVPST5/9jvNSsLPYjd39yOROKGUNJ l5ezBb1E+afdrCOc5GFdMr+06QkljWY9+IYOtM6+Inpqb0MN51LnI6+euF2WHu5v1NLJgifUTsTX u9sRiHeDBevYcbx7pUfDg2lgcl9oUkT3Y11Q+82UI02MUFxlM2Je006MIN3y+cnbyAT2O7Da56sQ zQaOHWSxJwtCdsFys29I2rImF17i7MawbqEJpVq6Xl29xpgpI1tS9Cuut+DxiF3xgQL+CPnVf2s3 NLrF62Kztyj0O9xl2j1Wo3BeYtVdm0FiKjZ1/uDCPd8Z24wx57Ku2QX+LT/7kB+p/Qa0xsHPFlZr wd3dFUe5yVaopffH6d2hwbLpeZQgG0sB1f44B0noiXnKkAgRzHqDZcyE4qK/CMPYQcJLdtYb92Di AO3tdYNwl6Y7Cv3mQWbmwiOn2FhM/qzzYZeqbJ/IMY+2UnIgGSU/NgQbvTOr/4OYWSfpV1f6XKeN OvAGIrBBcz+Jc4hjhgt6u0cHJVDvaUHILfgTQXbPKNX1gwQGJ8McGmB0bNXXdAh8FFJaP0XrZWhu N1EPgVWgHNmwMmxHbNPA25JJ98N5suvYQKcFAfoYSuj7SND2r9HlsHCm00+5oJTdTB2q4rTtZEDS m2675MV/mBxpBZkb0Hsrcvjd+EYtyK0pawTM+MYU4HInLoz/pLhCMvWby3c9i4Gnd4mXidDOIJPU vfZdXyTexPjxIrzOoAkAm+0QWbt8AogDUeFlCq1FOoJH1ACCbP1bmeY9yPib8NabtTw+T2p6370I apOVyO2abcMP2RK18YhNfXul0V6EUf4xytbanKxhVrjHLEHgBUCZDHfKUQUysm6RxHhSPSw9zyDk b4jXqzwQRMmKcBoy9QZ2pPjBlWlWIdOavYp0K6cxLVWlu3Hc26Ms3c8asFOEIhaJdvxfMprCtw9k qv8PO6Eza6Ti+dm4GGyN01LdIynoiCN3B8AHL9LuA3Iywq6BRrDbPnZKdAgRG76NgU/+r3ZOWhlC H9UgRwhue5FaeFNCZIi1HOg0+Z1YJUgXd/mX7LEWmWTLx0fzmB6s9sDKVVYo6Wmsu6ggWt1Vqe4z HYVk1BZs73I/emJAK90QN6qeUxfffAzAZJU5SnN504x+p1pRq6ZPvH7yZGOKZGOemfebbnIwXsvE Sd9Qp45nP4UDiO7rM5ayBUOcIhT77MliM08pDc94oh47I95NoXoMEIS18zM9ySj9HObmT3MxCLRx XRVpRcf1geCx9tJnxXuDgNctGO9/sEiATk1pOpEJXjrn6azmV+RFSapRjaH1zjncAObwB7hy8kSA LSbFJJ99xdQsejwhypR93LUUSFxa7B0TXZNI2mwQvnHMJGgafsmHdZNVKq1fn8PsrDNMVafQbul9 ILeE90v+HLukqg9PN9nLbR51ajRQrV3bRN0dTiCTp25CI99g4mls7Cm1Se69cNmevxVucOC8eGUk w7UBV6E/wx3EARVqAB0+GoEh7Rj+vUBcNbzouPnLjhhbEtVvoKfcXC2JN1MZ1e3BFvqmqeDrGbdw evbkL4o/+36R9d2bf49CBVEa7Bm2uQEwMO7w7mdcXqoQV5udXorKE0hshCCrvyq5j4h03tHx8sNJ oEQhT5+p4Mcu340dBhet38Nt5tzdv2avcsLySNL/1WhsYbjztrt3R7w05hjIzItp4GlOdMc7OsUt dmLm8BBccaGM8D9nlhisrOjCMmLEFqUIeJlPhYp1fmb/i9AOFFZI9sBQXRHt/JZCCU6L5f8VRVpV rEvCyRvF0pO273FqCUIeviJdVpkkyItkmn3t4NUQDtFJMq91bQgMTzPDfsSmPlGslLGtRcZ1tYzp pz1KlFnEiaz4o6tbsPi1mPJgTarA4UYdHF4OPRaNRSvRgGf5JAGeOEEGXHtatgbdZfdKeMHvT29q 9ibbzrXSsJLY9TKxzDkRZzlsvE8vBnYFGsDJcdzmAzJj0JmlgZ2zeToBQsta5NwpgxBUMWwjV8P/ tEDlj/L2sp1dc5ped2tnHYFuog9IXw4DTUIRnqnlyPHO/CpIgPd6QJ3WhnYYpoUfmBNeKrkRflre gDtWZMuB2ynmG7TQ6voVsQBKxeG2//gDR4uFS5+qB4bl7Xp2pmTKDajHdRjc1/128VGJsWJ5/PVl NnxWKlnPtscC1bEapy+3MZMNdOWPn+JBfadijHojqZhwVbz34Txyw2ag8LH0l9Or1Bg9AvkBP0+Z rEAhWu8hjdBblo9RglBGVjAdI7HaYw68PGpMjn5e6zR0w3V9JsUoPPahviTrhWjDIO0RaubCXlt7 S57wBYygbsklim2sb3WgqpujVBwjPJDna8ygGjylT7qJ4gSbq2WUbkp/KNl6igLMa+k2N9Jg0BHL ZTAI4KxLHIN2VOep4QjJh6EozLqX2BK8smr/5Si+IJoOqimNEwva8rLr4Sa/Oa736Hy3+J0wdo2J 8uD6YGe3912s3xqbNxsqmzCZfo57IMC68Qr8Rzi8GjfW556AQGGa+UieE6Ve7eSpl0JASLUfrwhm /lG5MzkROW+byDgJk3/Gf6l0BPg8H9/btXUINP8a7+y1T/+OmzsJ/mt6/cL0esu6um0JLhSEYKLB uYrC/GA+ioMXnZLWaptYnJiwvhLKIVVDvzpoDvgAMbpvvaCrzhhenX2N4UC5SA+nZ3zVXv5t/vqc U3+x0wGRUP07EfYMXHFsMUdOD0KPhjucInA5PSXXx7q1Fzjokm7U1c7ClAEsjGGhXvCicCwy7/So GGXbgLFTu8gwIdOrm6A0V2uN//b7bZs7kKFcFcjKRvzgEu/DugYbiZneHSpJUz2kVaU5bZkEypu6 WHFe5Te2u1iqKWRC+Fu+g6SvKiNDTe4/swYeJZtBkhbmcZmp+cws+0ermqEnT3aNxmLSbXkaY7+w 3Ds8MTyVUU9vXxZXNFtFVlCrQsh6eJPgJMJUWpt5a3+bF7RfRwrygxk7mV0aWXOjryzN0puT7MGi 72d5PCoF4YdhnB0VtW7VrC69hCbDw/qLsFT2KrG8gG1KEOSc21oz4rKeSN//N5Mf7no8sFhZ5u5+ h+mHCMeRQkypFnmXCw0b7EdZbZjKyKg7LqD5UnsvKvZijesmBOLm1ITgqZvt1/ruqqGV6tpN4oq6 AAbMlOqwnMUjLA75x2cLG7A0ZN8y4HpXh+iDHv8y5MCIsTAqAWGYBXOXNovZPtL7IVqyYOI3xGDk m2EDpZGb4uCMaMe9wgMsPL0mN5vnstLmhDLuUqITo3RxnY574llas01vUDL0Pv+HFesJqTTTsJ4P cbQGd/6WuNIL+N4xHIgf5/J7g5p6ehbO5RP52QDFSam/Cg23uwIZQIHh3+qRwBAvB96gWGR3OQmU RUxXnFYBEZYPOGZm0ygH3OKt8MOrKVc2MQ4ETdRVcRQn+GtIZ0srDi5BCSwcdE3ZXCNVFUy3LTG4 FSVNsFKlXJHSyn8NTXNI3fiAn87aYz2yC8b+uNCRfM2zasbsRyWuNKHs/a8LPD0Q/jzG27mMmtz5 ftuYQw8z7O3iOswDSEZQJXsLbFuRwd+i8vSvCupp1q+GJBWDIDVHuf/pvQMl5XWWiQLN9Khc6RXV 685jC+O7RfMQDG0Mys64U69lMDrd85kXjTQz5yQK/ztxJ5TmJ0+9aoeBNd+E3y8E9u1gC4xVv8ai +xYVTZ9bvWfPMynwZo7WCXx1v1LWOYF660lGeoSBSEc6ZfQaHDByhntY/ZFxy3Vgzjzjr6npa4pB 8cyB5uC1kySAazfjP9aWl44g3kPNH1LrWFrKJ7MdpxI3T6KJ6g0yt2f52Z+FjB5Nu8EfZK4ebp+r fFt/en4wmgXMR3fpjQhuQOu8Q5un8P0Bt7+PpbCBzCIYxP/KXXdJ1H5wItKpzhgc86ZRwl0/xcP3 2Mbp8ts6UtFtyROQ/6ulxfCRc9o88USLMWrT8AtPJcs8f5jZlQLILE9lczjVCIdSJDOIEeOiJOJt /lKZhH1VOXZEK/zURoKkpq2fdhY2cNdj5/rmDJex5ggfWfv9gAVaBXl/+ECNm5Ijzq01vED3PD+F ouMDtITuzpBPlUyEPAouHhEZNQpHJQEvfORGDWxotwztS6MyA7fsDefZGaya0REDfKRnYr8rAfQ5 7YtQAKEqECd6nw4Vg+9zlT30tSD9p8UD1Vb4RIEFsmYKgT1AyTxPEZy+dWZBS4KZro4/yCvC+usQ mUPib7ut/o0i2FX4aDigSw7zvIguQRlcOMXH0w7kwyOYmqA8bLLB6+5/VL2pMW+huFVZLuR4ERHk CzyxvGQu+xBJ/jTCNzVI8D3z3tksjYF9+ZMSwOXvC7PHhBSja12onXYmuIBqSc5Znnisf8b7/w3s 0T/mM90vrVDN5qSt+ypaZWeqhjUaXoZ71S4sHIIE5AbaUbizWMmJ0Xj4A+OmYYnQze+Dm6int9SR GfIL2f2cqDWuht5HltpkAuoLwuSin+n6uZCb9MKKFsaTuYgi7XWNOf1wD4p9IkeK9vP8hnGnoT02 troX4frOtd37dDmHXUE3HMU9p/tGFEgrQtBYO4+UOdljkXrbZcj6itTesOgrW91eObGNitWF86Bm Bwikna+jKnRT4Maq9WI8lYsZxUqrBliODZHPkIpEezNHM7VRwKZk0qGwnZ5osww2DS3ODO0I9j0z sbv75nQW6dUF/hjf7AbopBq4aHbd/h+LWsca6wbj7YKwkEnv2t5JVgCp+3TMF2eHq/4ebVl/0C8d So45BUTcdwBQL5AGkYZAHnQb54QmJxx8znMzHvPnvK6hIPavhJMdGKEYKBwSART8TgcpPmVvFTlC wuAO1an/XAgS1z9on1Yjw8ilyNEbsa3LUn4nF/bwd/1jvtoZF0oJrqcC3GgCTiEhUAv+w/Z+3qHB UWVLTPfNh5RfDxIq4giN40JIA17DMhFPHCT1JGQUS7sSGBcjt6BbzSddtwwNvgRFvy4wP1TFaski 0lwcZSFgtGljgjjl5k0VfVVLNUK3CHs+KbKfL7s24+63IYxYE5BQ3H1HXvnJhwpOr4Pwa14LcbJF G9xtGmJ5otzmujcKMUegBr715D2LZ/UbAJ9/gdNeo7wq2X4LKmBIqBNKQxEiG9FPR8Vj39aXB3CS 8++fxit7M8IwnCf6Pq8nbHcHHzyjkrOAbgBpf9NvM3kgBGoZWgJG0yfQDqupiQLZMGrZL4STi7ZZ mUzqb2uQpTEFncUnXVWNvUujtPzB0x6GltFOZusV4pm6Bbi9ixhTihPEKj+nBnkURfvq9k/1Ie6n 25i345xSB/J/B3sr+BO6xOUXNXo16OFjSZkiUgmDrGDqzvgQbQXjuzbq2hQJQbrErIbwpv6iO3VU l1V0605y1zJ1Y5HCfFMXl5WDH4zoqhpbPWqMXTrNOMfT4Xcf+HiOiiUm3xVYPPeL1qd3Ue+GZ+PW MPA0i9AIrYxJbhzHE2qXVKdv/mNbuP99ba70zdzUaXQCIrCtAkTk8Wg5ujb3QHZwsvUO2B4OS5dk 1VJ4bJAv0p5ivh51OJuKEfcA28rqGwx7+nwqq8/knchkuTRCa0OGQn8IJGzsgyWk67Ru3PrnIdiC BHzPQJWdKC0h2vgMnGCGOLSd/LtroOWKS76TVqMFrYPf6MsuUoEVA7HzBHPo7ifmVgrv0jX2U5cA u2BImJYBNe3z5h9KMitmR1CxE1fDit7VE6Db4J+jD+d6doN9Ei8gAKc2p6LGMSlen8+UZByfQ7fK 2CWWj6U3IUtlFSL/Z/PIccoC/+rx93a+xMPrOlo9eBrSNXMn9Eequ3RjuGByDpPi7D1mzGk30IKi pif2o3Fb7rishmhsvypLfubKe+lp8dF2R6XqS55TUk5+xfwb/QN8a4y6y52WPtc58GuWyjui5vz7 MsZ4lHfKNL1vJ+/Uv6YL6cKIk2WMCehSolIu6J2Xpc9IQsw6wSclHh3PK86y0ynAFi7VkAzfd7Gm jcMkrXkW/CkyDhPxBWKVurd33+Rn9wahhx+v7amQU/5I6kRbPUTMjHn3y7EgHNbsY2EBIB0/5ufF 7NSEx4c27VPeCtlmQU10A7MCyf8yP9qYCNXE5msWQrN9FT1Vt3vvNDPR8/qdmcJZ1Q+Y0yWHSKuj tDD8ygsLTi/ViXlTX9LCFQR5zw54PrXo24fuEFEZkQcYrPsMJtPOPo+yOcCKOYAokq2ogZT9fBAR 4JTQI1W8rN6HBFsQ7LyI1G27XBj/e1FvhcrlQj7H/3/SwqY3pf50xvwl3Nsj+9PfstBIrBcKnGYX XwrJvkvanP9gOyNGOo//+W2LUggG/oNRD/0LqaK2PY0mc9YTH0ApuXKrNgszw8g5TGoz+BGBG41X XywBBnEYcz/JlOeajt9uAQ1pkXUlMIQgb36eIZD/QA2HHhA7J/Mlh+iDMx1YToAORtzNVm7zVKmJ x/gCp+YIu8U+14Sz+UWbD5Gip6e1ZcoGZMpFsUHrkvFuuETehpu0RdVyFGdE176/poGAn2sS/uw9 YqKoYQqP2Qco0WDPAOWIpdLi44/QLMYkyfWsf+JxfWEY6Fv9txgGWvGf5STtBf9dplN1ovAoiEr8 8ja1FznDB21uAODSHMfTxX393Xrez+RVswMvqbuYIZSYrtigPwNU5H1+rWMloWCP4/ronluliIxX Yyov0fyUVTTDtY4k8eSZD0KD7GteiUsU5+CCDioh2Z0jh55sMJoVA1R9CNea7UWCsWsd9RQu/iH3 vkndQYL8kDGQ9YwXOruiQ55ojOKzaTSNpd9kRlBxtMXax7vqyujxoOodEz2L2XdR65zszQ7hpdHt bh7ooZjaV/oaT8xu1vaUuyPXl/dphLixE6rLbsczKSoFldiYOp1vw45opjju+m8KgTmXn58l9NNR BQyp4a20WBzeaKEUxK/vk0mLSuCrkCrSBYi2WZYNVfVfKDAH1tRkOB8jsw6Rah3Yyp6iNt/09RMi h9R4VkcbYVyAH8C8ycUZxlHZIdUZfX8UZsG7CuD0dMKBzV9L7LLyLC8H73Q+tKIryPEqPdTr9I4t 6udasnFtR1LWmQJ2xX1HmhOGB5r+apGlVQpX50JUbZ5s37o88/5eMPzef+Lp7dCmFMIEF4K4gKPM ie+rEopTzT9Mux0qzVhUFc210pUXe3NJB4wibotk8+MnGUR+xPbd0q2uVcMlKtygrhwOCCSm8zrY vz6KBSbogKeP6MeRnRY7zPgKCutMIeXee2oFUWiRYM1pYANWxW/JE4BtQ6Z0xTEUHEWpPNhvLpjS vv3vQOMnBSA4ty0njXbQote52UntJP/nX7rnH7koNo4UrSQYrJnuf329JI6Q39mn/k2p0AeqeRAz DeZXO2JIv+4cA31k961L+RX/0wMGGf+5upw34FTnoP+8pb6P+rm8kyDcdaM2zBbsx48A1/ssklav JRrdBmkpMHVHHeIuYKldseSXTMRztZJrpoIbJj90RXcf+1xhRyA1DmgQLLhJZBFIeZrvHnXzs60z Bm4w0OjssdUvvMK9sqlNDSLnPI5HeycicAhj6R9XVOI3KX4cJi68+e9OW88TYB83zNlwP/pjuxKQ EFGATVEkfhnSkE++AW67ngBhDQQQUEkMW86bupno34AeDmu929YHXjOGDLmaiQQIKvvPOI6EsERk 3qGca9t/c6XFzeqHHPqrhWnbpIMUWJeHSMn0YSJ3YANmGSOAkwR7bL5PaXTXFIyvo/rLaBCGrg/T RoUFeX2bUAl0NujEEAvlNcdUNGc4XE8eT3NKToXwAwTCSWppgVz+wcC3due7UrrbjEQArLnXrrin hOkATEx2RUMk0uZ2r/jvWZXAqXq3dwSedXHFLbvZwPPec5/Yh2oYUT24mUfIlQht28SI3SGxXg27 a5epA8ml/kq4cnOmLUZ7ID+ZWQbialTL9/tb5BVUVgfgGocipl/IN9O/aLLCJN1VrwEWSSmYlNcm KU3tGDE1gbdON/iG2nvwVCFuNEfonq8anKzh1FGhKpB1bXgdYiRoJnbRuJHuOdaCCQVbuXqFK107 RSriR4A+nDjt/3q8VY5y+hj44F+4L9goXsofQdh9molajrZay+RTtf31KeUzSzkpyv2BRDsKeSqi sj5++eKLz7AuS/sh/H0YqdBzxjyTfRcXmiP8KdrZ/kmOh3T6WBIXnH2istujWmQhfsMWsG66m70+ vIFXGvPyK8C8QnHGcISvzCLrKPqeQg2TuQkIpP81Zy69vGGnVB2LY1obMJd9YD7RA8dg4pKdoYkk 8G/037DlBCLJSBb7JuYYI4qptrt2TwZjsWkeaN8ko7882T8l5xYIvcDpdxq4l2MFnyhkzDJQvuRV nllInqkEKkIolMoBWb6PeRjhqcl8s7ksbQlZ5zVXFT8VIUImNw5K1Ub2BFkTiBEjWEImWQeeF+et 2+lXOXTmOL9H6MA3S5b1bSwdy33aQ0wemy5SdBEoVX1xuODoNPuLai1yR+dOMWD8Yb4HZySs7a1N VuznW036yELjM5IJ88uBDAg9scwSM4dWJt7u5J2Ez5saozPNiZXKEmVIIQ9CXy2XKiUxBsYdqBYX 71bYa/F1hCnbQf1Gdstk7MYMA8P7t/nK/opzswPpgBv452DJdj7Vn/Ts0wTpgplfZZcgcglaVra+ fAEV1/A2mS2ShpFUTqOdIu8ObU23inHacltrL8FVSKjC2AICFZRwxAhROgHtEdnCT2pMRH1OwUPA M1SL5/xWPNzKADHhcpfCKTrtJTSmt1C3I467IsWHiBFzTgIgyIl8n0QVO77ppQn1dYECyFbeXmCn j2zkhT6QlqrBhPPUX78Mp6yPigpTb9LjRPEbFuAt5YYT9U80EB2BEP2iC81uvxIz2grGaDz+7f98 QlHE4/RsYGtr42SKXBgOpefv6iCAL6wiOAHTJyo9DK4Geblgcew9vRa8M0UBW34qhHGK+LNZePMm iK/RhYjZShso8n68bPcaa+b2YsYLAx5NId5Ybc+wg4zpTr9lMJYo1BhNeGh4OPzgrXLrVxxz5pRQ q+9h36Uzgtsoqyt5rYa47IBKvXH+4+8kxHeaw4OAatBI4g+LlxFA/KHmhRonLPYcQublml/nTxiB ea8bk05OpHmqrZhqC+TfPogeksVDkwPzxi4iLYpOCax1rmTS9XNrI1VpeoCQlZslZmmtyl5zo8MX zaYreCeOTLlovqPXiqE4t1OsOCQy1DXVvyw3jPQpr858g6QOnRx8Lq1FrLn8AiqFuMJoNH7TPAdo Rw0fYbAdwgqbcDqMxkacImXjurpBb7KBOjG0YVErZQuOi58e+8nCj6JF30LsIpIXDjcGGDCfFVaB 47wx+UD3l/9NRShq7/leBjUtJedLu8VobQMD5lwJM2gnLtMQSEragx7bYxP3GKjkxA/QZu1IK89T tCeZaUMexH23R8bo/utCycqnGh2f76wYLCitjiSGwEGJqwskoHy7FwY/eMftwcYccBJKIfyTBzwx Ga+SfEVwqCqbKZw+dcHa2LcIPdekX/1WA2AYylrN9JHD9tyoiVhAsV+dQe6fHZ/6C9x9PdU7Jicw 5RwdFPxG9m3nD1A3+oqL8zRNA1wh/P6LM/cjjfa/QpcoBbV9p5QW9dPuvn+W7InSfyp8cVyIkP3H ajrPxdnt0168DsmA2huWakV8X/Gwg5K6gzg7fmqDgDgGufeOChrkGjcReV5xjAR0XBoHLbSh4IRQ 4Est8NqtjD57i59k/dqdUIHD3aaP5Tli4OrEm5znqK0gs93mpbz0cmDUdYZiSiR6C4KkjaE8dkdu bJF7undbzHK+tj8xXM89BXmdgw0Z10HTo/UGRMNpk/+bUWdXfPxclwK5YT+rO68dc650IoiAkgzA nExcGYaPUhokHJdDeJpNp4hnUXIKoP5oKK1ecCerCpiUIxcgciB53X+SRt4MYKAsIJ+tKY91UhrJ lthGT/2TN02ZFBBysRgskGwIMpP/KPKJmhwfwVOfiMLJM8sdGaxSQ4DRc27QwvHZxNSWDckHllFM UeFu2vGuKA== `protect end_protected
mit
kloboves/sicxe
vhdl/rs232_in.vhd
1
4520
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity rs232_in is Port ( clock_i : in std_logic; reset_i : in std_logic; input_i : in std_logic; data_o : out std_logic_vector(7 downto 0); receive_o : out std_logic ); end rs232_in; architecture behavioral of rs232_in is -- input sync signal input_sync : std_logic; -- delay counter signal delay_counter : std_logic_vector(8 downto 0); signal delay_counter_reset : std_logic; signal delay_counter_half : std_logic; -- shift register signal shift_register : std_logic_vector(7 downto 0); signal shift_register_shift : std_logic; -- FSM type state_type is (READY, WAIT_HALF, RECV_START, RECV0, RECV1, RECV2, RECV3, RECV4, RECV5, RECV6, RECV7, RECV_END); signal state : state_type; signal next_state : state_type; begin data_o <= shift_register; -- input sync input_sync_proc : process(clock_i) begin if (rising_edge(clock_i)) then input_sync <= input_i; end if; end process; -- delay counter (set for 115200 boud) delay_counter_proc : process(clock_i) begin if (rising_edge(clock_i)) then if (reset_i = '1' or delay_counter_reset = '1') then delay_counter <= (others => '0'); else if (delay_counter = "110110010") then delay_counter <= (others => '0'); else delay_counter <= delay_counter + 1; end if; end if; end if; end process; delay_counter_half_proc : process(delay_counter) begin if (delay_counter = "011011001") then delay_counter_half <= '1'; else delay_counter_half <= '0'; end if; end process; -- shift register shift_register_proc : process(clock_i) begin if (rising_edge(clock_i)) then if (reset_i = '1') then shift_register <= (others => '0'); else if (shift_register_shift = '1') then shift_register <= input_sync & shift_register(7 downto 1); else shift_register <= shift_register; end if; end if; end if; end process; -- FSM sync_proc : process(clock_i) begin if (rising_edge(clock_i)) then if (reset_i = '1') then state <= READY; else state <= next_state; end if; end if; end process; state_proc : process(state, input_sync, delay_counter_half) begin next_state <= state; case (state) is when READY => if (input_sync = '0') then next_state <= WAIT_HALF; end if; when WAIT_HALF => if (delay_counter_half = '1') then next_state <= RECV_START; end if; when RECV_START => if (delay_counter_half = '1') then next_state <= RECV0; end if; when RECV0 => if (delay_counter_half = '1') then next_state <= RECV1; end if; when RECV1 => if (delay_counter_half = '1') then next_state <= RECV2; end if; when RECV2 => if (delay_counter_half = '1') then next_state <= RECV3; end if; when RECV3 => if (delay_counter_half = '1') then next_state <= RECV4; end if; when RECV4 => if (delay_counter_half = '1') then next_state <= RECV5; end if; when RECV5 => if (delay_counter_half = '1') then next_state <= RECV6; end if; when RECV6 => if (delay_counter_half = '1') then next_state <= RECV7; end if; when RECV7 => if (delay_counter_half = '1') then next_state <= RECV_END; end if; when RECV_END => if (input_sync = '1') then next_state <= READY; end if; when others => end case; end process; output_proc : process(state, input_sync, delay_counter_half) begin delay_counter_reset <= '0'; shift_register_shift <= '0'; receive_o <= '0'; case (state) is when READY => if (input_sync = '0') then delay_counter_reset <= '1'; end if; when WAIT_HALF => when RECV_START | RECV0 | RECV1 | RECV2 | RECV3 | RECV4 | RECV5 | RECV6 => if (delay_counter_half = '1') then shift_register_shift <= '1'; end if; when RECV7 => if (delay_counter_half = '1') then receive_o <= '1'; end if; when RECV_END => when others => end case; end process; end behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
general_ip/svd_2x2/svd_2x2.srcs/sources_1/ip/sqrt/hdl/xbip_bram18k_v3_0_vh_rfs.vhd
12
103154
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pM5kbFKfO3wtGOXulGoIYDHxT/fNVMImb6qtomjOjHJTBKmaspVOVrLRvtERhMiVBq054LqIbCxm omKrNl5YFg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block U1nwoEVdavnV5XVUh1tYM89A8G7MJXy2rMRUKIK7FuJgaL4t5rCIdYkN1kpAUTpZsvG8VhYnoITf gPx+ZnpNIDq89cJuuhnbNRexElQ35WHZNk3b6Ovt1Ac8FuCqk8LwCF1khosIaYp7BoOiCymgrmD+ jO8RHibk460SEDaEPgQ= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NeD7mL9dCdh6jQqPQe2Cm8mOVRJ7WUTNI60h+MOarPJlSGIW3YyeTFxAlCXG3Dbm5AZqyq/7D6Um 0dS3Sz44LEfv8kzilqYBNJj687fhrDd6nKWTLbhkImuyn5pqhBbzJ385okbxTHcuGgO/U2MuNghF /4hyyRmJT69e8g8FPic= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q5RFAyJ3EnWo/Sxj9AJNS/u/nJ1a+topTW1E806I1FKJ5rh0sAqwjYvhfUB9DJ+MlgWSdovhM98k M+7/SZuvkwd1k36lpXZY6i/uA1H8sfli1FI/2GH+4p4nE0BCNR4yF5NyLA4ni3SAAWDe+9UndYtb WQ8eYNjF760IHQOpzF/U1O4FBcQr858C+AHKRTQB5MrLFEiZh0igcP08K0fyLcO6ksLh1OG/Tg5A 5LkjvMAndMWosRl1q7kbUtFhn9VB+3uHP/LaHYhxdIuz9zhl4DQszY2TxfAbm62SNrnuhtIHavzl BZa0VmJLpxgeeo8oVCm7UnkA5ETNgVvzyvFgIA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CwwODyIxPJaOqhXk3C0LWzZuCnht+KQbdmaYIssVWSWWhiBF07SeDxq6+8BOr7/WUBLpmVlX3NtH C00zRUWg3fv9+q551nW3Njbt7SBWFLR7OyHIoKABDgjBeBCamlQ9LGEF7UoB7iOBYKuSk8CJ5Udm OlrI8xSrsS0TcuIGuxA48YK0xDfcZwjmgil2FD6Fy6tQ7WVikG8CrdbvV0lyfGl8jL2kEh7RtrHe yqNYxXs2/yw4uWcKr/Sc2VNpCsKbLpxTGFpGsQo6x3y+Wjl/pOM5+YQ6Y7r73Tg8z1AUMiPNHjbu BN9r3R4QnDeKCwqPV6cAMGb1yVk1rwQ2l9RTRQ== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bF3xMUaKQqhrxLNzJRa5Xkh+JjnAh7OKs1IKf7OJVEuWA+FAG7Pd9bdwjXk9SCFBt9wbhJwHypex SmUI0F+QkOhBdUtcKiYRnLyHBON/t5sUzO4LgYA5oJSsAdgYJgwV52GzeJEq7PWjnv6o/r0kB3sZ MFITpDKyLwateefaL3T4xlnTv+EJjBSdMAZDiSsaUwdBOF6F+v1TwQ/iFvvJtE727/b2gHvPCMWJ SIvS/OTpuvIqDl5nL1w3hIWnI8CAMt+BNU6/+GH9mSyKZqAjRamfpR7JHsMaT/vDxA6WTG9+HvCO klJ9KJ0Wm8Cjlce/XTiDMdmEqMYjADeB3kxoYA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74224) `protect data_block IiQtGZeCAXWpQiX0yg9ykE3QhaGaTVwr8hy9hzH/IsXvNFBgJ4cIkCTmb7z8CuJxQI64TmUx70D2 tT+afeNaf9uhgCgGUyac4fGA+wjUQmuAMk9jtRl85STwjbhO9l8BBgc+DVCP4rahI4KdWpGdnIey uy3zqNw5SVK8V8Q9s5vDjr3iGywopTaawvYRHW8o+/ndsMbtuTRp5Q3TgFalqBtB4IJ6olUZTi+f xenqbwjS49hln5EX/nYNffZzx/ZXE5BXnMrjCCP2MouDea/bjyEPds8bzXyk/4QUNewDngmrSeZM BdZeohe7uFjBd3H75az6NcP/gqrUHw1FWyI+3c1O6aF5Y/U6ZXoxY5AEBqV+6p5X4H/bn+EzP+gR 04cezefH0aonynmQ8srdNI2jNJPxXpPOVg2qy4mAzkXDBS2of0suIfs7NuNFGYjB/JZSeeeTBhSE mTCF7SmFdl4Z86FXE/98RAgnAGCjY4RD6IxKilNkmH3bCA0s2YwlHriwFWCQRpcYCA3IXau+Emb6 CDRg60nDqmFAbaCWJJDVWPVFfVKgpYWj24x5DJ3m0cs83Px3haIJypQng1dCtCKOSmN7OEpeduzJ A+VMTDEQ7dqe5dRovo1CIqgStOn20KfeshW9MXAdFYQ7cQ7gPz6GZ+dqqFzh3966dtPBszo6W6Mj QWXiUe7yn6u/fTpPvvU/2rIeMfMr9Rbw1loZHnrPUPlNc9JaDYL+X3PDpLcAg6l5DW3eSu+JMMY6 KsXc0hJd0eNOQF7v5nYOJDPH8XkUhHGa4vh04VcaoKJ/DmLjjeuhoVNcxpq08cjc7cc7IoNfK42h MLpXypiV+EKW250iqGXbPMddju4GWp5C8PNJ4JdO+D1iOZaqLrK9ja9nmCjAIBqsryww1VFRGGmv MH2R2h42EcyU3xnN1YRIWuc2p8CQiL5i+Tg5Z5+11UMoD8hOM7s7Gv+0fR46yivKerZv0i8gyfRl cOPuO6ux4UMUlRLgZpkuM9DZBrjZxinmieaP1R5gyF+HEiNG4pPxOXQq+4iiQy0c9VOEf13atjUL rQ2m90pbtu5e6OMOSPUqILgvMWd4nokCIxxQls4QFkScPq582ivAYxrksEAsGB6syz5ug9hbt5rv yKEI5tDY2FuinwbYfIv5pzEqLisHHJHEP9jlleomCqO4qtjBzEX3QTX/1bFzjCM964pLYjvW9tCx BUFubxFLwJkgCauS+iRF3uF7B92hyODz8Vz+ZjgZKkJoSHn+kyoXGowjP2DoKFC12mfSLcFFZXwD u3cFZfB/vzsCxOC1HgG9EfR/X+T/A/hdVMqnbTqn+fGl4PiuFrNBNjZFsSjdnKx0n90kL7zs4Kg3 Lu7uoKskn9EfYmYikfWhNCw5UMINfDg+hhNnBqhyZzOKtbo4Kw6l5+3ReNRgyWU5pXgVYs8WfZvN +gr7fejx/c/3N5p4X/p2KpBXHAPtpl56pD7X0zXHF0kXyCauyuXIQcQ4c9jsopudRt+CA3HimlO6 f5/u9+TpXy4kwxKjecEeo0enEzoB0KgINttdrNEZbtc+lCvhfwHWt6JFRkgIQV6XYaZ/IzjVgHsp VRy87to/zRd/wAtAmUwNzIwq3Smyq9k+s1lvKAXLhzmUOGshP46NL+MZytkXA2+WmBslTHzpK7XT q7ugJGUHACK/0ccaixMNQ4CJnrgUA3PzJXlAVrFJ1YjBALzpbh1BaTG/Hqem6pjPGNwvrVPSJzh/ En75QcG0oiajH+j88fVDdRpiF6Ms4++rcT1Ff+du899gFjZo14nq9dFE1nRSnbugF+0lgbna/CZm fmkhOqa7MH+valeeepDOT7TzhaSpRJVTakD937OlGJLy69rlpsb6EUgMtGfrMZz/5SP7jSPAwVQ9 7e13QtVxC8pXpm4K9vyuz3XsZWGXeC10LAsPtPGJ6j5DWwFXG4CQkEffVEMtxiAHR4Z1s8SYi3wD NE7jg3f0N7pyRKxKvpnHMvDT2b3dOm6omzzasZorlOmn+YjFrFzrQ2niMtUG4Xjd7oeTn8JZNUaJ ehSXQ3Nj3huw4jE9DvYBWPPQUN+LYCY+UNwbhAFf9lOTIgUoUhhyPgosuH/fH2GnkYqr7VSqVmsi ZMHZ/8kBypQX2eAJapSn6UAnVyFOkbJOh0ROKq0omGrqoFQA6zgX0STDRrJp/26b+FGsrY/Kw4jj x3mTtlZaU7BFpoEH98FuF0Drj9dso9rLxhdwXLfBqKCp5avLsJLEhoSLXTRvPAABwtC1Yocj+R/+ 8bxmD6dzBNAHvar93GMHm/SLA61AhDxGCdV142eqz7V2DsC3uelZ1yF6NQUE9mqkJuqyU7HTowDE LuoUoNaK/xOck9zhIqx6kgyDlo99y7Us3NWZBSFe2zIKPBOhzHPFI3z/pgdCbZOTv/rIQwIEra1L grM0MkxaWYOEiaeO0/wjUJqS4SfeV+BeL+UOvBoYOXmWhVQcyFV8uTWok88SsyAThV8rvUdHg4C7 ahRCLr+OWxP6MetUXnALWCnxC0RmXY5Ba6SJgo01aHAjhn2levZwmiq+YujC7JiWWh6wPtffSCY6 KJE/U0P/51hOrUfI1DYV7rKVKd8L3EzyEDzgGitLrYwdRkYqD9JcCGoBu6eS5w5sSXKqyX2dJmXq nj/E4cbFGylI7wDLGoy2jQPCLvuTpmL6/p1Px82jowy2cacvvQ245w2LCnX6TQTNIVyrm1z/i+TV sIXDX5agCsYe+7hM5b2FESjVS2doZq4PK0JuOZ2ApQy8nc7/2+ftwC7fG4Do5mnbMaMUpU4w3IDm msb3f98Xvr7+QbtnRnD4dqXRuZI91zDyXyl1PvZZkypEV/t1WJOKnlY5yc7YFLxAwrXDzqZfQFWQ dNfk7uAxy5VOrvV7iPKZhskqxbvLcYBJndqkAkrZVTAx50s1nwY9ojC+2nDKZUm7BWbsaQHVQPhK bJGvEpC6ZTBsyvyVMvBNDM43QTVqJ26+Mal7r6yEebLbR5EENfYp6VKD+beHyWk5hau5vQq7K3lK 7Kal1AMtaZKN5jwozUbINio/WUjN30XkFpRsXEi2zXipter1HNqtMnD7WuvQz8vbgR6aILNbdzuc 4flhixY3H1/Wm62aCTy6Ojz9NqHRADwG4Gok5uIhRvc1ohD0p9nYQ0JqHbZIjw1lLPduj/OIO/WB yYqmrMX10zjyDLqLGP4IUx3lOUPfqNIuu8ha9FhVAwJ+RlslQLiS4tfbH5I4wLXL2uzB1XFK5YCq cM9EoZE2bJUMZvdvfBc9ZYFhTXROl/TP3QZTfcJ4qBkxf5hGlADq3piQ2ixp5vHMIMyCNPIcaN+d a9TfROqjWqj9C6ggjqJQ72mr5PqeAvrbLPTvnFI+OuSzCxmozKBo/JKwEun7PF8gbjBqSCgCfIWe zf9Es6/VDxUWslRzgtcH1l4DsH1tBMAUNlagWBgJ+FGXeSaYPEiF86ndX1tKd+QlxZOZ/ezUfKmv 5NbqcyD4KW4NHV2sBl7vPpHCalEJzAbF5yE0S+eT5BdMcak2Dfox1sptgGBHkwr496DDiWNfsnfc PJ2mcSc7brvLhQuQcpo0AIH4nN+ET2++bWel2+YOC7lIDWTDN9fa4sFRl2vPqQB+gU1PIqjnXjQY 6ltJKScAOgQJAA+1JqMA3BphkRIQmX+26E7ApWr5A2l/MghTvXisTA4g1lnWOMABhfVNIA4ewO8x zij4oV56OIF9gkIE4MfSrzlePfqqm2Q1Hyi83NycxOlu3QHxnFcQOqlFCG15CCiv4MoNojfYRrKR nsn7PoF84hWd/PCu9iRo/vzYlSrMUcxuYZQIs3WsVwKBroSVh6jNn1an1mWVfNcE3GvNyI7fFeQA pzP1d03cehCn1H06tjCgdyGIlgTsAdMIJhGJw5DvTF0t2Y6ppqzEbha8IysyofcWoA1cO0H+4R2S 8GZ0pqs+pwgdBRrCkv11kBE2zk6U1mT3UG9vZ/11i4GApXUCYoMd+Sjk52rw5DUnhtGaiiiY/IIp M3X7zSUc4o31K9LyW9Z+gWFxisEr82N6L4AoNDIBH+UoDP5c+Hu5LAr6a8EFCRxW4Hn1F85PZky8 7i2HdldS9W1YMYQkG2TDw//t/PJLY97wQK8s8ttaTwEeX5Sr1FMiuclB5XKZGE7DF3vsJUK2FfKj UbubmNk/EWsQ0nQPHzeHUeoR6wJAzgtchE3GFEbVi+o1LEgu2Sf3FUZtpD67GNwf2+b8vCCmVtJI RzgUtb1mczfrGJXaDbcWXvS1EUMuMM0PbA7fU+Wi3658Ypjsy7UMEyysKX3DLinhhTaFEOwbyaH6 2gslAl4ldqrRzbbK78m8jCtURA6Ipi990/F6H+UwQ96h1FQEaTAsIHH8iaZoOwZLMjVslHe15y4y 3atZ4c9ge9MgBtnASiNDornefOqZ29EIMp/aN0NFFjWgge48K8qE8nyO+lDZJeKdng/biEw2rA2a 9afg8TQPivL70p3yKJXvMm/LERmCTLInoQI0pqSa+J4VHGoZfyQUCGn2ZoVjVgo2Cj44AVwbm1NK XB0YRxuJHVvok4NBpoZy+Ry03poUn8TF0iV8fLSwrXhQW5JZogrm88iUKVqi2+1IQNAgA9vRTChY AkrruNnYpBMTNjmunKCLH+FiQ0cdQGJARGXfhSuZuJ4udtNJROEAwMK48LGF7cAA5eB31l4FBx0v 6+timWoowoQ9cVI8AcfSVJmpuLZioND5ARpI8wlX324yUzPmiVrIRRxGlfLp1dhqqJGXxsDvvhCb c5GcHLj/8akpEmsyDhVJq2HxMiWGALVzAfdaCigGB5R3YV2VNAVjSOR7YqV6iLwV6t60PP9W83Xb paU6wJli/0tBIQ5h0kXlyHI3yxp1GDZqTwVL2aRde/085FXY9GeteAcI1s4I5MlHYtpdkoqhkPiY D+gl43cma179NeVivY56aJ1/XV7tfKRe8RkoFjdXNn8np6jA7wmVhVFpF0IUPBhJzKoILz/nBh2u ED3h5WA6R2jMdFpz7t02mJHzGf+QjHQ7dHUAS7ia7IChcWqh2TdvDwhMZWvJfsjE8zxX+ZP7emgb 6MPBKVB3ssbb12Df6lIZdHTVeO4BPTyopXnW4VwcmcxMWVcIuC+dFffzOTkc2ukM+plx/72Zu+QL 3jbSmtVTHF2mm6jE4YYVE8N29spelBk/mqmpmNLAIKVyePk0+XFoIIie7ImZAwx1WuRzkt6ntYXa d0+zH+QH4/XyiQaUt/6n6usx6ufPj2N87bhN4oo/Li7cd6SfJaBmRZP/XjT+MEzhPDym6mx9KfE4 so8LKVsN1HSN1qJTgfywhf6bRRl8frsPTQJp4atMUlxoNHBoXKVqDqSLpdtD4+yXOEZz/0SZqpmO vNku6KE2BmviRaQc/rntY91nA6OCbkaDujus7k5bxKeurUXtqkkadaYhwIz/Gqmu5exZ6TgPPriH C59kikh8PCYfzfPzmR537kV4WWoWPuZGT7B7zf+XYGoZ/7+5B5dZ7UBOx5CZwpiRi8F5aHSQGboF N67q3pDYCgomZByE1l1cAeAwEnIgd+JS9DG2Qyi5U9RR1T+q8ck2LbLK0IueKNEPbEMfOWi7kR/3 eXNQCebSokwMRH8NL3fwULltpwPFniLhWQjrtDROwcxSDSeGIRvIpY9ZnzuPBTBGccy+kvciUOEH g4YEFiAk3WGx5JjEeKbS52mFDSwVB32PDRHX5L6quAMqTr5fS+yk3c+NCK16IzK8FgfF8ZCW8bxb Mev94/Y6gD/rL0ARx2oY4ckYKgLADcepruamKQhDR4E/gxJgWoGWuUxNHT+kWrNwYHhqqN/wB+IB ABWB2OFyzlxgkq+qJYsvzFSjp6IbhrHnq3Pbv9VcsN6qIkUjYrxMNimSJOlQ/W0J5g/VyiNoGEsf +J3koMJBflz7w7SZpA2TBi/tKlZdV96IPaHTDhepDoCNTnW0IewIfG96pMGXX3iYnnTLkm2cl0r3 uLgPly5NE8jIKXDv0DLTMgG0v0gNMXubet5Loc4rTQKrSPSar1GXQCIc8Ug8lD53AWMo0oDo1BFm Ex2E3j50dMbAe5QPmy0rVjnnF1CPfJsZVMy84TqN+xD49zAcwR7yqulANew6HQvPkSMGZLygIEHm ipLrsIHoxj3O7XSDs1gDlcnPW7qBhN/Uc+HF3STKT5xgvck+AfgkT4DyN4Tfr37dVQ5/Yc/3mvV4 vu2YQLnpD2SIJj3L/NMI/nbht1iOGP4qPMvJnw/wOvaRuSsTigVkm4DeRNayNkDWRl4mr2azbZrR D6JkU4+ERJoqGR+R96QVZsAKR3OakO/3xF0Mf+E73Qje7MRIgi6N2I5AazMi6zLkQ0cvXsABDPaw 0FeYC80B1Sdj9Wc9RBKelo+QMLHuAdTjJqVL7cu9/I5EimW8sZ6C5LPxSgrgUi9avURZDVyUykKs GpuODKUbLEzTWGwYsPijC2HnEPuO0Lsx4qwYlqIsAOeSf7m8hFJ4S3zb3DibadcWubKKQiDpRyzI aE9XBW/iw49eXjnjNq+O2fzUKVwjy6FhLXpWpDY4BXQR1++XjueIL7LZ0kmzkAtFY/dJLsUULfTn v8yUGsLs+/SwV3FD0En2i8h0cLo3zLLgZyBwNSybJodW8zItdxTbvQFvDqwSXNISDqwP1ZePxdh8 zsJ/g2hdR77rrmqA5yLEZFHwdxMDrGrsh4icJdTRNYfvKLfmAtLhlxNmWRPr4WtIHwB99sihL0DX 35L3+U8yvnGHKpsfpoK4iRsCBT4qUMwKYPRX7c85N4ycljkajJuVmgyFOj1J730b8TWMMf/4tO4x MRjOlBDVphopSTDKKU8Iap8gYWn/unUTndGnwFs/uJN51ReAiDXyvNgTQiCbBvM58WMLEIeIYftV JjMWR/8CqmcjPi0GbqTY0/n6Os7FOIMVtKSxoZya6OUskxCRDph2FSRz9a0exIrZKBZyQSsosxn/ zF8OVcHpH+tXQjRtkk+whc7cofQs9AG8lPCje8gz8S75XB8i9dOAQxjyv7qWOcQKkxhRsKT7AfU3 VqobleWwCOEu1fghwiUib6/a5X8sA8N+elaV1/qqNnCvCp466Nsy/ie1Yn7XjRU/UJieCEz7zrRw EoY+Axs8uW0x4tlhCXpn4LLuUzKZHHV5Q3gSIy1C4EoC5AM++f+6vW3EZZIqTzKQmStZN8yJIYT1 aDiBvuDGCbThULUICPag1Hs4a7jB5/mAQwmm2QfH2lcdrtCLgs6MTWluPzLzYcHjO2yg5GiBzh8j ROXrZ0WnSoXQHTbuYtwdNqFcj8W783JJBphjg04Qf+TuTnMcKeG7rjzx0rYOgjlu3u3U2PsMpCRR RtAgsKjO5z+ZgkwxndGQSdIk1MosRGvi603U+f/U3VoK9ZLLWTLHasb1y+pq/RMAXUJtGe8CL8k2 ntXWOTcorWGI0iAuMYRwXue86nhvqCHzvo4rSKeh2freAiImQhIXjxvyafI8NKugHSaBrZxwX7cY ZijvBqUHmeUzH2wNah3k70D7t5ACIV+Qzr+NveQVpRJH6T+tdoLHaW1hmftq7q8pHzLDvUp/KdWB oMDyq1DvNKvIP6SgztDbH0L2WxNemxF8E08mlkbcv9D/1GsKEcUOp/rJsEuH7TRore3m4OzX8VOO /PKJgXOOB+PbVrr5kAoItRJ99gGRdZv9QcVlv7qaGQ6qhrPP6SidY9Oq9FoW5EquXMtjrtuNbXo4 HR+P1/ObNAvK0dDmnWiQete9orFfLK4QA5J8ylxJeVYA2zJH1XXn5KUZPiQ+L7dEr4NTf/8VF5nx LBr9SaJPjzyaVWKHnaOjl4bDwyfIEf31M1rFcrTQedjZq/4JEWML57hI/mU8mprxxWbkGJ2RzyHE IBawX3+ZDZ33K/Q/txmGft8eqHth9/isMy2UWCwJb07qneSv37RIAFy6blXlMzkjJ4Xz/gIjWgtM gfV9YCEFRzBowonu0mdotuDw1/Kno32BNd37YWRXz4jK8SuTyqe2j/UFGMHYXsRJZ5jGJFtiwe3t EEsvrSyvXSZF0QunerLq2/SNsd+pyRqures+M+GVVEAJKeh8Jir9NPNfim7a6Q+0/YhKKj6rWdha wxDGtaE6lKdG1PoGj0XAn7+QC9sCZvp9K1uFwdjQW89wnRm9rADYXYvntvpucinextDwWH9FV0mT 4cqh5dKYFAJEu5i84+o5KWG0i4JJKZ7iN61s1J/hmt+xvtYaQq7MgU7/GFi80xXQ7SVcKDmKA3rI Mcio3yo0qvPtJSd7oK5m71w2a/QNfCPh86dWI99r9kxIYf/XO7CH5x/ihB8xfMCeQvImM3TTjjFs PT5YsCesTxsnBpaaJVjTshJM4zfKZfl2+ZOQCtjWbjEQrLuO9DzsHJN3NHOLPon5AzWrLDXTE8+A YOSxHv8j5QBG5OzFxr/7+ZCxG5IVlCI80/tcxYsd5/AyvAag8e435jn2kWZRVB8CST7mM1QThEHr B2qlQnR3HJTZ3D62H5RbymVSWMkLRqo3rEFAR6RSzl58WsGTvRtL6s1BqSipxSaFZgE6Ixhrlms7 8pPqtJAwhHcHbYKnjQckhS/Y3dZdHPd0w/cbFgIeeygqAH160ePruYvuUM9PQwLyOBv2qK3HkBPR XT6QUPJmRvS3rFibQqPKKJDV+QoxIR4e0OEh9w4ExKqBHgTk5M5Jjxh7QolNvE+FMLdUcR3TR2lj sfr30QLGCeFQSH5G+7guhzqlRRqxMrd+KLevklpZ5kJmSrW8+0Fc3HxO1/EBT7IzBzG8YkbO5tK7 jAlRj79T/pRzsuyyZOEhnsm0Bk0VsaBWaJzcGCgYhQWn4RRJsbYzTjViNgXQRK0SvqnEiDjYNQX1 MRQJ64qDJunqRBFHcUY6ozKsBgPIXafiRSa0XgBUtIHe0ZBM3Dp4TBbQ0kdCg+HXhsF72IoLkFtm BVP4/5CwJhe5u1dW/DsHt6nixIBgAAA5CUv394X0D4kD7epovpr3tQ/zFtwnKDy/QVCa9dOTy5Ec 2Bd95Mvcifa7vcYC1oPW5YrNPI5XVOU/cVfsFzmfoHc4en8NFKZrw53s23GzdDlEDftUJFyT/XtL i30uz9m5LfOQ9FGd/XlhtBpKpOnYZe5WTSvYM1n7aVBQLDgS/v7TBx4IhyPbO++vAxhMEhFSJgCd OPYvEMV9bT6/gjfxU1dqYCnamFPf0RFfdhmsykGAxFV0nKTzUZ4aRuU8ru/1xnVsWyUKT0EZ/0RL C5HtTENk6vgqP+I0Z9V9exLtPjE2aaGL6j1lYMmVsYtDz4zAvrsWNACLxmcTxCq2vmhRariKzHBR YfK5pJk/vsjVjv4hfBYniMTf6/rUZ9D0vvjsFv+eL4ME8d3wDlH3ep9CCkaLyL+V7HhChc8XUgSl 6eHk9/KKxlV9XZ9sCFuo3YhTsjMBnsFz9Z/omjl1dxzDCtzPDmWa/0vaYZN1IKCA0nXjAj0sgcZT BywYnIfDi/iljM79OJstkzNaApPTcl3rIaflUFENo8ulu8MPub1/NlBm7HJmAuy2IGO1k+ydCo8D 0XXLeM/ys9lZ39LB8BLh6jj6ksasAuKLJuhZuB3fjRsA9B6G1DpYCY16kWEvomATQCaD6ewoDZTa u+qQUxY8TBj1DtOXgPBSWIE9vRDp4D78GndZ3tZAPe3XMALwV1xKR0/M2onKLI9dsljZ9MT1RGrJ Ye0m8oBiuBwyGqireHDXAxj6NZNveT08/eZ2HarrgSOMfufGkuTIcVI3bu+S4Rp/GCZ8Id1xzr8Z gpEG4MmGNOyTta62aQYB0d0h0VhOZ1UU/jcb13fmoqv+KyF2+jNkqLbgb4AcPT3u87cr79v+FKQL rMz+ZpQVAgoCRCFUy0vr0Jq1d96z5lqmbnK0gOKMAUkDJLrsDs9CZgpdjEi/VsSyQZLcSmeIBQ23 /zats9WoXPVW96tMoEdtVtFG3lzVgFH9UI+GVn+gN3RFtuV6syyEekV7akWQF74bQTbCFomy0mbC C0xEBsC0ppnPUOppQHEaAXnVVNbN+EHGtkURYtaCdeXVP94q8d9i8Z+kOhn8vCoN4xz6DWgWDoiM 9S8cEIpnEljIkAWm1X/HYeL7waQQnwbax3Aq+iJnSoFKXN/7u4tGdcehZqfTUVJpLBEj9iKqCAOG JEesWm04Ko0AI1kCvwCB9kGSRF39kM3UVuOi4JjocUIKWV5XSImEB6du6pWqN39yItWRTtgIkCVx bMPD0JU9K+m2XOn/Cfb+eu/nsRxWTOD5UkveNgngJR2/r8HmvpSlOOwDYQRpM4DfYfrWKkQc9Moz MaAsffqZBCxjSTCDeErCA3mdbD5YpL/+yNe5pFp6+3xoJhURhxEV0IHGFg8E6x3Nb44wc15L2QS4 kvJ0iAtfnxJlDqE3onSmvvfVy4JvOWozbYphzjYGh/DpPyMiLWn1uvZD1mFtDYURefXjsJ9fs4CW JxVuLyW4MytjCISZmbq+qVIda4iCDXW/F/ghx5bWZCockaJVun0cziXt7OdltnwAs7EAB4jOo/nK QJuSQuA5dDN9JI9C+b+BmAJFDiXVHxSy4Y3zq2DpOc201rU4nPPAfOTDDdJ4varaKfXC/xTp0dOD CgNmxWajmjpNPE+wtKo7nziNctmZg93mY5wb4iHVJ3bZ7PubI+3PGJB1Unl8f2xs4DxXMVFOqr+v uiCHs4+yx2jbTT5ollaxWQuwUzcyw3CY+Z5h7sbtPwht/NIxfWSb39yX6bBPTzQ3YsaIQw0V1l7Q oJ9ia6/BI47BZtAmwnbXZOTvVmYHrQBKW6nz47cpGNpPee5+9JczbQdKAvUsCxaj4MF3EtI5bJPj U1MZhXi7JoSrZK7nGNUtmYjk9YFalhQl/cihz+y8/0DBiDsOws7FRA1N++wv6dSCRn0smrPuUend 74wSUTXgYGrhEzqVcwehxAme7JSWb4thzJduFScLbwL1q2DPjqbgu+qB+QehcCF83l0OkAOChdIN UPZTM6T1t5SkSOqkp/rhtr6OsFIvU4CEXmK7BSYiiOekLn/Fdh+IJp2UH6entP6zalXccw1iUjAv GC+krDVxKamurvA4bfnCsf4b7Y1f65qLih/Vln1z2z2KydfViUs8PBZ0dkvjMnAmQUOAgob+krTG 5PIpsQkpU9k3Hm1RlXA7XxWEGrBDBNgdAcTUfuvdl4Ps41TlEvDpCId2+TLvnEe/LEt103hS0WX6 hmQZmyZieqk2qNJJY7VYCDh9FyErz5toS7zKscSUKSd3qGi8a66W+2t7UcxAefMzkSgkX0D3/iIA hI/8/L5Nvo2p8weN5HIScI9wEtkyyF9zlvOXL86ND4s0GH0OhptQVH0GzfQAYQH7XxXCNgyJJiF4 VIB8RG9myHdVGFzNKtgf+1zOXPkW9Rc6VydIUlveeQGlgdZlVXuKnKG8Y/Om8RCeaTErL9/L/34+ w6zF0yEtPSxpz/Tp4/krMHPfnjyw+5Td/SSA9XT6/W4F74mKG2tqZmG5/Kg/bzGWyqOa6o4oXlja 1kjnX57hO4Qo0K5xFL4LYE6ont3IMeBErL/0hUMug73K8L8WJsIDpvkpC+Fmb/YYbJqtsG5rhASN pElS6TXR9dyC+V1uHeBj6JxF077QcNLzsQYCKQHMCGx2Fa0PAscuovqrTYTNg/v37mRlyQ0FJN2R SKe7VGuZx1Zhfxz892Pgva789RvQn9O2Dam4V5/MWpA50gmblBZ1nVYiSUIE8hUdGVsmOTxHIHle AkaZYbQ342jitHHoVR9uX18gkuaHLEcW25IEHBpkJrK1ofSkzOWNyj0PPET2ulk46CMI4A4WjAjC 6pSewoxbtGNUc72SDDvPK5dvgyvtca4idBjZgUavhihaAZuV3NbZZIeqJjJgVrzfUzM8Uiqm4h24 TmRO7jpLFapzeeIP28IVnKbXrvCo5uYnsXsCNEYBxb/7qDW0aY3Ol7D1jAIygywjILiPAx4XC5MC nse7NDQg2A/I40aJrz7+M6tu7Jr2mWrGBdSoDQBPuwRE04iGQevy0PN8X24AurH0bemMjk+CgUqy /lf0owNh+dwp/YwAyNHUU9ZaLkO/kylTeEKKNn44vrAqY//S3GljL9u0PxjRU6y3NYkDDe7lflgq dnzCrzewmSlTi0QcZauGBqFitwXvVZXbARgM/nqFqDdm2zqiL27tYxpyE1RpBlCWtS79v0FRIxfw GQTGjqSV0WxBWIRinVcF3J9CR5cKlardqx1V2sT1h78qjPIBWhKL/kE7ZXvB0Morp42a01UhCYeR q5wqqskzgaFeMqw2cZMG4uyrHrIriOsQd9Kz2N4RohLbVMwc4RDJLnGAhesgQSmzg3QSiStfmYy+ kEZRUbyI6VmtmmEAzzWmVzJPY1jW9VUYZZwtpgkTFfhVmD+YcFiJgBzJq+I8tcO7pyC6FZ2Tdefd gOjxFCWkwfzegMyVghYN3O1uWajcQymrDJuAvLIUQTXT7enjb3EX1NzYTkj1DdFRDE7sf9Rd7XwO ZkChg9OVNmGu0B8ImIpRCeGUwD4TXitAikRc6RZjrO/SaCzpj8tz+AqnQoPP5jWzMo9rHvcWSGBZ ta3k9B4t+XxX6Krbno6Vh98tWe7kc010JPFRGSbuXbRaxJMTsAK6Z2PxChApeo34S8QCX6pQTJJR 1GipRSAiHESU3BWbyMdzTFKwj/2rD/PQog2MPTU22/kf70DYxt6A0DiejYM9u6o2dAoWPUJrRavR KMbnWwg6MJ4jBxkH14zHxuWV4bVvWU7TvUPG6C9T8BawfXQlX4ahZDmHvZ7zkVL/hbS7d9CrgeD2 nN+wAvVDscQWhBOb5LkaM98/rDezG7Ce1XENMWHOTkGP0RNhPYPIp2oADrk1lzFwK546ily3n3+h yGO0zXgogxlUFNcesWbmJdAB414bZTlIKY3qpe65ES81sSccCxQ/nNr7ZalrGFGBDVMIqx7gP9YK /Jcd6P4U0o7gsxnz+1tjnLb5PTloLNXfElTt8inrGXk25OtEoTYFQL0ctbS3uy9TLJ1obHWQfzLn T2g0yphYud9Ns/e4c8rnQbzN5gQw3bvbAsfuaFtyO8KjJTdKZ9f+EuwBwGhVcTl0cltGRggixInd ax+XqEeFJimuP9su0LVjgfy6Pcf+P4Ytzf25/X2jYv1D+6IeHSz5//h3tAG0I3iY0wyY1GpIl+OQ wXhJhjfMPoekbsyUilZZQ6kkIIsQMMXxtMbNCrLsTRK2ugvYsySOKBp4JCFmTRCYebkn3fiJRg7E Du5RRVKer7211gWhIXlZxOn2b+wuU3RVpp5PF+gHTmEjKvx30ZLupugAiOOqXuXhAGY1szkhqqZJ GY4nC1JH3WtJRtTnRe7eNPXHX/UYgmcarFC3n1ObqPw3fYP5+EEIoyWZTjHLpTnKBhsMaoJonNn/ AHReQtwFQDFRKtpFzUW35l5n2NpqbDC9nAkyAkyon26xKSwqJZNuZV+uRDCuk7zRjVowxQTZJhHB OAQSTtzFJfhUeMPGNLTXCHdAvIC8UwUfjVF/vTfTIaD5sGye4xZJ27Cnc7gXU0LZV2CjHGgzF3hS yjCB/X21FwTC/YAA3WwGpWI3i2iQBfAEmO7ep2Vhwm00sUpsdLAHMu2ot3Pq3QKC595qxUkhVOwC nrDLk4SCDhmcfzQHkEmzmhCO2YDgJ/4Nwt9lEeDevTjgmyo6MRvm4warWepeKM/nJIbdxernTS8a sTSVjJ5qBEoNkshFYdiswmeeu9+WZDEG/g6CwXkCE5X34yHJu76syteWlTrLgxZ6tvU7VrVmP+TA O038JVGo4CepYpFTwmBfg0pVoOWmW93DzujGoBwu/qCHpgvsfqRohoO1GwBFvXXYD0GE0mUGKSFS KI6WzBFQDZiiERy/zZXSBSRSbKRF1Fg0OHIFVPTLAVzXoOxxk9EcHk0sUKos7IETg0bTspDUIJrR C+fTpimOC9SMJ9iZeJS12XWfn0r36eHLnLB2ggorYxYYPRIpjCKW5Pqlc4iOJbtAVg3bmpVfIvjB KGc1gogBi+69yzyaykd0pqYZTodbWXdsp1bc7HpsCY/MINdHUgU2dGKAiralbPnRuLMyKVc9nhUn 8ipxUPOdHU/5eKViayzPq/1NoK55PHqTZzxsaD3NyjPTcq8AGY3UZy4c2DlLHrlP5ugxUhVcmr6e u+tnHm+9IdPd7qYiaD0ypUrfrZe/rm9urgAvJ55vfvqdBcvto+F9lQawsfjZNeadmVPw+0IYSzl5 eRnPkhMV5YujL9ejjw5rg+o+tQkhnkLuQBECONmzKvfeXXiSFBVFNZ5OoJZlvj40u6neJ82TsUIi MBTbdGtwvMNfqPuE7TaGi8LarA7sjTLsb06GZ0J1Q7xbMsmepwi3Q8vD7Kg/vzAoPnQsFNNfu85w RgApW1R8HvebWsXZyNRuTL10W9zdso0jfVoRFwmSfMiOrVUvd19OEXs+0dq+nR1VZqZ4Q8DJqvUP aNuoSv3oF15T1VBaFY8mZYSAqt8Zt1rsN6ze6J922WGrYDFxcl8UywtOMbAJ/2VkBHVXn8182Dcd 0jvSwJSYu1OkTZARPWBbtu+D6Gnr06eNkEoXfCusts336Imy7yAcBP07D8HOM2WA2pSTnY8Lqh+J +StmrJvlp+sGVoCQamfwmtmKWOz41dyiO5xQB4AUR/u+Q19RdRx0GZraeoACsyQwTdEgrsuj8EvT +hmxwnQgzvpzeeJb2i47BSpFb1KPwmGu0NuOYvVV4tM9vZhDo5VIUN4CbroyyuyHWwnAMEA/FETM izqLJCMqPDiOu7AutjWD03YIIHgU9KqyrqMahm3VQ9KI6rahK3EYUeBCJJYiba2tPNciVrvJSvcd Ww9DT5LzKs8sN0bpdwmAcGLPNJVig8dzqOBIWIEJKNAJMtXXpB1HZFvd/KxcuytOe03YOpJPCeUc nOe0YTxQQMOcCNRo+7gEUBD5VKgtTNdcEG66qIX48bqaErZ+ZD5yw2hjvk/ZP3oISHiJz9Y0SOIH BeS53DaKauVALB6wOJgGPDJw4J4bWVyqnNEvlqxHlydxLP9nEE8z95c1VlGGA0IUgfrYK37/cdRx pmlAJBCjWt/Kb9j4OUdmsghLI8tyzyNRrmfkNe5ydrtsR3oZvn0bcfmzEKRlAC9+m5mOP2W5Pgze uHmV4keyRKyuJY8pPwiSK+zsp73vrsnVVHUQ74/79zkBPph2tp16uWKsmjFXmY2HHRyj+q+KRYC2 TQnBQzjnpg1rBNCTD31/kERjcy2WO8piTlhuEqgYV9/tFrErHaDrbiISY4+7SnA/rMi/d+WVNbsj r+bnoP5+ZoDwWRWwMDA3D/ejbIumxDf7uYrmlzkjdstazDEn8FExT+2Sm+GvLy7Au1s16wDDtk1U I0Wp6n5e5riHr8iDo9zrkTu1Oqu8DguyX76I8kXm7RQ7wfZfCTDmf9N8PozymDAZxlBPjCZur4nn 1ku+YfiUBgYM2SYTpq8CODNexSUlj2V9+sdubNh6SAYb8AaUAi4De7pTg3z0dtgHF9ygMb5T0rFz U7RcF/cccmhHuZZhMio9q9ptgHnh/WAM43Hs3vh+6AJod7r97IQiqARGv8f9wl67Jx2Jwa053owZ DXi7FOi/83kbDy4G7BehR3h/Zft33XmFhRaiA3qq/FmXuP6sTW3in05GOo1noAgRht4GD2yiMU7T pBKBR8TeSM9QDX4nO6R9v6cVmzkeI0XnPg+UHHG9rkkOmTUGc1D7ifI6z1mxJN6BNBfwGdxFPJ6G qCrvBiqfhDzVVbrFVvKode37Kbkbei/j172vaKJM2IURYsjshLyg+7xULfuT0Gv3hMgclFlaI/hl Ml4HgCYtPjC64n21/+CCnewEfk2grnXcxUAzDymUWxC6vcyfdDJXluT90K+D6sNCisg5cb3PYUkF L8BYQN6Hs4eZjWsK7/xSB+zVGDX66XeW/Qfi6tCErlUbJ1gUzwd7lkQw67JpV6vWbw7ookIDgWRu 6haZx/PaiNuYWwdHe3nybC4xFroxbOWN8xHI+09GuQ+v89Ur26klGRX1FGbbkdIiu5ZSMWAZiehH ZHsSBDueqiBAH6+R5MtPKFI6nl6ctpkx2dSkJwZ+ILkDHmms48getMMWZkx6gLdpdtXoPhMb8Tyw +7rNW/iYJzCbz5yrBKPdZ5sALis5QVD4qZhmn36mhzHzgEEtV368tGPULmIA9tHGlqRRNTBUS9bd Mv+9e18w32e8/+cPHdSl2r5WUhlNYjnLVOtwUKwpU/Vp73jcoZOj4jqGH+Duh1ieLm0pAIH2oCTg ky7KAszLjUUPPfWE/dSy6vAQ8beT48j2PTUFgWiaajlEp7xb0/c1fr3/djbs+0/VggpzfWGwi6p3 mgcjT3AQ4tf3Rt8a00iUSmhW9yVSeLvCy3u2pihh1EBhpgmliczhdTvClPt2Rc7akdoquzLMs7VX Q2y2koMRThI/PWHYv8e/mB/woeYrVVqgUaE+qkBhehFCghEzMZkfU2Usp1PMUTce7GBZBUSHTE3I HPzXyP/n7Q22TU5FB306oOXCGyFdRYEVPDvuJxavujhKGIW5J4p/CknAtK83c1pEiH2RtGP/7xyW WEb+516KVkDiVCGJsdi1K3kU0MV1U1W70w1BEqRVCUPR9UkFdkFqnXeqney8JeaVZ/j1SMIHlyc8 N6rgmLTpEEVcj1wMXXNsuNqqRICau0QKZvL1qXJDQZmHyh7j9KZJdriqNN/jOOgkRjwam6ufCvy6 nzSB66z5lsmW23rBMXP1QrJjTbr5WB94/rpx2EwBON4ipF8taKgK3v8HDNNByIVEOW6otA0N10WI bPJgHCbj1+NFXewNaxNdU/UMqRMF4gCQ9feesu40jEIwj36Szr81a7nzQc9ACsfB+BG2BuYnnEij 6UZMXydlWXQvolAR99j/lAP1rb15j7J2E0slliYHKTgLxnpYq+ImyR63coUzihRAPPTomW/bfixV OZfs/LD1wqjhq9V6isoyPI1ZtjF6Ii8nDRW3bFXFChRNYUO94DxelpaAnIuWKIrPeYePreHzi3LL Pyqjv7+fY/LACc6sFbnaRqlYiBlj+muu3HtZOkpH4DuLVRmN+4RaMfjhCzJh7dWLku6Iv2i2weMT 8WeFw99Hzx7Mxz/8/eyoGxgV6GeRtLn4Tws6jQQ+J0ZHxEAzj5hO0WBVU85bb5liRBCEcVWw153e L9E9flxNNdHrTfpUDrNf2PD6RHWxRN2nicPWKrjDVNjtd1pnhCE2lAdFBnqJe1OUcKdu281SlCK9 RtMN1kznxqNlJKENbFVYcu875weqnsLcjoQRoZmM5Vcv570K6EKjsPCaJ3wY9uMR+uEuw0uqfqfB pVb+H4Z907+JRWN+t5aImgGzMt47E2Zc5yITlmF5G6nIsCRrBB7oPnWal7tZscRJNid84T101KvS 1wNS7gCbrOTs0a/HMFXEZ8wPyrY7BFU3n8v+XAEl/GKHY6PbEOYsSsjbiFfL10XjeOLKXKm2yB2q DHNciCUfSFu+loNsofjON0DgmKVIg6M4HyKRcRa0SxsfXOWUzrT3LvQ4VIdSCjoUK/O3+LR0O7IQ edoJcHLDf+Y85DknJ+Ibo9J54tzeazgzZ0V9Usr1Q0O384RXK8OIvUZzeRlJBLOffbMTGcuIDSjA ydwqCdh8D0k9CwSqIXj9PQstUZzctje56N4UynfgGjqrI6fZy+2MUyLZnChZsJ0D/1bzVAGy2904 k756c46v9wa6DM7O5THjsROoSq4xAbspIrVvW7/VsV6ZvM8Zhy4hLd0Rwc6+81WzSNXhxi/pjWhK 9wx82ift1JNm/WeGGUzTFbmbpXAySEt8zBUXMVrUzuoOq91qBofOBpG3RMWGuhBkNE+aNPGje5+X hex+z7JF18Gc52X4vdjgSZyFJOfbDBH8ESseH5TV5ygXhQiGx1Gj83zwhVfuaY4Iw3llrao5tzH9 E1kjuSEUXuVNYzqkPzYLw/g82EqvwNre8+wH+gOGiCdhgetsM1X+BoZJ/TTnrB034f5orNjxjaiF PyuKMdFmPt6dSDza1iTVlAou8N+IoIYpMkQNL3ac9W61SyEV+M0dlVTKBjGkP4XZUzqSGGupkr6f SP8WWxDQGdS44h6eD7FK3Up51ikNGHcptffPTCksSx1vXpgjjNbjCK/Awq6MP9o/kMoFVk3C8svl ZyQpBgTQBghv8X1L7gPG+i/sh3L4FrjMUv5tBGb2cMzrchgNSDSO5HmHH4YM5gXTKzlKZthE5x2a mOCsSUFx9i4y3UILZy456xWP4OjY+Av7zkr1UmcApMcev0rCfSz6Xc1m4ohIb9Wx1PTg3LCje6l4 Y8huaVxiSX/cczTQJsZuY4UXZVErd9V8yVoN6o67IR9sDlALZWRJ8aYBBduIFj8jof2kfaKSzcfx hr/VrHEyjFTAeKs8rYHYTWoYBrmuSnj20moYU9hSb2dWMfjmQV5rutIckESjqgrddEKllecRYbqm SOgQrSNZpEdpTPUDeJ/btwD9grLRVsWRPFry4qIVkV0zVSTWHNn8JwvEImfCJN92P44XM8+7/w/n H/19B4pHhIbHX0hOqpwrAKWJFLka0NaWNR/2qARmuNHu0+vk3IwpFc3UG5Lydz1HVPnsTrwams8a zrSEkWa7DRszhowsUkKl0fWpjpSL9TtKVsDRy5oNcgissmx+iCjcPZ8HM4TPeJ9ZNoWNNUal7PeG j9473tWU6ssOs6LYuRktmDXWHn+IWLojnuM+nhPWgxYIWiiVLGKkA43EJez33Cm5i3Xt8X3Hf8Kt J4zE+ogA2kRUwBLKDZT/fvuf71eQ9K75M5/mJ7MxseZ5Exo/YxXG+7+ravUZXkaam/rRywMXq77q Z7g8GI7yFSGwsdP+Mpu7di43Y40+26j9qDN3p9eihh73tGB1j0O+dReERsA5yQoJ8dnM4CULl3/A 3FJYbAVgs/gn9Ly8BdWEy/zozRcpKlN4ktq0H9Sz2e40eMJvqFGcRxKTEHWql40mXkydfOEsKOr4 LpvgsrPbyifL/8eQc0evPWi1tCeirWYHf4qAxq3rRvgPv46bMjq4rh8jtWDgepqPFT1XwiWWWqC1 SyvokqBn6TWPCeuwKCLo6XtVmUxovQMsQo4nWyoWwbDjowN8uOk9TsT9hdGCSRtDPKsYybkfbvOf Hc0uZVt1E6GyewvulrZKeHdq6DeZej+J34H8a2kSm3EasN+TJhoVFCQ+KXB+aEyvzPEE5GtOLEzj WOURcEf9QqjUouDxby0HtlwwlW4mX+MBy3Aw2j3K1y4Hjp7JGwZ+AbczSOR+zS3wzTDuOMR2rwZ3 cGaxprZVqpg6Izi8b4uQmEiCYRlNxA273jI2Mjh9vin05uS7vy4bm1RxxNuRrB6DuN6yrvrrhEDm gOAqJevCFjmTFmIf11aQcm4mREHjslLeabbyNNJpNcr9CPOKhLe0VVQLAFWJWw1leg80CAk/VoHa Wvb23qM9Qz7VqNMXcR5/Xg9X9vu/X5le0bVIWi5gJQcf4j3fQAkDUXtTc3DiU+lYNojl53fK4NXm D0T5pQWT98kC10UEcw7tPGQVNFIURMOxFT9zWuGbpnPGn4XQR1XGSUT2lLjFNyyWsvZobMPoLT8f JAk6mme5tWncHRUAw+449S+WGxX3vgEv1k9CmjUDg1WIWCNBen7DCMWtNvo3hcgw6dlUOQYoYPBw D2bWrlDeS3kSerwkzHd73cr8GXiHRimvdpDKYrC/spkpcCz57HLB9qa/BghJtWJA95hKCYrjd1Mn GuP09s6B5Wd2binsJRwFoiYOMbhrGmMkkG4TCNNIz3BSzXKGiEz8n1lG0wSYJSR8lccLm5pu/SLk VE4ODKZ7uEZW97ZNmudZKwR5FPH7V6F7Z+jruDxhnmeMnVg2z5vA9xXiGPGTigRTiduBOCEvWvxJ a2GWOhYi48tb5peNAWVVrwc5YOja8zCtbgVWxK6e+ceZNbUvlNW7kMl7ysTb4SCT0tnpxQZaGzGQ 8gTY8fh1nCKYggZ4LrlxRAn2VfXly6xxA7CXMFmrOELza6A8tdOHij7dbj9DLUlOv2/geBv5YMkX UcFUnJpl1WN1KDgqlpE5mUSckJklxrgR7NPBzBLmIQBD+X5HtLIn8eMD0D0adOn9CU8p9Ie4OFmj 1zl3yCmMAGVyNGIlEwxu31NbYmq4wbynlCG4y/BZCU67mjlzlz/6nzqm+IWCYspNoCShKyQtrJ6G DU5y/bQRJCXaV8dWjKEbrzda0/QTrXBJGCw3xsHNQ8IdMY8cZslQtJWsx0/86WtqXeQsjiO4Ejf5 o8AJBQ0CYR8lvI5lbXgqV2HLNFVi2aFHRuaTPdVqtP+71pU3y2GdOi2L4JLKhJu/PYpX4718T+WF wYB0PMtaxVGkJkI2/bS+JBjcnQ7oM9VA3iKMFPktHbPlysIgyhIaEQR6sbS3ylhrFBjP0AthTF7+ kUetavmC1wBTwBNik2tXk8qJtCHp19VJlv2OCDS/YSJKmkOA8xE+F+saj5AUD4tSkPpJ/TnLdPB1 A3gt/aryxO8VdNMqfbYzm2R/vcVoiDcbAGdGradU8iTrtO7hXydXsgiuddUgyyUnNmOHzim8F9m7 8OFG7N1X1dTkBdXNSdcO7oxW4F7vRWuFHegRU2h2Glr18e0b2Ix0pUdWXUxCPSorh5PLLuqPUut/ VCYrzjiHWsF9zGGequIQertA7TYqMacEACX6jF9UMjs0KhmkvU7vlexQ2EpcEj2XHatmHvSxKaZU a7L6oLDsuBo6r4K0Y1ncyHSo/4WZjh8VImBBVgsr98+0LewA5sPAHIskPYOU+nET1ieyjk2X9ex5 O0ohLGlpwa2b5GSnOzILnzykuuIyi4fOp2kqjfGzXh9Pr6zBKTUqQzHt1QLOvZsM/T7iSJUL6qeN FGiT4ZgW3Vu5ntPTd26zJnqDtv9KB32GA042+CnmUqq0iGHk5gn7p4PjbxVaaT8s5aWoucA8BY8A 6W+t8IR7GmMEIqlVB3zj6DRk8SuNpEy2XX0egNiMqrsWrRGazn06ib8lva0nrv8nIymz48VSEtJS WNKBw4V+LGvph8LEy2hu9LapycxvKlTsrk6StRod9ke9UDoyorP3XVzIjrOPj23E8Ab7k75ygDJ8 AyPVJSOcCANhbGv1jvXqE5AehOT8RewkdKLDibAE+YC+EOWkTOidtm1vhB4fWEcTMa2Bg2yEJCwb 45Ty+l2VwPj8cMMacEkGtJCWPJdtuOrmH88DEYJG9lVVYNFg/RBuBfHQC/M5xCIiFyVD7pxE8T/a yooPcN5zcZUT56MDw7qPxwSnH5rt8iaLoPAWjKJDMcaQB4578QtK+U0qMZgr/634/F5eaABojpUm Vax7VFI3omFdtintKjkBzOWLxrihfTzffPS5PnxF7ftKHw1+NcFKVhpFbjHksDAq1r7lcea1VH6R TkSWzpQMXq1vlqLMpXl93JnsgcZXW3qOlsOvr2MbbzAzpK0t+/lvWNqbRfWN7rLmn6ZRTYgcnJiL KpInjwZBxsOP6agL7oPe2SxIzTMtfGWTvoas0kfR1XAlzeqaw5n1WeCt6ZBaCKfa2ha6spZ3YWoD aYHFynYe5DNvWVJN8GP6ti3cBNeshlZLZZT5PkxvTPCZn5se/xFZ/PQcwAHvTpq460w9Zp1HgZzd rfk7893BUJuvdEGn6rE4dkKN1Kp2r208GHb+2oGGljQG7YeRnulmTVy0M0obQC2XWxTeRfY4gHx2 HxvVSgF/1+UG7UnS9HN/001C/OdtLRK0lD1dNLRP0T1wNJ3Xld9XQMWMthqcmfgqggMbn7Kt/7Kn rU80DR9/LmghOAbV0mVS6oYrCyX34TmXGbhGDixU1SszR09Us4burtSqYIbvCmVPgg+TlT/1LhsT 1fKCj724FEeKRMNFVFHdMEKf4oxBkISX4ZaEeeutrTRKWjV6ga3fMK7mY4sG/+n0E5XppXMiW+IR NzwWQFu7Kt80W69cibIZpWQhKDVKpIB8VD9FVfxHimMBG9miacVxXitVRHF8Th65vuZc2XB/Hnpi jzai0oq2EF6Qa3fIi7KE3YjI61VwFfTt9ZxVDthPg8K3e9+RdHD7rmlwvMsacmLa6aCmZbslHzDQ ZS36BN7zdvJSfOAQw7xzKfTiX8JIQ6zMs7qcIJeqBp0dJ7tdH+2erpkUc4zleCsrOc07iVT1HVus EAl/og5SqsAvPY+CRYJEq8FI3FkCLDBzqdlAWcfKdHCopC3J3g7Ws8bEo9/UEC8MwUU5/axRjjIp gxdWnXwyLvX7vvieNZYtM3ZipC8CTvTl9CBKlaW0T/pUcfxWcDJEQpu3XKscK/Fwd/Kb36jfwxjA vGTn1jZHUfLteEUul4Sjqr+DBYJ5Zirl5AY+8BRYHnGk/1+rkw2DmioODhad7bL9Oua1ARzbZGRM CLkg7d+KCWe3aw0sfo6AMqXUtFORKm6UJLrycf1fN6KXg+J3rdsoPQQYeYAZ0OdYTcxNJUHFFhhO eCw2hkXpLQOZLMfjqvIC1buspw7eWDX49sdGok4b4PeN4dotEVxSQkyBQ4kkVcedg+5mKtSNpQMu G4c5oDNhLaOkvxdTO38INUm6MwiZNaq4chD9xQFRHmTb2BLDrqKiC6kbG/Euhpi6kMAVEEah1LPI Jme2Lf52JzrCP14p0KZogq79Vubsn4VJ4pEZH40UPAVLfZH3T/N/Go7jfF/2OvP77b67LCclWcdq 1gEw6C9SsDtdSAYbTNuHHe4yPVCU4G+CiugRmxDvWQ2V1ACvjfETGnKgTFo3VK124a/kG1MFY+8Q XjI8RIL5y0uHpjSw7857KsFuUOFVGAc4kSFbqcYsjR7XnztAnQ8xrbHxy/lN8vhmIa/rqrhexRCC k1B4c4sCNNFsqJUKer85OEVIx0TmnngxUEcKy1LRU+YU4FkojUQ+wtxCSn8rKCzNdcNH6uSHKNS5 zZzysHf7LVnWlJ6rb8QZFJMR4axVFirVVNOIVfAO0sWdiQ8ZiGTodIhEBCBddEhRbKrB5+HYSWKP a/7ur45R6VW6w42nU9SqgFZDQTOphFL8ugwDdRUNQonsp0OlEulXAg9w9gwLDGv3OQ22Fa5gQmeb KAvNMA9M7xPAtxQrPik1yTaE5lvohnb3CDBHSj6T1TSC16zAZbdzBxs1QEeiZ640X05KYvUhGlTN N7w5A7n/VYA4jh82jsH78nXAfvWi5gMy479KGeU4BU7gmQvD6i6bkJGzPt7U6OmdLtlOvd/oXITi bptt7p8qUoE57zpVSecFXHbrsdM7M6KKN84CDQdyDfqSMSHHIN9rN+JMlyAYNWlgrF8uSReQ3Bq/ 3ujS4M5H6a0ejWkceAkQVxxE3PN+Vm1KAXLxjGfKulpjo98Dqu+tMMHCWS8eOXwR2avyzX3JyKjz rdQaAlXjKhRRNyEgznwRfCi6Z5q1Q5+B+KWU3UeSXO7Xj+xoTD6VOpueV9l7sOKUh/nASK2ptYZt uB6f+9PFujpZDYU8eT3I6oOb0Ru9Qrz/3nI03z0Lfi1BvDuBs1isp1JudOXyf4QrhqBkSRbMOI5D Px6LUL8JDNGbubppNiSTP1s6DM99yqnpRNSRu8TTbWDqOIGUlvMNNUwDZq7Th5HyTJbeKDNaYGes A+xutC8L4BF0AD57s67SYpjlwGqyVzcPT1kBXwQHKZcY5+MTrAN4eLlwwoS3VXgLNheoqojJZZrB Z1BPl6PI2Sq6W9CJamK+iNnRaSgxP9IBEw9IsR55/dbPUcj1z7HslGmtypKnUMPXIKyqgGRaD1kn ryDvrkEju6FkLrvR6N6aXsRfrGoFHuSrTngS8A1yQFp7dEo7cvnlRJftvWpLoXLO0D6XMZxLmGGV thF4RLwH+TEnhOW3Gav8z+eD3ZiXNC48PWjxksIUQ5IkBujYXIOH1gaQLIhHZYFyxkiZGJ3HqXWG l2imDaCYZUD/zQnOvxzf1mrD0bRX+3qer+q7oyY6TF8SXrIPEMw2MEW9PUQ4KzPTXamlFE47tn/O l30+SgBxEsdSE7Eg8+4iWr6q9a1ZfJnBvq0ttSWrCBA8rBDQ0vg5bID4UXRO79TCSLT7v3s1aSu2 GCFp/+dpm74yew9ch/wXBND/5QwfYVsqSmqn/fnf+Kw7tkgp8ueaSYVHetn5lp+hp66NLQocuIB5 vn0EA6/cBllNeUpwxAd6vnLMDcPe8zPITRWqPCuRELnmvmJYR8MIZeTerSB2QsbCwqXCGC5+Q691 Bs8aDyjJ2lBrF9OPgNJx6f7JQSd8X3qwIhk7nvX2SmrQjUXf/3l9IWMVNXulUOvM6hEDO6e+SNum cfAXHGTPV2LF91mUhbHCrdV4g1KO43qDJ4Bl1BsahfAv9Hbct0Oej6mZi1hsan3rrObgX27ewGJY Vt62SUmz3t/54rTgAJdiQYgiTGrNdNxaAWGkR9pBi7oaZxj7N8M+oZ2VusrmDWBWlAJYpbO5JKa7 ILPTf0ePbpL+t3uaPXFsZdUHlPQyboAcmZ1hJVLbbYY+t1E3CmOVDC0GiUeRNr2buV83XveKqpf1 gYdXMX8RTwjDfHM4DYolcKKQa6ZlipKWtULVdQHSmVGH3Jeah40N3xTHwdO0duRlQQKqBG6ITdVu BKa7d1lvqiNsNJ5fUwQZ10S7djBpxalrZ7Ipq2nGxSZN8pO6nCN63BFhws7I1uQPLMHUay33rK/m lTstp19dGw3BZqEPSHEa3hTdNUd9DIbla8gc+z+CZva2Lpri1JJMsVHVBUWRJGNhPCImx5ajFqQT qdV/qVB0yIxQ5enjeWg7ki0mlx668BLFiN9oMbpUAVOkUA0E5eZ7mBpp+4Ghw1NvV/JoS8BmMarB jhWo2ZNq07hj9gcc0teiUUwsJ0eOgM4lcTSHFIfzMfdmqZJZQiv4PQQyToMhmo21tSiAHqq7LO03 s2mLzMmlLEOZprHHdn3Ap98YsFYl0F+0QmLmWgEnY8Mfqu5NXlHOHdFWTLyNYoc64eGFRgVEVYNI 7aXIPyBIwfl0Skr8afwYnvgbzKun2+ovkYgWBUZdc9TzGtannqwqn/LcHVLDwgDlExrypXHkDe7O Wr0JUV/YP1W0X2tL8BcWXk0QRmESi/45vUvJdMfmETUpPIOXlYMzKl6kKlOpHEOfi6qnu/am92IN vh3LF1yVHU6h8rPcnjmimekWBggbOdPCwnWWY1/VDuXFIosDcu8zabQ2QBdeWZj0SJSContd2qTr vHhktERpfPiUg/EpOG60ghmafn5jlUci9bcIYX3OiJBxuDGzSJKwqOB4RYHnoCGM5fSqUadIV+9S 5gDg78qkn7d/DUopkPk+vqGK07OobGDKhvSjk5iqAvH0a6hER1HcJm/pUGjtqomrg25hr2Sl/W+Z OYzQrLPmlQEj5u9F0i+D/FyYWQ+0y5fjqF+KiAfnM5PUw7JU3mD4tbD9BMZVlGRex17aD73As4A4 sefv07tFNbbGOooA4wZeu5vly/Tsw1JF90WGw5Gz2iv1BBMhMcEDUJDtQZLFrV3+K2ZYKVuJK4rt IbWzoZLIm9gSzb1ExW2IoqrrdnpLyW/RiQENaEPIbN15CsfqqV3Eq8xqzAbBmms9gc82x9e0RyKn /NF5lMAXJBStcDRMfiql2boWnGMlowQ5/fUBM4Z5+KUCmUvbM/N91feHykzmEsFAxhBCV97rFj3z Xzw1IMuyuzFhcovAFimH1nWRyXScbcxFHDvRP4mQJUAuTI4l3wTzGkuLDl45ftaFUNngBcwGv0Ka 8ISY7wwpzfPN63tBz2QoF5g2ismsH8k9EV/BFJ/zifRUKkp2leeCpQqiq4QRJFTv9rSPrrPXmZPi ihkxgM3bkX9DsqBWfzKw3H5t5+izEL/psq3eSR8BaxZrfaN1T6DqPJTNQufHkXdzfrArWDEBSpJ8 udtxotpfM3oobskDHNwyLoPWeu0OckIHIK57Nhvk5PQP/pDnUuGqkbboujTlg98yGl+NBQ2ZLKNY tyFgbf1EFvzz7XoPzEn8YV4HZNHX8o+V3+nDmBP0antHfOloQJdHrQ88Ycfdfe2wQwNF55h54tx1 Im/m4cmXGwLgsXy+796votaEocfxqIqEcm1yPu1QH/TI+7j50ZV8HhZwl+EpjoXtVyDrVfQE/e5V FfsCd2lhbNqkZWHxmUoKyUJol9zS+caRtjB3dbTeRhLXExscCCuCHWOm5LAi8Zte415u+G8zsrKo zdLUHLd/JQuVAWoKdCiycCRlfiIkhzHsHfJFVdwxTovCaL0daN6U6ySa788hnt327NOL4gUOE8GM 4PXW1VECBxu5EChaKhCKYBJNap5HfAF0PTtd3MNTvtNhCxxgWKaVkva6rmpjTY2/WcHIjxzttUJN S0Wnrmh6m3aDriwEV1E1KvpkcniXmeCmbeJiCJvxlpQu0KUyq2kq0epT8PFmmz0w8HcLflDmqrq6 6QcnPS+Q3jtmpAb2FSZsfq2KtKYBy9tPL2Cw2ggkp3bZq8Hs643wMTb12NXdpjw/mWHUO5FTs8Y3 OrfPdOfjQMjxNYAsoz+P5+gj5wJWUsjyeYJT3qwJN9bbZf7WDHcpUl7VA2N0UNZThoF5Pw8yE/pA mxHvJCXp6+kS588FTfWEOYHe8m9uIdVV+qfd85PySynejwMUPGu4SIuv00pKih6tgU+iud/GCUgV qKOoMExnbFZBVLPuM2v189ja/Snj07Kxo6tXUO6kW9IL1ftJLN+8K67PNSSF+TPEJgVArlrvtalt /7ZVuN5xMBA/O2b1r3IUoGqSvcB3oqKU98D732OYF1Vzfa/UtkdCz7AqucER3K8koax/8fs2mixL GZ7p1nvqFmnDvPnfsCqy3YHj1gyU16wi3v+ZAhWS7/pYjsQ2gAkaAw28qlXBnYVKclPmRv0GMBdQ cikxxlxnYENY4hxqIPSNL0J7ZMbkBSjS0et1cXGh6ekHHRGU9JY+ZVb8hZYLq82ym12h1xP51iSb kOG+XGy7ivnq5yfEn/8v1jCndegryC7uSg4g/njtu13tUC2ZdBXYga28vORkyxOvixa018oqgynl Bz0KQ24+PHuS/KpjBTJzsKfQhJFRqCAaya+F90tWv0RG/2uDCQAIFQ3+50OIh7/ed2K1WRcl+2e6 dYujNeDqVuNmx53oiWXVu40c8AzZvHzroICLfpKw5zvWP/msQv2c4HxpaZx12hL/0y0e4DP9Z0PU ZETVq9tOZzV622I6Es1XaR4g6zig3ILvbz6R3I1k5RfHO8EWMqLWQfg+Aq7lWFnMTJteZeIu5pVZ kcB8Sliv68V1XQDutjRIiCoP3DYRkH9pX2O+W8vhp/N+aP7qv/pekcO7JaShEpE1MfETm76PN/nI 2Zc/skBUNZpGmx8YqDDrH8YqU9FZzPChkRmCQ72gR5HRCEDuSRqf1r6WNOQ7oaE9RQhN+Q2bkNjJ DW935kjm5u5BFSme6uZZ/7CJn+5J+iOvf+XjyV/yNgAZ9lxzJEqSKVAgySTW/LQS7dSooWUXHr6x ZVOpNq2gIZB7q1SonQYCSVp6Tt/ii+GFJyVkePEkSM1RZqpajkoysHUxiGpJJeAmgRsGOrisP7eg cBi/wJaYSIlzPcJMM18p/rMsxBbijJQl3gr/X92puxFdY6d1DaY9dBK8noJdiPEaaQ4/qvOGK0EZ +CPrD/F+GFC4EqCf9DACk203LufCfJJW1RaFuQSttv8XF+2EtVaYGjkwkg1W8z+CxYOAgcicDnX9 glZskZnMjdGZl5Zet+DOs4LsLBEhaIoJzlpEeN6e1RWnJivi/DkJ7XRhMSmu7lt69KAAxu2On9ID CXOWDiGO/g2vxDzujYWlV5vLLu81TOMk3/iumGLUt53Kj3LPfb3z2naSzYXWI89BTBqgWFsApMRK XeFnwH+v5hxIr/ctH23eRJ8r27hIn+iZCnBv/+izzndYLzsPNCo0knpC+Z/Fc+hdEATy6XH8TNh1 R1jI2twfQtnNyWDLhDK6Ly2nLNFBdH3TApS9jZa+Vvy+YSoqtEq6pBKQgYauvoHwpBm2KC8zG8wI 2isduB/1DjMoftJsz+EHvyGFvI/xdfB+zyfc1CXngq/x/g8XAmW90eInoh0D2uD+4DbQxSrCMScQ ciJUCIL5CYjT0Af8KGItaDk3tB9FWT8YADUkQ2cz2zAwopnMKRI7eTeulV7FYNO/Sm6AuVni7BXK OP9wukU42ePYW1nGeCxWVEl9uxUNkwS6gT6Ryd5hlI74GjmtUvJyZlpfSotr+rcg2rdXhqspEpyD k1OGk8hbipohEDlh+HrVUIr3md4Ui42hG2zGLp/kdSsg1FVXIHuHpT7LCySsdPEHzDQU0sQ6CzTI YswyfYKOI83p1KYbgSAe1ckj6vhFRGoqSIvvdGgC+ykIRrXCRkrpKfUvTm9wrkNMsOUV1WS1GDso FuSATAkqddMt3Xi/FwthcxoNuAJzT4izJCY/4gcj2P2RnoT5dCPiSbEXV4ilwE1AdqN2hp3KSh+M 3/XI1OJFGnILT5s9+30IYw6H8dRb39RRLeeY1SoUxEttDlt2+udjEAh+PC2o4thRICGoDHnxbwVl unraeSsbDt6B2DEMMnWuVLPVIptPLJhO1Z5QlZtquT9IlulAAFlTtPdiO7fkWhdjGwNbzRnwRCFw PpkydQyVdjCO8HhiE6+HtyTeoF8sQ2t+g+CMu8C6xHO4y13RWX2ZCIlzpGvYDcG5d0KhfRJJMxNY zhh1uLF3tN5ZdFA3IP8LO/D1HEOZaeM5EltCLuzlEe1Q3duLU/A+vXGfbmpsFtYr1q7CFD1vGC5O E4VT81DaaGIKepmaN83SOVw+GlDfYkHmlrlxAsBo/TbBdSmNMZlkDpWPgeMEdHlMSGSfPDr4LkpB gFKCTEUGgdFN39eVU5Q6DHHYghU0e7OYzfYRPOJLi9A0h0XUMm8AxgYAKONF2KtqGRwFds9jKKji 3FWuGeQ8fhZqNT4JDHk6yiX2kOdQT8/FtVCMVF4rlpCxIwYi2FUvl4JT17spKGAY6Idm1u4I4cHe 3nrp5+y1V0nd2/8yIQdfVsPfdt+8HWhjt/OylwBtaqbGTMSvvLYz6PJ6E3eOXuLxuXPj/GweQExh MemPTJjR9waH54w4iQgSqco8TtNfgSWzZQLwPXifylCWPPZEsRtlS2DVgSLikGvmrj/YogWHhnTJ gCPj3RKGQK4pPyJjGgdubFzA5IEEPVumZMZ/yVZbGSBT8mJVX+EhxV8ELfvocDF7VNIsdmA07t4p k07dAZFcId9wwTt+qgknaTYOBYCJx00KgO9yHzoKhiL4e9O82rumjWxJ/8g3bJYTSlu04Pl3CUXr ZAGR/LyX0vVL2/2BDTm09ILAdAw6j5J3hRaRkTKwi6D1eQbSNONoUSN/RRXN1LNVWm4odjJufI/u kWowZaq7+SSu6qkrSNQjC+8cqnw9JXN5ZZcBK/f6a1ZAfIMpHoL1UNOEE+5MRLTr3QoHW0McuDnW 3gD1RtPJh6jVB/M4LCzziwvvwZQVTfPkwkhEeoXLIjnqkB5qHKPixsdGQV5DaVCx6CUqEAyoI1Aj PsHyjUE0plQKB2YEJbEhQnyZnk/SlFRcSScT4kg4JKNKIJ8CnSRcmd4L7j+xQbM9gyuVDVc/pr5I Zun2RUYTJ6AomWzY8/YqY/Ls4LsMeY1gkhYD+N8KpUCPGnB/JpbpJMGndj1dZIL83RDR9z65UtCz GEL/qqibOYnt1ozrn1bNfCBWa+qZpw3lTuFG4YagQRzLqVfLt5k9QdGmaxEaClFcKRJlI95YCLWS xJ/RSDRX/70hoNlsHc+uuwUO3GG6j3kDtDG3hRC6UUPALHxH9qc8Lc536PfnPCXvr5YQHIslcS09 IUiCG9h+tUnrXlM6Oe5CgTjs98mBRBjx6OUW5kstM9lzXD1JHl8knO6Y4UASiMW6khUmWcOJ4p1I fefNJva9g/Nvus8CUjfaHTaez4WQoQTbMnA20Fkfd110K42X4OiVNL3PnDg82nAjCgpoVR+1Lhxg tVcJZJSgXoy1DyFJfLh0fpTQLjFIAjjdsFwlW7DyN8EWcRtRqooamHZjYi91rxiMVCiKn3mo3VrX u26Yb1jY3ABlKocq2YVNteSREHZUyXyxxruFJeGAwRlE4A7lkzEg8nvDP/TS2mBC3fEUVbrnnnZX cjXB/xs1B1xEBYXxpW7Nai3NDKTI5dWZ0Ed7P9ekUJgtaqidHzeBhkCjLlO6uibJTU9wLsHNDveK OJwsNRm2Ghhmixb5BdUjg2BTNXgIItB/0fY5Vbw4hD6/4sYRciWUqg66fJVAMX2DSI5MvPVf5dPJ 6dvQ7RUrU/vVseK1dQFYIbfXlYtF5UMuMRtjND6L++3iUXulrZ0fGHWUdbaJe31fDLyH2liHlF6n N9aMKGCLUSv5WJwDhPwDJ2Pwp4/zAftSVMAe/S7zKGyjrFPOUoyQkZ+Ojw3lLIlwX63AJctfwOe9 5883Iwvf3d2NQkdZlmN+8JIkmY8O66oshLAF+iiWsUoCyrt9kkKVcJXJe14C7aAJHjcKJJx4Ouha Ew2uWEF31ky1Do4mdco7NtsFSTKJXuUqgXvst0pjdmnhht5yJoLV1vr5cYmCxfxFFq27urVh+9Sr uP/dtEB25Cu7GdEvRPs6vQ4iIkNlJP/T9ZaM3uJne/2nmW+9MLUbiN71s2FhgTGnRXBeFeBuK4Yl rl2/n83SnknYjMXnJVNZ/9Pe2ZcFWmp7bY24qvNXVc4WLBTjayNhMXKmLHDSuMYBDPUf3H7KGCfK hbS5qOOT39x4VfZJzNhRR/PqbjpDUkHvJePseRkaYhA9Iaf/Snwxi5huSVxIAA+ZtLH8XxxHjsAP 4TzNQEq7JhoVduHCSsqYjKncPgRV/1dpBEbsYzBPpPj6UIGHKdVZUOvOClC1OMg91YMxPxxNvcTo +h32t4XKxYKMHmmdYhU/ErmiEnbMLkrCK9E91np0nKDJFLytpyvJsyCh0+dqkeDiLOnbHe3ysb4Z VGONGq1Y1PUWbkjVqaOtSzyqgPAjeJdDFenHdTY01lD3dFMwGNLW3Fjmh0o+jurmeuhA6w39+Ml9 Si4Xdx0gBSGnwrYHVDSlCDV1jFXXCQli0S2aNkG8cmXpetMMxAPvjE9FJFo1Mqw39STfx99Q3jeQ 7uXMg4EK9h8n+bGr/mFAypjZbuPMTAngMYcV7tYAgZt8+X66+pZGQzBVA7mFHZzy2q8QNp58UnxR iyM1DFwLqLd8c+7IxK6scq8OMa5AJ3DQEF/AGU41/ie1elDe5zhXOxwc3FsYpgf5+0VQ9MT+BM5z 1sURlMltJ8OaM8yl/uhsw0yQ4eQ8klVby8kFqBY1jVAQ2EZNjQ1W3cgV+9lmn3VAtdVF+GP67rON pZpdOpxjJP66oB4Yw/fBWaZ53Isp+IKwxgElXu0a351+W1A2UgcZFGqdz2MR5czu/NrjwBS7SfAL nFFBDXi3Ke38YYwyC7bClGD8feZGUYBA7aXa8XdiAbnZvO2zZ6hJWKXIrB7Jp99qUCN9h1Fmdrwb vLOrcXqqBDUsuUDvASC7Ohu9Knvt2Oa5YBRiK5X0RZ8sfLmV6cNAgu2NNDxHLdZOyZmDCDFfL+og vSg+5NutqoZ4RcIlZbA1n7UmwwA4fK7XXjU06JBroXXxO1FaLVs4BQpw9QZmEArjkfH2m0bUbSXz zWg6P8eR0Y6WUB/CrPyhi6xzM0CNPRY3/XBvOROXf9B9GE/kyyIGQlkFp6S9LrjP03PGF2/0x/hI 0vmLODTMifd0V+e5S2TWQgPG9BgnfaYM83emKRPLDcnZmQMubFaEGcOaNzVpgOoC9lKgzwSS4Kcq sW+o95Ibft0U0b5vYWuug/rvThleKSu8J/1/GZq22V1QR3y+918sISJKIR0qQklx0ZwqeH/+XF7t 0cyoyCbebGUCDWjCj6PFOhCijbBa9rb7QEFdz4azUJuHY6SVkfJs6vJmqcXnbKVtT4rc9ASKOmtO urvgVXNSpdFKexTK/1+P5BlrVoQX6lqLXaUkBfmKFzGuN+HwBJ4X8T2DApexeXRNJte3M/4iiIP6 XCsePw0uRD+Ed9pdpBtbHU8kWHrUhZ0hfYDrxXtK1C8ff7khvlgj/ob6KgjS37QBmEAqVTzJzoJ7 baQYYpfHg3XQmSDbpFABx7mBjuZSRQ9kVUlM8Vcerlmm7UcT9pYtXLkY8YBniW/hW6Rd0rwMZmwB I5RwanQJnY2eb/xibh6DMYJkU45bDtXY7BR3Zvf0A7jxHdLHrSLfFZxwqR7FiTkfOM42rE6Wk0wm R5al50UXssRIfb2HBp0gWdmJu2QbdOID3Q5l7PxSVyMkDGg+CcMUllH3OJMGZ2vJeg+/a2iBA3AR TDDhTz/v8ACvefDZrEHMbJIb1mkO5RCVdgZ92HTg8Hg9zuJnE/+APX8VRWvzMBkvVHIcZV4S07I1 Jpyar5Suf3z8N9MYreydinXkc20lRT4UyTuP0vRZ3N3Zyt1tYBV1XI/BfZ039ilyoiWT3dTaUYjS UH2H1Xsj1s8A2VZOtex75GhYuTRXUg2xLwdSAfxNYsH3zy6x919kzj8OIHFz+Ks2JK0oAb7YPsVM v8k8t3QmOT7tRpxVKmRxn3KTgQlwLcCZ1i17WUF6SqqggJ/UYoA5hjaKt85UwXfbnmu4qCeiH19U xKU7vMROBBzEpHyaDtqFQuShKe3iurmPa9bmdxI4mylZtlrEFE+AE66cFSO1Ri4wguHwLJGDWX1N XHozdvgmGmErdt+YooYVJ3cCFkq5IyW2vE0Ljc5F+16eACCUJ/C8Yfb8Gux6VmCM7diK1w/NG1Dj ej+LvNORrwleRXq9oMVVwBhmaXjAQYdHXgw7zxZAKG3jBZ6z77zjo8p2cpsxWJdXAoFVGJynRMj9 54197R6o5Bon0yzlll/9c8Ercf3npmWT317iRNH5JgAMOlwy3mnr8auV1iVXl6eO6hfWhHdTc1q4 kqlh/rStjDqMp10OCRJ/ZpmJ/lAAUQrjag0ePabBfU6NBNBLumXgGdUh5EOiNj1vQD7/MAcUt/KW KjEIbWzTf5GHzRIgTIBLhtlWX78YrEG6RTdoFZ34l+sSnkEKerBBiG62TzJRnuUVYluvoD/8WFRj qy5hyn2acbR+QmmjZA9iyPek7bD5hO2ZSO4mDncT0K7CVHEIqXfzfdt3KsOcdmoZe9w5MXCRmFTK ZKsz5NvhPLkApR4z19mbDH/GtxACdiL8ioGxZCgMp4k6TCVG3W4Xnkea5Uvv18ZXRAkKMgLuhpDd fUH9Pu8KIyEAvPNsA8yEfzaH/Hq+UK+4yZaGJ5TIKIeMZdn6GhcIGxY9Q8MZOxMauCuyc/qdbw97 +MWRxPRnRejlyYQpp6w+Nu1+u1bgJj0ZFhATvtWHT009kSKomt+aeuIof3Cak5Z8Y4EMEibzVLz5 i04HdljN0oM02P/DBXcMTBYjRP/977+XFbsrxT2VTVP8/yZ5iHjkWKOKcq53Cntj5PLEOersq33A sZ5jSXZZsNTn2xIkJsSK9l+lL3DSTBIItfizVUIUHV8IqntCbGDUJ4pTxg+QNEznHVgwmzGGAkom RznWmEqbpvPbbOmTNrUCiZeg9cr8idFZUGbeACOlRsrgOAn6LJ+zt33IdIw70+8k9x4EmP4KzG+B 3TqDhM6uwWMrqeSu7ksp2oIx2Up7A4dzAuZalEjgSqexEiriv3io/Dvqk2up3/GbhPVaYnJLZJ/E IKflX+og+/lgw1g2B/mNx2ppf3uJxaO+x5/tZBuCm5OKsgMKl89FoMs1b96yyq3PRNhIIN/HOqkv ZhhW8lmg/f2z+G8E9MEtc01GZ3BRPln46ipwT9Dar07X9TFqQ6Z+gdCNhQIq30nLUhJc0+caiLBJ vEx+BcX/v4qQhbXphLzbMNHqHTyx1nIkfXJ00nmV/efIba2ysH4xqddJV6zjC35fAnw0SPg3blJN K88+7Dviw0uza1dXZFrQUt6Zpa73DBUjMSRF/vE4w3+04yxEdjusd2JiK0+F8G83s9woKNCfhRlb wHlu9ENmTjqkVTWsJBKrZpuoKCY0dcXWJIZMDvwQ1BvH9xMfhGT/kJbdrS6wiL+o5EkRWHM01NRg V0m/pUAld4xqbZHLj1VbZx18ZCIkey6GB7y8ZP6mvSS4+OWB8OZ10SwUTLm/571dw8dGRvViRBJn vdrn7qgz0O5H8bl6xZCS+qi55Pk4h6gSKQCTjgjkNl3pGmJALseC8d8AIL4tRbS8E3ZKKFbxRxjY oiCZwpH36qGdTiMppTDPi/ApuNNGLtstIYOJux69QD3Jgg9WjnwA3ERSpbii65uEyQFQfSJG4ait xA8P/uXbhDLKZMEk4r61TOnSSewmdSLAPlZi7A14jk7FY0LPN1OvcItidKYt8dsqbDcnTcV6yrjP Ge9df5yO6uhkk8R3DzE42yJNFzQ/80JbAzI5Gq8XzeoudS2oIk21noP8DE3+j6rsocTl4zDXfIE4 Qa7kz4k27ftyQZFlESK0VwdlWrUZkcm+/+b81dPsMSzd73ehqjdrb3RU622LydpRCgJf3VD+5MON gzjCusOl7uuw0GmC6ZZR0BgNBTE+X+iVeeF7V+rnYoK3fTLf/US8WRU1Se4p0neR92ojEUKpadDA rcSUcTh0Vw+AJRhEprtDNs+E/Kgk68vmfAvEk+ir9FmaPA8Ddo74hVrCgUxYsbEOeYv3ttSf5Vtt +SM8ZYJtE/IV1XvGkj2HpF7szyp5OU5cUMF7vh0RVs4/F1Co3VBmIgfDv2+IFrZQrTv9r2hguMcT pEZp+Hy5o//axW1qYnoM8jUoVfWrMbiii5wYLgGJX+0cRQvZCASBUA0C26uSeZnPXBNq0Ssu/gCW +swjId8+W7Hduzb7nX7pzaNAnZD5r89ujyRTqBTSoDWzlveVdlGANFQdDsPQQj/QTWVKL3Zjqd2p jl0cdCB0P8TLqdCAye7gDSFX9wcQ7xRjQq9lKkURdOrza3wJASsICfJcKH+atbqom/rZoOEfHXRU zE+W7WEtMIsxhEsfkqohy01YO2W0J3uLn2NKlpPi+S+39TXWn91W/gogv28PEH1cni1kKGWdvbbF rdqopqleZVvOIxLJ1rS+zifhQcLVGT6PPSLxQyaWbVQfNgdQKNQixr4CLXHtIGBEr27jmngrGNg1 hXJnp6JGHq/C3+/NXkY7F32k/ChPe+ddo9l7iCdyjemTT93Bpz2YqJ2z/MI40akPIjqzNIcDe/nK VASgJnAGEKILItIYucTNCpPKZTbJ0C3fynXsIQwb6y5fGV4uIA3mGiBB96LglxKE2jsaiv8INWYV zFPcDq1EH698VU6hAJLmTO0XcRd2+jvXBQso4MXJ6lj/gYlqioAPfuOGTPLVuUvafiBhoHCQZf0Q 88q/u8pcJLyp8cm1Clj6yf+f5LQxNe2dAcZeQ3o1ozlr/HRLH0oCCK4FpbXzvnoTvLEb9tvrqCNV xUr20ovOk9cK71olsAOW7aAyNnAMOFZYiJWRFTU0J0RKvHH2mioJpafsNh0gdaT2+lMhJJhWhujC zueZoDPm8pXFz4OFBPm0107/xZ0YrW9D8zi51RH/figz/grRdnZh5jkX94MBhJrOp3meOORZeb3Z ZV6zbTAlk8Y9VbWzvvM1rO10wB2etKtyiEhMoO8uHsATSq76GTERVpKDGF5qtab2tZmWoF+BD20s kwt4i/49tVaUJ4uf4peV0yYuWFoJWFurLhHEpll5/JOW9aKujhm0dbFYshW+MwL5XfapNwpvYB1n WSo7O2dbgZYR9ZbNAKV8YR9zdl0mtpI/hYvmuLsE6r0mHG+D9F96+G/RFXadBbdFgkbJUzhJfSAa 0iLzZ31F+0gA6HN5UHEXO0cmPeW+EKET83Rwg5nJAixyaKpldd+pDW+scwojNwBmjSx1pYU0X6I9 ibxyhp6MOHQzlLyyP7cqBiv+eCQ71p4iDlcxyIEpHXSlGmpjXoIuANJXBrU8Ufee9BWezXvTk8WF cpP4UtE7eMiAggg+DKZdmIp3xDDa+2e+IkWeLhyCWtdgvNo41WmE5dr+as2Z25EQgevYAhPG446q ZIUApvW7W8QdTjThEm31Gt95L3j0oa4wKOT1u5d/fcIdQGeJ0KXB6cRwmPPykoT475ax5miJtTRs 6Nmyno7k58k04c9aG7/jgmDdyxgZ84HQ+Xp2UKsGS97dOueBt6CcLkTu+V0D5an8ieyBGwNdqm8x nkYJPnPKfTQluDbKej9IyWlx8v1AnABQke2/ZIfDyy0JYQj7k5Y2TDUePWuTymZ6XNu+N8QgaUKB CJmcGELF9Z6hwfJJUPg4dytVv+1x23SwkKM2vgDBPBY+VXXeuDPOLDpkOqqtD+6KEKmn272oWKhE ybmfcOhgZ/SlGvDlojVo7ddIvqDhwhS5QjspfC0xIsS6zxuWWjKiLa0SdaPmRk62ZQMoGaOiQKB2 TfBLq1pHesMNbFR2UcLaTohk06AThounduXeW2MLfwyBfYvlXCsQ4SWRwsS4PbHQ8GtRBWCwauyG hhmhgjgwGQj+9FNf6RawSMFvNUZBL7rDmVUQIvOLuAnRlUJjfbbpVWvoNyBVqujF28b7IhwzO4UY dfm+9ipCPgjTV8FObDNG4DFvQ+gCtPiRgp2ugCtTG7sZEJXPLidHKjOxXNxyaX3T8FhAhUwpWHX6 KTQPWcMVmAhntzCV+EVghy+0ENdB3i94rhTqF6o1AjyKc+Hkux+dqjacqJ6sTjitA0TMz96M80tI fqQQfjMRndjQ10hDzE7EthtiYGwd2x2VTirKQukrbabaBauNizGUC8V+sizy+XaWlBR+DFc4CIDY GTf2ZDnuqL5fWoiZ9N296gJFUFZYJE4x7blCEzzbeKWE6cTDd3yNf3nsBHi+5imlCTtUKIgSJOCX u1ZWcL5/SJBTxHFgbxIXoNba+/pur/KjEulyHdaJqARbB6ipOTA940IQDakcs9gRpzQTHStnKttI NmAPg0Fk5M8gDJ09AFbSsFALcbct4PspdeETKJ8R//AzAAqTPGAnVtdww9Z+9M06UimsksPxu1Z2 bYJHmLupE0uY0AosA2xOagRAX59ro1gX2MQFz1IoKZRZZsRdrYVD85T7AR5wIA6Z2xqKeJuhGbfP 9MboViY6WxeBbuzEAjDxUn8lAY3NVI/BTrh4h4sfS4D/5U3i2ADLELYUYV3Yh79/+aIqrqoR8260 x2x3T7YhOpoZacmrz/EdH8tkXNbMidc7Db3S58gOMxI3pkVfYAllGMsyqWYDflpdhPv0O/cthrsS eULgxuANYDRxGImjVH061Fed/OMvI/e+DcehPLvpRL8ePs7v8o74Hu8ZcJ+I9NqaxEnEubZzH/dI /OEyA3mqkug1VkLwZBc4OIYg5vWQ3TltXjXG4hskYfatRuxg8811MJfpS5Ys9aASVyzEE4FEPqyV OtkLqSgjMYdRj+0AcB5v0Pd4f6XfeQ8muQBpeixg0JiXCJX4fetl/vp2sRF9q8pIR7tk9CR/Eezl BGCv6e37bCXkYier9fVa5ZihcrhX9jaOxH1KqToEFJBlTLSPjeWlpgRESs8ZNr+neps5gglDX4kc DZitOQwOivPJLh332xRDgM+RGm3hg5qHo+wsfldPs8vOUkQAJYq5g2C3nV0kOVFrxVG+czBVLZF+ ULI8kqzn5wqgj7gqHLEwjIp7RhtEkiLv5NrD+a2QCo5aJSt+hlHCczIJo+7uWd9ovNge4A1HAPQD aZ5ilrkdIx5LQ1vsOcMVw1jPmfM5pfoAXHcpfOnH3jhMRurkbr3eOFu/GVwnvRurfehS4oAsDHje xiGXenWcAIeLWkxvO5+/xHBExTDW/TP/ETN+cd6Ff9IYKhrj4hswnASwsH7NI3zqtMd7otFuFmdd A4sc+EDecOevTXqg1DUvi1y30FiYeKkZH7gvHiSA8Mhmln/9ERsqbICUYX5cd12tuc7XULqPkY6A SaKIcIQv+6sQgY3GsqDqX4bIdEfdOJbEkQnkuE50gN5HpK02bwWsoGowr78qiMME8nA2PeYiXs8J iXYbUTM0oCdDVPZUpJR0eA0LolRsSplIW66wvR5te4u0AFtK1acgSuJyvG+lIP6kBYhwq9a2cAdG PmM/fEq9qnvtbjYvpvI4Dozba+xLVvQBIDRYQPZPIvKDkJBO1PbvQAFl6wV53eWlWWopoq/et2pG a7FqiZM8l62tiIJNxw8OWfxhYMdY+PCV4GQImwBGZrD2iwBaiIJ9rqFtksLTZAakK00QemPb97f4 wzdEJnm1eRodbpNMSTrJYzWFofASKrG15lYW7LL7XlDG6Yww5QEdimTu2zyddv/AfcPDY3bM71Pc 5kgj7bi0JRazwgFX7WcuGSLAia3+kU5wdcTHmTDWcywMKYTiMWd1iwrCEcqMrw6hg9Vpw9ALEHWK Iq66I9NagQtv+Yv3ZzcUOhaurQb1TjB3QBig46l0hMp+tCmWks35ksYiO1u59VX8eyAX8aDYMUm2 Eew1lX/DFbrQmmSYJmpYqolcK5ozHIXNxjHRQ/Cs79N6zz7OKD6x1DQJv4kD44ky0gpj3xgFLJps 7McU+WkJXwWhmsyv0EC+ooBUBvWqsgdyJmsC19499H2azdBBAsERqXfxSYjH/zoKMZIOWKtgf/jG jVgu1bebIfACJmq9IKK99k8gLaSgWorvVUrvcvY2l+C6sebeMXlTxrMvduZ1KxUl62jvrp+x+Fcl NEHYRfta9QADUipPV7G6o/b7Ok6nIQUPPbSn2sffVF3DAHWDd5v3eWXjSIhZK/hamiG4AeuDYSRS jSw8MCt6mHRezulzNPNY+H2svmFYJpnAKAEI7cmm6aKeHv+V+JXeyU8e+kjvEiTdSH+zkPsScCgv I60uL1Ht5eb3NA5Vf3LAzJsib11lgD2d7X011JPYI+B/FNN28+1bUsoEPU6sxs2KAl2F5S/DFNn8 eWocVKlshgY8Hix7t7JvJrPHrV0GvxDiPI71UR/c2if8yPbc2nnLIQpborOfG65g7qOMwYRd3bDG iiXQdZ5v1bW0PHwU09HPUk1rCcY1yZfIAqSJ/ElxaHDU9sbkmOao4UxsYO4DeIeoCdcQ/WHfAnuQ xXxUNug06VAySS+b3rot5QHdSbGY+UBUpgR8y/WksabapnHICuKbryKa7m9je/G6ShdSKnSM05v/ 95dJYs1MSuaR3pqFQ/Ln9oWWxrZXdWJmAOlftzUiKbV2i3JQIae4ZH6eTf3Ya4QHxATcXfROXyaz GRuXFvj37H6+HHFZCGQg9ikfHBeB9plu6584KlGqzZpyzejMCQ5VYphwXz5tnp0hDvWBvNKSwP2e HKJBHThwuBo3fWG/h486KkjvHBCzZUnOHQbfVbX+huBekKkP7BdmMsBElQ+xkcdpvb+SnfidJdw7 0pUG7ZMR4S0+1DYkAT1aZhCoVfnDdu6I0X98UxopwJUQebqAGwkDur+tjGLbcadj8sLxoAobY1Nc Ml6rOOOn6A30Gw/CPE8VztYZQrV0FvGG+zixXXA3ncFpILHXZqJ4ixrVxZ2vY4jOr/r18NbXxSid 7jZhVHeQomP2mU0MYaYZl6d+rqTWYVpbgnWnOFojaHJCaGblko31BSwnpXwvWeP6UUvDZ0w5sRo8 OV75EGU66zCHC5ZupfLCWQeAr64IZI/Qae30rL06wNVYZs18iSwROhM3my/9PubfbIC/qw5IgLqu 0tbjgFjSoZe2bGDcdznNuu8UQn+oiXp0O6HDvKqBhqqgwigFwQPbANcOl8vSDh6T3CFdtoltHjPF G3KE9TZjUo8r/zDUSzOtl5wTxcrXipf6ypOnxLlKKIHCa08oqrAAf+SHZTGumyALLnOI+TCuTI3n 9i/XTYqeV/4v5cPyl3DpUkbDkeslXMyfaE9n0G8hGJ+auqylGAPNXr3hEvFe9DS0OdJ26CBxsMcP 78znvI0XYNfqVC4beOrnnHCeL2o1WYj8ybeNmSFAfMlBaeL4p3PFBhjx8KxArU2ZGFnswAcE1jFX FT57qwyFXD1SwTrS3hk7gTy6qOfuI5YaTlqGRo2al9jLsQw4wZq7otUumZP9A/WuDI6DzVTfyYJY Li6DEXLbnvGjfNjxbQnB2V8Z/ewvxDk6A6swhvm60mysA6AYF6qoKdp1uIUr9cwVp6IEUebO9PDP mKt3cdE6gjZxbKUKYFYeHc1W4aN79ewrCUhAqtcyRyjysL7LtxRUa/9SsbZliAqIyqHv68e1hd1I NEBgOthLLsxMpD5XPoi9jk4AXBZipVS7rRNl/du2WdXTwqUeNh6KukUiTKvGbXF8YI9OGKcgTJpf 5HGZAXOo3aDQy5kY+1vLuHx2+a7NYhh3FoObdSDq1UMI5eCmNJV4W2eLDvx+g/vJe6vf3MQmY2ZO q6Ywzt3xRpnau+6IT7wpasm0sVaq2Mc/yX2bjU7QJVYvhKS/Qw77AZ1ee1sGTujIemOwdJTO88ft A2jQzKWBKAAZH0tQWFzvruyzvpTbwkrrB0knojVvyWwlW+5tsGDYKcL2cl7Dyka1cdJ7dsCshXS+ zpzCJTO8yNVIm6L2HJHtVIZ6g5E5q6KtWItS50PDYdOSJMn79S2aPMbKJ291vhIhD8uikjEQ2HJh lVmTUZsfZPYc3NICayF/aSx6RlWjAWY7flemshUgWC70cHeOJi/AvC6c+KGPeAMUuRC0TGCsWdGJ AqyUZFl7/UZCYHhKejMlPtd9i6ifbowo6f0FS9Y+HdtZ/FCUq74YVigsATypOX0aZGMdQ4W5e8Oj J7fpThzUJPGxSOCqh/FKQOLZr2UX6oYoazUl5WavUrI5oKBG5VDQ6dFgQrlG9Zo4jPMegbpiKCNq 6iUw2kusdCldW9ZW2wVGO2Chf6rEuilproxRPkL9zkjIoH9TZvM3+UYQk4hulSvb6VrxaEnl4NQz PRjIskax3G7VzhNvawZWYpnUkLsyUME8wILH3bA2yMsAB165tnib4vj0p6BQZGo/OJifSnlf6Z4Y 07AAuZAuRk8MmsEeU1xEoYY+xearcOzIsdWGKvFsYVIICM9+rCxehyZqpXY2oAV19Z0CtGx4jXDk N2VCRnHSK0WAFDdMmjvOHzsNn5n6zY5Gv4OTH2+4qkq5ObXTS+REjecfVs/ip5fPaJNf5EFFl2gX NacuWe/9GI2Zx/12wYp4GkB+6QXZ2eLWBH0B5X4F9kApSAqofhzyc9pJPLXHoTZTwoo4iYbX3MhB WbgD/eyR206wL5IXM0EYVDNcTv5nBPIwkPkphazXc5k4f8hgyA23K4aeUhCIqaAFoQigYOwJ4gCd qc6majwpa9eoj4KZSZhcjM8h+RUWh7uxtvU97N/4+5PG7HxXaDGrcI93BTD0anaVXj2p4WjN3EpG 9a8MuxrX9e5rZkqjWfE0N9+cPmP471ylXFowFEswHII++bHc9rd7kxv2O9N6XsVOOJG3NtvAd0WW 7dI0U/UOSdDtAxti8O3nJxQ1fxVjQZaLCXXInXqyN88nGoSLDjEq1XMT5AeSBGXrAXO/3nQ4/Qor Vz4XJctGErvSWyQDbZdkTNoHO/mBE6EYONcIf6FQS4KuFm2npimX0cJUNWIKtqsA5gM9OJMI3Olp VS7o2SrwZzW9ARXTjbBddUoKBqBlpcyBRNlYvrlm8X7fcZLaAeyRMVCESgXNLQdDFN1Olc45Ilgu 4325mbB7wPaCLi5bsJS1GB3Lg2hh6xbOdDPqfImA3C7zA+gVYnYph6nheRqAKrZZds5FefWlkPvv uzYOF4HseATUAP/WSVVDcObXz57hbBf4/67J4cO+vgJM1jzPFVepT5SE7OyrzgTfQsAsl8rc1uw1 Wy7+mkS++jk5l148AhpCFIugAlK3M93mgtrOeAfco3VqQN3hI/Gi6CwGAeno2xwH7pGvlVxLXV0J vYzcLbPmDIgiZ1Lo26P1Svzb4bm5j4kWiKUXdmE9BIPAulsJx8y9u8qgsKUBpQqUebANLvsyq2b3 iFzcB//xaqkKXO7mV6nGvcwl/RxcsDq8ZZ9Q7QfZRCnblQldw8hLG1cDC8Kmd9/sWdSn8Zdz9QPR myVuehqL9UOuIMyWvdru4NcTrSOu7ckWEXigvHcTrn4CeRNj2PQWQwNEe6Y61BXovTIreLDWuXeg ny5ddBiJNqoqLOJZVCvvUsSsc6fTMxjKDMd3LzlcJV1xfcvj+Au04knVQzpbJhx/Em6fDB/ilZ0R c9WLjsy8Okm2dvraJJmhDGZYyABlVsWxNZf4V6AWUSQwYv3ovF1TBuOG9vi5cZEyNCHOOyWqBgpv mz61/cAQH3zCDD7ZnQEixAyUbIsv8/N37k98g9Ann76gBfXVQXfb/sOmxlWjthRalTe20V+zsjJp pvOJTnGCS4Jf1+cmHQnMqZl17X5WOyYGFSIvYoPaJYhklPTdblUEq/TZGKyLXQ1gbcsg3d44mTHG tPwFAGvGIga6I8ki+uxVjLOnGFAEWD1X+/8GuDvXtWvWFLpsVfxmiXgRL2Bhi0idzMuqOV4IOGuB 6w0bpb298FDNaLuCIlD9HY1hyL9w8f5dMTW0IeULOgAInPMa0qTsn0rO0nljWZLLOzvZirHT/k7A 9xJPQY0E/HwauDi5qzfoab2U325U1hUHlCBoVWxQYb1AZIzsKLZSQEF7nxI/GOGPjEHwqXHP+DdU +0VklcrKT8HxMQsMC0bBvR7UulLFfD7BuvnSVem43XyqUROl3tx7REotdE170ykEC9E3w2o0aP+4 xjUG4542/Z11pi/hGzi8Fc1gw1ESbU7yKHvWE5nyUXp5P9N2el4RmYySC/Iv4/oyLyd9ENUlbwon iCPTEWMZVZTAYE4yXebfC8f9g/fP4H5Tr6+qOHJH1gQGR0PnPwxVA8zGz7LccAxS5rMZ68yPfcxi G+V0VN2vYllD3D4ta+GTVE6ck8wtpyrKmsrNWI97A7vqoetGxalnSEB9wumdjm5TZ8Ps+zQypkic q6DPZ6zDwEOscAlo4x4d5Bag7TOKJGDE1uSIghMDSCjOJs499oka7ZC6g0oPt82kI4r3RANwJfy4 4rnbSIrFMsAiI8kU77h9U6hVgJw9WMVPbpNAE2F/jdlWujgpQjPrUUbB/g7h+s6tDNf7AY08Mk4b BgU0SBDT4kbU41Gc7YX3rzu+l9TTwmJWuPNQu4YYltRUZaYSu+iQKGTk8EcF/9zqd1fR2YH60kmG bM+PyoGUTosGelAi7R1BPOky1sA3Gzu76/lDA/VU/ZQIU6nKKqR0uNFYpnZOGH+1c62cNdZRkWKx GlfcfaX1XbJOGgG+d5WS62jV1e/UV+RHoZPZwFNP21CnSY3Lw0bUOPz/UHSSTn9tjfPjcRv6KqkP 9TYS2f9J9HwWVhtgoJSUXrokNug3+A2XbAbVe6teRd16pMi3XJ/BjXJZmmUqqRuBUuNmt/gRsxmh s46Qfs8yrmb/7xtn8yXRHJ6RoqBXlYBNueu33ZElvEDaEXURX2PkEXSferyvT+xE9RuaiZ4bg3ox GNLMiu6rff+WD9yLgzMgnr46jk7vNTz0+xuj63imnIB20TdmSaskRTtlC9adQzIqyQLfTf4kJ9eu UkPeOjE0brcWqEKGxXquaX7lDsR/hy+wLophA9B8KtXMksaztFlI5D1qitf9SrAz93eJ/6il32+I oxpRqtnIX6ecDnrZmOW8ZJq5t2Lf8BbOmIrdmVZwendmQJeSYXeo4Ui3r2i+tqa8n15hNAHV+ykf sRiATuI43cuwQoXJkNFFw2ARyubNS9bU2rYfg8Xb817QA/dnXk7y9JW0ooLhXr4mskVHWDMK6IQz 7wBGsm0CFYbj5gFKpzLjLtqW5ICE+VPlu+eTmNDo0DgTQnLLPhTIj35WdqnDcAkcVKGWKAFiE9jX m053pS/FmUZkGovUuw/kz5cnXv/cKbYmyyuFYEkSBN/+ZcEzY3WU0PORTVDf9xZdnwRYn4Wbv+do 0J4wqQ+XtzcKHxRUI7YUcqg9IKFHhCyU27rtg8lBMQ2AzPtw3+O31UVHsUhXgggXe3odUMPGRoAb TJ9FcInY6wuweI1/Q4MX/NWyWVWBxhDECGWX3eoSdJ6D50xiFia9aYH+GLQVxlACXUkFjvAKVdwL VIGa63N1kLPRzhdrJVyUoYj1QA7qPZIGr7KoOoXwyTPj1U+1F/70JRdqBwJnnkqdPK/RFelBcefI e8WW/8ofwozDU1V2JnjD2dYOIxEzHFoW9k5zzsfUTqrSTKBHSBfbfpzhgcRmpz5nqwv+jZYLklW0 lL7giaTu87CmaD3QtirQJM83kaJxMgp5DqmX4O3JgohchoyxUIwpjOJqUfZk1UXa3KiR6ZOCKr8F F+IkixUUxWjg1DW0MZFXpgusxX5o+9rHfkIhDmk0+Z/fo6OPz1YjhAZp5nuv38qMNgALdt/ocMjU T8foSCS6fa+D1Qs8wzGIydZtnr1PGTextAmqC2ooeosIdpmmAh0XPmETO8mR/br4yyD+Iv1hbLrs xNVUF/zK0wLD7TacK11HXh8bPs4L7CKsIT1p87ZRbMHf3OsBRtLFhzgFsX3RZ5VdCXvkLlqCJI7n icyltcmb/4iaBiquiHEOE6CscPvsIKwD7HAHJOcYZ17NGY7FqhGqZBZtFL2IN00NRZs/mn24YH+s n7NO3m+JgPNg7C3yZnuGSI4OrJR4DmSqBZjCXReJwZPOwf7JhpgfesKxLOWj6ANoupOiDQgfKzKI ktAX97zlJr+3rPTH+pQgNnCwVx1zn3jxyAW1DI+STcW///s5ItdUbaZRXhWnrZCNhIhB2u6izvGb 4TNP2xBR2N2jeq4BZhFJFZNALOC6r2A55uFHtqF6LlGVaKvv+ddM4XJFfnX3im/9TniQRnsLX1Ph LHKFjp5uYQ1W00OOniDju4aQvQW8ET44iLrxEupucZz/DfD9y6yd8fBerpdDIPoYi3ZVSwvk2uE/ RNvMLOGanF1LCBdghqfG2mlrqbVom06UYw/qrbY8c0xTEQLT4cGQYYqP6xhs5npcK3W7AyiXwKSp WYjqBLAX4IwfqVfL5JLk574qUwcNUkUCYdo+78qdAycZzeqkVTdagR0jGV+llVLFTil4aTsBOooO mdPfM8QZR+ysXFolz3AP+enZbr7YYB7pjJ1KMZiBhK2OxI9hRuP6nK4qODh4i2qvCEYOHyDNRKoB qtF1QaoMOIyhbJhXMUW0H8s7h7dMJ30xv+nuoc4687shSfxYV5vWpiUgH2Rcd4iOp2fBnKOsbai3 J79+fKFyBXDz+GJZeADqPukBVcaGvfTPxkCYqIYii5j3OZj5rl4GpRF3wwih9QhlawZwQ5EkZ+iO OsN5TnEM7VgCticqgXP8/iwghteZvAjItdknxmB5bMbuk/v+T7XjHoEZ1UnhCdKhAm/ELLjgzdXs VLidg6Ac3j8VOYY0VohsAhzVKIEbFcG6NgRee3S4h2AZsNWGKNi8th+8mj36DeMTAYcDTkHCJrbA Wknt9r658UKlcNcX8ma41bnbo5OQXhiSzdDBD1SX7jnnoiHdFVT6AFp0sUcsynfGtGdudJlOU/gR 0tgYXYoAbpAp0NTO+otHIVavOUjXrtolFuH96zRCPvIkQpKBgaBeLgl+hDC3Y23vbL8yhefUSCIL xhzHhOkuv3AgEoWkTD0OuI1mLSmM9zs1kYXtbpQlqHFO7+laA/2RFT+Xb3xOr6BM//9H1jkVj+DK xcAIXbXA5mCSNnDOk38v9/6iE588R4a1CzSwXkXJpYWW1vlzR0DWxe8fk8DAC5oF7SFPlussBHYd rLeoIRtsSUt00oiQ2MitRwjur0yoUAmrKR3zfZKuGFChW4JvgnZTy/7HpFhI21pELEJKtJ2v+4sG 4Obrbam+l+mrV3ktMCq0g0vvmq1hzbsVOpdAXeKU4A/U4h7no3mGyTu/rtt1EmLoBZSCLOnLEVp7 Y40rS9EKkczbdxjFsTIYm+K5pKSf7RlcYP2Plc2nGclv32tTQbm5p98r2Ft5dMRK39HAHE9SSBIn Mrwq7uPEFMvHiAiypUrI/gZLk71hsRTHmDEYIfK2p2GX8S5b0YgLzzptC4z1x1Kzqz4SyirceAlv SzEQeEoOLkDeWoiU5IlND+LBiwdT70pp/fw6WXFxSzcK2NuTe951ZS0pXfAexu/JFPYE8JH/2MqS AGb5kCW9eJ3Bp7M2BzunZ81OBcrB98ufvzz4kh1y4GeJyz4PogeQeXi9C07Nq3GXADfFuie0zudP m0gEAg6cdakI0n+OwT6hoXX/SfuevXnMxIn+ZQA5OaFmXWY2/aC0eGP+hIsP5pcfC7xF/RwDs50M 6W8o5d7x2UwjTt1vQy5fk/E1AMKQdidbQkksC8GzsHwG5nlP4A/K2nDpRDE9STH9qtnupKmukB7E FQMfuBZKMpgkXoWOD5Z8DFsyoJziKuUlLtpGmNgFeySdABBwgBSlrT5ZxTF50pZAqpuVQ9MdyG6j G+LYiP9rbgtgXXI1kZ6Osc3KNJKd0kZK7gVW27+R4+tmyalZsoEkOCcfndp+dxJ3cS9d0BLUvT3z ekJbyBdQHf9fLC5/KoCGjAB/mgleeHeS7vkIbB1O5beAgCwRGcABSjjsKjbIPlRUZwTwdX4ngZOd IrXgKznQy4WDDOoN/svs7trRLW+bZV2jHp8m6RtYaldpZ+FK0ixrigZumTL8M1tZAM+RR2RgIQOp g2WYXoPM/zyGnbEXJpHPAtnHCOV60mw8oS1XDK3YZP9i4fJCsY2+9pSbnDrEj0s0bm1O04322Cya aSE6GRE6ff/jzgLvBY6LdPSLKdp28HHLvJZwfHVmDEKmCL4rvRyMb26ay0kAfS3QB4QjGDSCJhWn Pn6tmx8c67kCTCWg53dCirN6P7Ex8Y+/zcFGxVebV/nel2WIZNiFvWE3oiYZ7A06E3JERZT1OIaZ N2dS06aflrc6tmzd+p5/ykC5fHY5mi76KtzcVm3Ne3l1NIHvt+Gm3gnVVw5VAK0rW+mbfWN66L92 vGCB7uRuzTW27uMIevd9uACE/zti0nY6HlD0jhjrr79VfVGrcTJgBiJwM8eK+fF6AtxK6Q3W8kw+ iVANplJqgvLryhCQgoBzB7BsS44Ft792AJz8RfQm/TMVDaJc+i0JiBUXBUUmYPIOBbxLlL+mgA9I LYI828wFygxV6Y7LOYd9ab4HZTRps3jX2/OmMiifktUnsgHCMikx+941ILiWyGdelXzTtCkxyB2C 7VrgmdgUEzaj6+QLEioz1843BRpKbCeUwFnl9/co/WML2ySi6L0Z0lcjFTNGrRe2bOofqnCytq99 xpRPZyoQ3pvNxgQdS+CfotlKLmxdhKZQcFF+0NvTnTYijIFAWrF0228X9ljCtILHcmbUqbHpKwMd DK6aCrMywb6zN6evy30Udyt8GqMGsWOJLLL4f5NB9z1yJpFw7bVMIOEUKqe6NQh0LIMPjmB9Z7hf y/YCM1EVbQaG1xdbtO3Z12So2/N2mWStwbp7kNfulaXAvgCLhL+1PUCkKEntSf3IVuqO66uClGGX ALKJ/z0OkInQhLdNCKeHBkvq8GpuFV95Pw9TC9a8rFLLAL/fbHBONK2ggNzneZrLtfscMKJBB/7s MLAM9e3Pa5cJm3xLmZs+2CmSPmvbVOx1UAMSASvuwxsEBfwAKOYXMs31SNF8FB8pl08PZ8V1FWbL ZaS2GgCcpyLW/nIQEiWLqjCdZlWX34ps7FsTZldSP/dLxT03Cnkvw+hYgiSVB4Rj0Euas0jCLahf O8ZXG+BWlaHm3+toQrjeZYL6pDiFRZ5n8hD9FcUQC9+ckmwh0kxJ5oj4wjua8UxEVpHPDK/krXN/ YsjiMT7PlYufpP+ZkXQ+lQVLmQ9QLV/X3553Hd9ZazJlck0STQ7gViZ8KUC2qADhop11ZsYaCONH ZsnEphWdGM2nA1cJGArnHnttuMMzFCtlqRKLT7SOyizsTwLhlsX+yM4JvCrHVYwTFg3fZ3kPimbo 3kylVG5JUPe1tTEjwb2jM4zRwn/BFXmbxxeZ4OhbhR+8iq2ax7S8/DcpSxShtw9tVCWkezAnsgfx 5/xXz7QA8ACkXjG6zBCjVzo6+eJeAT0NBV211YJYD/PbwBj09AiAs8mQz+8MVFuEaYLMpu3XepC9 YPe+Z1dkanUVD31ApL1vOC6gGiwHjyEVjpXhsyQsidXqiLha8sl5zag9kbvXAViDjTwlKXDqXQj+ nHWbsUgY3A7+Eusi44ixAFxSkCBP85YW9AGwU0ENcyWjv/bRB6PXkg3vh42nWhrn5swKTWLyiUym yScFx1C0OazmzqlxNhg4JwhczCVWYS7QCodcqPz+LQE3HdQzEBhkbLVdODPjFXow9/9BGRPUROOv yGcrMvU0kSfy2BCegcIY4rODOiZkRazrLcnFWy3oXFtWAI6eAt85rxBLCYJN5DdS+b84cdG/J0Dh Taghr+f/kLtMRfwkNofebPFuPak96CgebWe40D+JZrJ9n87l0cpk+IeX9Sh9TZ+0ALpZULnPllVC Jw+W7igeQUUctlHGqdTlbxG19fFBoBu+FiUcHkPiWjoqa4tolXP3fuVu2zoqJ0/24DOucDk5PLf+ /VotrvJ/DdgBahgR11w7PFCGLa9jAlnUev7989Rt39LYB5aGMm1djqkHyu1Be15V6kp5E3CvBzFO vDeKkxC8VsG9hb+F+rM8v+GPr+YZuq29YgT9NNQjifbEB8ZurGlPNfHwYWY0V8fpJ5KsC42TL+Qa ETp8Cbe7ARnqIMLU5ne0R7iARiYjkkS3Jn/GeZ1x1XhPGky0XwyTtnrDzl7HviefpFh/jxwEJzEQ A3aF4JzLdUH0ZCfncTAtP5x6GiUWa8S0n2BLp3kqb7Fgcy0p1xCvQrYVYZpUXljZN1iXO7sj1O/x byGYUYD2fGaQsE/5LwJMiUkOjEAOlBV/xzuQ1rbxCP1n/JHr0GrLvpEyyXS0Qs9PqvVVufC6mn3B X0JtAE/qfdeURgypFGXeZG08Wrt67K5aGqx0zWiTphzNzHzfdaJyjNQqRDPqVVAxq5xsIky3TBkj NJWn0RQahqDPh5IdANWp+L+wtjCXri/IGiAMrqY9Y1DoYiEN+OV31SKSVUAB9+zEpkD+EUqsUxPT uI7U76OhDyxS9Af4NQgdKZBKnT8IBDP/xiwu4TfTaKsyOnfmH8rb4TRb1DjTxc4y3sd9UHkbBXA9 3NhqAHrCLXW8X1TwgPLdM1vlZ6fhMExiLxblNuLhDE0ASK+amTnGG0iidrxb1TJjlqX5VTPb8vtk 5bHz+eDeA1BPGpWfCqYSDLhv+TJb29usyMYk7KMjBdEF1m9NwGSg4IB9EQBQAbwAKK6GAZTwEMZF 9Z5gQQSvoFPihLZb+BFIOnti5tCfYaSP9CJE8iBIPnVpjJmBqBY5BBoMUMAB39JpkbFrG+KWLasr nQT/X5OypR31jNaBd0xQk62Nj7ln7wmZ/4gIH0tUOacrvXGXjqTjPuwVundxg4EGlfIZHATN9mSh QOVlZBIZYV4KKCyCofRrAolahw7nNtEaDCMgLpl2Uu36gDv7L08t8oGATUH8raq71gCaydYXEaiF B1nwVbaALAreCiiVmIuyswMTnILkfyM6FVwwAY9aUqWyFpW4fGrRiECQJZbST9pnAomTWcitnA5Q 7YrqAPsbqIEx8XaQbOljEMxsY6dKD/ZVUjPGDFYiAGuQRnhq8dBjhNa5hDBDwW2GJqGZ4lx1LCC1 qAvqLJmvT4FrAk9o2Axe6YxfdVmbQ+ACR4+XE5QuEkabnJlvJbxiUn/7dotRgES+tBDCaggWroNI 64uK1Eancq2gqk6W+rUpO8B4UVT2ydS+ygdrLOyTK8t48KmHr+vh8IL60GDfG9+jyJBIBUTTakG0 /SLRQrsuWGzMrQMEo0WDSiX1y0jmnnqsn4nOFOhYbxDwoftm2XzB1yP9n4zHkcEJftnOta8tQYwy a0ZPD1YN6anzzPccuDURXRJSNfKrWh2D1aXizG+UED8tUanSzMhN5tYW7Qwi2qOcN3NLygIV2rS0 iuYpBQWYf10Dq6IEaHiKGg3jWaPnmoX9GbkzxA9JgFUV9Ob79pLKAIx9E2Cuq/VmLMlYdiWHm/af AJ7I2JxrVoGqTiRigwe3JX8GBvYV0QxKoKki/+brXku+fsY3mW3WylzjoAm0sVffwmy4XGgOVUtH h3FhTLnwORSOSRLmHElg3pdvo1UiolgMaCIIM4bZ5htGBFVG8v8oRQlUzixJkaB4FbESHViUIvp1 5mI53ZLxq0/4NWMTD57uz7GGp8S0/8pP8gQI7wVaJwDwmNF3aEt7fP6ENE12lJueQJAHCWqLH8NK 7OqSus91VtyczuLhjq1acpTQWej9QAJkSPbzjcDSB5xZ8atXgTA4FHOFTa1WyECPUZ1/XIb6UIJO QrAQXH+yy6ciNp0/UwMdCEOwEjJuOebUJ1arXmKeICBQa2lb6LsUZEd4YawGF5/BZckVeq8RZqZX o41cggyYMxiV/z4sW21dr8Hr0+slUgyuTNRv4S+0Urzpd9XcbRjzJHma15F1V2UqBbEBV0FfDzgs FNBzOXDCXpkOVW44kWy9Y9ePxH9c1JPquHG6+vLf94ZypdwsklLwcsNMADoaI6AV34imj/v3M9ra wETb6rtTclAmUyVgcKa8hHawzqzb9E0E19bsW/9i8JaLn6fEmCb7rcMgpVlC7InosKmOWz7mhHDn 9C7ULU5YucKYW6oFOO1CLTD6EmthUvdTiR8GU5fqoltaGhgJfESMgmUcKoDhsWwmH/m7IsuLVf/M b9Ped4BmBBaiOrdZpiAu1XtkO4pSxr6TLpVut6PDY5Mje4Qsszk2f5Ti0CQgepWatcWyXR1r5agO qU7z/c7qnQWSond3vggR5e0Evb3/WEziJ2ByY1dRXLY9UT5maB8Q7nNArTWdou669UxscJNH5RNz GDycEg2qtLBHR6gKtPbUgBWyyrdXH7okBQfdhIDC42YbIDlbq2KSpl7ffh0QwNHMDOu5x9gX+jw1 NpO5dHEOQyPNoszdZVYK5HQdg81yigYQp4TXzfX85Yz6JOKFrWKZsGwzm/zcTOYngG62E2gsh6Y+ RFKR/4JYQilaaWhEbVlKzmCIQMHMNvAos4rKwiFn7DKjWo1l4KxFWjryLNdpL0wM9rwy0YaMGLAR Qs01eX5B4cPQuKVJ0FHfdGDXOauiolb4wVj+l6jhTUrDv176P+OHK9WkgPqis8cvOALaDDPqYlKA H6O/f1R8wyGYzppuctQGc+mTJaNvML2IfhTsV4fCsE7Y1dypqL9voxP5dQIDlNBVnsqBckJc4fq1 t/BnTE+7Ri6c3oT8cXf47W5J7vRnmNartxRSVzECkzJVtT0DAwFyHkdQrXmahKXcVpTu/9aLuRhY e3dU7ZO1/aJrWGcxk3vCKAI3n9iSfarm2oKiK0iYxrSgVrzC19Vh0RHdJMVC89EaNY3aiPC7Fn+9 /pSC7+S/MTUpJdldDvjBQfgSNKBrwb8rRWQH7wtx7ZAmNRWniFiP96Z/obc3Szdm5++eQasF9S3r IOwRO9/l4GxzxZ1S9evNH2F5zSQ3x6UkqNxAY6wrF46mZmRky+UmNB+5nbdhibZptTjqr8nXtJ+z 5O9n3Cnl0s+LNbzM5p8XfPjA4Pa4zX6GqOE7P5HPXsBD7M6CYk7JK8offg7tMfBwA/eDBBSj2CSD RiIZ6AndRmjSk0AbCE+ZXvN06luN3NYndH9qlsRkAGMEADzht3xm5has4tsuTLOKgPDdljPmfK5t giV86k/65N8MYCjyCcfJC4wKTMKSax39V2AA8OcBlvrLPFX1m/5BCYUWAzwriJP96L3og4Q/0EE2 BVfREnisA8Gf4uuDzpQxpRkeF77tN05LILgBD7iZ8+Xat0FcJsCzSj8R98vMZE3zKnZbCnhYhh6x P0wIgzvZCt8+4nb50bJ3XP985CpsLIV9FhKxTEBTrfMC2/A6yHHK4KUqLToFERQFp6/P9Bwu2l8t TfFb9/w2I1RlVmq/YpOSremTJBuIedBXpq0JKzmfaByBDen5mmVFQQVT82UNKlreDLc4dqhhG869 CTTF4hktlGOBqpqrDrJ0TMJNjek4bM4MQiv+hsR9aGJI7maifJJpzMjMfSo8IimAJkYRWKltDEbs biLQGjfWRr+WwATcwhTI7CxWzCBsP7Zzisqk6nGJRExW8imMJS2d5U94o+wrgVH2Hx85THVLj5pR 8zeBC8dyfDbwxiVmEYzEwlaowgCVm9/D2VR8kpcsu+IT6MkwzVv4Zzy8l9cu7WdCJXsvRX4q/aHH 0EmOyceKSvLkXm4oXOEcM53s0r0L2gF3GQ6z5qiZQ4ER6By8+VSH/lexPCmXeQk/jOnpd25CAatz 7mi33JHA129oRstqXpwOpEOE7G+FEnwa0jXNOgsIiFtxNpxbMMZMBt5+GnhySGdKfRswUKY93KJu hL5iC+wJni6SV4BHsbjQAAgJs7uNg4C6RahQiWZl3OmVYFbxCNlPKtI1vX26OUb2ttqV/ZOtz+lK zG85tS0P9ZiQGCIMD9ZNFXM+MRB+5shYO4c3XstkuugvpjR7/FCpEoRiPdnxDgrZTnU4a8111T+X gJdjgt5O5DSctPaSGfsnFPiesEhLQkzObDWLS0fN4+vS1J59UhWNDPY59nVJX1RoBqbeTEg+nHff /YX71w3n4DI7EDoceT7dfb7i6Wapz3hNGMidERe2y/XiJSNLvlsxr30kujD+Bi+smA+cov76eqCR x/KGUsWwsrHwKHYZquXeffD7ehIT7VYWFIJSZVu0m/dQPoShrhtVfua5HQsO+fjrXVOr4YMOovMz czPGj8SJJexZooz3OxZQJsUZgk/QDZqK+UpwVKRnoq3BiPi76KRHHZgjSDPlyVhS+JLDXU5bZ3FO qdbJ6/Qfn3Px794SRgDLY5QOya4WVuz+QUeRedTguafRUmR/C4XiGtvFa+08T2KW/S0IDdVv0f+t yTyu+/mYYVC74uwNSSdzWcRfC41YTNhGDdOo5F528fXyPHxtbdo3q3vhUUDfCRGj7q7L1lWp4idy CcLJyRPuyg8jwTpsZFZhI1Do5aTxlzP2/Twr7lzsV98gLnbLxHeq7P1V8fpEq8T+KnRHEdFSgFwF 9rMIlijbloRKnMYBX1cxltsaDpkTW27IvTxCyXGz7hONG24xn6C7KFRPO9OLgu71aYeuQ84EyyUH +c851tGWvbsGEjDa1IB70L1no6WJG6/YYQdYeI2Ksrb1MRvGu+DYpNpfYeX886CrDGMZQecRZkyh LWUHGEI7RhdgW61TXrckZSKmImUIcsHhduVPyzcMNav9MZMk9ozu3ftrrkWgZ+Bwzh4cftEF7h2m 7OiNxwbGhFFFB90ThT/OPHiv49OyY2ueCjmxJdnb7t1g+7BpPgeoik/nwB2ce8p0wl2/eihGQsOi 7u2x/bzIGOLiUKOMOrF/pczAsL7ajp4nZ/PR+x2BFG47WvlrJFFtuUFddnV82ywBEFXTvnV1nwbg GEBqNuBSAogDeRh36cQEF+4OVlSDT4FVPvcVhlz+HuROYm5+302jP7/Rsn4gQUYU1j9qEQhEar0g HB8odPROo4WAMEuQUsPl3ORqPfYzwNsp1Ti4YfVHkzi7At8QWAi01mLQUAkToI8vu7DlBJkh0rS2 2iLMwhjOiMvScmAPhZYiNNtusYCIBPBHyptPZhOr538iw79r/0vt3y4BMZFSw9N4mu6V4dbRfWVa DrXZ6doorGhowa7DyZa00Bp/Q1sEYybr/NmYG3TpdYDIF1BQ6pkgI2elMuivRVHRX+S+hI98Sn0C ZBjJq1IG5nwXoH+/PLJXfRgd9k7ZkkxJBRds2VedpZv4diRTV0vGz0arcpPcPcfFAVmD/vVoQg2J k3oSKGpWbTcD6tp6wVDKtZVzh+mBqS/vzh3mI88zvow1QHPiXRjauVIDJddtecJXFek57XqcpgMI vOm6EKxltVT5RBdWwgQ09NGjj7bTo3phIi5Qawy8lqQl9lu74peUbW3YxJEA65VmShCoDqI1g0SX A9ZaeL98btsvuFNEb+zJdlkfy6u4yVR1xCupOJxIYwCdMwYgV8xFETV01L/desqXrvFkDeZ0Vswo 2Wt94q6HI7oaO+DTBEVS4IVHny/AKSOtr5TJ6KKkXhyDBs94T/2NnwvVO89igw2ILqvQNgEwSwIF itMqlZKnb0KT7Ik2gFXVNdrVxjWRthhwbzcs8KdtB0eCyKQMCI6srG6NW+95+KYPHuN3SITsvxBi LlsSLR/eetgwjZiOkZCdPm0SP61vu33D0SdzeulBXFhzaNWCawsj6MRHPZ6ZCBOc5X/yEYFSiwj+ 1SGq9BvWTWtvgHZbPZqbb5eNKQtrTT+TyvWPzXKQRlIq8D8Li7nFJd4cHotb7q51/CXwI4MTknyJ 3TbM3uvCiMzKAqIQ2KLNklRtjpnuszfxg77kOi5TyFXDONiUCM1q8h8sH7VnUncWBwVOIHpj3kAa EPqRJrPZ+vmDL2rjd1smSdF7z5uxi2kJrEcvkiJftAhXzEdVEWqOu02Y5enON43e3jmVV63/JFY9 qIZ4g1+5WN91ld/g5XpTyIXw2EVKa+qryUavS+MrdtUpwaG8+IfC5+LpcUgCTKhS2Ryp4glCshVE N7uUcKPZZueF1U0vLktUrOowTpXR3c3FLfUnXfy/pF3cNV4F1I3acPy3nRTH6n2nALQozqVQ7xv5 rvn80Q4/dINetaQHuUIQEFyCmofRKhmXmvjGF91/NbtnXrwANuicKAu9Dj08WhmpdpaXDyMyMZv+ lms7FBA4Csd8ul6EQrgE8GlLYPL39bYFcv147tRiUJraTLx/UZgE45ucYLHSMeuR6gytva7JKbEv F8QhM8lrurvYGAjjRmoh4wrbn8lZeVNKp0j9x68bcCMEsuoUQJOY5auuy9mrQC/SrtIsH2JAxdjE /hezw4lRKrh+XhHZC7gmdEuNJA1JoMsbOkTGfgynFAKmGMUnRcff+FRTpdDPWkdu5eepwi7ys8a9 8VQiirck48eV6AVduzu3AmdGFUnhmAXo+pVII3msfyslr/C0WW/Ldd1yJfAiyKYlCqEH5Sxg+zZi TyUsmlh8K+WwvvoOZb5pukkvogP+ND1zDcNBJ6mJUCF8B1loyl6xF6fmjVU6xsFXFAP25MZj1p6Z hiVDdc+n/31N8FGxJmMvN6S2oA/Vpc53zTjDvmLfpJWdPSoRmHHQ7YhhXjITgBA+oYUZnSXO2jku e0asisgSeU8ijsycJ1s3rjUgZ8G+IEZMk7+fdp91948UCTh+xBYeWeLVv6+Pwt4sLpVouTisfH0X cycWP7DajDbBgUfBQFXNtmY+drLusc6n7yUqzuZjMbUoiAeUMLAURp6curP+XYozN7KLcrtV3jMN qfUtk5hw9o+V5GUxs14OPgnoTBBwBmKvBOjyINCWT6Q6AsAxikKkL4q81IGRC0UgIeSo1KmQv5Oe 2pfnBxOXM542QfJWZdEWJkhnPA3cJd12CUaa9fA77NvylwrppREM2nKa4YCSuXlK6ogUeZZ4b7GM mPfUXdLN5jvvU3LGcAw7a52C/B8ax9prM6yVXWXUiXdGap9It6xgTVvpWzRLUT5bM5sI4gd5G09m HfYrEpJw3n/jdIgsp8Ztf7nWA3GDaSQYTjc9LHXKuHSxk4rb5Pkj2zd/gC2bE6PdRvT1GoC+2Mlm HQJ92FkMXXdMrHhoSAeBXqbR8i+75F4sT8mBP+EgW2N1g/A7bYxqOkHGH7V/KqZzLrUGbZ+IKDgA 1IqNSe3CjqKCrfpM4tp3j5Bu6vB2B05JjIRGqvebvo/s5LlNaO6FZ+lLoUzs21Kqr1wInwEmylsp z0aBmrUg4W71Q6qXjtB2BkMAv8JmutfFxcf8qMYmUfsJTuY8boHzASKOE77Fb4Eu8y1W2TaBg0b7 doTwTgwzOGEPScdYO402s8hcs18iPsh5Nqhc9jqYI1/wlBR9sadI5uSGxK3cTjLfyrb+k2wM6kKq 9XKPPCuovOzLjDgPN3In5dSEVOgtsKS/Qq/lKxsOxKHFPpU+xAsPp2EK+HnNhAnPJg1xxLQ3YLrR 5l4pQ9Ho7i8HWw+OM/ai2DkYcKPbeybR6bURrC/CEehEabftRh9GtwqnscJZSnD/T2b6u8GWJocY BqpOg97AbsPZcEO9b0WoUsNN3fbCBI/se0S3qHoQkm312Nanecd7Q5T0+rfGCBHEfGnIzVmYA4rc i8qWzSTjE3hz5NNm4GkhPJWT+WoydS5hgI9yb6kJvs1en3AzZkyiChqV6v2N06miKiQfEUn2q3jG irAo+eYeYTr292nPK2/shNRKUQ04FztfquZgPciNOJxlcDNcyir/Z5ZZunWfsBBWfE1nD63WiAUj UkbCTKq94PaezII/e99EC1T9HMiowYnIve6UllQ7+NYdWjZOI3gBJz8j8b8nt61hsxzPhB3wLGWR 39AUgHE6GlwtAJPQW+VWtR0se3KHDXQqimKu3prlhsHDQCP1BeVOCLCTkGK6i/cWSpj4svL5OW2j 1p44wTE2HwjcXq0xSagBoJZVk1pNcX4kbuE6W4z6MFRJ0lKQub+wL2OQ1A/3DvfvrweAnxHFbkko Wc+fYuFdU3pWMf/XeaC/t8LUacThnw0Z7ImZW+J8TA8f9G2z5g7q0/+REFyPx4cIBvPcJXLTRoTx UmRowjpzYVWcObgmy6G+G65ZxSGjaoZnAdZuaXBfo2ZKgs6AqjyIDy5BpA6W5kQ9OR3JwRFxr0zO Ak3yLJsxt4UGxLdQj7Xbk7qMBLcSX8zVTSF3Z+vQnMT6Bja85yB5LlnwdBETvgifTt0DyyZBv1lS ZMh6fpw82Wm3UODf9PupuO8BgRy1yIf4vfIsxbaY01PAn8iv0MdJXMsc4p5R5uG8we7+t2yzBS2i 0/OcW5KGirL0Ng4x4jEozT0OrLwpcWsMcRp4Ug4jkgIZDcAzTxaDBQqxvvvKsgdtuURZEV8rgBcr joaaRbWSNw5FTopM1fEtgN/FON3B99G2DvNR3IIULZfOqvp/WPwEwv4IT12ucz+Swt23k5YqoJEX 0C5hPNerpSUZZ6ksS3XEn5Nz0MazH6UR+1QRydBtcwVgDh8FurqsCIARTzDMQbr61X38locrr+mb hbhbV2MgxoLieUSGYtdsb8qWh9Tq4U44sELJ4JJyG9hhGCl6Xd8CYAlHVQRHcf46bbX3Jn4D6HcA 7DiqPTI9uF6/zlcknBd7ec2YavCRhHU78LSpXtmzRwRAOdbIJXoVmJCRV7Uq2Momz+BDXZLRpnfE MYCdjOTWeOPfATDMeKBNBE64ROhSezXKc4SBHLHE03MTq6WMIyRUp1q991uuk9qp5dGab8ifdP/v Ef1VZDV0OOwfsTeacCXuQ9wlY6StvqEXk25YFgTr2Azhe0xEbF7jxudlbXfekX0j9fny/nbZL27P QXvnSk49FF2y8vX6NVZIoLNSCTr8q7QN63II/Yh6l4CECnXnIAUP6J7XWc5rrx7DK+dFbBxzR7mw RBmzZEaxu9XUOB7T1cHfhr3fkm/Ks/F33ZE5BuefxXvEm5GI3sObrNEIgCpvrwVmcvxi0lqjBX+K Ezw65Ty7fse5MVYybYMCaugXksMaEnWZMj/bTIO0LETqdSnbVd8fgbMKYGtdTf63+YfCVeC6Z7c7 5chcD8btaZQRT+kXmIameUQXbo2reGjj1FeeIAYqBcFRpjMBAOgRvBXiQRtXOiFg8GU/EooxUaYG 2VYGlBKGDnjvySHuSjZ4i44tJg4oSMyaUdySWaYprJ81dIe+Wo7OE+lyVLCD7Esm+N9kadR7LxFP x+GSbmQ4odMs2Fz7Elcpq5/AXaDKu9DC0B4oYxbgl+qRFdWDyp0//a+kYe4dt7JALlKsjr5wJmAl vYAFhDevlSqDQ/pDLMc5/N6pfGTLCkgeGuYp4nBBJYyn4vM8SKvJKWWAM404GjcO5MCTZ07YgJH6 jK9S4BPp7BUchuzy4KLTSY30SDkYo/DhanaK3v+CXd23LBxy9tnEKSyNQYi3q01bIRaxQJm/LZr3 CesFu+mQLJCz42TUq5rpQkTP+6hxwTf0ksPO6ztHWtUvqHJyCRpNIcJfGVetjz/4d0H4d+mh7tEw GALB9z4RrZyrZiBhtBlKtfdTCA4G6eMNaCuhhrEF+uUEYo3MKGhARQBVdvFI1+qEJgmt13Wa9oPD yjsmlLYN3kbNpm0wieqkh5Xd9uRHpWUje2aVDwNcDG3kx2P8L0EDtmYWHbuaTW0fsDg0ZbtCh6Fy J2tJdzywn1HdkflUnjFnMfoKugsVOp53/2y0rlKg1YCBeuE1s1hxmsq0+hUWkn6BGJ8UlZ9DSZGo /BjMm1phESfgs1pvnk9tlLYEgEzONNMIvWuSrmJ2r7VkSgSkqlhgGZTqd9ru5mJARJH86JXYIzi4 4mxGSGNZkIywChsl+5vRHEIQi8BiqPfETS1hsIBdbnWIeiN9TFFTCA1+r+q0LXfp5P7BJESIfuoj 9IkN+z0ey/L16BTVADiQm97Zn7zyGE8yykA8vxM6xILPbimApiX6fV/8yzD0+07r4whytfrOvKl8 ZTaw+kSuc+GVmXpi6ISUyCNC5dygYeZQcCfBDcZxHyd0dS7vufkM4XrOu485yO9Ofxnk4Fv6ii5r nv5JcRIUXnXjdtjxZigViUxrMe5YPnhlx5BXjdzF6MBODtX8iyPXcFrjh4tBX+VeDuPkYWV4armt S4SqYQw+pprJuMCG3UYrteBu91vJLc5XuwI7LEr/V6kC6brJkmSKirCdLoCcrmpKwxV4HwTlq72X YdEXhCq06nnRU5hQWeXhD0Knck12ZLltVyo/ZTORP0SmlquocnSQqm3KMYpbS/lDO+KIaD/By5am MHYuwAkiMBsTk+WLKc5PLrMh7wDdwsPObZI3RqWhWTfUGQLITG04Gkq3SBz+H4RN1qdPcpSu2dza FdkzUlpu5ym5lM7Yzsmb7r0xmYqK6k9Nx5kuuRJkbusKbpa+QsD/Eyizw0P1ZO35xiB9h691QqHA 8706eIc8FSCoYrSGpFtaEHIzEAtqOVo4lldYYftYb2xPU7e+ToFak0Gi19iebT5F4Ht/KnPQUBgO CWjvUXrOMLpSp8/a8mC1pkqETg8CiAcaJh0e9IFlUWq36pLwB2FZOh487ky/Ej4R/UmAvLwEA+uP T4THZhQVPKt0ilsRWkZn05E6xegFM8SLVRClJMNvVtyf78IzAaW4gE7u7SMjZqkkgyMpF6ar3NAu FmDRIlgJ51WMtI4sE3C8R0Mn6p0+jiDl1jUMji20g0ltpjm4HmwioaK/hqMgkyi+BCCZXSAF/i8j hXI6ljF1zchnOlbrvjM1xElEwFQlfVN4YIiv3acs+XYK8KuDdzreUwoMzQXDU+obIdj3K0Z/3jFY Lf3kaLn1kFkKsCI1DhfJpXhku78xseNXM+bGE0m9u4wX9paf2WLZ10aqe4PUs/NHjlZ7gngk/HtP PCqgEcUYBWZ6+mV4pkeUZq5HzqrOjlds5uNY0/MS9kuonA/UYVAPnWZWSuUcaOJu2vUAarxjQCAz kbfsv2G14UdeXXGF/LQYVgE+qhY9LYMDsjjVR4YiKdwsxeieywG5TPLusW2p7va0nzj5LZmVePXY kEs5uknZLhu33Zr005Mi52pW1ZXTzJeog0ZKIWZ1LaWKRPGjMQ5bXUf5diojDUALij4ZwuZvFMJo zEIkd1uwgde1J3HY1N61EIL/Gld+Vq9KLOZTWHgGVGSaxm27cfjwsOEbETt4qdXyEo9X4N3ocsXF aEoMKcPsqZy/4dgkMJCxmodgurrUK/dLhyZr7n27Bbxve4m9KJfAX5ii/Lh9QyD3+4LHNwDTxkiW YpO7hD3VzRczofpNC3J/rccunZ1ZdJH9jsiNnpLwtbNS3NoaagUA1NLZ1Eno5fXnCqFh9Jjp6RtV MtB6GpQp9NGyl7Vf3I/AElXfO6DS1IE5lm8+k6eMye+4HRvdyrTjAAFog7UEgJ1oWMADsFWKANwS c814e88biqYjCr0CgMg8jODse9bD7l11QSSjFi/phb8iqtaikxYhl9mhTMXGdcY6zJr5AS7GUr+Y MmcHJa5TvNtn2TJsegSK1U8p4wH7BIYRoOV463nmoZ9Ux+gwhNArw7MjWS26/Cx+lwQ3upfLOpQp VO7D2faZ/tUTgiZzGI4XzH3ODBSnhg4XmABq2Mw9Y9jRH8bS/qgF1BsuDdRjQgwODKqkusM0DfDc wy98Uq7pBVAEvOTwcWDuoqKzOD4+gJTYGgURz0K+wP/Q7lPc+aQOmI58bUeRiOXNzmyfoqh+xrZn 1vtVAxiX5iDXdjyKbxYMTs/M5SfY/kYkegUcQxQ29Wgp8cvi0u5HcGEcUDAyEJ0Zbj81oEZuqGDb 9El+zudhhpXCzIysqslqm0e8mzyjX4W6PCLxJ9Jo8n57V7lFxR2/Rb6X7fZlHoaXAJmcZCsU/Dod EvP3IDT8VAEmf7IrMxEBecSM/hsoPBsykW4g1QnDg3WGNURr0uyiQxkc6kLka/f0wLqBm4OoAK45 5pPeP1xQs2GaRci7iwcGN2m5x2CzMzsNPuxUpqb0I4ZDCwuMaU9wzV/I4VqCRVljnbmMHRT5t73H L2GvoBiH01VYsjlOm6yAeujtBDwAUZwEP40NmopPVTEfw+8UoL7SyXn5EEbibrm/pxmQ7u8vLhNC DAnUA4vJU2dkG7WWRd78xJqi74MT4X9s/RQ6O35tEWuatM5hanZyfb5PVpoi/7wYBKcjnC+Eq/Dy sO0Ky5JU05Rz5EfStnAEmZJa+e0nALNC0LQdUux0uXD99138yCamtrNJY5/oSCNSfhmKrhKoM8cv z2gN4Ndnoe0xzV7Fo+acvdU1MUX9Jjr+2zotxKLB6bpYJweKB3tml6mWuQCMTW0KzvH2A02kNe6A HB1mIFB1r39AcqdiTO1DXuwZr7s3T4WYxFNj+PA7eDDXGi1x1Iakk0OHAlKC4PGVwQGSWQttnOOZ 8Gur898tWBsZaLjjtgryk+83WyZro2gEnydrkF2f7LwVqmReEcBp0GvyO0/LsMiEb0FqpFmMWuDO 9lA2BoWLurNrlpsAYu46jcHKGVlQVncx9TpPqZvFAlPjgoFIKa913ja3+1tDVBk3OeUIX7OanaQ1 NgV38a7Gz+0x+Oqk4ch3hbIu/W+ZcpoAccoxu/kXx6XBxa5ICVrDAXs2qh1oioOB9WTEh8YsRVQf G+sIFANBElfWrHmvl8jSGvXatx+w6L671UCIi+7kLkCyWuN/L4CKJ6idoO7FZnEC+wmkbmfclJjl FP/RBE26LvLx80Do0H/fbzFL4EnZszRzjYn0vAJInsHkw/xaROIqKNMrbxOSAsmIWD9RUvEecQDk enR8rvo6JRXkWzSDcZDGh7+bHQFVS+bZNHRb327/wZmvZhibTsDZShD8a4u44UXJ5OQdycWenx6c Q3ixKgk7OmnOHKSU2BfGOZs1F5ww3evvMnC/26RF2K43niP652MkX1mkq6oy73+JbiVFjIBF94S7 Vbfw02rf7OoUCsiYGREbpfNajyYi4q5ur7kzktzOkpK9Mp6ggBFhZQbB32P43nyOdVfbd4CCLwTh o2Eulv4V/d4wj5Ad6u13zn8HbFoVOBULoH224QcJ1Pyafnv1mTLfswhnkR84eEzsS0ErN1R24s9z O+bvyaahb+bu6TFvt6dTJk2SC4g41E/gXNpXVaqiM+3Mch7GHGYSIvOkt0rfIa70P6MNfAIhRHkr ZFY3m1hzb8DnqgUKN8tykdW/wcndBFhXVkzJe1NGzHyEGletvvhEFIu4u3SVWRwRv+YalM38R4ye ewpbKyDrhw7JOCfDicilMsBr+ReOFWIuPQ4QmIZRofaIjIveuKigr53DgJLlCWBDgg8h+2cdZ6o6 48Il7/Fzp8loCmhCKjJ6Zd9IjAMbXJb+uyfJ07cqsl2plmze4gTH+Ai3KAR0hu6Ai2diVcxtFgTJ auVKHYHD1isIOf694Y3OZ0QgjbkeUdVi++yTYgcfP9Ik3E07o9W9wxi2YyXOmdIgwZySrP2+G9mO QEIk/A5Twd61Nkio3ygDmfAdMQipd8gmZREj4PEz0aYqI5IoetyNXZFceeNYoeH6J/RnIDjZfwb8 fp1JgFNaB57OYVAPCGX7dPXH+NvnOwVLqFXKsxE5UnrxVWzkR3erLD4y3wnKRZd2UVkbERAI6LUs DETsHySLxQFWSCCvZWuTlY0WokpLzFBl+SqUfeZ5Wmn5Dc8x0C8/LCSuzs/n1ufIGaEc3K9MDOzK 1RTJdNwSt8KX4yT2gWO5bgpqOnUeysCHm8UPFAhXypq3b213s9zMvcB7KnbGbT3kopqr1Pmcvmwr RxJ6c+lA2W7wFVp5FT/ARPVGPcANTd2QDkZWnqzahYSSog3zT4v3JCyMiLKsNHu/6Sc4/SdmBf6T k0k2Bn9nEFA0UVH/WwFgk51U9eUHgaPRHQar5cbWsi9N+lei6uAEc4eC/hEXr715LdHKv9d5JU8/ Rm8dFaL6CJ3h0d/PXf0QNMxo9mB/ievDEJjIIBCqhA6tXwZ86hmpk906aiqMVLSucSDxkN1cQfvf jE/BfbMzyzZQJ5k1jQJABjO/wKnbHIq6N6XPP4lfj4KGNyDmqfswbmvkrRRf1UTTnRi5zP83sjSA XHq75wWXLfs3ZIhJTc6MQsQJ4NwxpNfBQNV3p2P4k25KhPSzcnmkVtpuczEtc3hSaxXgXBeyqchN s8AO/D2HIUMy2m8ozvXAP4Y64F2V25XzuneHLURUnOP4eGqvd23VWmpEWZOfuLa1hAmCatCZjhW8 c/Rt5iRLKJPn2P2P5lAvkM4guENWLc0KemBmpdn6qdy7El6kvcri0k0ZEuBht3LXEZuyDTh7dw4q 7VJ6Z7BA9Qas7IOy+bPC2ifxtlxdQ4OVhqcMiHLm0zSnSmgi4XRXJNqkGbrhzZvouiD+n6kVfVMr EDEQEdRPOaeZWUnusXmmEjxYjPTBvOk4dDvTMiF0NL1XqJ0U3xJkkF6skEJ4m6Bh6zWpVzHBEyXi B6MyQF3hg26Ocl7ryQlDdVcd2iMpVXZQRfvblalZ3Ko5CojRYY3gPcFVC2fBuPJO5o5XE77N3d5Y 6VmewEXoWd9wBg6aoGthkOXqhFRP8STynidq13ejDTkAD4B8UV66SPiIm8Gxgdeml3BooPxF5952 XBCJ4AA8x7GHQoGd/X2E/JFSlaU992GfbSRubpFWFXj5cINLca/VoAOtzZjH4cqy+pcie1G2M24i ijx3KYeiFkr578psmFJ1rNzo71hCSZ6bk+jQ1WQefIIwCFASJHhCsDtoAYrru2w3qLW8ybSzKLvu 22o4h41myq15A6chXhYOLwMBC/nu4syFTeuTUeol2AgABgcANFo54bQdAlb4EkvjDfKAaMWJcCpc KZ5JpaqYoOelhOeNceV4G0uLBcZNf49ZXlUjWzxdy+qjKd50wXbG/cLaxYekAMixgubOmUPV9mH+ mrwl6c8iseqcrwKyaDY5lrz07jNi/GyCVeAU3abn5+1G8wucXu4vvdBn2KjkQ12UqLs/RKitBrI/ mVe9XgI1llYRLZtiuXEqvyTwEFeHEJDw1sinMALE8tRH/G4l/9yFc9fT53lyrrPnS/RjgEMK0khv xsPiJvQBVATb8bHOotabfprwN7UvZa29mEWLwX9zTqdVXcERVme6bsNBdcvbpzpJQ9lkzoJc3kvj 1YLFdzVQiMahJbr6fqByDu8CuqkaTJ1zlrsPwxlfi5BXJQnL3UarPoGM1DR2jsGbFdrQjfp+IigW aYCyyMSnySUBhUmgspvqj7NEf7lpHTCCVSxxEnpFHQI4d5HBVkmAj/rZcoafSolFmNakffRO/hU+ U82Z8tIA4DopGg7xY+sTq79FJHK0olvUOk6IfrjU84Ff1OKnccILzvZTLdUf0oST6UikWyNjiWpZ U/SL6uGefVeA5l7MugeoqUcPawGon88Gm2FOIQg0Fmhg8dFLeN3zG4ZI9+3JztQ1Oukocu9C5X+b 5/2oong/gys9nEgkrs/8P/HddnPLYnUPIMpf+traoPwAjtzLdHsXtPWU+Bmw/XH3mOgfBuruyV+h JP7s+o2Th31TL2IQfwTvTHNNn1fvc4bzA6L1m3eAOSm9iBW40XsVpjg6FQV12VdEAj8o9CFBsupQ 8WTT/gSEir6KUrMRQzwhhFd7dKrTHpWPQD5hU5A4w100NeZfRNKqYfwSlfVERJ0Vt/rWWUv09jOD A5Y/YzeDDnGk5HDN4s1tGOUZr0ngUygy5ZHnV40nq2ANkliNbF9t27u+a8FDbf/NgbSVDJebHbaD SNnCbXWHtQYwpP2VXpZNuHTprn/nuR6ThNeOF9znWd6l2m+GbA5WlYxGPxd+jsGh8pYAQvKX9D8y eiY6b5bdvsd26PxZCY295zkoEQhLSjKHXrLeMmsyjAH3GLbRV5wF/RM/zSbCIjgvu6avQ7/9/0Y2 XqsSw6vP9DhSUS6j7GddWuI7qkSIStsTP2DbwPdX3d/XcenmOu48knot62IDbgh498Q0/qed4uH8 Pr39mvSshj61PLOBP4L3ZH+3PLHOOA3i8/j9Yd891xSfRluYUg9Np8RgQ5cLJ6ezo15mP1Lg6CPY iKrSul+Wue6SKrVUzHSlhTZ1wix1/tedau/ZGEEGEIgUvvOODROodoidFBGbQ7c6tKxdHesehB86 I9dOFlpUKjCJh3l/eCr9gW3TJ/fgaX+0X05rELQ00MFGFy3sZu5FKTFYZKjZdOa85Hc1cMOFWtFS QR5zAriEDa67jdOgzYnJhJKNLoqHm+2Fk2z5B7SegZPwGbrfhLB2Tkz+G17zzrwnFzfGb+6JV0Km vsQ8caFdVrJ314D1rY2kdmpDfqp0bRgzEK1VTSMX+MDfypXHtVm+VZ/nGNFtGwuAyn+wDd+fz2IH aWk6fDK6YU8jgDxIL/YdSqUzib1Xtb0yiAJNFlzNXiPJCRE4CucSKkblTkSPc32+TcUMh/dYS5pt mwQibLcZub4uhvkUzRei5pjCWzZM9IYAuimj0r1zf/8HCKJq6M3cTpQrlVWo3lTkI3MkXmItJ5Lj AMffH7N8gkQaQrZk/JBkyIOY5DNv75ZQTjPM0nPsf9V/R4mxtXr8dg2kZkK9kW5Z7orBKY224mWS 3awEf7/optGeFONnQJLGuMdh0Cd/vfvNhMIubxeYYo4OMxKdJ50ws+hxn0wESuFjBLHt13v3JkSa P9w6RwhdNhtJE8eDCUsU65K/Q4rZn1WcE+qJlsKm6vY17VAZIWwGFnfhsW3n8Wppa9OWWV2iMOeI VDk6miWqKFd1VruZgB+OGJlvUzI/AUShjM4ZF6CJbn3aVEu5DpuelzgeJMd4PC7mYA1HXl+fIm5t ZV32Li6cWevSVtJAu4xNlxqs/P9RIewRpZylH5SD7j6H6NJHgLh2sKzG+BmXl6VRdENyW9nR6F27 8XXcor6smfVlfjdBOStATujQSdvX4p5ym+oITlOganjikA4cZl3YmRxRHIpq8L+uhd6VO6f4tIre j0Lo5/J2Y7zK9HMsjrC5USBeAcl5Dg/gktcbl4Y0oQtWsqxsesS0dSLSh3/41yHeCLzXeeSIrkoI /50CjufxFNt6s81RP8Nc4ThQx+k/3JZU3/xla1o357Hep93h8CtGWf9Qgo3B+fyjEH0rN8H1fw3u rpsXtXH1V9mnPZYIaZgNiD6x0ah9zs1xEdtMu4nyx9iA8EIMCfP1b9RR74gXKDnQ3OTemsxlZI4W tRkb+fSbl0lF2XsitWd9qHiA7u9IpSBCpIS+7FB2uaIGQN7S/MxA2nqWU9WPPKed1wg2J/6qgyQE JGMgTI67HvaieQcKtXxUfKSkBa8TIofVk5Nw9ORjJxulKUZCujhzLu+4YiO9w+vkuUhsZQnh9bsc +ZtrRo/6gPX3nc47QdpMOxNi1R5RdGluD6SRn1y07oc8DJcta17m9aJVcQ3C3pR984mn6vmFiR2v WyIT6ckgm3dyO/slQ323k13MS2w8kLPl4TKKK8MUuqvHLyJjY8u/bEEelwDQeq+6MI4HCvA1mUR1 FrftungqhZpYLykjr6/UzLwdKiNoRuvXJQ6Hynp3PGlp6Q8P7TuOlN+OXEq8lSjQeni+ZiIKYioN 1ch8kIikkfuUV94EjJVcp0jRBFIt2tgVmTmE4gjSZnHExfXjB7AQ4opS1Ch+c7TvtkCERtMUl5RI bYOHb7fyi9Vyz29lJgwsE0lnVQXd8rk2iMQfqMkL7tLUN/+2bP7rBTYSiww2uN190xRCldhqeW5X hYynDC0BhGcFAhkmB96lwxXXCyb0ojKYLJVyS/48ws4aWgT9OnjKJgR+4bDUL8jHBGOxQ3OaYf71 Q8EqHnyjSQR5JGG5ZF9WWQUt9sXx7lf8/ZT3eoV0k99Wj+KiV6KMqCmnq4D6klpeM3HgXFy3uhuK YOPEItwt8YZ2KWvwEBqdYzf9XLXWxEILpkJXswXkBRhtHDPOBld86P312OmIqrYavNjcyjDfwErm BhWRmghfkPEGLEpraKED245ZL/aEtHIDVM0WKS/m/QiMP5l31PF8tW8zrZ8hBYrEgvs691dHxXb6 TSJxmWgUeOPuJubexiCRxfhWm0rUb+WaHXyBz4Nb+BPyTwpGoB37vC7sr2qloDWOD0d5n6469Tpw lGS3u4eKkEuWXTHLYvskP7E3dLHNLSZuWPOgJp5LbJQatlQiAP1/mIVKlMW9VY/5ECvMDfwLVqBK gbH2JyksM+RZJMtj8ALs7yNHh9xAfsXu+Gh6cu6I4M+cJheaj3a65ia2soK6RpUUtO92TaAPInzq tK4vegLHPo8f0YtHGEsJTlhb1XVysVQrS2OnWBpqAyHlKtOApodBALjoa5XnmnZuNh/adIBcj2pj WYb8j/tQsD9WmgsRAYYXwDPZKDmuqAJHM4pbVDVkNAHlGam6u1OadtXfoA+J7G4fUwTgiu+nRhAR hWRnkiUUsHDHH7xsHTS0EfGybXHkuqmPmN/9YGIQQddo/g3kFIm8cvsGXgDXaTL4Mf6AbGJkgw40 0u1zO6w38tGxLeIOYKbDAK8n6/ZnbEkIz23QQB0ErOQ8x1Wd21Vtt9pq+pH7+SAhB+32n/X4eUBN o4QU8lL1j33CkoeyySl9MWXaIdv/RWY8UgeWhCcgp100qWYTWokYdeSVKFLHC98OP2V5YJh02nCd P+SQEhWIuxH8CJbOFLCZuNFvukxzyP7kmGEwAR2NJpQnMZM1fxwLi/uDJpB7WXaT4FcJlRcCVadf HLSUW4asUdYJ5pDEmnr1suMXcJn2sbvn+i1vaqIOTFKVlzwUyMnaPmEPclSA1vC7qC8TS9wGckrs ACMGLlVcmuKeaMfckqkTgDWxX7rmatrPnk9bkakmQjv5pgW0uGC08koOn3SB3UZUdPViHmAVbszB XrE0A5SsE1aIKnJp5hZEp6STTdmiRd+s3q2qukiSEIhynRNmlHLTFfY38Zr80knpPyLLPHAFtq9Y hjrD3fJwqYfWM59T3IABiitlY4Qgm45y/AlRslnwkrTRnqek6gqwOonwhpZ0sfKTKeeQ/W8Xwt9W 4BbqndWguQlZqD2+xvsT/OSn54eDJ8T1S1ZfFy7H1iyIpmnD55SpdjruH3eXjLXtiRF36rN2DLio pCTVP3uYJXWYRJ2ghXdKWUVLBKTOxbIfVJQP314nXNRC1JAkWUVzQEM71HSJgMaDkcV+IFPmBze5 PwNjBOXyEasFXOCV1BYo3Spl0xLnZQSvS+fKckuXaRDlO4JwN8DHV/xQWbK9SAsnprR7b+AmcihT SGTwQaShX6B5LGTUJZyrcpCchXcple7TI5A5MCd2x7/WAo8sfgsgT7ZV3A6aUh/fioZhF2Rlkr8c wTLPFggiOCPXLv3n07RuAQYf7YkjWLz6hF06KkLVez/Kj8Etn/kdV0WzJhJRpBqC+urJzSZSsqS8 C85MW3q8LYMoUongeXZr/T06Sb6zFvMO2hwAvQPuvvfv+udXBNuRUAA2szJ6DhBK5Hj+nQJWwOPa RPukj7FKExu0d7Yj0Xnqwm8OPSHheiOXwZIlRwHhmKGonPGDC4GCcFciB9Fdmax3Rq5mZCc09b/K njBZUEI35xu9RjBMI4HpCWk6f5S+MjgEHJqrTE4wrKLvZ5eMnplR5nHfLCLBapPxJtNCseJac58f IeKIS9+O/w7sUBS0aEYfW7I+Uhj5bMYEaB6zctaih8JEK5XgKvizI8IYuIyuzb/HHdN9kvGUANbJ 8vnXpFBdSyHQWxyykmPpNtIJHhmJLwKvTychL9eOScDhtm7tpq6yLhISmhzLydvAPUV2hOVwd7iP bz1Hwz6/JA/VtV1Pf7AzOqdvVn3rF6XBg0X3d5qawuR2dH0Ig9/ppx41CEs6GDeWSL8yL1XPxwNG ltPWfWCXOmqFGysuXEHp+ENfjJCkAUSMg3iHa6PqARZIX0OhczhJ+XPEp5RVj+alaQGHD6r3rN84 x4prk+LIYsXfvJvi/Kuuq8zUygINYiGUIeQyKsC/NSHCEY48gLilH9mfw7GtgCx01YEkfNYUOpAa bX7X15ukprZ1DFmq16lsAGEpGiwc/X7u3WIsxcErN/SbZ59uOOmDkjwOvnmBFFc0iPeCBctmNyEJ hDHirpddZLrDHAmExJ/VEPWsYG3o7cMmsCJTg9RDoyWBpU6d3ueemRj/1LtH+yxC1CqH1cpF2sgf o4l86ob2stl8TGamorzU9fxFKNINydZm5Wghv4GY6we2YKlR/pTrYcrzL+5cYrbCfcFiFvIPORmf h8eDf5q/yLFdjxv20vM3h2pGgjPi+8mQwDzcokGNFuJ/cQxrgl1KplPC849tWXz7KptFItsYC3tw qj40laApc/R9QjZYcGvybaeCBtL18YJzSG0EkmoPqrJhy2SqX1NoN3X7Hha16Dsgx4hL9lvBO3ZA TEEGgnzdJ01yCqtCW67pWbFDc7sp46W1bIjJ1JBPHQPsQvIUWVmL11uyIMG0syzxJJpNGhMy4Ykk mSOfE4s8JqqXwRly1fpfqerDVfRUtvvMBTM3uHXJmvvgmLIaod2DIytaewUu1NpgWMDmFN74w4P0 XnACdFsKw14Yc7v6JDInwYLzxpv5aakzrJkIzZ3YXlyrmpg8/9WOzNRMKtiraAmBOaG+C3gs51np 0foRGl0xUhbJSfgZRqE0cuAYw9IYDqLAEBwcvvmtG+i7dWpd9mhHgDcltc3gOrWhYWtxSDk5c3oV vFp3YcNujGQdO6j4Hjcy4FZp0pZg49kKXgW14DBVmPZCU2z4iYDcf3kjSnL0vG3JcnGq5qw7W2hs uhk9eIwohvQ8lNxaNGPRg1faVNSuzHeA+gJcrT7yP1GAODP3DGtwtK7XcR1HGuREsDKXESOb3Dlx rx06hyQevrWkOSJCPp6SIqjXDlBFsGOc7O0Voa3ERkOyYqwnD2stSf8A7XxMgcGLy0IrEe28gPxW 3sSO44bCxTci1pPfJtH+8ro+qbaCFvqaJ2d+JPGWUO6JuvZzPKuaUaRIm94oNQbnObq3kx2oHZEp qZIK+ayWjp51dl9sFF3PHbQC98bwVFzGs/80WLsEwDeRknn2R5MF8lQqtx7nx2dTy/0qMDkPBzA4 I4b2LN/IUPJn4b/BwFll+clp653I/GE/RdZmiBZizDjdOtpK2lrPt20r0MNhJHbs6Veuw9sEYJAq IwjKRLrPdfSkQi3LgigSb02SBsK0IviNUZBexs/xI8NY3dL2QSrjN2ps1xGA5tlrlI8mUxljTOyC J3ZD5qCtgrdpENA4wUhfQOqcG8BWsMPY/Y9h28bPEmCpUfirE6N6zlyZ7/Esrf2ONzh0rGtbMNbp vayVcL9p9ZojT1bub+O8rTsdH2ccJswoNnSd8FrcDloOMaLATdkZ1otAoSYYCTmmSp3G26AL6aBj hRCxDNMwJRjfETGjjPlfupziDAfmYeW6pOnkTjD3tOKrDbuNCyz6VIL5SCYvDqjt383t9lw9Dz1/ WDJgkU4RnKa4RKZcoAUWhR4t4HSltokLnr8EDIz6M3rUcG2OhWzH0P44HP3teMWaoI1fbUcTUajH abJkwP9ZrdonLWSnMLAwEXwU54KBRCEgKMwj91qzUbDusgVyL9pxjKzTITEdiA1vE++qhiASdrQX 957Niu8anMYAweRvCk4qSMXkLBlQveDeXxM6ib8bFZ767y54yk4NT71rjwsE7lkQL3l0ktKiDhfK kkeiKdINvXbLAzLx4bYBW7M5PmmduzS5QUZ+lck0DUNWfsdDUuGoBbxoeVac0Wd968+cuzc4oEN/ Q30Bwibq4dvCk5oXxpcJt1fm0ZUgqJIT6sQHBlntaNQC6V38crK+X0HT5M36hh54PnoHV4aL89Gy o3OUMWQ0yuO1HSw9tdS3tOElADtvGkU99fHb0u0xCh4A9KaCElo0u2wvuiISHhJ9sfqfbOKKkPdR ynNRpB7irBP7hr8d2HZ1OTWfS02zbwy/P8feB7NbHd0jQIiLIWUNx1A6MAWf+ZVxZAdC9Yivu2hr 2BK7vzrT+4NViIAj13efLARNfbjQry87aqodJUT0ouiojf2Y5ujKrMqF2+xrcjcHYSSfh2bKcjtU UumrDzkWyjxla+nRVk+CQkxGWYozcD2EvjVba+sTxDeulFWKlH/fIz7zdZIBlRhhG8ZDD7gjboTi S10CcLGWGJg9JOQ1jNsBHlz82NuY2ehIGiGCEwMmHJSsBxITnuIkOzoG2eesBrI18V18mh9x+xJo vGcPXPvGhpE9Stz/1sBxAel4bgXO1ONsq/I6+9DNqFAiPaEkFRkiPSfqRbcudHEY0CJpC1q4y63A N/sftanOMcxZ9+JXJpszHdFixXV+hRf3XHYj10jg8Lpfa5EjZavF8cjY9M72H+Zjrl2FH2cFGDU0 ljCOVR7jeGCP9tf+VBgLqQPcUEwy8wVgOPu55aV2+qnvfj4gd+/Ac/YBbMtck8SgNS3yQSJXjMqY 2EvB9r79nOGuYmNhSm6KC0UhrHx4DJNsVqXQq7TMUd9e49Tmy8uWxCHnPAdd0qvYHKnlhZUeWapl Vhoq8mu1TeBA3/NitpAypy2z+yoo/A16xyGw+rHv6QhPUbaIVE62X7fy6AY4QsMU+LVF8/A/l8+p Y/d2KKX8vRe2WBXVKTsX4S3+yM+tSlWia2/o4r5d+N9sylNsVkT+LLOUD2+pQc/WagMLaJFFSaH7 5ls8VxWzJ+FzbRv0ZRK/Nd8vBPMYwqvrj67QenGX7CUlzJhh39Pvom/Ywogdsk59C0bqArGA8iDm ryjuXFhjXCI9ZcmBaPFcAgoPLuOcy2ZtPvJeB3nWd8ZU+8FIN8qxWBVf7DG1BEcVpQfi1gp7k+uM GIsBvBNBJjq86e5ZI3RKJQSS3ujYV22xq32Ju9rm/CDN3qnUkF0WP8lnxukBhGGMrLBBRd41dNyE Xg10WNVUQr6EzAX0xs1TxJLhFX02FBBS7GxTU8HbTEyir4JOgzEBV/2NgOkcM5/IVDCfUhKZgzgw iWUMIh5JF+gXDS1UZJZ/qLE0gGzzCBQl3Nulf4ekzLccOIEozAYsagozQi6eYfhVS4dT5sx4lnmn 7p/1fo9i5HPZ9LorQug6oefQllcyFm1Oz7xnpsObOP0ir1+9Ql0UnRswV1ID78jvkZ5fOQRNsX6l ULILJYDUvNeOpj0Y/nUNhJzVrHI6J6nqtqRWfjgVguXFmXh0nonL88+v4b3Xc7HR+5PoKcuzFrps OmQRCf7cVpVjx4AoLljT2XIsgTlelnrGqMR6pAXPEWARM6LktbMAj6IkzznkBFS29ZanemL+U1jU 6MFXl1IQuonUlSJn1J7+2Q8kh/XQYrchyYdgC1uP76Nry+SoY8SeN+lMk+/5TaNR7dfF8eeGN3W0 WE6AZry4ZMVGh9EcU1EM18SpDEJeQYMYbsmCKVGAK2LLxF5/+nLwnDOgbkMSFT4G3VB2FJivTgbj zYl1WUtSGpdwDSmthhEOHKg2bt64KPycAXac+bq1c7UoKnSHna0KfPGP+RzCZCkTtTcALUe3q/sD yzKrxbJVnAliQMrerbE3Kr/uG4OK9i5Mmr6TK2DkwX2d+fWQGvfsuBngxgfJ2tos/0wXcY7Q9WYg CPATB3PDbE0rp3nYc+Q+5XiTWK1UYlxymqTGuGvfcyUYGpn4NA92kXRN5RNJKOsoIExudfCYrM0v F0vmXALCNtLoeF3lKBGZCmM741QV1GFm5/hhCNh1z8KwxEmtJsr7hbyL1YhOquqIrCRahPRefT1J zOSKAaNY+MWhh/PLaeRmMVM5RgCqZxnYaEqz//Vvp3iIDvazjfqJzyuaIjrKJ/Erc9kXEkEGMryf 2VSTK6VCKba+3owAK5cm/wJfFNffggb2rONyzKBi8C7sNidmEHaeGpc0S91u6b/0GxvFEf1MvzMr 03Uf5HyH6a8y5rj40EjSvCRz3bno8e2f+0YcCU6SbC2KwWyedHoZhkMrRA+KakP4ywb4xBBVjA5Z isBKdXld+BxUOVMsETWiLiXeN2X847J+iL6HDOn9TFnPYJVzqjjcoHcF8pLgRtSw1ORuoazl35vY xM//pZ4N2y5bG5cNuSwGxE7W4WkMRCu2Y/T+XQoavR40H+FVvGZmkm6OnykVFWpnHjymAQU9xp7X UFnxBOGZZq4Zvq1Uf5p/216df6diSF8ykab0TdO/VBBjkvAYkUVLJJjHRdgvjAPvMll3NSxfTMQ4 Jyk+M286k8aNl3vTgn/E1Yg+QGhiVlpPqa93FXaZQU4/bVi4AosefnSTTu9rT1f3scUU07RDtNhC 3UcNQOofP/xqOhIXtsrJhAwZ/wAuY7fycb2Bz4JEahfEB2KCUGpj91O2FHqz9W0IzUrOapFD2lo5 zO3yNXPLH8uvBeu11sr982CwR7T7Mz30E5pH5w+5TiQRxSGuchrZQS7oe+LsQ6ym8a3UcfkgK+mp zAiN9R6qCUqR8FSOLmY4lae6ggpa4pNXcAKtxOwaBfSsWoAcSHXWPRWanoLKWnlczuoFxmX0qbVG m4/cJHSJjMU6m/Q2cl57GkAyx9Yi75mQCf09Q9040A1UM0lmF1/u0B/QNWiPATqa8uOtjj7fVNqq FY/ZDnUl7lmYV5L1GHnE13UsS0tvS0XX3da1TSBOrnHhXAke/sTb8Bkt8MfGB6Dfseu5g/EqSnP5 i+eycLSqHaEabthrHzXxzt451zHYNoxO145WhiVH7QNP6Tw0qa1071SvV5paGruiAkWA/W+Ix+6F aLYuEK+7CBZRe0Dor0TXc+YbKmT8LB+TwQ6QnhpswUfgbIZARCmRIjvEWnKZrYgt/Rw4qLfEysgG hwFnpYt2liX16w1zGKqzeIg5jdz7lUGlP3KxF1zn/5vAWtkpO9Ngkj3o/Sd44J4ZoPxe5gUl81P/ vNbdT+b+N77rgicb0Fzto7Jj/obrEL+kDzG9GMP9y5f7ybJdaw+q8umaQNed3i8i9NbOgns+wqj9 P+hOh0a5ib21L/mJ9B4Ib6Noc9I9pVufiwrUAHS1dbr1vXTO6we2O6jKmptSudUmXoG04JIyDjKD ItdtVTZWcOdAB8oWFJ8HgFha5oCSMVqlAwtiKA61EF30ot9ZNdzSAS6KvNIv1svHMLKgAki5J3/a 46eDUD8YNPsCkAsDpB/DUkptMD7RXraCxmbnMJTkk67i6byqAm4Sj7a+mLcsqH056DHCOw12xJNq lKfXmsi/jCKAhxdr1+XL8p473ni9LlqlyZIv2IJEMHm3m/zYVIpv8f15k1sq10MSZ3MBZZXpouFe D9hpzaIfBjthwUpfDppFU1jwExhhjQzlngGDOjCs4z5OVpFfPHrk21BRufBzWmMtMcA1thmDV+Hl HyEWGfohQQ2NJP0KHS9wsTa2JLaA/OnOgPLl/10cjvyW82Oy8DRtLQjcyBtYPMV46KhmuXlKfuGF XAtICpSuQHzUDMtuS/zHvaOt8YYLKCQQ8wNgo4XNd2TBHBSHl6IfeH1zIYP8sOqDoIBjEwC/DJsi 7GjeJZ+abbMKflSxJC3U5+/3RNi3w/1ZWTTMy6ts1V/7QkDnzuXAT2Mj+sSvxgTeR//Lgj0SIJzW H+WtMW7xThg2JJln4I4HIPnWQEyExlzxf+76kvNJlLBiWIjF+62DV/71NpUYLz50lSwTW5r1w64k 2Y1+B891dZwdeWRxsGy/aMVBY6oSFR09z0YKzteDgsr+JCpEu0QBAzKWtrrvCQmNPfoGAOQWimJ7 6V31/7ZoId9sst/FrGmk5QqPLgwJJS2tIbcoDCHnPtO+CE8NfOWv7mtRgt5Bcs6WDkXI2rCJusS+ 6a/HTFtVRFg3NntACtuzFMNARGiYFizilW/WeAEwgvnZs8A7tJn4vC0ySad7DnAKA/Wzj5j6dZLm sGH/wASD+t+kWFiVxm5ezLcIELkPxCQZpso3lVtEtJXuDgWi3sPzSoZI9YaSC1lkSThmwphr8FU2 BxOzROTre1smPUiOnR/GugBHMZNtgZUMHjazGloJvSKP+hs2e99FyTLU+AkG0Pyjk5Zys0EL7R6e EWkPjc12q4a4qSDxLzLFguBfc8DodcjhOZ6107UzX1d3awRKSIW16JW4vAzcSAXN4fxM842fyuLw uv8EgGmDZcPAvTjB70eYuKaWihPiQo0z8z7wU2VT5Ak4oHsg3g9VvRI+ugq7Q6Ao3lJcw/tCWr6m pLzw2xIvbK4GmgXWUIBNR4TlRFfloXcwOeIuIz8g8FpjBdikVrSIc5606GeyfZC67YPMDtG6O/3E 9KPqgwl2m5QOwyJUzBjJCwr6dMS8ENSte6yV0J46VGniCEu37JqMc4OZukldxUlRurNziYorTHNq mwgSMZ0KWRD1sOG9U7MvFCNHMU3abOGVAk2tP4FHC31cd3jKfAaVB1C0nlNiAfteplFUbKsVSad4 0RupQ7ZthLRFmfvYeCl6QrnwlV6xCwDYoLKjN3Q5OzrLkp4xYQC1aMgBsc/lor0bXpw3jVJ3Lx3p MZvbfNE6wc1ykvJgMj9tRDWRsx/pN+lb2QrpIha+eeDvuD8q0vjISUOaASqsOTqdCJFejEAa7YwA KPmATQ2262JW9fhK1IsiSqk6yCci+iXFTmVXdBJ+GMsk2I3S+kPkk6mCpKoVC6YZmL/gWJvIlDZI AMWzHADcx6ZmQPiQEYN4xNV/9kkHrNOBqcTO2pf+xetiKWSVq0Q44qlicabS/hnYw2N2X3rrAiO3 U0At/GWzEsMhH591ZcOid5ZyiimEsWHpcCbLq0APrxCcZZFNTTNvVKf+Fx55ICg69B4lak/smoU/ oFG+aqWK/DAA7UgjQFI9NvyBdD57r8bQ2VrK1NPVKf9aBUYtHZYiwqsdGno1lc7S/K3MimATxiN1 6GBa12q8YeFbaxPJUu84caPmQ7yfziz5HY0a6EQ+PE+1z2IoVoq11VWpNqglB8CbiEKSu7Fu7qe9 td2j1HOH+thVBkfXuGGFUBlaiDeXAObBq6os9hwLz8Pxcl5Znk4DRrh/70DigZiATyCMp0a2T0Da DNOZ11kNTFwzmoOCm1SXP2kNP1pECWJOm8x6WXWszOok62knypbPbnNy5+IqLLnnR1bh/wlwZ/1v 3PQq8ReXstzCbB62Obhvj+0aMU0RxchiXw8hsda6MSGuIDS9nZp9CNKLHuEyzzCW7v5H5CV8bV11 ZCDsh5j2vQRLZYRb3a0v0LpV8K3k8iRVtPOVbbqkTXqisTYS5LIk5YUvDdJQKZyXTm9EAMtKkSKV xEs/kuh5geSSzeki30DU1+UvfrJUZ13CTFk7qu/9ctQmD8bAHGeVqqGIvNKrLI2o3C3DL+cJ78Jp 1QOUNkMB1hylVKvy5DAnNK0SiVeK9HOp0IyYsT9YaP1tE3sJNWiPz7RSxHQkVBb7wKJvNYq3/JIy 35ABlR+Fi8lydNu/CVakWcB7yur9Ehy6go9JdNQT0OmC4ChIjt9QVayFdu7zeULeCnOoqGk9WHOd yoqZ6zONjEVYdnDrWTVe7xPeXBpSXwMfbZxc4AqZ8AkTvnGwQha3Vz6fI/f+SugwdNNo1mg84/Fm lk3tu01XI7Q6c1l8hEaYPGRm9M1iXdk5mEKVKhbdTZhQwwZcmzBGBfRCjNp0D6LieNjDzu/bE7gT VDYCF090UwUBTzTfaD9KB1/AHWWENWd6vwzSGFMwOpXYV7/LC6o41q6shjy1GAP0viu/l8Qi0VJz EHiOcBaoZD0veYmXkU+X3ose9Se3Tu55qFAs88XsLZ8XbC9TSlU+j88l+zl5+0FHzR3YzxV/4OET mBYRnXyLdsoV3gsX61xw8MYa+k+xsUWgoUElzCgSi0cH9+DR/dN1ieXBsMjVsqokc8F98VYwaqKd GApuZmKrIiPGRgYCooT4d4WcVVT+WJCb9UsuP76Z4siiWVrT3O0VFg4rHfuVFz6yT0Km43nJPJlc m4/UXAg1dWQPkqvdYEn/JvFcCEB4L3CwIBjzT4lGxFa9bS4+VhcicgrENcbqOiEz8EfLFGCvzn4x 2TblAkMS7eQ6bqan1s/0QKieBIXNcu2i+LysGIz+AdYM4UYg8vlUwEfi7y86aBj/Ms3KCUcfekVy znGJIASzsnWsqPhhTPSMKkIBAVQb91B7UCfdYkMGJwi7Mt7CQbHzEQgHmY16a45YWQgpbplAl2mK JW69UcE9PBtQi8PbeiTGFkfipJ/7EGGucy5tsfIHsJVSMWc7/6+BjM/knJ5BBrP+4DMwAdJgwyLC yK7roW5WxC1oZDNgfV2A4+Ofb1u799pucVM9/Tg1nR05gZQUrbVjwbI7nNSY0QOMXPhRYEAjY53x GH6gr8G6395VHfyveS9r+p0tpBL1LYeESAPYeYofaka5g3DXdZDEUc1Xys8xou7a4HZtMYxVzAFv vJ3lp7jpAVgBakrO92JNGmIUE7ytOk6LbnINRfDAf6k0ZWbY+rMPL0DDguHbT/T5C47bSnCFl2+P XIB96AlPUeOeWDi65ZzNFKwkwPU8f99J4UnnRUfhschgswGzzthOO0Rd5xkB/fFHsg6Zz4TzkyJU oGW3Bd91LbwSRo84g35NTIpWgFCpO5OZYG+2gcjNFh6wjqZpApjDE+SqhXBwhO9WrVOxpzhyRDIs kcyG+aYfI5MKZuwOCccDmgDsXuAd+H+SR8zqOd7rPvDiYyKWHNv2z9ZuU6IwElJFnMij9jvPyuo4 EC9xUMXDA+uePXybs8nD6NH8EfbD6humKahjac9I+vZTkQXJ1zGfFghDOHJBljxcM6GZQGs9MkL3 ANIs6/fm6+bkckYGAeyUvaNSHdrL58wwiVvTp4SdrwQHq5yslMpXpV3uMiJbCJiIBa67UVQrvk6E FvB2xa6duW2KThMBHjq0a5aFdX2nl872XuRBiIZJuuR4P6QZ3FAXQSFz6DK3NOe66RICUOhLDbSs 4iUFlgeqysuKYJTDLcyJt2WhUEFx4IemZ6U7x8/GiWcfdAgSmI09hFXvkRX/6Mh17v1pVV1aEqGz yxED9UTloCZDmcHqPQ8fUlNb9H0rdZkZ4kCS5VOH6MAOfK6cDYw3DZi57KZ3N2FL6HcWYEGu+xvT vvd4pdtrarYCA7cXsJYnVwWa79wBlKo4lroL66dxsAC5luZGe3JfYHUvx+bnYnMfreUT+lO/BSRG DAndbVDBhlPGKQ+7A7JsMUbIO48w0uuV/2xmH/NfFePhBbC06SCyIdA5UC4EYt34tvPHdq3Lloll gs4SmpaUqSHxwEgdsw4o5lNjNp3QGUbfMBRDY/4bkAUTKbcwGl6p9Ok6kxh80qHNCoHt5AgPSoCh NJ5EGDBhCMNaBFcCp3UuP3uK6EVgoHr0y/SutPUtunTQoc+uOpgcJV+YWN0s0PDYv1MSEgi4Jcpo 3kML9gUJa3ez0UOjTx1DNZYOGbkpRurlP3KYRrP2j6FkCO5+6tmtCn+McBCyLhifxaK7+YiqWVlB 8R8b4fZfJUq4BwjVDdS4hEEMBI/MnqCYSuhX+UfLGgKJfvJhRHeGusrIqayZwE61PBrOH47hDdF4 N7VK8M7LNoLAuUGQYcogHsJHNe77+Y8RPZ5AeLsfZBBd3P3FCt4VgZGeyAFZ1NsA25sJ4jkxpkM9 FZUNvapST/g03/wvIee7dUgRl/tvJZoc3pY6DYu871EGuztRe2bU76+FpF6/K7J32zF+s5hRlOLs y4/OQfa84jTctCDfNAzOxK0gdBsof9fi0xce3oat1Se2sgpJWmdCH0AZwQhXdDj7SmW7JkikStFY KOhq7yNcawkf+sZzv2jCWLX8ZBlW7L9H4xJxZUlRqpwNb4fKpQeCp4YZkBgJBZFB1VufNGLGq5rb sLafgTmxnp3q2OB5vdW3eEVW+/pmkp4MrrCM0Lm6sluhMSIJHO3dUagfzm47Aa3lsqgo/tJRgvAn w1AF3OAQ93GhNnXzbmE3VtcpdtK8N8THkDgp8RWvR/SU8I/bA6y0+5+AZYwWW4GE0r4GsINWBg1J QiKzjJzVR0ft0MEnDUwaVly8tD+LIxmupSu/j4NbvD1Md5FiJrD7YbNyHvndGwB8mHgG2L3QAKiq vaqbxEr70eEhKOig02A4TToyqacgnF/wf3VYd8nvqahkkOn2mftUenlzsPO5YM8a5gdbGfJYYjto Ad0+hhECL+z2+ypCQKxCuQq3JOq/duW540fsLUcMxS5bCVbQtpSytYtVB5MKjZQH4611v7iF+YJv UiI703X/+SfPRRl0cXEcfgxb9/U2V1pQehf2eiD6Mk/dl0+yDHimIs9KpFOtiwN4yJZWbpnE9Wle XbwiPANNVywqc+eqtbPa2yuIiJgkCrPynmflo0rfyV41U8krgsJ5dcThgNHFWS6CG73HWb3h3Uum 9FXUrl7my2iaDnDoSj2YOkG6wdKbueZR+viUdWQdHXrnXYtrgbTfePYcGFDvWzTYCj7gnk83JjUM lMUZCA/j1B+n4rJ91DYuL3toP39YjlFe76sKDZPNhHKZWlUPugS8wCVn1qOig6CTDE5PgFOX9r0C K8T7eJPlH6AH5xED1kk0XsEpHJb1ID1xQZJzMZl5glUxKSsli044Da66wxoR/mY+H6uSt8IxB64P F0iRYPusgthCdJTfLNDNfaUpVy3WGNdPOflwQqLFScvD8Du6avhPV6QJ1c7k0+OzJhVWIKryKA0T mBgAUqYaixAlBBiDyj7ihtcAZg7CgHU0U++z5a7fgxvBn/JO3VpDhv1bU9zd+E9afZlrYhJ0SOLa nj8Ao5qLZseZZk/TARIyKWnoTrwNcsnFm4arsKN15bexdCwAZL+YiYfQoKrojEAP6AQtBddJHZWO UMyXoGYQzUX9KInIXiHFAxEK1JL5IfbJYUZ07CSji1/dFgRKU3yYrivC9kwJis4ldLxV0E8OOnh7 YPWAFAC+U+GiPmLAhA8VyodiOCALL8fvhA+Z/J5aDJFawVisAmv5vrToedHRMaZPRBcgtM3mhISI PFYkQLRb2sQSI1mJgaB2hv0/O8PYe+Xd0BIly4g9hzdWPVtqc82EX4JbqnhXeifwL9uA582X2hXR QzCAeapvicNi4Bb3yMDOtilVDuUSt7zbp4ZW5EnCZDFhsBPmpUr5PLJSqCKznPczfVvXurgolMfP O7UtNfH2ZToysy3t2x8GCnFR7yiWCd528UVfcFGyPDQbdd9jQ9VxPEc2NQncsFVj3i5Pp3RG3Hcj WC41PN1mCPFnG9m0qntqg10Bh/yK1GNbu2W2FRzCirjtpxEBBnVbg3N3i/yZ1aHQNnjQaHTirYvd HeeqLowMraXsLwgHswKlQFScBdmByKJIOwz3E4fkq5FVWkaFHTLkbModp0Jk2jh13bvbEYEFrx9M NFsInnhxNhznf83n/VA2hN8FGo6xZy22LmFcQVCJwHFGVhC7n30MPnpjTChhKAZj5TuSnQZOZ/C9 MUBQt1graoXUHF8N1pO8Y5Wic7LcgkEvU87Aq95rKw/LKD+Hs6q8rI6eFurZ62sONxhxX14FpemR Ilv0w1La+SQrJ52E6m49kdL3p3R8UCRMZOLCnBDiuawqgc4BSXFQ5LGhNfwoeUaofbbThiKA3sAR FobligCXhIedhAo+QbvYUQw5dA8ZsJd5/ifMLQBhVLTDhNVIt+cLtu5NOU+OxQzaHbdbkqEr5Vyq 4HgK7mAdk9aZ0SVU+V8OPCSAQjp0U5L+XpGdD633M7iVty+8lmYyY/hpTlVWMpDti3MzMiPZVbSS b0EAUke3uBKTEScBYrEUc0wEsruwd1NxhJONcAuBMAor/0yeQTduvZwCK44CYHltLuBEoyHHNknc OmBsX4g7axyf3oAGJIujcptamoZTsPlsu9vAiKk0kWCCC1S8EHKMF2PDKrryp0HashiDDchkouL5 uRXBDhByqv+Odta64a7qoWXZmUj/MhIf8OdGYHappmBkH3trqvxwA7xZKI4yltoNc17sH1K9bwoF lHfL7FXPk1NrOnhlI7Z2N34t9V9ljk8Y4w3OYuM9/lZJLvMoI5ms/1JjWmrnoxa6F/HKk5PVES5Z De9w8PnVfZ0LdZO1Nwyoz2hOL4TWndCNtfyPNxKCgUkBRMZaytjlELrKtBTDCbSA5Ysj0MVdMDe+ EaksCzn3hRBJjjqd/OdgV8oRp1aJaKbSQ0zQTfjYSghrSOWE/iurd3UiD2kTmtwMssPyKFZtFZ5h OqYnCRKlJTBUSTdOJ+NPo5dP/lKlBh9vox2LRwpaUqD9Xpvt7SRb/i7YP6Pgo8wGCzy1Ov7Keox/ ZbAQ56ZaP0Iric8Ss0RZFsVQNAaCA5+XOw17XsnghDkcxUjdKNG3BgcCdWW7Owo/3pjJ4kvIxzHn kV/o/nQnQVdZL4D/lS757R94ekLNSMsL18umreh3BLwnlztNSBR9XFIxGR8GI8CFZt+nkBAIEaFS +BL5mhIwYBjQfP5TuHB44c23fHEbd4M9mTXBioTbUJVGAI2V0rig656Jepb6UZYdt2bvc9ZWD0lH C+jq8SsRadY65Z0haCP0mPN2EmMSvX6KUwAMY1cv6nbazEPDr4j16jx7ZP1s8kRmBvZz47pq0AC0 mijHIcd4cB/uxgv5oQ7/s90K5wQaXnZwtoEKcCaU8nk4xpwYKO06UN0sS4VK40i8TPjmW0RwfBQN 0taS/poMWbnTWTjHFrOFxduE9/ZdicTexbLdc7dpdeuECcy8oh29cWaIzB258bWU+jp5LLFD/2ck YGV8PGW7GBw7H/1nMJcu3VBhEqTMTy5wRXBSp9jEGD9rGSAKHbjEdZXhCNaUz0xgIwKOQW4qOpKx s4YYIoJE5cEJlO9aEzkYCgyzcB+GzJldA+lFujxKH2MM5xJEKKtuIikNjJ/O7KQmDWAHwDUoi+N1 lYoX1zml0iCx8FUYEcpjQJYKyTyWOp9uJWi6x9AsbtRtGxtFF7oU2FK+V7hRUhn5aJ0V/nT9OLUx rTAg8WvaOI4SOL5b8HZeorVNYVOldoI8JdLEBCyTEib3912MlakbnbNABFk4cNhROjP0mSRCOjFO uwKB2vQ2UpacfEmoqQLnqwXQAYnjlzMx2vpAOS0n9uKzLMFJcaxdnHv50pIYRcXgKDFTtWIAzZxR eUuKJ7i/HUK0dnqMSBQHH8Lhq4eNmA5/qsvRICg9IFE8JWfI7nPWmGBW5Cr7OFPBzvBpL5SxEGIe 9Vl56UIfXk5lA9RDa3dczQIqdGnc7W8QcVYs67WTIhXSEonAAtV0jcnW/qZ7YlCgs6Hj/5hSTlQq eHdeyPXu1UgMNYtl3VDtwVKHvBaL+LlNh0hZ1Nbzq2VH+jdxhvZiX82DCWtwWn+eoToSiOLefunv 0rav7Orv71h0o002RjuskrOuJ95Yh9kHb2gTzlYc6ES5A4A578aQxSXuCkPIAZpqB/Hz4U1ZO5EQ P9ZF0uwv3joz8c71Wy94BYdJ/i16O1tKF52iORs+0kSTdncOyzdX4OBpQxq55WUD7jGCcWRZXX1M 1UiG6By9cIxPCS+fn3z4YzZlmEnBsr6wQTkS7y0cXYU8QmIza1YLcoxbvK/GKE+nTOi/jgVHpu5p 08Rhxhni7FhmWlq/8qfoDvDPVgTGxQdUI3KfRTtXezheGeO9llXWTjW20QhJHimO81dS8OabdSLu CVNA5D7rXJ7JmNQsMvJBpwxG1+h2dJlR72xvC2MklQ2xPqz97reigm0yiYNLsKQeItrpV363z+I7 QpUBp7D+lMOfS9qAJS3wRgPQqtp/LntAmWErAlgaYsJFnqe9CtcGqk73Atq5deR3rpHGfbZWKcXM 5jMOmoawuio8Yy4KAU0pwXxvE89/geQnXMGdT2WJU63TU/7GCywgB2dh2QUaQOcOILkLX5A6C77E i5Wn9DujXSXJ0/STFZ9mke0g96CgNIxg9kLsT70X0kJC2wU8J43LpJCT37CQt3Wcg21Pes3YaeHb rGhS+FDFvl6WaEjcnl2PEO4yavhjaxkoMJfzD2E8TYwke95hGKzLfHvaMgCOtK3N5ki3KOfll8TO 0AT8uh7iD8A4gkSPuxzoDc4+A8vZdS+aZV2ObWzlYeESsIZMdVGae2trwYMrnn6WzUijKCuAGrvc PyJeIxR1njytmFDZqL7SJIR03v4J0h436kLfpOR5fUbJN8N4XwOuLKHsL7zzjm/JI5gi2GwS/s2K oj8wipr1ARH5yJRkDkZf5nvWTLxsdszj8pr7WaYbnLBHL3geXb6LPFK9GPpp0YiTb+/92qnOuABT 8HI8LQT0pH1Zl0TvV8FAjdV/mlrYEYhICap0MPjIGYedaLWZ51LDtoFPu4BOjPh7bImoF+ExKWFH QpfazbwvuVDb5TuA5IzsoHlSSmYDt+2cUkebsGldKfywCtIBWumOyGP87sAWzb+iz7bS6XbYpbrr xKCxlg1r7gJxP4XLrRyM1IBaBIwTyW5rJq2ANCXeKwJwRZ99vhkP79JM6zlhgYJmpiCapK+I4Dtt /3VWhHTRBdCTkIfTUIPRkgKZTY3rwJP4KKSe2r3rPQPXFMFG0Fn22+FKEwMkB2wT1zq26QJjaXqG 3t8QAzMYxSSKBPw+MflEe6iPfIzWLu5Te0ACze0wb4tkGDwI9h8igJQjVOJ39gH9g/k7qXL4J48r jpMfPruvsLz/TRvR8OgjweILk6LjkpdiX1vOxteCPXQ4OsSm2G71wg5Ky3RCRy+cxe0gZqhCxP2P olS9/PA3jrZi6qYPdpQ8W68UWyVhieqpAW8UmIf2F3p4dwqdWDmKPvPlSeK1Wx7NgqIBoe2DKdCc E9WoP1qCBFlNbOTfYG4uuSrTii6chu3s+1vB+wUVozV5p81qK4kTE7yNXGPxg9h871nEwX8uP/Ts TTwiSpvHKU/CtG4pUTXNIneWND30hVHbiXcdNt8UQ1rfPNr+VwjHodCNkaOUSl+/mp21UlDwcXHR yj71BZA+o+KKSg70NvZbrbTbbB98jGP45SsFlSQLVW4PzFZ9W6Dw39wcL6hE390EwDQaoO+X170Q 0KZTieaqtp4hSIvnRvWtICAd0ZQOqMSctqMK+Fyg8lSVVavXwV/V4ib9UH5Za7Kjr+Mn1QikhTh0 YGKOUv7uy8pZYn6HKonczpHauXgxpD4Z725eHavzJH/WtKrHGHXZ0rN8ff4pfH1MpvBpSTljU+jq YB8JxGX2gKalrbeX+XEW6aINImpiHK8UqujOVf8wRKGwbnZ6hAj7vrgNvLsrv2kz4EH7kshdS4LP Bdd+dPdrGFlo5m6m36vY5tO+E+pBIa40yVurIX55A5sIt13wOq9ELZbBgzfQtXtBSw+MJgDCP/0Q +On0e8Md2vfhXS9rcoWAtl4cdbHTizFDiiSC8wBpqpAypB2lf7LBZeHklannXbCo41H4bUo7a7dz pB7ro2IrcfPhkiLglJmZHXT0EuWRjnttzdguDXuYn6esBEYy+i7YOHnnxf0QihHZ64/R1K7LZQxN uH6S7SGjP6l8ARyBTR/tiOYJGmrtx3o6/hh7Uj13yLT3wEw7fZT+xLjJ3x3KWbTwS5/H8VCajup8 cX75b3BL1H0xXDrhtuy3i66wHYMvgxGDUBVS1c+btdX6+XM4d0/JVvXqQb2W0Vd5imOkEwHMEeaG DRufpUpb+D88lnLm2p3jGCVQmSjearSwtHShoLXpAo+UbIZspCQLrpGAFeHJiQCstwpmz9mt2YcM 8G+YPE0h0JCOYrKWxdEZo7kh6BQWeS+nQP4EPjcHBNyPPkX4eLJwcd6bBO5lJF0/ZmdcEJRragBY fKeEUZSiTRfCZb9WT19DqM0ms7XkYEluAaixIirUJJt8GELPLZUjx/zsLDyrYrRH4QbuLytz8Sff 8snNLqRnDbPtaispht2TaFmxkbWiMC+DbRvY8pBDKcxB0M7yTbuuwqE2yUOUKBIYf9gUbe7dTShD Ta+QVmFdPgYtc5qf2uvzhm2eQo9YS+2uawR2QqKdd/ac3gB1KpNv20DYuBMWMgHxMRl+iFgh3vqN 7r8t9Bh4np0mNUgiXOuRDYgxdcIY7PSSwJ9Dy5CZ5hki3IaFllp1r689doFelxF5miVpmOreovDY Xf+iQWm5Ityi7TtK8cE/nh7CcMpTuooWv7GB1OpiyszkIwpxCtIbv5N4xQMFBqKJtvHEuwZC2sbu sau8jxQyHaMx0U4zMn/RwVwOffi4oecLzrnq9pjgT5KsGKkZcsPZvFUk9s3sRurU6OnHxS8qfSPf ucNy3D4M17hoDmourtwKbj+EK+QKsak8Oqjd41fRoYonyLN0xlE8TZUlYvEHzbpb4LCjRB2FhFgv K6SktYszhAoRf/DFSp/MaUAqvzY3zKgYpGGthEB34S9MSAkrh2NXRUsBLPmDEa9gS94HBP+1G3s+ WKhCcMR/q9Jt6WZGqhWhB4LRk6jvRr8RRDWvmM6tBFLyyCg5Y8JfkRPvA8UPRVStxlw/ExC2aZyw MbpBMMq8+TxPCQJS8Ao4bHFTdN9qGQY1k/4Qd75B+xiE5fDXFol5p6qFq4aRhFrcvEkudMou4Pmr OK4pD11NsRryOMmVck19hRptBJ0j4iHC9ifIu0SeWBR/45dNvGlYy1B33ceBpUZm5hegwimz1Q2m pu5/QhYIyOJSQc1D0oiBRa86f/K9E0RcJ79n6n3ChrUya8nX0Yun/+Off0Q0a6k5nyMdwJkNGVDA hvZEmKdLyDlFNAfvzeiqnuUNqbqcVpjWyPQ8Ye0bwiim0xSs9dz+6U7LTTTGcQ5BdyOtQ+g5Z4W9 LyUl6xt3Z2RuxX5W1qtQa0SXsFlB4mW+8gZZhbTcLPK85t35VKioaAm0aozsU6WcjXtXPJfpbspA ytuAM/8Fx9+jmr9cqxxwAW2vOiGFA/DgJlZhtR30XzJ46adrOzINGOJbJn3oX80xgq98DzTuAVkn XHyVX63dpu2qJgkJNx6ehd0LWWvB+2+E8wjTOIldlaBch0te1XXpuPHu28ZfQPhPguv0PCZrPeAM 9F5J8r6lwPED8Q0duvmuqCTLBwYYuEpgT5ZBCn6JRbsw0TX6sDwowQwsthwuIteQ40iveb+1Tzsp WLQrY4q7JHIhmXUs8jmg783lYbJJcvwweraJP8mAW46SlBhhOOMCCxAR8sCyqFKdfKnN+FbyKAOf hLr446pU2WgAR8E3sr08L2w32oolD4n8m5xhhwhfqwErJKJeDmcDTaL2GkbpDmlIr8hc5tQXkKIH Goec1rRrfL713R663hVj5GFYjqVgzCsGeKIFiPBNRpfrqu63spKvMG1qR69p3qmQbbbITpKD4S8/ PN0zWj5P0012IDnzXSO/wu4P9cKD8kyBjW+xMek8poKy339IKeQavHa5WAFG8Co2Y7LUpNUR4HM3 4XblQMuTyWlN/cQcud45E1iwD5EHGCXv5PYJAO32ykCIY2xY8x13e5TCkb9qtrDItFWiuty/Buss L9VulEyagaTsoGzGlILmAZkwpNdxg5M7jWktlG/xC/tqgDhB/w9/q702VE5bIXMTuFZbp38D7Hjb 5924OAjs4ym8QIUpd79OR+SjgDJVis8NxxkuDIVc9AxRNtuYCGl/1A7Re1VNFvBWFA4AJPQub0eM gdCPd7m/+DmW8/LUQ5FTx/a+o7KdRCvgqYzrgzUwmtRTzz+q3zcHKnv646sSLNnj3gXQX0yxx4hS NosMgu5FMYJz6pBbXoAz4B0481auJb77sx10lOZPwYFyEMPMWc+/n8h1Bogn5AMcZqD1oWIVrO+7 WEmi/7PIxv7M+R6sh58FOS/IzA0U/WaTMzzRSujQEa1H7xU4gWX9jvgF3JN1M/zCI2/sQIW7bbTa 7vQ0v/gaF/K08ripCvQqmLP37ioT/abCWDY3+qHa8cQYYeqVXBJ2smlwExUMSqP3grmMjz4kG71O YlciriPdNj0xmGZf4etsiq3Sv/6Z1dH6djhOiKAFJrItLQ8FBHed5Wb8c+2qdBdDjlqtQWTkGKQL TF4AL+hLVcDpGZ6fsrYTNeuqw2hmSFYpObLuIbir/aeBp/n0Ygzwbs/sdkN9G4SM3ivZEDa4pasP 7GvmWWng96mqwIFUMjekKB9aKzVeGuibs9AH7XGLiFd60/fHDi0LvK9/m3bO4294nR4VYr06ZXlw HNZ4gJVWElmWx+ufkhRwPidIthWJQRZ7HgUdAOe7IXJwJ3slKoMJPOM9XVp+ECrqaw9Bx0KxEcM6 nYwOkDosOKjyk8jbGReGqqzIzIaxTfwWUUxQauL9NCjKmMCLLJeMEdwLf2TU8hDiZdCEasCJJSFu i1K1yLDcdzE6HSFNJBcthRAXaU1Pm8dTQY0nslNbjVenybWhY6GSP0b7JVZ1euxOVbxcCUqWVvqx dYUVf+Kg+sAzZxp9HEStA+eZJ2hE8zAM4E6AitP6DPiz7J+9ZjvJQuvj03jF38Aoz2Lj5VzsaNhy D1wtmJM58s4y1x48f/K9xKsnQsVu+TVP0AsxrWR0nK5W2C6ZFspyD/kwvDSBL95KKrL5k7yvrfd3 IRrWup80WchQHyYUtlDcWSyJCEjOF5V8yTPQMS7TNUOxSz0ONq6sUBi/yuf9j0MlcAesgZqPRrYj 9VsGhGgVprgjGqFb0kepLKh1MbWtkuyxHYBs7ueLmupzTUwlwZfWRhmNvNrL291hi+MBlAZ2yLWR i0ZuPAjP2BtYjgF5exSKw+8TdV95E49jkB9yMM9Q5aTr2xjpAGBM7aY74iFMMllE3QyvOPb9ECce rl6g05aUT/04stUNfl/LA918tQ87c30YfitDAod9QjhZsQbapPsbjrVy+DrVEYzKY96rKZKnfQIq CFrXZMBO6sVB49YJCQYWRNUfz6/ISDDDVM68MSD+iGaFPE2TLGQPLfImFb0FsVIyeR1nFNguoirj BRQIxvWK8E/pcGyacGrVpHP/4/27CjA3OKW9nlhNCn3L78Tr6AD0H3LTiq7RvuWlGsbrVEgA38UP qtZhXK7B5n3tR6yBdLFxVcYrXFZCCmwdFlxqbxfMQHw4+C81kGu5YyDyQ8Czsn0MKA6fueapOl46 3bB3YcQFsryjHQ6tUHc8Su/Cbr2MPF0L9bhqY1XU9WTR56nX8uxgqe82Or/d40aiRfmoQXVKOmVN TCqJMAkSC5dF3XgAooSzlUbe+KFOu13JURMxeYcRUj4oKBxVNfeOCW3Qd6OoriuogP+onGKyL6td KA7CQeBiT8CkDGe0eLsspneDd3rYD5L4vWFw3PFVQ2n9qn9q76//n3soiYWTRzrl/a+fLpXc5qM3 yUG8Hb5xWhsAkcBxo9l4oNb3KpdJrN93QKxxYp6ljMnqvHCA3FV2TIlryRCJ9uuID3VltxKn9oVK 0qjZSr/2ZgcgOJEMD++CPsSxnliEmQUtfS5+zn9SctIpPRutuVVxPz3viUbI4U327ywVL4PF2Wx6 Ob4TcH/lJvAsJSi8OC2vDOzpeF3hRMY0edI37EgX47obaxJGRTIT/wcT8Gmd2+IFZV3IJVu9rOPQ rukt+r4qea9iqKijBJIkhQo1FAvVNiBawfytymKwThrQ7SgcNMd2B5av7irJ8VrvqA4SpYezIktN Gff5lVo0mXSLKiKNVD7sdH1mmceJ7BaRAA9nV+lz/9FRR3NUZZvM47uvFqIQlaaQk3pexLB3Dgts 53gfb/EwT1xM0Rmgspwp5rCqiqkBoeYkxfEp8zisZOQU/vMzrJPVBwBqyNhm8qJeW+0kQgZbZk/M LpYp3qrLto6Qbx8bHRaR5gFdgnTOCQHf/Hlw+WMcGfEwHMzVZO89Iu6DYTouFklJNSMHBvAhiSEt wjHtFG7b7e+LeVKHWbJ7R48y8O0PdUfLAWvmmolNz8V3HZ7bmjoOUOOg4ffnhurSi4TRLt48VTFi 6u0DfY5ByZYVZjaJdov7Ch3l5fyi90PAi2Ipy1HsCK1vS8TxDipS/Sh+kGmsobX/qhD7QyWrSqjw Krv+lFuIDM2mLxk/ng1yHdeWX5awvSLKGbgoAuPxfXkcHdCsDJVPQuMr1J5z5ZloksrYt1Y0UHs2 RpBB1f2GVvx9YdegfWHVQYnSX/IO31UKvXP2jI4gTKBhLoUbQsaqcS3DD90CoNBoAW8firf15prR LYWU2SS0ptGVAHWtxEzaOB+SB4kwOK/KYD2OmXxCypEotseoJh5EtWSmUCIlxqRw56XiA6ChBtHu 2Hu0q+OHOdtzqOHL5CvPPOeX0k9mtozeWrEpok0mgIhhQ0ccvfHcuPgFSLNvqU34B+ktyGigUC1a K3dOcD6AAyK1+QQFwSAEnudQDwdv86sGuXfu5bVCx0kob4CD94i9xUWVaNxZCaj25sncKNECxjlH w0pgJp1eTrrJEuFKh1cYoNOSaLre3txlBwi/kvdkleiVYzMOtIzy8Y0Ko2HbxbMnWLBfGWnOC9Sb 5dQY/04za0nOojrNznpjG690XmApRdPBG4HJXvemIGAJ4Z2tXilwmT2qpUwGDRhIE0CZ4xMBWAjJ ido3BoJHMqjrbYSIxIqSJwNNTRzxyLFvP0pptlUr7JcskxWRLa3XgJHCxBstY1jenESfJQ6uqZ95 MY1n9XgLpYKDvt+8RxsC9urU+G3rlE7pflJBJPuoA/hAQUpEltkUABxeG8ihnu1MXtUhbjk0vRLp NhciVNjGRxFl8U3NMRMzbmcCOVtGsmtN8xz7MuiUJXhU3dNb9VskcomgR7n9Dv2mvQNuqNiN37b5 bkJlEWaOaN3OquKwsl7OikXjClmX6vBS9V/SWXYnKuEn3ehdqMrjoqMQJ8O3eVxw7VC9dJGkuJ99 iyjxWeVypjIAdUjO2xCTM15gMkTZ6If5pA0k7rqVRDbQFL6kdAJziSsU9Nidod2Cvh+xKPPZu0UT 2rG2wvQKjzx1Rnw3kR5sEsDELKAmDu5Zdh0qyHnlTY/niAZ7NgcC0/BkMTaqN8l7jIdN5Wazqd/W 7Gz4nx3jM2LZEseTcyWl4B4Jxk4xEnxeVV0TT9xvgpDSOhrxtvqUSEzp9tlEyKUncM8ryrnauT7O 4dM6IFmV7cxqsKYdZu7SbXTDd9t8GnY+RyKqLswSm8KfOXLB6xNrcTncrm+iYUymZiWdmuqD43Ba e/i1E678258WnsGa60MtijIrFpYCrGHjxp8qW4VzfH/phKDFANCYRTMrJMLaJii4fOmtFm8MmfDB SQRqGilPCS4rwAA/8fPZnQ8k9eVWJLNPH+NJ3RbGXGfSoEgXtw+WeOUyuOs63z9VTyGIbkmaizXL GaIOdqRT9uR4lYsbW6PS5cXpcXMaDNGDpn6vxfrMG2f7p08SPPLiOKpxkDKqzgEF0Yttwp94LLEw kvWSW3c1NglaWtbIuyNd3105+GTNRrfUy8n4Ji38wmCNIxb5f0hUdnYZAboLBNGj9VNeTE/BuHku aiGaGp6v2oybVklqVMHxi+yjrNMO7buXcyvKkCqwhxCswMzPdJ6WqSbZO86fKVoqlXDzEET9XYaV hLY1pKAN6wUbHZKG3/wopaVv5Wu2Q0Gyawy0pkvw8QuN1Hw80RkDfQdMwZKeKqQLPgo2Samtoe7+ usCMCxlTJATidPrzZPw1Ytuw++l3dOIyfnqoiJNuunyoKVAzoPXS+MMCkorE+lXuvx+yZGWMVTn/ VgcfLC36q1M8XwY9+id2kYOIGpX5OVbbUi5OSXbymCAHWcb3FJI3S9SYGsjwtMQYx7dwz6Cqzlgp xtvXhRsv+DVPn7TrbsFFRaCacMZZL/Ktqc/WJCkCK3FO01M8tp+Y9/iOEQmgVvdzWOCIUAesH93/ UL2JBwJRtjnySOur7hldMD56K3X3RriVBP2ILLddqAz47MG7s2jkEDsXONTUlUKuF7qh+RfDW/3U oebNd5baMI0XeYAXeiCkRC5SpCwVJtXGcKDfMR3Z23GR+MXItss/utgij8KzJzqsOTT9rTcIrLS8 Ll7w3oaRnpRfa0Jg9ShQ59oxaO91R/0zjvcZREO5BzTjsrTaSLSfRYfMU31+BytM4chKM/47vXOH 9OmCrUlDmVaCIYqLRzBlBUc9jWgmTCe6v1sEeMMVMmfXnYx7e+RiPZsH5sOctx8Ks5IB03wx9po9 zKVgjsRxNCFzV0ohfdTRDpnBTewsTpdcY6SBkHB2pL3SHWPTPfsbBS84Vg4poliIdy32mfOrxMnP gTrnb2AgUBc74c12tpl2SACmt3SaAah99k3Mr1h3YmUeJqVZGsfsK+0QkY1UbWCPhwQWMWMQRcTk aqLtKgki9Lzbup/uqeyHoc9hFM3a9wI6i7rPh3kAi9Cd08nDO0CHyDNptn1+a8IG84nBR8l+l0nc M+GJo8YvnMQgch98VOzt/eMJt5ApEllkuz203YraItbAIYpbyEaI937b9AgSFrMLTGEmld4c831B LQmEM9i7fIbKAYe/V0X3pQw0wGlcRwdDd7r1orefnTuhBbzvzsmh3Hr+YSXLG8syOEaOvgwLAd6q /A5xsZdIzAJ+i8UolVRlXWFJRZ6K375mu8PTYOpiBychliRmK3zYj1350hB/bkeuyTOn2PhS8w+6 +5HqPPLIxnuKbBSuRFIFUSKfKqce6f0N8A2nY4SUAfYS4ys9Y6ZOKGKd/RyA68dXtZV0+KiUNwmb Jfy0ty4KTPy8WbdyvmBJ3E9Yv1SwTRX76xrE56jPZ8jymdGRsUG8a0GfJLd4RtsS6wDtzyiufY/m tYC7Mrtog44IbM7YzwPzTw/5ezO+zwRLwKDbacW39JBFJO5Y97Ax3QeZVGYaX4KsZSmj8roCvV8Y g1HJLhRHPpqsCZMgQNv+Iq4zFgbxEf6Vv6Kdg3fgRy5ad0HgwY1dKU7BzeBf4iBty6RL6l2tN2OQ WIgpUVtsoT/6LelmlEjYrTo5HAtyJk/1a/CbmlJHdbi2mDGN+j1ZKGaDhltwz63c5DKiHcDS4EHs 3uTY5vzuaGkLdr2JhC6Nf+jcumW+Eia3ZzFmsGbwHSH6pCd1uBYxKJBZD6xaduE02g88/fItBlBG kC6ddqttpPZz5FToJ/Fp7htadH8W3GrngBR1rrT7XyCsrKrbkUmfS0MZAt39lAR8Kc+I8D3HWKqL kCipYMYALnhOXJUFdINlOC8DMZukZNolatX9k+r16ub7O8Xgag/xGXyAAdHcaLT4TLVfptWDU4cE DUmwUhWmR/TE26EkTryJG9WpxK6w0cgty1ll63dN2onHC1F4jk3OeBs3s6VouAlS9Sx7ZQ6y4MgT MfTYLQOVlQc+9LSiHIcpLOGLVVIgeJYCUCM2g5eTaUCOcvu5osVwqCYTHVMeaWqUbfjTR6YpxhFm PA+LeQR7zZ//zmtAZY90BfNUGbiSZurdPu8343zt4+qyrHceb5N5vFYecEEKboi14R/xrPxcxUYj wrfhltIcWMZwqoduHX1RyFD9eUmQkbTSywF5fqNZlamQ9iY/KuRTtXmAVwQNwH8dQEvX3A/G3rFT F1jbDS/oRqSPS+2k+cuZu5s+Mtt6LpqBV1FwXkUgYAMYROo2S9gfFTF40Oyu0ta58Py8FuzOLSce mRoEgV7z+aULnkBzdOOYOzc/x6KXORnmBIc31BnyA7DvGJJUn2HwNbx61rWIeWMo5B3xsNXB8gNh m7I/in50HCCHvM5hD0+3dPRi1/JzeiIfe5onZpTgScOfjd5bW833suy0e9sjx0k4sxjYfuC9LCl9 C2pSeVUoU5TNr0bUJ6kOL+Sd5KaWgl/nA8rARR5lE9LQ/g350rbKWzZX5mtsM1+XlJjHlryGa9a2 MwDyV/+dLfrfIPyM8WzwMaHBNlCoKsdJbNjSV4QbMeITipEAE10TSUjzmudSBjRRog2o4/pPxq1X mCND71dXt1458JmBqeJL19Ojvm98JiJPYF6gI3Q4+MByPSKMILv0vTAkEzjOUpiLsGST+9+8x+mD hLiirJ2FoIi+zklt21n+4aHHGXQXbl2hoMaIQJc9FtMHX6iS6XP6rtD0PEZBAHePxjIRPZPZGXA+ zG6o7H8lDn2yNsrkecVoqwE8JrVhAHlkpbZdmLsqLvxER1ygp8v0vUyctiKBtj+3BNnI76mQXbDH s8CyM6gDeggsMqr7958/6RDfx7PoNJGERIQgcu8ALB3ThPaS50w0dfW4mq8/+kNiYXs3CdxTbEiw VnQUeUDiVhOc6KhzjThX3JdSmcSWs4EHcQidVt6dccNx18h44C0bnA2r8ALVVmWva/ofj9TqiMRd zuuwTSB22asuBqHqQ0KKKdT1EvBoTNqIPGwUE13Szg6nRBNXlm9WrJJpHklmEr2kpBiANbsM0Pit Ej3VA8E0tciH1k6oiImHOIOl8ePC8XnxM5edU4bldSP5AnFYBwInxa2zaXhus5buVtYjfGhAkPNo OZikCs+a+KFIwe9nL+VuV3bDape3rKydeaMJazJIsAVa2WqsGVtncYb5UjP2i8kyZ1SsJrd7yeIB EjLMSfZjAvLsh96GhDWmTgSzfkrpbjTxW2vyUVigVpT3kPaCOgX+XMCGoDeUqOyVVJT3thXM+Jxo txwwf0+1bknDS0TmUy8l0JJDUrz2I3bInzOcqZYl6N9J5aMzIbA0OTcAYrSZnMgRKini/qb2g1uQ WSH0QjpCHx7TfnT/BvkEo788Vok4ADIR9uHpd472yVXk8Wdb50iD+O842VPRGqMZxi1PNveiH9HM BtQBDNxItWM4dOS9E/cagavCxLcH8H8X4cPGw0FM/ZJSciKLD8ubulZPBf6LhvIBmhu5Da0EPDVL CVMsPaEVZOa1Y+MAxaXvLrtsUWSO2TsNtfwmLn0kTWQTtXzbv4elhwiZ4dMDuXaRkhBPuEQPF029 cpSjLo0EmuD5GthVC1n9EcmJlAJdBsSdEU3P7os9f5X/2bsOJhBwLro7i5noe+LO65hrZ3htSndS DcwBYPrXlAzGtaNbFd8r1kXKc7zacUwbGsYyQ7SZ8Ec9FCOCJrf5W4701Gvre8vGV7QvBKdOc7HH 1slbej3S1h8ILkh+3tzhb+QDBZeiG5TTD0LjmdNSEmWc2hga/92xHyZc0faYN4BPJAfbRquWNZys fTdR8j9eVi1Zc9uK3EQV5n5kBX5nl4nfpISSTYV+XVZGamrmmT1SEvP0pLtHLwPnfm7mlbTcX0Sx D5NMUT1tqpOLngVKDNGDCYdtV6Rxq94JbqLHIQpKuilOJE8veoFsaDVdTshovgBPQhVxStV9IZI9 bFBMhBq4fdFahM2bocwNBbpWeHdWC5sfZ5S/Zpnm/aJSewG17n1Kbplw3L7oKd5oS6j4fp8nxv7X mHB2WSaVFTkEsnN9cPRkubVwqhFfFEk5ll/duGp+7L86XXNbYzlCjp/tJ9seqDmOaK0jFKe0pRR9 GSEXJZKJxCOqCTvRhbIsl4/JepzVi689B3yltTHZdy1RltLTwmcOeaDM/Paw4M/KeaOLUpp13uE4 ILvIrIKIcP9b6cgbzvDJbnCnHFfFGc8NOaCr6tvBELMCrQCMgNA0GI7ufLjta/YSc3Jy64KpkpmK oGq4/2L0JTAj5/8/BTVO+hm45apXaIZ2DhKjbNkFr24Dh/ls9h+OKoJBVn56S4sqbCIEou7b9b7K rRMDE94PvP5ZjFroOPjHIWWvZIoiEynkfnFv/mbDOxgzns1QKVAL5P2/cPB1J5ZAvP2oOuBTG3Wj vNCqE/4WOYPvdlSM0rGe4qUNJfLycjmErvpzCnpAzEXwyKPtVbCsvkAqneTPiUI1Y+k2XNbd5Lgl sf4xXcTGfs4V9Xm6L/mGfJxkVFVg2KYyn75ybM1vbeFEcyMsYfXcnYiYkGtezhofJ7CBEQYxcU7R YEv4kjwKt0fqzsuLWILNK7efZBREmyY0I2L+4dpn/f9q6G7WxtJjKlULJJTjTZPx8V4CvzBjY01V 9zuWydAr/FtMLyRM+0GaluRdgcoNqUXJyLl4MmNRkynufCEr1794PPzdlPpPHXQcM1V975SPWXmn bEM+aQQx37LEsqa41QwiwpG1i7bRZNlfbSBU13fPK7jMyPl3TiY9bxgisNEMYep1KYUHLopUEzo6 sOn+4UPhm/B9XxX/BVI0zcwaFyYoKQ8oTpw7GFZa4JHkH+mNP9kLEShndRgbOtc52kk0btDiGv6U Mqc7a3ICIue/xq/w0NeeVRPCEMzX+IICbs2gc9Yg+eecsAIwVso+L5qL/X5FylkrwzQDU62LZWPF YIp27brqcfd8yID09kziuGKNkNHfaKie6ie+Hc1wogmni/Q9HkPFHMf/XeX/8N0M5mYrFFYMjEkS pRgvrgPXxHN7s/T9leVeHLjGGWRjR+xF3tuPPzbnZDAkiWrdp82KSz7+LRi6Mt9rBJjU1J0YxlTC B73cH6OoRPMNJN5Ya/qEMa7QRtm+6vOo3PiyT83pPvuvo9gIWrlHTEjCqO73/VxJ1VHf9nC0rccE rhB9iifQa/azAPNT1i+zaM+0y4xZn4wNDW1uqT0ROiTBetnr8psdP4W+XvgbhPgic2K4GtCsHTzq 5ytWzo/J9mZm/FHP2T5BD6Q2M24Q0ih1Kfj7Sdiv0ODn9mYDJph9zoQs+TkdRk05R+1RiCWyqBQb PiBp8OzINu27Ege4MFGRToVsbm8q9NczPKKbR24Gl2mM/Hcaux2zbcUCyNm1h+1uYhmPEgU5Yq5f w9vGlxjxrKlUqrR7B6vXNwNs5lTAU1Blkb5eqd9hzqUvkMUNGirKGt/tPj4KYt0ITMIx6DUngEZN 6pWZTi08CyD1o/Xf/X/9/WzEsvb0XBMb0xWDJ/ApF5WgiuPtDq2QZKlWPyGRh+SxdcHb4NwN8Uhm BzQFU9FSYstSRW1OW6ABRoxywr0RlhED2/6OIHiEfKj7l1xTBPnvF1AoLoufdZlY7NyZT+3gV5jg SCXC5FR10UPlBJ0Ko4AkRGb0/AAIzj/DTBkTog3HIaTR8AuWG7fhA0aVCbkKWcYEK2wqbRW2G+L3 m1duZvCZZhMxRm8iF5+Ypl4gCinCweJkfosoWLWyTIwmLWvcU0GXTb/Mt8y/4J0emK8Tc6etpWeJ T/ezWB3vcXkPvOXg8HJHiQjCmRtu858lUwxfLJv4dD4YEnWibgxRDrIfyPuMegx20GBvsrpMRs80 MExKLru8SRy+Jz1jACX9XqTREBRJqhtpnG+aSDv1CtKK4qg+NZg+NayBuNA9r3bAoq+rWSpGYuEr OhkVuL6Jw4U9817pBgHsmK+jHamUW/7KyELlQtHIZjRLSQ3Jn2MOXM3VNfv8DsF6NBtijO/L4gN2 FQKS3xtcfK8MwqQqo+Rb5ZKf0r20jrBEVmNuytDNa5OYZZozXukcFC6vGkeULz+rqgPOiG5R84IM caZUgVLgD54iYA1qja7SLG6VI/5jW3Bp5n7x7qSSykb5EzRDINgpt2UlvDE1vbMyxNQywGr/ckkE 7KoNzwWYmegBtfSJsEYixmwIRKHiqjbZVkZ7YLy07QA9zrzfnMnnCQxpxS2wiuJAuyAXwE3V/bBl +82e6Xka/jhs+8SAYIjEGLmorQDIdoavHIpYFE99oW9HBEj0Hv3jYATAO9sDpYa4jmXHZxoQFC0u kybhurPN1AbqhEleD91jp9YGxbovdl6WgaVe98hLQzAWU47OD20CaDWyt/BNx98ViETE2I4OM2ZZ DX79vqSoMhagUnrtoBdkWC0DyW3/mBtOqNOP1fEYOiPrHDkiyPS8bMag8pcrmVXSHOoZOoxp5E/D waGtm5FtIftqrWNrifnLVsLCnRIdN27D1CWcAcnL8tWl4rS2WMH4qkMMXI0BP9Wyc78KUbMCiBV2 avvcr84KUr6yf7TyspvRMmG1Mg/3c9gfoJDNT2q1cZeK59M755fGcKXAdkCRrxVY4ogWnrCKh6OX iP7sJ+YKDMFe4e9ckX/4T8l0/DhFKqSqnJ2WczBgd1xLHmCIRZZhvsUkKahl/VBFuDODQLsByEvs RK9d9cGrNFD+Jpks2eZlSOJWJveeeBgHCemeIa8kL1FEM5Bvh0F/x0e6IRcraP56jOMbjCmczAjj 8Hf0l1LKNJSTFmI8K0llndEs5oTM09trH2RI46zUVgetv+mhDYQqXN4earrPk80E9bHOab4L1lWT QvFXNj74dSuiqH+d1kKFedReuKbd5z5FlCV/2qfL+/79H7pRn5oX+iX4xevOf2O/gY22cSyTkRdW KXlqcgQnRn+P+25A25nHfh1OfXlH9/pyh6Enz1MfiHSG8BkhFlq5BImNGSE48UM84NKLdZb9fR8E GKT1A7wVGbd41IyuIFBZqe7YWlZOPmXroLlRm8C1wQBzHEVdFhoW2he9omuCAtr+nzATjlTNfCOE lHFwbiP5YgLT6TuB4R3cL7I4Q2R8zNj5PA8Ctdxmlfncsfh5kyosvpo2QB6pMpqI6fcwT3ghX9vy 4+6I0IbVSj3L/eRS7nIKDB/qqfvxBWKBAjUz8nK3aLk0u5cn3CzT/KOLGBZGU1QiluJRgu+WQJuQ 8x812RiRXmMhP1Ge+BtKx7CwRgXIslGEyvlXH9AkRLQeK0m8tWyMR6HZq8ThTyd30ctl/fNdcufq xvJpVd3Psdv3SNHXMhp+/ngQFqPTGgOzezE9K4fZ8m+AjQwIBScTleJMfZpj17CtUpDF58abkGdm Hl9uvGxtTWuoUgrk3j+vGi+58KNn8gR93Su7FjpHP4BBmwW4RVfTjuYDY3sjf8aYmycFy4xmwAY2 QRub30pa/U2MBnF1pYF7VU55PFF7EPuf7SNe6974hRy4KftzeIPz6Xmba3YFs+YA+MPgo6fyRLmT R9vpCapptTQBojAzXeRknF6XZfjOtYSyBkkCN8+TcDSm73gr+eQzIDhJBX9gNLBv8GmFeMFD6y3X aZPbLRL9/ps/rua7ayTupm57wXxKYPpzTfPcgrDvySwqLDcFVQsR76CKvVZgC8Jw9cc1PKSWcaFq Mrcw33kOILNAKPUwkhDXaLObulyldYQNPiffOGye0B2rnL7F/gKQV2wQN++Nfr1IioYxh4/4VXZ/ Payaa/1keKgPkI8KGhl6Rj1EGDo0jzIAetW1VE8Zh+aEsNZoUcQl246IZFeLkHOrors3hJ74nxK/ sZbQ6ns3bMLgVs9zuBLBu7LuLLSsIyhBslai6UGAzIbKaINpjraS9fJ7PM9EJNiahySYRWVJRrNC 7UUtFWBfGPGVE2DRxV2JZmXQvv1OpvTWOPFLG2Q2fLgtprj/XTkGPhjlKWZDVqPJjvxzIYcgaRp2 gIoZYqRF+3JYmn9Pv9ihEcjWQPXiXHXShGTH2aWf0T1hdXakRz1pYJ9FrA7sFV+nxaIYuue6gWnn tK3yo9Fo7+nnAnJ2e1GtniviBr0uAAW7FKxBSkDHlBoNH+1lTmwrgnt6h496G3+12X4B3OA3YZ9k j1TUuBUS8KHy3U2stg3JvE6pADrTopbtTNt7uDCt7dJjE9i0tJzSXwX6D6gge+Q+Ub7c4qFsw3Su GGEvFLbxpHouwHpq9VGx6kwtNmXW/7m9MYdNVL/Oj7jx0zWy7rkcZEvDMiebTKrbaBuJw8U3EuQb vFhKxv8CvJ3e7L2dHhxtAMP0NcYlL+/aoLowcGNFwGsTPXOThCVspWEjd3wqgfd6+tII7YIp+VHT a74HqmijknMuXBh4FlbYs5wBPcirc69psh9DLyPFM2kncBdcBRJXXPcna2YbcAZXM6D/XbltyKU5 2+MHioJ5BMR0q/Mn15Y25GUokTNrJgtzwTg1zsK63Ntg5aqQbDcqjUchCw4S3rPn+xW5UQa5lkIl UoT/DO2ih8tmvKUBxQkzc7PuckprYuUHSfMbcJgb7AVjjoJloBLE8Q5ES4gmZnsIhm6hsg9LvTbW lqZlpaTIL9CIG1UaA3NOLDSXil4Pgreq4eJpT++Hq3hKYy4BbFMeADnbcJNQ2fuiM2w3OVzRRltO URT3e0jcuwwREtKq3QeRXCcpWYwx1SrDZVqxz7pkbMjAnkAb81DWhiIjoqHYB6w/e623UiHJv56U 3X5jGm5iRBcvuOfkODF9FANqiOwKwzVqE2P4dDRMPVKTsjtkRK8OKxofcvs8LnHp3dwNbQq7y70c qHJ1chOvXfEhil9GNa1Svat5/W7K00Ytsi8oZ1iYUQUhQoOoRcZNEE59lh/ZpHzszk9AXAjX5R1f pyEchGxTDIQKGunaVmvNWTNKBcFEc7f4eIkqj0t1eQMfBQlmHewYFdeA9REIk2W03drJUKflDAjB yiYav4ejshziNA== `protect end_protected
mit
ashikpoojari/Hardware-Security
Interfaces/UART_Version_3/Uart_working/RS232RefComp.vhd
2
10758
-- Description: This file defines a UART which tranfers data from -- serial form to parallel form and vice versa. ------------------------------------------------------------------------ -- Revision History: -- 07/15/04 (Created) DanP -- 02/25/08 (Created) ClaudiaG: made use of the baudDivide constant -- in the Clock Dividing Processes ------------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity Rs232RefComp is Port ( TXD : out std_logic := '1'; RXD : in std_logic; CLK : in std_logic; --Master Clock = 50MHz DBIN: in std_logic_vector (7 downto 0); --Data Bus in DBOUT: out std_logic_vector (7 downto 0); --Data Bus out RDA : inout std_logic; --Read Data Available TBE : inout std_logic := '1'; --Transfer Bus Empty RD : in std_logic; --Read Strobe WR : in std_logic; --Write Strobe PE : out std_logic; --Parity Error Flag FE : out std_logic; --Frame Error Flag OE : out std_logic; --Overwrite Error Flag RST : in std_logic := '0'); --Master Reset end Rs232RefComp; architecture Behavioral of Rs232RefComp is ------------------------------------------------------------------------ -- Component Declarations ------------------------------------------------------------------------ ------------------------------------------------------------------------ -- Local Type Declarations ------------------------------------------------------------------------ --Receive state machine type rstate is ( strIdle, --Idle state strEightDelay, --Delays for 8 clock cycles strGetData, --Shifts in the 8 data bits, and checks parity strCheckStop --Sets framing error flag if Stop bit is wrong ); type tstate is ( sttIdle, --Idle state sttTransfer, --Move data into shift register sttShift --Shift out data ); type TBEstate is ( stbeIdle, stbeSetTBE, stbeWaitLoad, stbeWaitWrite ); ------------------------------------------------------------------------ -- Signal Declarations ------------------------------------------------------------------------ constant baudDivide : std_logic_vector(7 downto 0) := "10100011"; --Baud Rate dividor, set now for a rate of 9600. --Found by dividing 50MHz by 9600 and 16. signal rdReg : std_logic_vector(7 downto 0) := x"00"; --Receive holding register signal rdSReg : std_logic_vector(9 downto 0) := "1111111111"; --Receive shift register signal tfReg : std_logic_vector(7 downto 0); --Transfer holding register signal tfSReg : std_logic_vector(10 downto 0) := "11111111111"; --Transfer shift register signal clkDiv : std_logic_vector(8 downto 0) := "000000000"; --used for rClk signal rClkDiv : std_logic_vector(3 downto 0) := "0000"; --used for tClk signal ctr : std_logic_vector(3 downto 0) := "0000"; --used for delay times signal tfCtr : std_logic_vector(3 downto 0) := "0000"; --used to delay in transfer signal rClk : std_logic := '0'; --Receiving Clock signal tClk : std_logic; --Transfering Clock signal dataCtr : std_logic_vector(3 downto 0) := "0000"; --Counts the number of read data bits signal parError: std_logic; --Parity error bit signal frameError: std_logic; --Frame error bit signal CE : std_logic; --Clock enable for the latch signal ctRst : std_logic := '0'; signal load : std_logic := '0'; signal shift : std_logic := '0'; signal par : std_logic; signal tClkRST : std_logic := '0'; signal rShift : std_logic := '0'; signal dataRST : std_logic := '0'; signal dataIncr: std_logic := '0'; signal trainingdone: std_logic:= '0'; signal strCur : rstate := strIdle; --Current state in the Receive state machine signal strNext : rstate; --Next state in the Receive state machine signal sttCur : tstate := sttIdle; --Current state in the Transfer state machine signal sttNext : tstate; --Next state in the Transfer staet machine signal stbeCur : TBEstate := stbeIdle; signal stbeNext: TBEstate; ------------------------------------------------------------------------ -- Module Implementation ------------------------------------------------------------------------ begin frameError <= not rdSReg(9); parError <= not ( rdSReg(8) xor (((rdSReg(0) xor rdSReg(1)) xor (rdSReg(2) xor rdSReg(3))) xor ((rdSReg(4) xor rdSReg(5)) xor (rdSReg(6) xor rdSReg(7)))) ); DBOUT <= rdReg; tfReg <= DBIN; par <= not ( ((tfReg(0) xor tfReg(1)) xor (tfReg(2) xor tfReg(3))) xor ((tfReg(4) xor tfReg(5)) xor (tfReg(6) xor tfReg(7))) ); --Clock Dividing Functions-- process (CLK, clkDiv) --set up clock divide for rClk begin if (Clk = '1' and Clk'event) then if (clkDiv = baudDivide) then clkDiv <= "000000000"; else clkDiv <= clkDiv +1; end if; end if; end process; process (clkDiv, rClk, CLK) --Define rClk begin if CLK = '1' and CLK'Event then if clkDiv = baudDivide then rClk <= not rClk; else rClk <= rClk; end if; end if; end process; process (rClk) --set up clock divide for tClk begin if (rClk = '1' and rClk'event) then rClkDiv <= rClkDiv +1; end if; end process; tClk <= rClkDiv(3); --define tClk process (rClk, ctRst) --set up a counter based on rClk begin if rClk = '1' and rClk'Event then if ctRst = '1' then ctr <= "0000"; else ctr <= ctr +1; end if; end if; end process; process (tClk, tClkRST) --set up a counter based on tClk begin if (tClk = '1' and tClk'event) then if tClkRST = '1' then tfCtr <= "0000"; else tfCtr <= tfCtr +1; end if; end if; end process; --This process controls the error flags-- process (rClk, RST, RD, CE) begin if RD = '1' or RST = '1' then FE <= '0'; OE <= '0'; RDA <= '0'; PE <= '0'; elsif rClk = '1' and rClk'event then if CE = '1' then FE <= frameError; OE <= RDA; RDA <= '1'; PE <= parError; rdReg(7 downto 0) <= rdSReg (7 downto 0); end if; end if; end process; --This process controls the receiving shift register-- process (rClk, rShift) begin if rClk = '1' and rClk'Event then if rShift = '1' then rdSReg <= (RXD & rdSReg(9 downto 1)); end if; end if; end process; --This process controls the dataCtr to keep track of shifted values-- process (rClk, dataRST) begin if (rClk = '1' and rClk'event) then if dataRST = '1' then dataCtr <= "0000"; elsif dataIncr = '1' then dataCtr <= dataCtr +1; end if; end if; end process; --Receiving State Machine-- process (rClk, RST) begin if rClk = '1' and rClk'Event then if RST = '1' then strCur <= strIdle; else strCur <= strNext; end if; end if; end process; --This process generates the sequence of steps needed receive the data process (strCur, ctr, RXD, dataCtr, rdSReg, rdReg, RDA) begin case strCur is when strIdle => dataIncr <= '0'; rShift <= '0'; dataRst <= '0'; CE <= '0'; if RXD = '0' then ctRst <= '1'; strNext <= strEightDelay; else ctRst <= '0'; strNext <= strIdle; end if; when strEightDelay => dataIncr <= '0'; rShift <= '0'; CE <= '0'; if ctr(2 downto 0) = "111" then ctRst <= '1'; dataRST <= '1'; strNext <= strGetData; else ctRst <= '0'; dataRST <= '0'; strNext <= strEightDelay; end if; when strGetData => CE <= '0'; dataRst <= '0'; if ctr(3 downto 0) = "1111" then ctRst <= '1'; dataIncr <= '1'; rShift <= '1'; else ctRst <= '0'; dataIncr <= '0'; rShift <= '0'; end if; if dataCtr = "1010" then strNext <= strCheckStop; else strNext <= strGetData; end if; when strCheckStop => dataIncr <= '0'; rShift <= '0'; dataRst <= '0'; ctRst <= '0'; CE <= '1'; strNext <= strIdle; end case; end process; --TBE State Machine-- process (CLK, RST) begin if CLK = '1' and CLK'Event then if RST = '1' then stbeCur <= stbeIdle; else stbeCur <= stbeNext; end if; end if; end process; --This process gererates the sequence of events needed to control the TBE flag-- process (stbeCur, CLK, WR, DBIN, load) begin case stbeCur is when stbeIdle => TBE <= '1'; if WR = '1' then stbeNext <= stbeSetTBE; else stbeNext <= stbeIdle; end if; when stbeSetTBE => TBE <= '0'; if load = '1' then stbeNext <= stbeWaitLoad; else stbeNext <= stbeSetTBE; end if; when stbeWaitLoad => if load = '0' then stbeNext <= stbeWaitWrite; else stbeNext <= stbeWaitLoad; end if; when stbeWaitWrite => if WR = '0' then stbeNext <= stbeIdle; else stbeNext <= stbeWaitWrite; end if; end case; end process; --This process loads and shifts out the transfer shift register-- process (load, shift, tClk, tfSReg) begin TXD <= tfsReg(0); if tClk = '1' and tClk'Event then if load = '1' then tfSReg (10 downto 0) <= ('1' & par & tfReg(7 downto 0) &'0'); end if; if shift = '1' then tfSReg (10 downto 0) <= ('1' & tfSReg(10 downto 1)); end if; end if; end process; -- Transfer State Machine-- process (tClk, RST) begin if (tClk = '1' and tClk'Event) then if RST = '1' then sttCur <= sttIdle; else sttCur <= sttNext; end if; end if; end process; -- This process generates the sequence of steps needed transfer the data-- process (sttCur, tfCtr, tfReg, TBE, tclk) begin case sttCur is when sttIdle => tClkRST <= '0'; shift <= '0'; load <= '0'; if TBE = '1' then sttNext <= sttIdle; else sttNext <= sttTransfer; end if; when sttTransfer => shift <= '0'; load <= '1'; tClkRST <= '1'; sttNext <= sttShift; when sttShift => shift <= '1'; load <= '0'; tClkRST <= '0'; if tfCtr = "1100" then sttNext <= sttIdle; else sttNext <= sttShift; end if; end case; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/dma_example/dma_example.srcs/sources_1/bd/system/ip/system_rst_ps7_0_100M_0/sim/system_rst_ps7_0_100M_0.vhd
1
5839
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_10; USE proc_sys_reset_v5_0_10.proc_sys_reset; ENTITY system_rst_ps7_0_100M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END system_rst_ps7_0_100M_0; ARCHITECTURE system_rst_ps7_0_100M_0_arch OF system_rst_ps7_0_100M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_rst_ps7_0_100M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END system_rst_ps7_0_100M_0_arch;
mit