repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/hdl/builtin/builtin_prim.vhd
5
111502
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block isrHiAxWVRJAlvHNm7GjJHAxGt0pyvtoxxv5t0Wd6WB40cmMDqHcfYDGYlRmbGHugOVsmu7z94BV Auhxq2Oopw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LfGKz8fHsYhrbAXeSgdX7Lp+9ocoAXBoY4EV95aQjceOUd9zgdFemn1D7cm37K1fF6MBnPxU+1AP aaozaItnzT2wxD2H5kGzPn+OmTnoTh2MtnqxILq2A9lQTia94KFlty14W0EXM4uIBmobMY9ken3X dyVbR9QtzLt49+JbXA0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QSNOHEeeuUeqpji7ip+lMNxlARVuzEUc1G0iEzT+ysTlR4XmsIueBaX4wI204pG9pp+VcDVn2Lz+ T/wnCVoCDdUsF7GcP9LLytmEX6M82+LfPvevGX7uw/qMAJ+kpR+P+RqArfg9kerVKBClVMJB/TQP h2FGRIXFuqKZbH2AajbHA3a7wlkfkiOSsAg30PRvuCoJ/unw5X/Fag11j6PNTTrrijtuFN73JNyb 5kOC6tKyZtoafGyO1Wa/36HO6r/82r+ll7826V+FzmYGjc1MHD4miPT8OQhGqd8dU+Qpe4VZF+J+ mMz/IA+9yJp+9K6m6chI8mivkv/eF9AsT53saA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mebZ5+XGavNib0tp8857kJ2AQvUURTal6lOC2EqBJ7vXqi+hVSpgqZRUeltrJlIthAvWQ8FgqPqF ivCcoPFtP4OhL5E0Z1AYLM7+nD2+6zwjnHW0aFb325QmOVjLVMygQMWoIbjoKCeX/MYlB8V/tbzB MmIVCJ1HfBLthyBvS/k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e3f6LzPvgF3mbYxynCmrVOfzDIctiZTHRtxeohww7Sq52zZcIggfkubbw3DzRxzKZla2HPNH4Wbt egBQpYQwzhFPmLK98gpt8oA8jvC3ypM8SlvAT5gjy7+WMuwfqW6D1WxmJ2tKx0ArN0kF6soS3xdd dtSmkMPKh76J4oTMIKku1vkgPbLCDgD1XsVAg7adQVo2n9CuQGvD+2ILrnkzneyf+xkMdEbcabAr VDxZjRuGh8lLgBn3LLP3VbGhM6QBB8SM42+MlpGn0/56QcbmtnqhJrTJBTFalKfy3xmxs0MbyOwz L9EumFR18SOY023UwTeSl9pSy8GTFEeyFAK4Tw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 80800) `protect data_block xp++znWXFMFd6ijegZNckFOno9DgDMDjQJqV1sUu+r9AFS1J0bcdCRvq1Cj3k7LEMjzs9o2KfiAL 1QfXjlpY43Vo3oDXNcBWpvqcDXYH/NdJsONG6cjWu/qzyCBXycz/4VaCCgx+Ia4c1lGZFMKIjj85 4jtVGF0hsKeDOmgpcQXZNdTBrhuwq9bV4kc20fxquhH6ub3lEFxzcBA8DwaT51NhbCZk13eie/jo 1eoemBxS9USX0J/bVAHzVlU2xPSa9sSAP7iuRgwPhRrtBD2Br6sO66CAc545sD7l3ccCVu7U+Y4+ rzJY5lwc7Z7HqAoI6KaCcclqBUW2+U6pfdTsqMK7xeSnjeZjZ/GPmjvEUMnYBBGrqYEIDuFbdnE9 UqMbzM0S8BkbuYeUSiZmaFkO9+CUWRB0+2s7K6GAQG6KAhbFubcQrb0OYJKnt2GIo646ft3e21Po P4ihK5uUC1Nh6kfprVmdRIbYZMFepNMJyC98gmC0sRuRWbg+G6lXzlIzoQOJZVTaHKduHxHkBDeu 5Sm5E2ij+/aSry24QBHW4ALGiB3uwyKP2aeiN/bdRiQ8njrRR+KkiJ/9PmNGq13shy2YKgN/eCuY FfDxixtofZuONMMqgNFaAPFmORnpSNgd6dVKilMv6PxIUPFj9Qs4AUaQd8K3nV4yNniAtlyqrr/g 1HSbxJa52I9cgSZPBeqxZ56DhwAcolrtSOKU01x59vcxV8SBr4S9AXMqJnIaDAL1gsLBMklwLS12 cZ824xPfXN5MlNu22m8PZMOH2ee23eqjREllHPy2zuhAhl/rxRnSvPMaT+G+1ADtBXz4jc9ViGkF 0hcjGfT6Q2YSqiAF3FKck7/nBDdEwY7+CwpGFopE0gxJzvr9K0z40+yDO29SsaumeRBVwVZxOuRd 5nH6iCZnzwUxO3r2ChpvH8rxbWG/gXZ2wMfSq4COJNS+ocKCWcTIAFOMX6oqldNliW8mpv/3wICk gnaYhFO8E1IKqyZmU83gnRLM5Juv/3k24jyMm93DzanklWqyfac4eMp/Te6LBNUoacHyTdW7k2Ef 9JyNbftA9aO7Bs0Vw15d6GHAhS056RHcvwZ4VOi/vi1J1JqY/1TA/HSMUaTxVmDo4h5eg6p+K4VF cot/6Zsb0xpWdtS9jMfZiQe52vc9krAyJiVdG5KkeyFnlYOcgww4VGo9V8qX9KrAiOwZwTYIL5ya qsdO8jJEMm13d/O5QLR/EIDRL+T2oJxpNkLfAkoeKV5qo852DbFnpwEfNu7u86qzi+O/KAX56jOx mjMwEjf3l8W3ZYPlTkb5LcoTLBCqHC+KBL80ieE3LkBHh1Mvtirrr2YeCgV7Ik/SmCBvRte/scEP 3Dx0bbXfz6yjxMFUPWtz2xGmNGRArraGBNrjFgbfZVKgbJx7TQD45c246ZkZC7ba9si9/qjaURJU 1IXxM9z+kntzXOPIqjNuVVyx0mHossgASNFi+32EPucPiw2RACG7i0MMk5vglxPENFpM+AbrEp+c wvQtjZCMKajoW7x0/ZxmSmdF00bfDVa+2afbvzUDKBLKE+QC+mtJel2wH8ayZ3H2Z88ho2FXFcft OqMm8F25tSUBqPOv4VVKgjTZYKaQusudzXRRL50R8vSxJe991Ps68qUXkwHkVKNAPUV762ZAB0qC dKeedxMsFQjGpSL+sH1iH9IJpWyf7Cb5vZuyugyqgrtSXC8aEt+HQy1dKbBRcxCy6UAFPFheLV11 EnYZMm+aq8NNL/QQL8n6KG+iAi+x5JqoJOgvf7TQWJvFvO3462EfJzYlDyFfnrVilVsJiAEOivhq ABiPk9W+21BqI7nLpNCtgOCCeTr/BoUcFDqvS5uelAihUpB24hZdr1decAx0kqds0HRFUJIXIfYq ctTTsqKXst+nvFE47i3JAkGRyb9d5ll/XqYJ6g3PSekrvVmL5dU4LqlVN/IvEyPXnoAbQAaM3fVe HqhkJzAC63N2GJErb6tYPSzERkRyTiMvCJAPIlHniGsM0f5vZcfAgST8qGzAfkOw1BP97/eZS3r6 V/Vjzyhy4EepLKVPBowPqBCRJSCcoD99hRD/LyuMfPH04zLdJf8Oby4fPGTfILKMxnzDFQkprdpn 6HROJw+wW25QLS1ySbHIM96Ydam0eo81uqssRNMEuehxuzpqw8PY4d3D1sE7BDxJzGG/T1C5LOtA /T9Qshz6sDCQ6f109e07GZNt+hVSeVQ+fy5H5+49ZE06Pmgkf/wn1++jCvJIdR73L+S0GobAAldI FMLlfmCSmKqOd4/M0Y75+hDpfZFjIfbCe6nElkdJP4VcBC6U4Vt3RVnaMXXHs/kptlaij80mlKhn hjph8ri63ZhyZGg/IovB1c2TS8OErii4QNJyCRLKyBNSQEIgM/w3q6mG35bLa+iaw3Z5K5IoKmhX EEs/JOESNUs3Xty5li06rKsnTTSGAySp07rz1R5ZZ4ix9SR/rrvQmTks5TvQ2BOjwDEwXeD5HmTr x2aG1nBgBPSmZZx8tHFfhj5FGRw750QS54Qxepaf6A/iAHlp8FkRFENnMuYeU/QJRE8jNKa0IBx2 zkMyP5FUvTrG8lvBWvD6H5z9sU3v1nFvSEZuchDrg3qhVDBg1o1enN1raslEu9kSHoAX8dFc64nF 3USU1GilupTqXNZUv0jTFqcgMyDZV/jCLQUDOCBgLvwkrwlJY8bOKz5hnuO6MLOViHk6xLprfJm/ lOyQ1sVi4yoIZd3PqDoEy+iQ3Py25h60Hr4SoYH/Y6+0jQSe5OiK9JfscDZFyCm09sbjEv/+YCdy rp4Si4SdhPVBQwVCmZK3LRKpufWDKVem34MgOQJpuZ4RDIMvYbVpYZM9uTKS5xLF0Ti4OsctPI2m Jr15foCnP6TfFdbnVy2AsC4Yb38weo2mbnxq9MHdNjqpi+a2nQsl3JcDSOl6CJ2Sf1Kmi71yQ+A+ ncV7VSZ6xffeOa/FigrDjfV3cK1wEyr3FPNX+S0W1pDC8DZTgNNl9p1ha6sh5jrxBNmirz/4trFz HJGYjZcm0XBymlcA8b/k96N3dbPStlLHUN2ooxekIaLFhcwzGwZ5lg8Q4ikBHApZi9R6/MjlYY8m sCcFnyCdGfsTUODqNg+iGZQctbtStNNhX0TtBq/5Nk0Cihc2mDnZSgmDKgFXtRjMSdLQO4RftNuP RoV/V7JWltOxC9Dui/LA1bVAP95wPzCHpWhOzDJ9mUW99Sro/PP9IoyVMSgm9osPIJ8ggKnWZR7U Br3dbyRwNyZUKVvGs1Ig2lFxw+AJpVjl4cgT5R6wb7/iZtyoSqw9YDjzStVzQJEY00dTCHlnUDmi tuQUkpZIKT3cJeIngQm00CKjLEXvpNvgpdi+233PqMBszRsjwl6r1mRF3JY6awkPTXnanFdsQaHt mNPdUks3+OXkEfG63VRZ8Eop/3QCcwAH0123/NY+atXoxwhrIfhoYYBAtl1pEmQhlPm+q28gXz+/ BtDRoJ0+PurINffk6AgJrKaxRcrDN6Dto0UhsLuPb2JvbCrhQpvSGd6j8lrwMsbA0xsXd5T/zLMk y7wtOJ201KwEW/awAktsCffstXKzwAjVC/qyAG9GWVQ0lGPSRSbTXXUo7u8TEseCCvtYQz+y5Rkg IkqpR9kK+zdlRlN3B4s0HTLZIk/VlQ42YQco+STgkQSyAHce3NamHJVybaJ1WsaeRc5X53uVXKLA 4cIKXyotaTkVbjA8yNrAczAAr2wqcqlcaesLSEVkxEQaNFwO35Jq9PVwQdh6SEvNF//ilWIo9shc vBeta8dL4z3s+uQmDlIYU2i2xbLhUcxuYNmDrZyJoIIg1QNq73Dpk1QeVEFo7T4YvzBBXDoY+4nU thhHVa/XVwWdp3IX9x9VVi/Mge/JSD2tPOV42gb5F/N4n70NMtSTsXjYM5LNPYPCUqKwbFZAPaXx CoOcG2vtVdVPJHbfIMU8FcxEHZzdlz46/DVonOlR5dIY4YTmrFY60tAzpgbbjpKij1ur6IOs52qz CLi9yWriJUtolKOelguCw6ggGSekOuu7eQLXxlutMLLpyhoutXKp//Yp549I0nqpmw6oZZGnYkd5 3ejr8QPxzLsBYXyL21aeefO0b3maleqehkdcyQeeKj/enlNAf9NHO0sonab/dpUZ6+wdPMN4l+sb Gb4rGus+1gjI6gt8niT5wA6xNYlxRPLW5eDdOhOUTuCh213PEMChVJOszMrapKIUEsdEzvxPhFfE 0IDY1anJzAr2CEK2TrwSeSOBWK3ksXulh84z9TkbVZbDUgCLP2el520rMNYB/0OaRt13nu+Ojmhs GOMdmhLR+K28AvGRiTnVh896OLr3DsWf05wV9CNBoPvFek1SfxB9p4/sGTJMutVrSKW///G9J8QP ItNXLIN1A+nQ3qQCwwdWULMBDFY9jwF1msnP6HJ+chnqKeIYf1SZqzMhDUiKvvkWSsG/aouwzU0W wuGzc92mkjWEJUkwp3CvFfsyf+NRLZLNLvddQUwhrWZI+HvEthjpHsG86f4/vWn5VACGuo1iVC/8 r3G94AW9ZjGlkJuiHeIa0D81XnbO7w1xmHO5BK7BE84gPf2vkHFk7oAeFTZlbCafEejxh2jbEUO5 gF7Eo9XSMk/F+xWdKIIVT1YeZPpNI7mF+EME5xyGNNkG0mNoZmxAFya9cbonOSdnKyoNCid4eRL7 zR0vTBFo2b3mmwIVc8Wk7QpT5AxTGBbvUD3jU5NG88CAC+KJyJPjBPbqk/1dJb6ssCWVG3T4NVCg v8/T1aHFNzacFwe73IkYwDXOr4fdYDz6png2x+zGNU1lWkrXtLVV5/xjjVMXIjBK1DL5f8ukr4Nq LM+Eal9ONYHH9ySC8X2ZA+R6El7zwOr9zDuA1S/L6xzZU/OVowtujqW9MZ5q9MQf9mK0DY3VttE7 Ors6fi0WzfHdkf7TxiBfIBGitS3ws3YneCBVjAE9xIsi31WkkSCLIg67C8KqSznNhDgrQljr10qY UpfhPfckQ4e0sBuKMuXvG8lfcu9uUkhbYt+RxrYBFHzYqSDwATCpJiRnEVRBhOwz3Y1sKhxT3L5v mLb5slh5/CdxI+VxBBOesn65ulVN6fvnHtOe7erjmEc+zYiBBYvhipg2YqwSJ9XJcevnJUBA2/Wl caFCjBJkc/zuNfT2nHXtzam+GbxPyDtW8kap67ENaPIyyKkVYx2f5rgriBjzKRoQuLG6Onka18DM uREz48OrxrNfRvooDyqfmaKZzdS3+t7VmTv8jTZ4XCs/4mmzwA7c1R1osPRB/RylG6DJCeYU44fQ dAABbIKI7fu1X2jHHRQOz5wy7N2Yd0EmOZPZaKLtmIGaPTLTcXCZZR1QGbxC/6arNEx4HyVv5L/X 5cqEzXeAe51bO9QPC9jjlm9NRD/IdBldskoinnwWBUM7+ts0NebAr9GJH6umUA/OVLSxyo5Sa0Gd bESofDbOxhycEKEZejvhHLPJHBh7ndx4I5AZyMt/gEFRcXW49+AjZUg4r+b4/01FIJhVAjgKnzp/ Dua63tNQ7A/5DU87XYKqB/Z4PmCB1B+DZbJvihm40cNKZ8pihqr/V48rGOQS64cAUCNIlY+dLSIZ Uw7wgC74BkSCr+zGwq8DTwefqQiMtLtsEtvNbJN9xcouj34V/C9A3zUdpa/90+RUWRdzw047XMni TYMjXXo+gLBKobvJmGo8ydJFxnt6ayR4/DCE+16+SINQn1YG77QVg7txHn2Yyxj9a0O29r+/iUXa r5q8SHmAjHSL8Rn50LxzaC/ReBdSOaDOIgw4N09/cDpb7z2xsp7hq42OOIoJs+C54SgPh6cUFz+6 RVQSwLj0twaITwn7sQFzPwlspfvud5HYKTUuxv5HsfrA0/yE2PTLv1irV5uMuzzwViriBsiPQt0j HKzVxvDwDvdXJghrkY2SHa3xmCJKpO/rkdPkNxKmiMGqtEIuEGHxqdcpHZYEgphE0SY4W6wnUpY/ GyAEkKR3kuu1RtA2MOwNsSWHDDwjEuKBiO2bXud/x3XcscDn1bglzxJL+GWtiPHtKw+YB/a4gpvS 0AsCVhJ1l19awaHjjlh/to2F5Ajr/cAC3YOFXM0qrVu6H3mPKf4rpUDqbh1PeRjPzibbyOtv9EPm fyixzCtDS4l99ITQQ9NHQvsQs8f+evqz47+n5Hp0BZcORhJ/ZhoeoAnfNndWXUHpHjb1rDRFPTn6 SI84/e1m9K93dR1BB4LychzZZ81bsP2WFVfFt1cD3GhF90b9xZiOaUVyBw5iAjg+tigUfw139siZ JRjQzLh7g3AVwS00ZTvH98VYcLiV6se+owWS79xnL/68zem0dk3rbvPZujtoPMZKkmbqUZoNRbPT 05jv8mPq4rW/0QwyUQf9TfLdhi576aVs2RxIzs9nnyEGTQRaNHS4Cv2otlW1CRl9yx4tA1qRRyXw IvugUDuxhMi2C90HDf6ERGiL1wxBe+0u9LKageGxMS8pQXYbdypbVNDyT86UrlbXZ51e8wPKPy/Q +7qMIOCKrZhHq8sOyNZOpsShRNItFbypmUecG6P5m4dE4di6Fq3okrcE/aw/q8D5U6oM75EMdt6v dlJ02P64MdpMZHV07JEkVUbxFpzY9v8llmVH/1JyKFH1EsVwvkvU7vCtSRQSH/K7Vq6dZONamdvX BpXUtbGmSbh0Q5zlqjrJWzcV9Rq/JKTtvUhsDxBSS2sF/9e17avhvuvZr8T7LGoeYOdTFQqPuiAw YPQlBfYLgHIvOZcAWXx7q23vZZCJgGIry4mWFavugvylLXzVOYdAiXFPrw9lwvInOnxdzBqw0RdM OU4m2G+X2hrL0aC0/YkyUtSOI+oxf0WOX/X03bdV8hgYQ/PnOT0ubH04bsZ9ZuGo2EKk/B/E7v99 kWIQtUJ5TLAuRYE/kVgiv37XKkWJ2mqNLMAmYPFp6XSeZENfKIG2cEAPwu+qwYFTGo8h/FhafPe6 ERgncUp0hhKnRvPT0C5Ky77H5ieikI4p0Xgaul/qSz8tVfgdR8lEs6kLIvWjooGcaoGKfJlNdIhb Wuoj3ydHqIvTd23pwMnd8F6f4Zz2olDH70ZoREZjfj0JoCJ5jtvIXgkU3C5TDOYrLOsAZTpwy778 +tcWBEHm5zK0l9SYWhxldAPQuXb8NZwdy+ZPkdow60wSGJlvdRbeD6aaa/ffZ4tn7VthkXJSReHF wbFNmrdiiNOpEp8LJWuevsNCc8na2ccdIYMK/EGtDSn6CwTIVF2rX2hiRBnlN6BOM3XXyy6IQkL1 f96BJYgRCExsYhzc3aoPcBYDTrvy3K9Bgjw+gx9wunLqvxXCcXPLTPdn4Eka663PQstIdHQac1L1 eWg5bZPeYMbQ0oLU956LRS3VeT4/GdNRy1S7T4A5w9qD8nCSOEKCm5vUEAAG8D7i9tqFzhaeoUKz Q8I2G3woNUYXSdpi67IUhiHIkbfMkoz67UXtZGEDAtgM0ohbKBB3O0xepUCinu4jSRXmF12+8haO JdtTxn4IuqG5q5BzAnL1My+IsynE3P5Y/yd6/OeCf3z4s8qQyif0DumUGuLnyD6jCAaifZ9maLyn eswn4FlQlpVIekPBBJEkB/pRCctBwIJuWqvUzl8Ms/SW/592R5TCYUo653aFuGVT7IcBP+qeZs6G k8p/wxAlqaFSvMVSoHafxbGVc6wNDzZGo8LcL92rWchjWRpcFHXc5T6O+lRStuq9av97ZbI4FOJn E4Rc3sB5VISZUpoGGet6i2SgH28OzIlRJLxTPpkyM2b9yjAxstpimL0pqD/EJlrIpZe6cbHCjHQ9 hiJXYKT4/Z591bXHZAl/5wu/rqIOc0IhbyM4SDQ63/wSkBFGF4AGme4P9OtbhlSF3Pj+hIXtwPTq CdonLjsQfFtLGsEjLr2vjKyvvi5/bb+IJnuyXviTJONbvFuQzmusAnz29++1drA9TcxNVaJdcJiT U9SvJ/AxHpP0S7bdwb5a4SmZC8+jo07SPnGHgUyCU6Uz6CLJVmll4oQmxoEqRODW3T+6Ai9wm/4Q 38jSPXRMYkYh76VeKdUpk2k5wPGrGQ7o+8Gq4NNh4/EttZjg/Hdasr2vFPwYQQDKssYESWhgB7fq 828+iw5ZUB7NHtXz3W+S3WK1ZHSjv62ufarIDQaJJ1IPXptmckunoNZR6UZEGR/eU9psHfx51S5V CqRE/n5wDP6wOEN1l4z3hzQV8jmVoG0CAk2ofZVefsDmi6gxdJ7L1AGE67waIgqUX9jqr3aLaH9J vQmtcgKXE5GTPNCjSaPpszAToSEDeMKBidLyXQPWoU3DONkDbD5yM5VVW+VLHRVN/IDbvhW6A/j/ KMRHz2ZJ5GTdI+Kd9YRJQSZ5b8pknWksHaJmFOPgWNLNuMEZ8Tpzmvmtqt2U+9EtU/GL2tMNpXe6 2pV97ZkC5KGT793s4qJNPmEVVoLoO1HETXkvUnDyaxAe9OVah7TU2QS3ZPBWi40pPsIgmfRD4zW6 uY5Ae7JeacLCiSvkGazFpHc5abdDHPtA/YzSyR2Z5vJvgn/dpdgRCFPAisq1GIIJV0c4WKnEpdns H8BlSXowQpf+P1KOkQARS+hyIV2PqHcsdVu4a2lrSfcmhzcpDm8FmdUOUlQNYICy3JBse4CyO7tE KBNXnPeStoJBO+tyWWUMhfMYW2ycIyzJ0HUgvUqwNG4DuWNFCuvjFVSNdnv9sw9Sq5mXTTkOgtUC egT9nbaOuZT21oFDHUqAG9G6Qu5VE8zXB4MRHsC6nZHvl8F2XOb8lPAHGPDkWhlZQX4eqSjtuXHz Zk0Scs7OUVcgkLqAsy4/z5cEeHppgS44ZMour+B0GZWlDNDvJs2oup4vIZNabe/563o6ge7iynGg xG3XawuULSzDQa/9fTX7MfEt6Tni9eR6SSiX5IoqLW/8JsOAWvySO87vxxQnbhntysG7S/9ZsUCv 6CSBTHS2Coc6tQmTzdTFww62XZLbJUNtgjBU36LqSXS/FVsO7WMI/i1U6ZlJ11B9JZAW7QXYa6ET U/tLEKVNdp320EitDIaPxH4xh54KeSjmh4ziGQM9aMO4OtYNwi37rsUtYR5RNig44bJcjPpZpPat y/wuzJRCNu80wCxng29Ru+obQeDy8wf8uZoyaXhGcMr6Q0Qff+2Cls/khzg9pYFYxFBTvaUOwmBC 6uF1ISmJoK6oDGMjUQ7Maw3P63v7qW0hYkVR13tZ2uUOMSwTrGdJa+bNMcc8pfLfFbqN08PuT+RP Fn7JvFEdaSlPuHmgu/N+yS7JW23XXX2XG8h7fsW9dAc1ovQChoj0u726Gz4KuFqE/o677qTYWlEZ 2x9jIf8IW2kQ4fB8E5mW0ZDQyS2IC+p0dSTNTGTmlCDHaYrNK19X6by92WRRmKJhtxJKU1lZnRoQ Zd/ggi/vadqpAoHHOFZwonKRig1GxksLo5TvGsCX4lX+kMjk1E8OhIlm1cu43feWmKYqDsxIBowt cbSAaT2ioUwgSUG79DKQVuHXgaBPRbyH0cvPvooyJRxaoHeq5f/bqz5PadMeAFVMPxGlsbSsE22Z zj8DMzonS3eL+Qk+sot241qEkm80KzHur2qGP2icB4OS9/o5AKk9VPj0bmAN9TGAG7tUMXtn221u SEPlx6Qw5kHrY7kk0/AhcgvF0Myj+K3TM4JgTJiQC3V3uEdDvCHiZRgIshfDciGBqHpy1AolT1UB 2knrydxWZvpfy443WVYA1J8nZe25sYxnyY98yLfgBkr82gJu4R2cdcxIQ1d8GSHpEMIW0UyTozOm ekGY1+we6GoezCHig9GyUqkAwaMuvwmCYaQwI/HEidubPfsAy/m4VM/PbR0j/BXk6mLs+hiX72Rs sX8GfJPq/hQsNFw+6L+WNgjGurF2BVBBsC7TRNo+c4KfGjrJVaJn+2eDgmUCOY2ar+G6Xqlw8BFt sVVC0o/AXRmXKa3p9WPffdudF3j8UkC8Tclt3edRXtR/HcIvdvBpVw/vpNaSoCVfZXGxVI5KBlJc XIbWd7WXbBtSa77hoJTtZ6glbvAk9c8qg2OPUr19+q+ihX26gP7o+hUcNMolaXcD3j0t59kPVJIY it2JlhXhXxbWcZrbrWbuGI/cft0j7f/9FN5XivpD9QWzizZU1EnakzbMdWobCu3x2Uj5aXNwtXT8 oKXbpptXAo1n7ql1VyLCezFN0wnzi8fF2zxqOkDHayVcOexs1LTchjtNXUfMs+4TCw1iKyuhWNip 8yuoNlJlUasHomkmZUFRekywawZM1Ogvy9EKZBiIHqci7E9dV9efifF0mVTeVVz9Kvyv1rlJce61 huQhToOJlHHxBOLabaxGWK6ILCTJy8qw+MZZG3Q82/KIXYzjXUEcxxRd4jNw6JH1cHobZTRxA9tA QSbKfz/ByeAR9qf3aWzI0ePV7khBBJwJJ86g0w8yD0MOVYEJMxagsxNeft+tphrfRMYGto/UdK5K UuZH2QZyaYUDS+wG5s9tn8xh6ezBlw6PecdN1uXaEW8ltZ6iCqKp5GWjPwRK7wDejoGb9P47HdFa Nj7fiWAEo7rDDyj5ydnXVxFlRw3P/gTnxzgJpnB8xzxJ7jo+LhOsU9O/RoAfuz37eKSKPo+t4dF4 xRaU5U+PBU07hLaRa2OW97njSn/Nzrmv8uAZD5mN1eeR+gzpi/0bdhvhpuk9ANViDCVr6YwiQeQg yp+p2n2JrZz7oYPA4r2PFc66cWcr29b19t2bBTGpuDySJ2Gadjg4NtIixzYDV/GLkZENIpUuRt3/ NeyJIU1YSxIB8HBaLR7gT4o1T4tpe1vAeDhpmbOsYBuBLJ39fEhFHoZW+pxj+aGBV9dCE5DFXCRS U6smIz2PysnUGxZWqLsZAqM0dojoRWX7SFaEdfVeU03rNAVcDxDRdYXxEc926U3IwWX9CE8a4Jmk lrlI9Av9OJ+EyJm2t3glbKW4LejkUds1zPDFjsIJXk2B+JOcekrjuDoHiQpwd3hf+48tAu6gHeRR 6FkJFdjgvu+z2owEgG+rPjj9csON1/oE1yhBt5QbGH/dgwIU4j5ZqDTzKzNwmgeFAV3BSm4ECMsy szzn19hymII6ljL/zVkFzUVz5l4nYXNhWVP8nYyBKGZa6q8sQkpH/TwNwNu72s04H7oBjXvbBZfx TwGtx17cZCprT3BFOg555U9clgTgv0rJgr0AK3yon9Mv3I3r+meSxGZbBBdv4HixgzSTqNMLOlVL VDPHkKKLHqzqtoBKXNn4N5PyT7hhhV0pqeiWo7xcexEnLoyiGwszo0H7JfYo9LOwUKx+b3q41a79 TucJKKI3DNApnV87EhRTLB5TmatvaQRphHUIl+NHBOKQ0Yoe/Hxo8fH6K0wnQA3upzZTMMIbtn9N fYqyVmQzpHLDHUptc9xp2T+LRqowQSAb1/4IAv2bEo31BAl/f9hFo4kwXE5atIuQqs85tnKabBNd MMFk5pHNtDC7nXzKfIYtfuxSYxIa4nR4v4Y4Z7kF+rmImxVxXRUoo7O8fNAVmECXz+AdHT3dqi50 Yt/S1jReYd9JfElj9hKC+0WybqCzz4BO3YeUZyCe4gZFH7H57OUg9cYFXrqvEkZPzQ0oWWfCxvKk LqKODLjZmFjKjhhOJN4si59qIw0DifOPVoNVE+7ckIje6/OW1l71+0EdPqzuxjeomKmZHcWfeNcr yBc/yX3YcS1ArmxN7xJHBB00sB/eB0GoW2gjMuPijCsGMy01R5PPpFFxV5PZFKD4uOg0P5g6RHUC zQWnVJY04RjRbaT5B0ObBvPccyVGyHw+8J0oUwy4MqFPfuf1+JfnrLj3UKM4OIv8GAsOgwn1mcGA O2smy22YbTUnqbYpkstp7Wq58mqvVe+Gg5ZX+G6xv6YyBlRFekJggzkQ7mGWk5j8fLiDc+d1opwb UCPmiy64XCSNstvYzZaeRnoX9g24rMVE8wbNJgrkAOHlPKTS9L6L016UmKg0k6x7aQGJaR8CXS1L MQjbdy7Mlhnsw8h2vq81D1Stj/U72vi/p1Cdr/COT+i6TTWfGMUZbAaupbdF2Cbx3h+AmOPT51Y6 WHqQNGIdbbBOhX5rHZI2OiMb5aihR77KQlzr672oSA1ckY6tWkL9mGL9l/LKa7j5AQUteNbNADHC ciOs09zNdPB1gtr8NDvTT+7/sxpRKFTIMmR2DZNpwffSq6Z75OJqiBZsOzzn4Hxe0gGry1kGgtrO OQD+FGzfU7VGi65j7OmKFmMtYwe9ZZBrwHVesJClkr00lusgmP0xiFIrepvK+ygSETSVCU1JiWCa 6S2RPlqsctnwsWH5z1bhxN5/BA3H76z4N2ynlNJXL2usI++PZaiFQOu1CrBOGXlWnAxo1kttGL7l ayePPVeVgUFBfFSSTZSgUn284C1illL2kiqcKcibXgfuLmTQPUvJcwpSbQxlbB7Gw+0aY1OQfDJs HTbV4LZ/Hf0WBsYlJmOV9/mBWNQNk161WoyJVp/V5Q7VqrSdy8TPvA1qo1v4G9YYP2jUVsUfS0sj sk0IIkW0GdVX4mJqHiyE/rspXzazUqbsPMw/k53B82SSgJf8FoU0lSw9S8svGlwbk2qioL7kxkUM eN9KRRbBjl5zAG++Cw1tEUIy+uiVfIYVYyal/7sBLuNigdq9f9nIrn7Wim5yzPIsRLngbpUkxLU7 GA2J/m4GG2niIRuKdLPfwbW+qCszZQGKHNXMTRzk7wNmsY7UkA9ErHdFqOj3FcUw/ebQ0yNVPbva SEdYou9GGRyzsuprq5FTlT5Jj5hwf+H7YQB8U7MOSeO8Q2Y/oR3+KqDaMZWYmye5PyYOhv+RCylD IOwaNrzXRiPcQsosXwWNkhNQ9PGmGyCWNSZrL+rl7V+DKxMBp3nNFbWeOkVBoWnwMXJEIaQDxKdF Pynd3uh8uQGas44XVARqgoJWc9EYcfxpuxvS1Rs6Nta0I83+0hGDahceezVDD5150Xwax8BNXtbQ vix27ZUmhROKk9S5W1NzMZc0AFmbhPTkHmd5m9wYXSk+Zoh2bgXun8OgihYIKaLSP/LPafLm5mmh qsgbNYnEflC1X+xw0QLA7X7nQrEnmWci1poqBViQLCBOrSTkzv9yQWC2PrNdgjGzzKPBotoioEw/ u5CmE064eORvy2kyhiP1YOLtlIUCD7ahX9Z15RsxaiRkIMfX5gZ7mkrRZidlujGb4cu2+wGFHv8a DyN5jb2qHn67Orb4mqKx038smiCUxF1tHLavZUL2xPRnWYIW8NBTT5q0R3RZD1+xYy+0liKmUu/3 1RIC6njz/23zA6/3ZJz2HNsnGcWNyVlTZZk//OMwUdjbn8lvUVrwxXhmHYu1ePAztdg3PDbOKfsn KolzDG+9Q+VflkuIOB0GlCm6IPr0Dc27JFQpzkeDJk9sGOFEeMSeetpgK4RIhdyO+vZIA5COhXe+ 6s8x2uPAl5CUzDA1SDxScwT2g41J1LgAa6F9jmUENeTdpj/GuroPt0RD7MD1rH1cC7viSXLOykIe xDJ9YYzFE3D5LSOFXFJ7IpwrEQdKnvx4YJq/QjuyjbuB15Yv3KiirppCuI9WzZVhffph1DHix3XJ XBrzwSavxnuEI1+VUhvD2SzkEW5VCc3XDaTbbcSr7KSL3lUECyhVPFSwzQYhYmLWnHtWUnF1N+2J byc1sL4dyc1lOb3Zm5yR4VxOuIOdPzf/+7RLkgWDdZfppQl9zePPsVKIXuiowlyFMuCsgR+fikYl 6NAJhJk65lWjwQL93rfeA9BxQM2uSxWXp52F2Pbb00ImRkJFJmLoRHd08VowbIWwG8iPJtz3+rH1 q0YbLWYyW3SSgHpNUDopC4SddsRQ1IkAU+UGGFiWEYAnyo8nmd34f6JguJ6j9zUkRt4dDvC5KVZ5 ZLVKLzn/chZR6G4bvd6rQIaSfptVtyeFsRJTtzUz5q36cIidHPBmF49n5dUN0Kouqd9OrcviG1M9 eQz5ID3h8HeIe57omuFUyLIYf9DAFF8vMPHJkEe6KOZ2apv9uZjNKiG+gP8c803unD3yKmQCPgiA v2I7iVn4wGLGinrin77ZpmdBQ2ONWXEUS5r2PIx/3Z+Xq4JxyLEbkdRpK8THpvqx22WR3raynpUn MGdjWvqQuPfOzRTccvRVkHaGqY2SuriP8j1fGqAoLYKExnixQJjz4+MYz8XcAGuwa/NOaxHuXIKW yMTHUAyRCEvR1i9/9v0wnVQzF2iI9DZHrcRjNhqpgym1hbSO/XTD5bfgkTB5UY36S5BoGuwwyiBt IP1h7kxw0/1dRLc1uWxD9cQKwoP1gBAp4/pqhyRi6LDfmJAt4vfETsFi4U7glwNP+aT8v3r/eu0l R96aqjz1TBT+oi2Feb80rbckXnnFql6Kjfil/vbQYoc1KTJNS0hM1QENPunUp7Daez2bbuOKHLTE O0l/KmRbaLC6tQJKH2i3YaoP5kYt34cVDZMjt0sv6wTx8NKmslizeTHgR9scMyIIbOt+HqIEVZFM PygFafwRf9AML190DtlKKIranXnl9NTKTwiYcfFh7m34iPMUYLIQpCM+8KLKXAXga07/RGwEBkkm uBQ9zWC+nUAsNn5UOjq4rYhuSpfxwRoULC+EWrza1SSvcWm3Fo6mgXZAGnrwEGmZ90Tv6KXHurGB DrkmkHace7h8wn8h5m/c3KuBr3K6sgSW161qIzgWS9xm2Qt0K37yPUd8PUAp+m4i3zurQ/WCO8U/ e78gOy+AD+G0GgP3NksbfpCs6vMl9Oj6/h2S43uNtD/KejbHtExnEAZ2urwOcj2lY1gAb/IRgqB8 H+k4IbpJzLq8W6CqBd88P/Vo/9C3wDE8M56tpsqpFo5VuJwrQhBYUPt/AG1LNM37cNM0Xlks3jhq dsF6k8VYz8OKPoOsphrBbMi+7l6WYu/UIZzBg3yaTUd89/Dq0B2Nc5gm6ewojDgzoJT1WBEm3gyN W33TV1Gxm+VfAPvadrIv1N/8g1QlN2/JgX1JC9jaBlppLWUrUo1Om767LZei45qTvHSMVbdnXrwr wZJX45TcUIfi4CmFL8cB2E3xCSoO2HDhhG/2wCM8JI+frfLra9CVBWct2E0YRxCLOkn3FODTcuRS MKHLEeuQtJ7kbTeDzYdyaOb2Jzc56DkAX+eL4jqdraQ5qlG/A1ONp0glkfI4zLl1V0Pdd5vJYW3M NJ6awdjUcLuul1gipt44SmZTbDlAVx8Edq8VfVomuNDnxdE6ljRylPV4+NDljxCUUEjEB7hnr8Sm bN+qKhGWISV0RqdBMk/TSmyqcoXODJ1uoRpXX9SlY8H36XI7UNh8wqvU7TY7sqqZC5xv1B07MPEA Kep3FcrSWQTZeeES8ndNhhmHmB+v0uoJHMGY1MSwmFU1sp1ZAO8x9AmOpA2SYHhvFdUzjTXRvCEc xLVwxtoSG7Q+MNMU5X3Z/LQj5EgVAJ89xV+KyMkO1lI9o8p7NkgQvz+IuBNc9bb3Hfu7vcsFR77n /a+UuN74VkN7YCdhjT1XJWtdOY+lsFbvdAbVAqdJe4kLMfRV+gquREbyhqDr1/Zh5leD81ctoHFV fQXmT7AH+oM6xZMZ2aBPg2mJ+VH6oiUKqgdt483g7inHxjFqbn/VwJHFpeUXGeUpuA+1XXzayvOl WN1B/gzSnIDgJ5RC6gpeupFtOL7kiy+wLMVXRTBHksojfvDnRwT9moRzPGzCBotS5IRaWMjvnhTJ wEyPYaZUfxbE1YyKvtuRknR7W9MsX53qlEJybRbTuoQGTqenAW+OJlM/stNwZsJTxaoV/G52HBy2 C+/UyJRLBtEEL2z0fSOY8gLSQxgh2UTQLVa4iEPnrJuFpDpYfe2WMwxfshz7jgV2iM/+Nwk/ua5B QiD/KVBuZj1rRKhUAasoFgWhUgbpuxfhfMGc887CCJT4N/w5Ib/C+txa1ww+9EdHK/YUf0CCzvV9 Gge7432grZwYULyPbHJ8y/KAQKQklrY8BjxzgFI3mMXsrc+gRqsSO1TIBEpXU1dBvINrhoZEtt8a KTmh+1ML+NOQDLivTARDzGjA6xbiIlOSV8mC8p1cjZUCYkYS1+826CSa+HFdW5vobanfXN/EwVPn S7+HtBnjNlNM1JGFIcDBfAd9MSpcjHxByRKifASFWdBmlo10fGEgkoX+khE4zYTyWhPFfWaCZhew RvBpAh2F1PsiaXms9Al2nDEwYeIL+f5x8/iRt/1ofQPEaaC1Sx7DvoFwNgYWAAtn548TVqUHfl3v hpMNoeA6FZuhosiNgCVTi255Pioe7oLpwSs6lSPOvJGbEguZZXRRcs87b5cV21jjnOL/Yp+nfT7w 7eUiC0OPdcaP4kubIjYdCb8kByrWaiJb/9ubDvXoTY/JztnhUU4dnHdwkLbV/KwkkiajdtXKqDPR kjImY+N+7OE8lZeYsfchs6DWVyuEDKI0GAjDDR5sRdPWyu+wMrCW84TgI7/OGhuBY7qZ6/23DKuF +pgHyjjeGWkUl9m23ZOITOFaCoGYm1FZln+UakRY0zMdJ1+LPQ4fajetwTdT8I/CADZyl163/mTs uOITtdQcKWNMUE/qhVghuKyvcjjxk2+eGRipoVXwRmqtZfRgPNU+YLwoWxQwdco/4R8jrzEYE5NW yyC+f5SZbAcepvzsxJAkm+kZYgAHx3F267U/h2uKsirdnO7JIwahzdyF0OvNn9c58+xvhmgJos1i 1jmkPWxMjBYCnMb5Dkm3GqGgGsLZhEdWi8/bP3Q5VwXJrRTJwzAmg99LW6wd5IyciiXnuwewxIrh Npt81x2jqZexRb0jMVBvvNB0x4sPrNieQYEHbYBBS0xqQs4LG5gGobPUSD6SSsCQ5ofGbkxze0Ue V0/ALVzE11OSBdSMqQtsEzr8qQBbHRluEtbGG5RE4Y/H5rzTOloyPP3INBKJHmFFBuX4W3OMIE9M OcFkCmWtm52mK/h44vIaHNinLG4Eas6W0MaaxV8ShUMxu4+I4as4UvbXs8qOl/KFHdD+Nc9tbkbD Vp9jU05CuVCakiZUZYSxNf5EYOH0V5CP5f6PhN3686P8UmeoBJKDvpN+uZijDC7xavJ9hBx1Ud07 TgtiFf8ZRRvDlfBVn6pAuyLXEEBCQwjS3gQ6W+YSRPiyEKbusW1neMtpOwkweFRZmPP3audyOnMH j7ILLwN35n3EEC0/Fs7I5y6gDN46hBLNCVBpCQzzg+jzAA7MwoUdXdqYwvrnyo79hU+pHGgUkYFZ S76v6KUgqbtBWiU9pOmHWYUSPnXYaHYY0eURZNm27axTdo9vQzJ5r9A1sryRW6PJ/HkGwGAIsqet PdG9xGKu0kbx0wL/RbV9btbmrwdcrl2xusmit73nqRVOZ56M5fp9vkX/WVSvX5oWenwzGHkgMX+j cXrjkaVoBCKAFmA+oeKOZwbGSpMJaDxxsIn3/6Ra+VHwAhZ/ZY5cjuLwVzki1MP7Sp32xVNqzI6q RzRpgJRGn1Niq1PXMh6z4K/iFeotDzFJ325s61dUjXu+BeWChYRlwgzc6RdVjouKBMYY1Z7Ut/VA 545nw6m24H5FNy7ZbBtctnSzvASnrRNhuATQhRsor/pfYkZzlwsCvqBWvAG+DqbDdP3PzjXwG2YF qAA+L3IrHearOskrNwA5fEYKSYxTPPML1AICbeAet9PaJRvwnO+w9WNeqlQcFMdII/kXgJ6hNAsp TvsiGXX/WeBGtLrreR055RdAWpAr49r1xSLrH/xzwT9vQ69SFbsv8dq92NoEDJBdXq4Mb1P+DUzi TQmLjrOcNsAr9STcEXs0x15Vd4a/zjpQXypTQcUlLowyYFMFKOKstxsFhAkROkhqXEmWlNoPm6BY Hn2Zrrwf/V+HC65kqsJvyZ+bEJU358ft9TMMBGW+3iSRtrGIbUQWKbTcbhM0CNa+920fDPQMB5sY C9dUL3cq6fHP8zaIYyVMMF/95TxUPGMx2FAOZL7BMCH2Xvxw027Ntl3Fmz99oHCgwwagUW38M2pB G4rZwl51QcbNFeUTtixEIqcHWKfw7R3Q9lGbBjPL0AxzC16buPRdPYW4tw0DBC8YnPXRRLN721HD AYiwkpfhU/gOfA4zmIOU3Xw3bDBiUXazbeTZQdTPhTPclnl4wifzQQR6gSDm2pZ1VzMU1Pa6LA1Q jy8r9AY/Ld0M9+TZTXfPxDqFDfJ50VAj3m+B0nlBOKtZs4kIIDTfUf2DkLcCx3NkzFYT238iJQLL FktV0dg/EdXGY/utU69KLQTIyQ2Al4cJD1LtQQ7kDZJ8PCH5//JzQnjlpNIglzY4A2At45MyicU5 gVzR0o5upsJLB24Is7t9Jd+IpD9qzVFqaJYBnY25BJSDE8kUILf5f0eIfcsuo6UdUSX/gD1YXdmu KLPJgK2+AJfgk3dUyGZwFL02VgcEAa6FSI7AHR3TVPzovuA3XJtk9WoOHgN1EShgR5PXFyiTCRe6 OdE6ueYvUdTYGDokCIcBJsIFgQr+5j+3RCeYHf87nsc5uTPlrTwaBnMSTtSdxonSetgF7415GQME FJvX86kHHZ4Rp9KUAMrSmA2gdD2jEc2MREpbJtDeRTeOHrXx/bm9SQ4ZLk/4qXNm1wi1chabHo2J O8WeEHq3H53yq7T5T9XPf0ZIBbZ3Pjy8hZAABsNVmPHbuHe2/1jbI1FSbEBhO34IiPrhgE07LgoE jjDF2jCUTF0ziFZlGOjGiKixGG99pcPlUZ5EZw/U5cR1kirlFtyq1JGJ0VvEsZZOhAzsxbJ6P4/e uhfSbb2gcI2Oed2NYXzj2BcuNqZat4ZD5fNyQzDdc9cEax8r2AgVNuO8wLl3UAGJGwjzUAJ/aawD MiVAkYafXZBI9Eawwl9VGAxgQ2oxsmiLgEWaGnb+R805XMVTFxDbQdC24V4JZSGw+tdHXUwiKR+J ALaMx1PsyXx7nGNLxoaYvEo+S9fBXehv0/qyoiJhPOlN0LRkoC/qM5DUsKvOxwLbCeD/ykBJGwDQ tfBe14AQQjFrDlGG3LlUBBEyajVdvS0Kh19xK/JSOdG2Lsf4lcPo1jotJgiaCsNJ49IFf8wK1XoB OCbyP8pG6uKNwUYk2jadyQolBlnqtLewEu3X0VjPZfmSbyP2zUozEMnx21pU3ahHcW/H2V0p/xUg gXVouTqOJBqV4QmKVqdpais8exsErR4qoN3XhAfoJC3znQ01cNKQZ8qQJbTpLgVIKh14UyLoczDT LFtWinPqgBNfP+3V5DvWX0bOngAzb49ayCBrUayz/T7ghbwJSjVDAWA7YHccZPML2FWSQusH5KrM GJglUZJH7vx0L4/gQUVZMSckx+e7VfD63LWB+l3kaSlrAFzs4oLLPa3X5jaDjd9rPBC8jCPTfpsF 70Ri86iRPpUzPmuUTCeVyDWkBH4QG+DHTruxFOyNR504pc+uEvy2TrakSwJU5kAYHPCxd/HZr6f/ n2SM3TBjvomhJZy8HsmNure+lmueFvzeEdQmaVqCqZ8dWjXXQ6cZaMujweL0Tmztxdl6hD5eeX2v cLDNMGEn0w/RhhPf40Q7GJJz2Hdv6kd15/bCetGMJsOGqUkAkBQdMvw4WFB3tzGYGOQ9LNZ9gupx wI1VBxXdnGf3xntO4jidS2TyBtXJvYqAud/0n3SW9knvF0/M89EfU57+tMcM/aAfDYgK3CwNzikj +7eq0JM63lQOFEmZDoUDvKGyIuDUnrcWaW+jY/r3Jz6txk+aVtAlRuS5KOUdlgq9nomDgliugWwi Zrh+K8tpUMnfFC77H/cRJKJ3PIc4dgAaf7vW88VqzThsVFR13NC3GUAI68jGBejRMIznc08GO0YR bkomFJLPUONkjpMcCCCInN9wXUzmhyqq2MvOk/VTfmvoZpMM4d7Wd1OMw7VJjqICx7F0ivrlSZQh dTxRFSfvwdEL6AHEZOHXEBYbOuoCYr7pi+UQFUZiw0cTaXrg23OzvCXt2EDfy7krjXUT8d9km4MU opyNDNk1pii6/ZqMtHqXkVAjDlmaJf9555DOpiaSN/HjSPUypfUbSRz4SYyK7OcXOjmqH7Uz4VHJ 6J0Lu66UoJIOH/8VTnU/Cuao9WBuDBKj0vUjc2rEggKGn+qo3P06bbhAFffvJIEiJGWm9T6bplOx 8B0DC9ZuoQsKGLiMcE7crRp4g3JJFi4Ayu//3330/cg6vsB7f6pNa+Is7f9fWsVDSlDFSDWnyOY1 YTmQ5cBpZNIrwYlWVPn81SXy5rW4vT0voC+mbQH6T3OSqFKqugZZlHrKMUdNtS3CgSDhx7zpvqTP BiWzEg5su3BvCeyGaTnsnBrV1KlxNoTakYm7/9z1dZUKxz038i8Iit5bghvnlCM3KlZjDGzLW0Vv C6ssmEVa+UXBv26zq7F7D0sqD/XhkfJmRr5HaAh8LfUcbaoHo30DAVIkkTq22/JUeaW4wkxOaNjL XMkSjC1wqg0zukLl6TC6mSvkLf/tmKG+5rLkOKuRO3hPTxnaqElDbQKGXH4uzF+fkiVyCd04b0p6 8cuj0bD0FDwvusfFfWkC0zr/BeJvy83zut4Zp2KOVPcgKIe6nokFIbrr2VFQPSMADom8t9XX0EDn CFGSeJZW3Cv2pMiK3lfIHcuLCGkw7WghW+ASxQ0W0uzhkiabQBQhzO4CE9EJ6U9dC8StALASeHlR G1GDd8sbkpNZxpyi1CNdrDvyPJqwpCiQgdYSd4hPE06oO+lEl22O0EaIqo6AG/rJBKhr+ngR5oyJ VP4vMgTC0xv9oP51cRAv+T8jTGHpJhbVSR98kDtQZmVP89LIFyKpurEn8qBTVBv87E+5DlSt/aC3 Ds2Xj0xi3Uj0QResptNPsWLoeu4iHa7Nn6UUPhd1bTO46ppVP9s5HosI9VK6C3vn9mFCDhbmwS0G 3ds8nIPDnLhAnNZ91lrWA1NnetphCBBejPFl8YVuxPz+unYfKt8GyWLJb+eYfKtupC/su5EbV2Jy ZufZ5Dy7r9cMm+Oo0jwBfPaoIyBfZkmr7PY9QXqoTIaMeai9BYXkjtmPA9ygomgMQ1ssvNcu3/AY hh3P5sPgfV0/UqUXnoxIrEZuAJl4YQPd8G4YNwVCQl46Ll4eRkpc/QDA7gzHdqGKlRJGw4H0Zl2p Jcnop7jcdju8uGIl1Pd4hNJRbeVTA4PAnyrMnAkuaadaTdz9guym6UuYP8I34kW66Vgg5ATVM6Lu W/Bx38GARbL85YFoVhwmwRXb4aBnxw8+cWiTG9sJzgLU89mtK76A5t1P0qOBkKBeNyuU8udXGipR Nr5sBcVn3woodnkEVtrQRN2pWRbg5vLyX7iiSHV1FrGDbAehNpB+gPTY6hYOH5y02R6lV1BTEA9v VgWou8w0AZ2ZWEuN13V8nyg8bdvHs9VpQQeTvMH9BHCHW4HIwaIKZeoJ23k6s1yoecCofQbNlD7r /eWcDr/bMwubx5yJhvWPRoe0QrmBtDgdRHn1u+tyvN5VB+M+rnH7JnnvDRa5XtlkrS9+2HbwCXXC LJptFEoumjqsxTm/iRE02pttrLO1GFtzCHHOwkFLOFkVwOwhXnU+5oIGCKpka+DqmrQDecd1DZEr A2PEQ5r2P46FOXVh1us9GaoLt0JUhN4HpziNubfe5Hg51M3Rl2To7efmgXB2DPuroeVcCcsNhSiU RGRvArGDYFeQTe0x3y6Ve8ZhDNudp0gBtcmu1xJ476vBVlcPpuckcp7QRJIBAC/Aw/C1jryH46C2 XlkdKV0joos7xgnrzB8AkultE2Qk6V0QO86S7k/2oIGWuQ9+j06rNme5thYvRSHjnLDnYGzxxiCh F8K68iz5+Raob4EeAaKJdLmM8+KbOoLwUyukYrrG6RiUtfFLruheOrDoYs3wpRntDZ6ztvF/VGyt 5KWteo6HwbfSKAyDwYWXUhUhGFMEU8pV5YLSlFhfmX4wKFeWGHmmNlXN/2gw80wZC/g/HWFKFMX0 llHmqjtLOPb3chvdY61AlYonPqRG5mJLfDRXkBEtakg5vVPEcSeVZpX10zQfZIP+XAJYMLIwrfL9 6nJpUfj9VHhvr78LGQ64ijCW4dQgbnF5sa1yA50s9OQqv5gKmJi1R9ijsy3exqvcIPPai5izasN0 pCm5xaf2kPUtr0JJgFOu4CW8TOzXyQyE59dNXUyrDM0dt2/nZvtK68kppW1l6PmJH7JKD+jggtgJ RPgjFrEDMgFPEwbbrGLep+GLP0cndYlXScnPAc0CK9roLrTyvXT9uXD5Zv+cIV2Xc8n/Xna99LfY qq5xWfAqyrbYkUYv6TO8FkeItxgMKDDa52E+PM/F52fJwsSMX/rAcdwmNkzkairDdrCgX83jYGCl 3WNk4wZcVLMWAxX5T7XSHOFsjtxZOdTphyB7C1eazWgL+0eq070vXkFHmGLY4uK6B9hspwJkwaw4 uV25pPN5+8WHQ4rlsdi05BDvOu4wSjbECalrs0gXzjwk06FXkOZtRkGloxO8UJwOFGhc8cGHFvTO 4q+nvTmwpBGaMt6eO48kA+HZMQQ2XGFlSeKXxutUShdKzshm5RDyMnI4XPc4BaSylx3cipn1Aw0S uZwtnAc1T5gv0U9EZhR6hMPMSn3px/AfeaPdpTMQZ5qMLmknWTbtm3ZTQ+MnkbgsNT3CkGTilFhA QHP3IjfJBbVkY1xYvAA48cuAMYk/dScNk/EA/awhIrF0ICh5yIvKPW8SwIZbtmCaSvA37fjsLMar a56vmvhL+/PKsrEeZ58trdF8eL0+Po6KMcfay1fcNpbrVkzm/OWyS0hgIgbck8LUbbxO3C1+QeVp 5+LnzbZZD5iNlybla1xfyIc/zhhDiFa+mALUWVqtv2rZ3Xf/OEwebBrYn8e/yauU0jSCL0FJp1tH SkYWxieiIwGknIG4+KidPJ6JV2Thxqi2vQS9sepH9vLqECniLzlT1zaJdOrzpcdMmYcoPFJapVHM /rgSTxyTfdP5DPfJ4WGP/tGL5aaupTjY9sTEDSo9Jl/Xslb0qIOeaZVkCXMthXjPDCESLrEIWXL6 /7V217vJwZiV3C9KxOEPwU24iajf0qHNgQs+cZFwdLA6dleuR1UjFEsDbiHUY3wzbJY9XqRxoB8S 3RcHCz9M8nyXUb0vvM6jlOt1mHQMMst+Iv1FT5O3KsN+ZmNq7CxspoYfsz4FvPV/U5Gz8zsOutce apN0+Wj5jGGaTmQWg6VrVtA741MXOBpinvqUm/rUo+2VlD+5A6LxZcjOw+a6F+WwGNYumG5q11BP pNPobZibtd2HM3AFZ4QyrjHGSGnXPJQwRcudtHxs5G90hCQUlUQkL4anUCGvlX8Ru6e70cnyfVJN 0X9pIx7JFKtMWzO1GA94Y82fPG1E+itmtHCZrMgIqN/4ncex2X6f+puAvSpQNx2/zjMLoNJAZNlN Rk+C/t/aAMlOYQ5xfKkXrFT9gKnnVKApiRKuKuUhNWw/+Vw0egCL+j6ULNm6Eq8Lo/HTSbE2BHOt Okd1yfbenO1b3kvPI6RowCyZ/THakTs8C3oe7OEiTIiiBt5HISvi8/PgdCqogYVdntG7OznvgQmT tMuif8Q1jZj6oCk6aa17BNVm+p+sAMQU/jBhsSGwA9EwqR0mVbkEwy/zsBMekEdMXCLhTDrOysdh B4gBtnqqlH3TthAXojNvwZw1XYjw51oHuPJpVoA5znBFBfG7fZdvlQITzswKyp/sahj62BIofY1J Gm9GTPkwGJaN0KKAnVJsHuDGYxypmhdQSswQSJXlBzE7b9tJlR7o44W5lcSxQv8RmD9tS7C64Ie2 TuJtOzL9WHy5+AbfRFm6e0d0WkpuA2Z6EKCaKx/qBlXkAjtr4kgDbX8EsK7OUtY5dz+WTVn+SHRc LFPBz3QuOsPIboqznJtHE4eV/rlM1yTJ+4rtX7f+EgSeLRZ2mnrlcmT7rAoVlMGR60nVWytkGP8x 3NnqX+H3nMs5dxMGtyXy08CKhY6QtGNgRsGoQWeK9AUGXsIWQ1ypHsNCJH7JfjqB6ZWfUii2VPGv xVSrM4hZMeqZ10acYxG4ECUBQCcBfhd2BsB7ygYCOe8d9k4mmuJAUN3a9yWxQe8Fy9zDcKuU97sW lCPF2yfcnhi9FZHl3SxyCLhOpl+HqmffITmVZATK1A3CwT48Ly6B44hP36IkZ1BV/+2uQYLqn8U6 XYkQzzkIoTDC57R7QXKxstKITsmM3FO049H3pzAz9DbgX7e2os9xT89R/O7p+yNeYuJvHxS1mCqC MEV7BcoyaWlAVd4+X7PGFaWcxF4Ne79mEubysQDUh1QLf494bFyWATwuYyNTLWhVeXAMqq4qSfA5 nJPDM2qho2+lmf+Nyz4ce4UrChEcYUQI37fNtlNaSgsZyAvbuUeOJCYT2WCFaVF8fxRLCZNHvsi0 M1mkPsJ6aD2NAUvQqFMZzL/WauQKdhXymEOGYYkKan8Ls0iauf7Tvu37Uc82MSVOp8csZfYCpTNc 5aU1Gg/mW4ZAPkz8+jPpzeTQpoGU/MY8Pa2ce49l7Gbf0x+wkwbJzFtd6O+xwRH0s7GzXq0Kb+Ee wWr4uU+diSHp9CzTXmfTEOAY9U/HtZd1GrKxfSC5gHA1xYWSyUTu0HQ6fMdtITRuybMrMeHrb7Xx KMo6GqznLJx0MfLrW8n1G32mv+NktVzy/UrzcXgSsv3LfoSedlPWoCyQYwXp7ikmaEV0FwfL6Yi+ 2izUpyVLCIr9+JuBnMKtSiclcskV7htGE8rB234EWFHLo9RrsUiwoaSBlcAKAYTSbAHxkCjZICtl yemZGfzLFUkEq6zhTt6Yz9qcMP3/eRTZ1Bc5aORGUafTOTRmn2xD5hDZZfVq17xYlmnqhY95xKlU 6roLiQJR9KAfrsqzVGUJfmtm5EiyOq4QnlsbOd/BGocEgTyIOu/rbAgbKFjUGKP9y5lo6r9RTINK QF6bRoEskyDCjREJ90vI7XkSxml3UHLRxIIvc+mky4jH2CVcavS8zPhIvz+ubHKr2N94hxOGJHCT 0O0DL+DzUEO/R9CwdAPnL5P2r9f9+raL+2KadPy8YbHwwbQe+Z9uC4eJrXBoeu9XlBIR76ZFu1jG bZGd9SmaqUuNuI+7xMFO7JuB19h7QYwdElA2+u3FhUTvhvVGte0kfSt+4vTSpR5LU+WtE9TZu0Ci CvTja6GkhUQMqn4A2AR4H8UBGMO/d3LCZPt3JmTPk2FSwgM9//94Vz8XzGJrpIWao+e7nGGrsP9x y1qcBXEOoHQgOIV4TAiug0qkYKEj81330oOP1faMiz0M1GtHvhcD0KIBopM10IRjesEc30u6SoZr wWT/EfKLCGBGq8TfkxUFhNrHUYEe4PB30qhfnevADtQk0iKVRBWHS6aGtcnr47B3cqP/dkCqEEbn lM94y/u5rWL7e9LdC8cTVeDtIacUxwG/y2NbweMFPanzujdWIE/XBtE71LkKpX//M6j2YYBEsVC4 yURgxbtzzWpXUB7g9i1fdaVsIHR2TcEZSO0ib3m1l4g5/dhQqe8JVj2aqvvAh5PFQ9K3BYgoYQpE zP2rcgULjzZ2TaZxXkOIkiqxRM9XOn7FpBkh/L4zkiF2o3CGIyWSqr/PH+VRtu/8crMhJhxasHyV uMlOS2uwRdXm8pWhKJPGR7/2EVNqWikWuMHyRuJWNXyrnhanRvUG03086C7mrPlxp52CbY8II09p V9arofUIBBSbUUsLXL8yIQfXCgUxYm06a+m9bLVNYBnU3Hvk6o98pfkykSc/bsMmHd0jpZTN++lc jhn6kMXpFHgoAQf761fYMlH5ivGDrjbsyjElyLn2e8LMbgSCXG4uM0zCgMjEV/EnM76jqe5P27mJ 9i8rYENxBrizmkRo4NaQBdtgjmfSLI3p1ic0Yju52GZ0VVDMi9N5BKfjY5kOGFdazT7Bt7Wqy1n/ s8dQKxdQ2dkjInDYtomsQzlY7NLQlLe8YGbnZlJjYo6+9BpQ2WlnQC+nZT80miICVl+FUMYhE8GM 8p57NoO+6204ce8dKVU6drhMV5HHqtsa/dtsEFx8u+3g7djnv5h3NGM70RYCWRK2EjqpxRjOTxSK BCa17sYhCpI8oOM/hR5t+YUWFb4S9Yih/s5Om59R7hm6gvrEQknzrSVSP5dBrwUoS1ou/Mc3iqve V1yVKK+mWaDaqX4jJQpAkBWa8cR7cgmTVzg7jChRc6Nmr734rTaCF51TUh0jDK6D83cYPkWOI/e8 b+dfTTSK/Zu6m1osgTRLQohFDzaBwbiN5medh1Z+1aGxDv1MA6RGrDvAkJKHM2nmI3eDf9uWcvUf aYcAdmxEfNsJnUy+jB4D/inxQZ/IxtL+P4C5F8G198ZJd/YhW38JyDEkwww+CACoICtl0T4Gih1H MhcX8GpwmPJ3ypPeJxdMzDztGcTvwC8qkrwvzZGKLbp90l970PMrRvftImhNA8AKrSrRdg3X0jPk Gljh0OyZizE+CDC4xbBYd90wSkfjIXeDRMvGzX59pPKGkW9+0VXeibqPHmdRyg4v5Vthk0bFoSyM S3fhc25IQY0sjijYbJ4pHuQ3RW7RbHxVn+ALkd/qBPRRT9XsW0XEB+atPSiii5YcbfwZtn9K0V61 BlGQggf/E1NRgFn5+RCC7H/YZ7mRu3ApaGK0I7PwwDaqHCQWdyJQXg+YazW2+192SCOtKv5uRHlc MMtMpbF/68ABNukg7zOk4Fr72EA6kYxQmd87MfnmoBioGUEJrAoK3MtWmQy4P3ruNdsQ6A3ORvXm g0gr4YDoWPUERols6RcKnGCQXUkMj5Khalv86FyN0N+6H/6ehGXpxNDPJYSYPh8owyFJ+dgW1Z55 e608btkZUAS6pjaUN7iWH2UgpR7R3YXr7ZZcbwtf66SpxK4CDR5NBV3zBgYFHQU+L5Wm4FbHBFZH sunLRR7Djsu/rM3sOLdrpptE7m0jzW0BYlcZb1DDwVoFMUkfdv5HsPEgY/lO/UEeFtbDddlF9F1V 9d2nkiBc77LGINwJXeGhr7DiCvKmhljtIiRzO+odSRT0cx82D3BkYDdAIRexxa585utUcOd38D7u NeXLRFiqcPbOxlPIAZldcNoczfqHm3t1g4SPS9lP+u9YhFeQ3X+uoFKNlmq3+6VgLvd8/hOAyNLS EkQu2tVcDzg+rIAhgB8uiBEPGLizRIi9GexcUQ/1cgiSgbZjIsDj3SYOgeuOScbzLAdYfMddhBTp YxlmcWwQ0m0HwDX69HfdtlHFSCpq+iLMfH/ozD6AHwo/QI2eY4PVPLsgWC7Lurd0YpB7YQfmb8Ym lOkLmdivt3rMn3+aOsZG/lUXOxB15oDEgTgtmNGqe/SlSWae6/vbTYqz+Cy0jSqB7YfLTYX8fO/l ks3cgR6vd6PIvM8pR8yypB0moCl/SyDrnbTKFTRHbrSSNWT/1txS7LtZOQCCejBPtRbcZOLjq+DW ntMw2sGlh4Ahcawx8M7eMMgicZbOZimFbmhtTVi3F5zpo+f9Z3lxBckaSpc/zgCnEqt+dH7dOlcQ kpHW8okFYhgWVX1LqUKc8rrmMpKoOlKAtAruwfFYYtmKOjF00ILetHkWmXyTCJRSnGaLhl6rjMwM Y2COpzLvw3g4fWoDOrc4p2mQ0WBD0aPeVYzYkBzBqWxKe8RG5UeHEekZt0+rmqymgHwgtki1AInr 3mCJB055QAFyrCcDvuB2mPdt+UDfZbEnJYoTQ8Kx9S5OQGhSzceaQ2QH6H+d0ylwhY5xPdtR4/XZ ZQUizC2Q56UNOqPzu8FBT1NcYAlPiyda8gCM0mBTDPbbd/6bNaHYwEvTobCviDGDhxTDyhX45a2+ wTGn8j2QVI8xRIzZnt/5D6Qn0LijZyiTNJsEE4JRgOHLTMVbUARANcDxiuJ70j9KJ1jMQbD8xkO6 kX2rarqXVqhJ1Y6pT3tYHXFHC0gX3hWNSTcMvPnHgjS8FctT1qsVte4J2rUdueJ2litdJwOpFOsx ibBPGZbad1P/GkKiC7lK1nzWqgAak30h+dzqOvH82am9R1uC58V7L8VWIPtlb7PzquoueWAmE10u X1iZxVIBt2hmryeGhxVDLxFdCeMXPJJK8jdY+Xc4xB+SCyKZc977dn8OBelUlbtM+qnjhyPRBxYf cv7jplLzZDEDVlUNpZJJT+LVYTzNNiWMi2+Of/XaDbe4Saafgk9dSTbIIeGsv9a+JTqtdSGkHjLH nG6Z3trLV2/8EH+IjX8LqzkPIE3682j2gBqVj6dLM+1uDKLQjaB8uHKuOYZgiFEzTYmqcXcy1+35 qnS2pMX/w5cWxSBTJzao8Vo5G3Y57h9Zf4XeL1JkfrDaO38ZRNxzBhKVdi0LTEB3n+BIHZYJnS2C Y49zCQTYzIQF1OKowQ0HoFQH1209MS7wGPm3A/DFKA2WOa1ABJi+rGMhZJU2h1R2AlFh06e6WSQP VtGc1JzJ/VK3JcEBvMhGIYVIndUOurKP5hSepgviM1yB0wRzVQ5ESJvJufCzPmMFgdJVH1bs9jcC vfNzjMokZh07ifsMzEswpUHUFFAzoOeqhhiv6iFGJMt5ZTANla/BeFB4yNOtfE4/zTeb8qrQEc0E Pii41SfXHjiDxhm0ZhIN6/usO/5jZEhg1r+Y8ZqPHxmHwDvyyJWiRmUVq8H9HhC45PVa9BbVZS6Z Y7rQA7a1ZOC3hMoqXGoO2INmAoRVtyTYlefzdZQjw0uyquStgkP35LLAbnrww3zXC/ZQqf5ytGXq IlRjrIkAH2BSYMrWWfkWcI/7migLrXmGXFrIdoRMVNoE1wdYXd2x2KiQcQCecgzXmTvfroCdWW0b sepy6tJF/oETd7M8QKpT2128lIkOjgLbBbc9vWMDVoqrIN+qKml8A1bD0/hNu3urTPT2OOjnty7Y 6qdRTG0QdRbjsabuhvyGVNzcmoil3Odl/cx4DrHXSilqbAtm4LLBaHo1rIz3JJ+4s3ivuSS/Ceyq bPJ1MGL/OgL8kt8JLScrpe7oGyBxxGbxTMJV3rAr5bNAgsdHTIPZdI/R2zaHtIuGWApaGB4IF9y+ eLrQNXkySem6swyc0i4uR2UNbiyx8s8CqZ8/ewRt6ou6tK8FwtM1HXU8jTQAANPCio/cZZzPnHLC eUykiCY/NtyBly/6AgdIAEM6HyF5MV37JZ/NthSqDB3LfwrVER3EiQRz8ILnnqs+V16s0WuMaFAn tGJkU9TSXnT39Cc7iZa1tNsUqLC5toQTQWnWciWEC6M9ZNpRM8I8o9ilF6e15WfBCpQu8qMkByQk 2Yr0aOgVJGdNCed+u/jKXZxwZ1UOchH2JatwX4jEx7UG7FgiL1lsz6xgzWqKsdgZ7fVLBxfMZgXw mwswA5czyrsnwaY6n4Z1IyNrBwB1nh20bHDGlrVMi6dZfkzHD8QEg817oGEaCQkgX+hCYAH0He/z vZPer+u+dqvDF0ReZ81gSezhEdTSfCa9OKsJSHytujtEPzof2z9OmE6mAj+lfFl5BC6mTcgytgZB HwGBKEMjkuqP0HBYwie/k7beGG595j8WOBKMbjebIJfPU0HeNeNC25n9nDKGzDW7MLI+AqftcvwN Oev53IzIE4cZRHw1WwiQvcW3SZGTxWpO1hoFAsWZg1E5stNepAFe1IocxNTvYRAJ1ocQQzqF9wt+ XhZs1z4tW23APKe16t1N1yPEEaT4/f6/f+0NT53sPUm+u78VHFdp0y5+OP3KJSAPKH5MZzcA5mtK seT+xa0QnHTw8Mw7vbi2QIqCuID323QVAi2gO6r3tkwPhH/wQ915t1SeM2m5ClgNlRr8nlWXPHJg u7rgI/HCFNKPNnSKIXWlHTzRjzaV5Y8LSOAQSgbSyydrNjEkWIJfIwJGQ6HcdGvzaP8YjyvfTUA4 Jrj05ZTR0D0VUjXaRXFb7uZ3ZnbxqQEWcOsGbPC9HRMymcFcZVSDO9o3+e/Yvq5RRa9WqgLCePeQ sunJ8nYQxReUslw52khJwhm4fwJPrF8gPlSwTwWYyF6qW2yUPNpgJZO5VVe2oyV6g1OTD/bSyPWy mFUHnUgHzP4X7iACLZEJ2i2mgxKS4SAgAQgnrhzLLp4uyXYjVyW1tvDN0T2lGXjhPlM7MUwl9yg0 aI/mXv+skXSV2MJFr3xgYbv0JZK9RwaQ72WI/E7r7wNY+P+yRHVjt/xDz0gZdvQDATd+ZOGSYrVv pm70dZcZa+HLDSruHTc/cwe1ZOP9WmsnIxEWLCupqkxRwd6JW2TKLRPMcHgjvMCPbplya61dyVGi LeNSSmPbpqPFyO8HqbYUSwp7qSoJHdDeHLV92L5ayypIw1e9G0k/S/9TcXbY605WTSQFAKqpYm/X htM2cdiqHPg6U6NQMwEQ7MSFt+YuimCSI19KJh+vWgRir9TNLDP5T7SXpCnGLWUNOSGlpaPvhYa7 OmzdB7VkigA5WBHa6Dsju0lJn6xGVF6u55RZxQDs1/eEqai7SZUfpFcn2a2lge4oCduMGx3xfUAf QQriCn9jJS92cDcfwpMNLxi14hS67ua0c9/sbUg/53JFP/nrEFc5VIKRtA0OatJ2PIaZPj0xw3N3 WM4H16I8IgsxUN+jLbAAZl4J1KS/B50AurEDVdyD7/1deqX818DtEJS2KVMoDWV0A0pd5SL5Lhcd T4uaBq224d+71MLWjG9Zb4Kec0fZ0idpKTyvFF7nT742v88R/mmQ19FXrE/ijNrAC7y1AhXe6Mxv TR4Fndavv85QxLJrkNAu94K3uLtaRmvH1COy1RgC/laLV2/wYfgbct+FzKUmdBIQA1ci6DCYtbgE VjJuL607LS8Yj1H0w+qnvkSHIfgZKxFT2Vjm5iUQaavo+lRP5DMUbcNuuxudX1i7DZIC/eRvzNzv MjVHZyf7iYzfEioWeF7fd5Ce4AcFJdLTs/oBDWTUGc2Mh+rd4tgFTUST7Skjv7l3zuLK9C8zIDQ4 HhCkTlVcbrxNI9K2kveBeBm5BG9HlyE2/z/Ry6MtwoSkcAHg6pLLTBuhdWNA8wG3+/3CWcjgkWEB dkklFIrRTwu0uz2HPy5wfxBX+RycMyie9g+ObD9xH6WL1wF9wKJZy/SMjSOh8oY/aXCTa5Rr7bD7 OxqDD7gt8dykFRxwy/fBTY8TpR+nvWNhBpd7DcahzyQh6qRBLJ5nDH+myf0MJJT0Dcj9HVLj3/M5 wTqNVbttrRuQV+NSt5GsmARwivNcnbxtblj+pZHj8cwepOyYEJznHRDDEGd6GMJG1R5+AbU99AvR U9jUEoB4XAN9skGkTF99xH9xSJBlsE4q9SeezzitHp8z2co5Qnkk/e3Z5B0C7Gq58qa5Ni2vja8z KRCmL6ou2r0ZsCe9ljsZvjzmEYzH8wKOCbPkryaNfTw99niyVvUc+s1mZyLQMuFN8A4IZm8xHjgt KbU//IcXEO5utir6aigdLhK6+oNs/O90pgD1+Qck299TU9ISBjUIhC8pAQfUr8Y+mGYDc4dpTyQ7 leHxzr30zAwikgPcO/MoQPIMbP2jnBfxdKI1/qmW2GPm9KCy5ZRDsBDyupeGWdpNbch/0EY6s5s+ E/KEYunLLeaBdiokslGUBeodaD8iu6b2M2RV6YaMVvj2xzrKl9CNz1pisUiWxjPmmBVIzRsYk6hX 7/Ju7wGgiZJUxqmYmi4e8a/gqNXrWn/Vm9FtJDvUxSPZ/57kzifZ725dMXjnJcSR7K+aEwKJa5Cl z9pI3f8l6JfHvnwX82AvVeBEGxylTHvr3aRfN4c1mOqJYTJqzX2tFlEmXQS9xC1IY7M7BmOHEuM5 eUZb+BBqyJoOscFqtS2wcEifd0le4fujbr2jTERh20t+p/QHoHodkJUBupkEtG/hmvELkKPKsLmv dFjNkDdoP/FEBvCpGQ48VIa8qyVyL9rVQBsDxwFsYhlE8A8gA1iSRP0pYZ9BuSp5AZAxMWUNcSeS fIflXJIinJHHM4H39cYUKL7GLfv1/4+d4w1KD9j1vPHn6PmCZGANw1KL2kZncaPUdu8ZKCgC0mkw 6duwAVD9Kj9eKyIL9763Fz1mjgftPPwRxn6g1N8BVvuKccqIPC3qeI8QjWJoNVs8D/vl2lhldhD1 KtK8w3OEOnRa33wr4T60vKchrx615UBRcqBxRaPkFSk8FP4ZkhkSa8o038NQDGdZqOmSNikTqviu l6CPbIQ7JicNif22ggWB+qYABgReMGMi26wCdit66m3YjdpFUncOpv2GJn8T69ui6kAEQWmPeupP PF961yJYTinQdN1aEkHU91r12Bm2I84acCuZbEKA7J448VBl2N5RK/WMag3gFrgr2i9341qgDb1z KctZpG9plOM678T9PQOgAEwl1XAlqHaRt/SH/KBY1H8JGw4OmOi1taI6+Z5kZ/t+hs/0LbD+wPt1 6Eycq/uuL2q1kSmmGIcyEsDekhQmjCcFVHjj4nMPQlqYyTwh5ojecAoexRPT1OtncZ4IEpTgGtg1 x016MpXFq0kPvENy+/OaUCpi4OE4Vmp+dquF0uvSyV67HEhr348krdZDmSez4Tw+6MuwXpoqaFXK XHNtw1sGaku7h/N5mC4j+PzV4gB9PCI5ZIcqPzqVQfhbbaPgX1H5svguQRShqUsQHvRL9pz7N4LY fOZcAhoc70DIyeYMQdy/jz1eLQhv2WSVZ0C5n6dUxFzqAfzSSDrfkPqFNI97MLLGI4prHRECxm+a 3fF2ZCJaSdcVRHYMF39VsVPRiRSKIZDqoZHAqP/nR6Bbdx6Y0zf91CYq/x2S3fQ02vHNQkSUDqqf dlxGZnU2ZYIq/6VxgEsVrktekHKIiX26vlyNVXHSe1FXZZVCktWosgCIg4ONXgoVPDCI/4mI7oEr VicnLblnKmF7qea2bsRViR9Dh1RKxOAKo1Ro6GwQpArzcBnFRskGwHV/xbZ/XhINMPljLRLawsmI ieoqnKlYtbd5WaO/g9I+R3A9Iru5MnCAsPQ86fGDCYUN0orzAx9qPUFZsiL+Py+aCp0svEDrsrKR opPRFKR3qev9RUWddGXe7T01WQDr/tBPyfc9ZJKQwO+4VfaaVOJlg6Kh5MYKa0hQJaLiOEs62eFI uFuYow0xseOhzRUQexeMwn8fW2stdndgLoeRKeC/3OpWbTaYfR9UHNkvHJnm/GY44e0yShs7jdbU IBDNjflNtB4T35URQtUlq8iM20Hc6GG0meibiifI/B9WqlKKh5uF4X5ZAoc1xiu306q4xhS2KeK1 XON7wCsYZMYMqTPDGvPtwqhvBstTbMYuRWNzTzWG9+4+cK+KEj4o+XmJ8i91M5MHIlvBTPIpzr+Z gwQNw9BNHEuKogPtPA8LPw7lE5N6ADK9zKgK4hziKBEpoX14xfDnxG4bWXw0JJz86qp6mkfiMwq0 VJwjsRJ2cb+IxWvwB8846q5c1oL28jlq1VCn9Eg4HDw7OADHLe2eeKZilRDiCp7paC5mYt4p0cVA mY+mXZ+JTXybx0TyggDddSsYu76+t4huZ2+ulUHHU+yAtA8VhooKxhrkZ1/GlWoo5LmYvfpYnhYA 4oO70l/1Ox+66v8ah1/K5swiDC/yia3LynBtWOjutM0udNe1ryOdBDTPseg6gSUyzTb0gIV1IIW5 9MAwuz1Su7ahSM8NIOnWbWmA5KHAi27Vsmriuu5rAVtR7+/46eqcZmpnEqJhCYKLMFr/yqnEQ6c6 W1RVrzDz6mPeLV6VP40zM6iLtKlL9iGE+VfhbzIpYVxWno/tUGJ2wn6QIRB9PouSycEbXazNDZHZ s+VFjP/poJSNONshk5f+kK5gDEagrXJ9xSnNKFaOuHopMqg4g9BM48VVPGrfvxv+54ka/HHoPI6g fw6KBAtOpoNjPcbmshyO8a2l4SnzGFbDz0UwnzBmB9VQez4Rz7/0wM3JISNDItI9R25aSyqRHj9c ui83J2ea9MP9GpYb+j+z1A9hSxUipIlpQrN2QQhRySlPhPGmOgY80ghbXwAj7lgupyP4Vrh4wtgA B7sizm0d98PSiQHWupEmNK8uuk6xHfX1PI1/O7oP/gmMLfvJsBezg/rlZAAdyoW3w7WNTylVW7yl hlDoM/bNybEC/dQvVd/3UBOM9ApLCOptaDY/rg0iZ/2lUh2M0bwaWsoAoOGMAa/kFJBlTbqPvDuv SNSa/HMacVHwMl8kY1ZGqolv2A2rN3IF7ePN6MkATcj2t4eWZjYr5BsFuBorOsYo+5C7hT7xI2nI J5+tkFVohVZCDyB6TYL8UzHDPNBBMncJvYmdoLu0qbNGBCk9dqHonFi+8sYU9+iy5D+WGN7mTA0i hoaFgim40E0qfOQmb16cn89dseBOVGVhwAJLp11JNf753DYI9Fn73jUmGanCYp/OuOVAQ1IYbliZ I8gBhJyje5GjSZJqvZv1NMDa1uRg72GSLTlkeZ+QPh50WgK9yh6PW2EAHPW8L2v+ObTOkHmMO3fo tjp67cEkmkjnA6qiydXkIcXez0AFlM/y6bLl13g4nxkD0lHhaoJzaVbSzmOybXWi1lf+oLTMNeTJ Obv8qfXO8CBd/u6QYHGgoO/C0jUNJ6Ib2nnsEOum6BqvwyfU/A3wnZ0OlnqcYrVmVKy3RlFsVe8j bzVSo2D79etL0uzRAZ78B8Y4E8qHzdz+7l/px7RyQ1x1w4u9QvMi5ORZkg0XypWLBuYwNT96TnRF YclKUvqKvrxWyRjvJglqPOknIb61l/Ly5DmLCQUNEyp6e/ACVCP2ZP7fzNyCw60fUkOuAdPC9m+j 1QVCH98TmtdK6MVlnlsEO9ZuozqPt1N4zqHv1rhGKSJ7WrEyprMiJjkEmc2yKdjPFDa86YJim6qO p1YP2+zltpXmhRrGKTWuVeNRR5ytDdvPWdqo8b9HC+4oMgqDC0DddFbEGN+D4/xA+IPT5+Jd3PtC cnfW9XrB9D00a+bOYf8pbs7oZ7LYu6wPaDLSPg2hCUuTBtp721ZlA1ZIIqgIQ69xgNCiXG+lCfo8 wUoeVEYHsTEYMSRiTIc2t93Z8ho/QkWe+62/3SW3lSy9ejaSTMzIj+ujYjJWeGBzNeY/4mK+2hvc O8NKvuvo4K5VWeVqtRMF6+N94ywKZ179j6ehEkQBPOBHYNnfm1zAhd31w4MtqqOuhlHNhH43fchK UFQGyYQb8sVEP7oxxEC7YLiqSEQhustu0j/2kv2t4UEbRD3GdPwqACB6HXwvZcwP15ORTEADJKiK ZYEEHdR+gAW/Td9KgrQAm7J/Mh/W9+ep0KLcOKHgHnuZGbmfFoPL531OLMokpVK+/LWcwbqufLNO +P6u4fLB/lZ47x95qVD66S0q5Vmhvb0AEfKtAw2j44qvOMOFT34lnko7nC2Iy9jdwbd8kJxgGyhh GRLxIHQvawXvGVH/x0sQYogjSkg7vem7/zfUumUWc5fMxZFoA4tWJIWoqBRIwYApwxQiHoJ4/nlb iF/UhkOqOjgwIsVr75HvwY8ODSPT5guK0VruhHqDXPMYjoxZeOZvs06IhcUE2j0n1iHzYX/S3w93 +4TumXCJVWJNT2wzERzP6sF0KwaYKWaYYe+MMG7A1LCLQnW+uHtpsAarx/ad8U1GoE3Fau37xFyJ cf4DrwbW0b4HAL7B7XUpax6GIPjFNqGOeMdKGzIwEUiRUaBOXsRrnJwC3DlfPWyt5wVjljW5XwW3 DXY98IGuaV1TApcocQFR5j4SJN6gP3uSkdPVNrNXLGaIrWQTv/mCWk4I8cFF0nlk9koRHAczkUuF l/RtN1fs3rLWOTh/MvfCH0yvEkZ6iOPqf7zhONeneMrrOojqTb/d2HWSjitYG6CrIS1lPf5bRaIT DwKb9kGvUpV1oVJD/TjqjkKMTGX9aGbemIJL9hrGEjWQcLvYk75P4OS9dDGyB+Gd/zwyO+0naU2H dnWXTNICMiw8j4wCBuY77q4KDeLhYGlw8618Blla1+XFSTY27NIiJTq4LSwjw2WAEUNPYKEtXN/k THDCfIamW7Ju5RUS0X7sJoFwoBxd55hf8jJEd1KCj2suX8RpcEpabcqeVzmXPWvUqi/IcpYCsz2Z cOROreaC6kJPtHjrr+0D+pj7LARpzVSVzDSVM43feLvPXTyWCWFpV1udfNHFr9ELne/F+E0yHoVc 7UShjpjKBd28Uw1brUcxoby1FwVH6dXJhTztSa2IZevvtKWjOU/QdqrkdXe21talGHaQticgqANP XSfcnTseIgZx0x9uQ0Lhz73V10Qc85IYtch87uASuCdX1DabQ7lHBFyUwPDZmEaJSegbA01+WKPC 8q51BxS4lJpTV3VdvIr4TAaBILvPgVjJVdTsv3M9enjNlGLDN8zQ0ROt3kYoV5Ybh6g1sMfkNfBJ WdteDOy8Ocao/SSfjwFwZc+6OH2uOPvOLiFoyBxG0EcowqH99GqQuP4oJj012ORGGIWkj9kNXbbd 9iCy2b2jOEHhOcCtqkMHMjDg0JEmibmos2a+19RwfOUCDsm3dbXEwAbd6b9LbjSkwjXiJuttT3j5 VFne9lGo9w5K8CQiasqBwm0Yn5r0iwSxOjTOZ+yBt84s5/dkEOMz5Noy7YDf/m6xUewD4bPWQNBV oecxyYC9Mdn8p8ehhkWXKFr7e1a6z0bT2qHT9NFwnlqjM6wFZEMoHifIvZgMtbh8hzpXuWMWA3DJ 6zO/QT5r+dSBtMQ2yz8HZSTp9/ayho8bNfbfTR7Ao5/FCTWqfsbxQvRpJO54jW0yPu26blHg9m2B jm0NpMFxtxQz+NO2IlKloiKxBQcb2UnKi+ahn46vU4Bv8wLEk6Ix3qGQ2g64ZAhbYPna0Ildbf1X hPk/ldASict6aFZlRsm5xC/cqL5cA6vUopAjTQJc2yf/yXGBAuaUUlap1PFBAhrejiQTYulwr0Gr ioVNVKmlOeMaopQubBtUe+or5zCa47ZFFdJkVVkfb1ULAI/c12cfBh8wCxxQYbl7WL1M3MiQTVSA /NxDDWS/Qmi/hCA/+3+TEovw06cEl/7VuxgJMX+xMWLbGjxveNjtLjwIMDJfyEp2yMfvGyC+gOL7 Z6QvukNJmzzco665f4GsZuTVQm8CN6zPe2ojlKvfVSh9src3ytxRphsTLWEV+emgNvx+xakmZKOb v+ZAByk2mHfBcX9xd5Ws32GP7rBDcwY8lH6hb5wnIBXwg3cT6XBOslw+PMUXnX7ENxxanOrsaZE6 w609NUwcKKPNKmLR7wt858ix6Y9aRFMcLGR1vWEIAJ3hx/M5NSm2f0a+HJm6HUg1iBvE+N9zSUs+ ab8mnEsKRtgtkeervQI6+xFBlUMkAsuX7OSTVOCTQdxsW3ds429FFfmS6fgJJOms94+lIoIaolIL pGt7vtf1L6sfBGfc0FVIoeaKYpXv4Ctde0tro3ZAcMQLdQQVHbc05+fiKvf9Md333BYjpyP6zjs+ XZNkz4NovSiFgb94lo0Z2PExg5fM5s2UPQK+fRgGkY+X3kaNN4MY1ELyLV5i2HlHsXzLG7pNDUGb x6FlYVKLrwDcPBTn8tPF8RiDqIdWaB4ndf9Uqe4OLQkaZVvtuu8rJejhtpnAwoUWRFSXK5ShGYtx SYDfOhiovJwhHTlH7X/eLxWbtEemZ2/wQYryFb4uyR33F8h5+0k3xF8s84Kt1/OEjRYvo80FLqIG 5j1UDhAK0PC/4feG1aOe5DC/V0UgbO8fpqEkW+UMOPaxNPjgk88YfUjl1GsDIRO4Nn7iBl2HWJ/d ApqrHkz0zlP6zCJvP6CPH1/EJhgxJzBH7x0erqZMUqJ+nuWjv9+jvgvKUxpre+iERM5VuFkFe+Ui ZtDWb3Agwsn3jgaGMNxUiJ5B5aYCbaErwcv9wb5y50HzcMIVj2obcwm1fjQtxhIBhCaAur41FRpr SCJ7r3mRUa7YXub6flc76A5fDirwMnojXERpfjf88z22itxpZwKoCG+lOAhkF1X1nw7dOKqHCdDI JNoRq0BUjSgVWjaJ3ztJYYIMLS6DujIu+zJYQoY7AqA3C+gcJ+5KSDgoMqb3dTvsjSKm+Wt6u4tF aGbeBKKXO8rnZZ1nT9got3xftECb7rx2BMD5Q08wLkMKaBEv0RRewtGlKNUBpiXpV5pNUkHq/m7H F/ZObms9lrczOdcDIb81gPTzAwdIMf/FVNUVU4JX/fltJZT9bOC4gZ/uO7Htn+vr4ETZbCuwq/dU ZnvzddmZFLxjIKhDRfrlKR+YHb/CKPaSYHCd0047PLPTkfPMLEbR+3VLnvnLLSQqG4QUcm7pO4Wx 1f4KlMEmdNURPnmRzuEldoGsMhq+xsi4dhvaP7rWpQZoZe/L0552H/SGaqOllYNr5c5pC9z405WL 3cvpBJjP/mcTltBgYd1xrdXv7VFKaGyB3shSl8JpGp2LEmYulJd4wqfzqWinNycwUrXY7PDzKkT9 TtO3cfk2aNVzJmV9urFDV/NGNoamAbJklVL1jjZmNcUNLhsny622JEymXJt1RITPn+N3rTktwZOa LuKyjWNElk3fv8W04uyeNMv54nV72OPDOoupzLSHuqMOhQEi5bRXx5SAUx6UraZK0wZVrxuHF3EZ y/9Hsmvq9ONf4hyZXTZFS1YQCvRdIebSpNjRwJIVeCAwF3CVQrjJCez/6mkCjrwT+aF/qEjIKKk/ luSsl4NOruQFQky5gIFBJs8Q/74DG381VxkWm6FGNLNead28DoYR4BNG1fv6jLPfEqk/b1JBqvSx JRtM/NnFLVk2/r6Ssp3svfzZhcn3/rQ8+fFO1zvH9vGa2XgbGTfyetfRu+UqGcVZk+Gy+S79+RL2 V5Fx9zLpwSdF99HI5U+Um7ptj/CHs+7zwFdIFtoLIG3PD5alChh9V7gdTfm5XSqXac/LcyT0dCvU xjxYvL/jgcAswbH0HIkmzltAXMBbJDNjmeDSZVGtowdNML2TVBTu9EBjPaSgd/YkHhc2RZ4lXE3Q xn0sxs+SEVDSDukMuMH61Tym2F8e/RTTbWfo1hO9y1jkT3tWEqhFdy9UfZ3X/vg/Xz7ONg5k8w+b uDFtSu4PHMSEnjvIoxv6e2KiijbWkDzkb6gB4Wip6WNBW3o9zeuKjnY2vFjb1xM4sY6kpas+jGLD Jr5a9PLvmm5r9SKGQssztXttITTAQ1IXTM4BaekwI2P0MjDjqfZdZFGGar3/uxzPx6FFkIaZWYEz GRX5fjJKurn0fuM7JKCCFMgBlN9GSY+5EXhmBpukvZw8HOTF9YapwtCKztfJt90JDzeylvfsOyg1 Gqn0oJ5n5xaz2cP6QPyFEFNdf6cgNdRTtwukE8ILZ7Eca3KnPoUp57sU/H+xQBQSnU7hwxO6NDHS NbKT4chRxsIBu47kbwqrm5uZ/DaLlwnSHaGPp3Qpjz4OjKNUSGjR9vjyurFF9AgqexjU+C6OObT1 7JqkfgcpppBlxNlB/ud9E12g6EHUzU9fPY8pPPiX5rGZ3plzUU7yglAgnubhReL7s0pm16tPzbOS I9L7Z7dktyDg4H9m9xInujOGhccduMVTFstRrs/BCjwFR2RiXFYKWQw1LjoPGIVB6rrVH2PDBXeV 89h+9ny2BEF9g5zCzRPd2X5kYgqONgcwWvJPX0mLy6AhNFatQiDFwGgYa9BbZNddcKq7n4KAw+wM PNh9q4z4GkM88uPsD9i9AGo9SeURmEGGiUpjXtg95Rl588tOURO985/IshQOCuBMJcVVh3oGBOse w6YGUILPkvzGqUExOV9CdpUTAARUHNKVaP2FDzUHNMI8mZ2AZgUifY0QGjuBWNk5P9oYcktnq98x jbZ1nklisMTQ1VzGlupXTcCx7MidRiIayj42bgIip3qLupbSbmSKFJNEqlv1HPKIDlSzztN4JW9T NvvJxtWI1eD9ogZQbIx9l0sWXRDMEhavSn9+EJlEmY/kE3W0HFIbJb/RHIsNbqPOQourDoelANQ+ roXv2heXGg/2YOOT0RiEOl8Rc3rpqTbSiVoCsvy3v7I6+F8sdPsQ78BNu+vjjbsGjQF7exiNZcoD DhGLN8shYC2vuQa3T0aOhkGL+LoMrcdqZTdRfByVv/EB/dDnx2nVcSfuoA3IZs3JTeuQs8CvjSv/ 075tSr4OkLne+2WzbE2hOtum2ki9Y2XX0w2sdCrb9F+sIRaHUAxWrP/71OBiG524wchKSSPjJl9f IYm+8rfT3rDvZ2xLM/btv8SG8wsnh6MinvO3o7Y1/75FHb39mvkleBKjXL7eLVntut9r5Ya1BkRn kmEnBVNcN7lXtC/PdW0jfsqqTgsT9w0DpomXffGgl04CvaSVFY56J9wXa2dNCBxDDcjSwKr3e1Xl J+c/Il29esXGONluy6btiltBNRg68w2oLOfIs8mL64fWJ5deCA+WkFV6tjLGcNHHcBVoj1SZIpHj ojWdpDY9iAF4y8FyckcTE73+4UH0DRFXyHofeIPmu7DDXidW0xrYs7VvHe/6eKlIJKtRQhxDOxdU uiUwvbPbDKLMMdpa8hNePKbz366WFXpaM+Zr4/CCBinIuNKu89zu6cRuirsRj55mqdtP4WMIpUPG srWgSDbOyBs9SMiEeLwjxROQaYTy4/Ni/sOB+cUL5iE8i+d7xO2mZxNQFHBhDDSX3UTLKBUWc8uE +ftzmeNRjGJqhL9YGAwwKx23S9CF/8D1vJdfjYdFOBySNE8eC8Byf7fnpDcSahRTQOY5stwfBwiC fQHlI9b9DtlnEdGu+o6bXp0ox12nXv/Smf5AZuoi1HGjONyaAlIv5WM5jGPkfbUa6qyeiQX4OLV+ HNv2hZjmk5hnYjjbnkmoIeZeOAWp9p8iLdpEu4WGkdmbDdZDhE4mjtxvQ0486Vz8rz6xh3ZBpsWW Xs5VFMMj2j/7XQTOLE0F2f1RPWIm852pA1Vm4KrbracTz3TFhv4lLwWTx7jknk/8zbay8DhsY+vz /gThzViI9phh1qd8uJCpwtrgSF43yUEi8nQj0OST/hGweb3ccX7XsETcVVQRRCiXG1r6rm1MidbZ k6fkRKFv/fVx1qaz4VehM0c4eqkRwqMydz1zlrWblyTamJrITF7/eQRJNH2c3zbZn/gDBO6/5TTD /IZYIJNRgtKPGb3pK4G9yu780dGs7p3Isy1QnIGt1dwI0FP+YX4PX0PW+8VYcZABm6lwUXZFb1om j9THBl/aoNK4RAcvabz/bbyocNoiFKfdNwAujQQNeRlSMpWfeLv9ZCWaTPYO44vegQDPVGHTQeCa l1Lbs2ZOkyv3vQv4Bfl7uvK1K5cVYP+6unVLtlC/zFlCsuAn1L+cHXS30JwLMEAjp2+WDuz4HMSg rIA5CdrjzodCuHYgXKs3m/5XDtR+FTWWbINpSvMYWgd1lBc3VmwJkQAlWggtxLAs8jx2BOw+CWUE SbKTtucUhkLKVgP5RBPWKGpExf/nKywijuxYJ4VwOc/rfOQ86oUK1slEoPUY4LibfWcUg+i1uGdB GYh+Z60pyaaaAkYONFO/P/io/yCCjfvKr1eszAcOWssSG47ZeM1/AuIRU95P8WDFtyHexpzrAcjL beWlQxPDaGFyhmKMhlYs7ciK6fBdtjqUXGkqR+W01cWY4ACKk6J/B++cNsNHW5PXiaclRdtQ3UTz IfcwyZ/iUsOuvguIbosU759+oGEzYz+rw5mtCl1Tgr5pEEyEb1qu5fy82KrdRKTzpWLEeOb2Kzal GJu4GZi2VK6KmbZcrlqSBBUTF77sLAzH4WJdH07itZLL7AM+6UWp8kH2tK1IUD9WhOydj9gaz171 gQ2S4WWp4UbNghAP5pFCVQeGBD/KniwWiAo1wFyXJsfhRgjUbRB1MtwlTG1JGue4aYtrpb87j6Io jLn7/jbaTiYyzGW6U6AgnzARlKGfDjsZUNs0PcfH2M3CXXabtpXu9hGIAkbBqVEyvh5zWCt98bs3 zU3PpptU9skkIemahKchijeJXFFYnvfzQ4AaCAUDdYGx0OAMTSWRgdLfH2i1tAnqW6I+CtK8wsPk Coj6pTeQyiOCqFYC56HSdyPo/kSxdStc41zrAyBGttC/REMcxqKC8rAheb1id2PlgPSmhTEE/hcI xlBfJIY8e+f1xDlNonlbaKysEAS2Y68wAXshnDFBTgbjI2Wd4qv74XlfmZu9eZ6JsMNzMKE6hsFa cSMPeLBmq3tFSQT6m8ucIGn4/RWdQliXULfOwojzvCP77OMLOIwkk2k+iF2wMr5l1MS4U+X7qCLX l+fV5VWdGoi3SKNFj5u2DNZKO+TpE7OEnWx2b2Znv66IpDXp8zRtO40u+epyDtIfiB9KJyznKRNb v7WTgcP9LUrGbB/SHtxutg7773HU8nfHt17IxuwAXrAYuUE5lzW8St/ixt508QBpTR4gpM5phWvx kC650xmLWhK1rdeREpJxxfsXiu2/MjVgC2cYldeajKsIv/kmdS6UXNUG29sUXG3xuvxPGDwDW2Cw ebKHc9kt785CRNFu8o6ApAZQXFmwNGM2NNdykciCcegj12AmP31GRM/EyYVYqCXqsZq2gZpUJUQS /+8+92SgFTThId+6NFNW26pY0tI2/9wdwYKZQ/I7UtpxPBYJLyAxzZWsQur2PoIfmGKR9+0hbVBa rtPKi18rhnj6klUkZF+orx1mH/IdcWyLutW2qwnwPRqWVmb+Ub0l3u2T4/kpAE5elsfO5udS7SsH 52F5A4IkrLdQef2Gd9CXpkZ1zWbb8uYn48nGxAkzvsgxCIygrwhD/WK5lSoK4M1dlt/LpTlK5fwF nDDnqaxlYSuFmcI3F3hSyu73ke3ZyuVr653g9eOnHJD4imYSfWhoU+jwKwr/urpN/JIKpFbdqNH6 4YiQYwGBSzianP2jj1yE+Kxb3TsgMTxfsVlrDNlZmqcDxzXjc3hMMZenOvwmA6rA3H2kjLO69Kx0 FA42GEZwGvwXZA+YRhtE7ve/81oWjJ8SwgG/yqz0wNWEAvARJUvlYS0GB1FfKvjSI/V2ydhEjmHq HGYa2QYXaDO5tuCMBhB0AQ6YJna+Iq/ZQiStLuqOAlrC+uN+3dTKorurfj3gCb+DK8utc3jXzUUL CHyAl9/OybSTN1Bo2KIRT9N1rYTnCDWZgqSqI1wzkhX1nRoe1JWtkP0RgYO/fHHZ2xcIunAzIN8A JBiRgFmUB/HvacKsBaF/O5DJBV3J5+ULMEGrRGHUodHAIw6aJxun9X6EgrHLNVfQ1OCdgVd12oCd K9Ore8ipMDedQYfcMD/1nHLdCcIJiNxp3i31v0BC+8ENgKXKCxJeJ3b5ITfSnju7GfOgJtp3hHSS EMDGhjw4uFMuiXuJigQfZWZ+iUKNyMdnNR89+IxLuqWbgTe8aEUc5DTIPwxbsejrQlGieWp4TgRL HyDUqP8KlLBiIXVT8ixU6QXKbSijvrC/JONdP+OLcxJ2QFH9yRLL5WuZVhHxcv578W4ClwO9bB6c v/G8Cpqy8YuNlDAZ/UsS58F4tQ7YXM6+jV0Nolet1zjGTU6ps7fkxdA07Bfr6iJ9UmjyYZrrntoE F6suA44WImv+xxbAxZMc5Ql2pwG77pOEAioInm6fdvvVapiMC+MXigi7FIv1Z6mywkWxm+vivb6f CPgKIkQ7O4PJk6sUpRoJDlVwiJkG9MZLSMUusMBOwd30TSIVFOV2xD3/42sUGZiG8kZNqXoJjHEg QosYZVa13Inf5v2AwhZ0N1X3s7BDsioftYh2mDX6kDAaqWYO1GEYzxu4O9BpKBDgY7qliSjQlHjI /V6gE4Gc4kbTEWQIF2nFQv02Drnp0atLedjbIv4E0GA3eb/j5Il4k2eLYUPqC3FVz4uZkcSpmume sVMiM2PYaW3p5GXWZbAw/xA0Bqx878+GsvxBgUczw8F+VZMaJDw+elut+VShUUaGNHvZEg8d0JCs mEDsflwu3RnV1R54TYh1X6KcrP0mcfuaymgSZluHcmHdBC2igp7YORLr0rCugr6bWEZ0fAoIdSGe Kw2AefhXzadqc5LfIPUvbfXTEzvuFWAKFzUzNBNNi63X/gp3XjvySVXYJq5b284LmaF9kHRttTWK C0M1DF6vvbCNe4k/psHE1zei6oV8SY9ft8lFTgB9DkUxYhYpXcFMSObHzNKJgw/s8TQOQPRYyAT3 UEYFNGLhedn0v6bglAKV24F03abm0I4h062F4wKsDOHVkxnHer6zXzv72y9NZs9WZgn1UAvmzUwb H13H0qtMVh8BVi6hkGPqvI6PLNXinwAcAHdsinyQ0NYRGGorW2xyRGBDBm5i5kKguR/XADbF1axD 2Z9TxPhR2U/6owQ2NqhhU6+lcFkMcKo3itDnhkerX91ga/TaBGqkFz9zE/nR7VMPHA5gafWFdSPu AhzwLSdSI0dtU+q55TRzDeNB5E7yNA3fjB9CZzyaTI6wGgw9jNovu9PJO0O814/DG4j3J/w0DCAG 9mdPk8FRFfXSCvYUmERx9DlAffm3v5L7hBJLOXMmKXonB2rgNWx21M6RvFay85iYfqcb+26Zl6Oh OgIZz/SZMMhkgNoHP+glLC/Cmjyrl1a8crJrM8T8kusJ8ATbjMgVqFyY2hk3TT62F1LryDHfd+j8 E0IClYrBfytN7vTieLPSIcL99qAaT7Tj3aceQQ4fmICIQ+oAZvxm9tDhE0WvMvirKMhsB7huFm56 /zlP0hc2Samo4FXVgwdqPa/3tnbAwUogB9ke79CDvXj9pJL1fDxWDL2FMDI2zl8pBFZK9k9sGh10 CjWklrsjVhu8R4RnD9SjDbTcNwkrMouVdyb9oH1ifCePz3wPmQsvmNmjb72CUF0Otn0m98V74O1d uBHO0O0Z2APbCvG3blu4NLgG/vfMNrykSQLXG0cxX0I3yuCOTbVLBPq/ths2gXjDhUx7J0hPuIXp SubwC8Gk/gMXV+FavkZusk8/ssZbK5ocsjrlukh3KHzfqT8ogXMimLAVhNtfA534zKr/0EJCPYHo D5wCGJ0FiFMbaOW2FxxhZyzf+zaC9a3YCcnX6XkCDgiTk28gqlFkby2AoImqr5wYndogNa5Mfzvp z4s0cDdTtXvBIBg41ND8aU4iW6JdZGzZWjduBEDy82sW/eC646kMya4zOeXmi3SsA2t+MzltZwMh vFe5tw14W7u+ak+zbU5MnTZayZi0WrkdtvBGV/ts4uxBhqYnalcRpiyu2W9X3OWbX4oFr0z3almH 7MciRh7t+W/u7+q8w4+bZ3+vMSlnPNI+o2lNky2zZpd+c+ECxkj4Mzehxo+KL7NHC9exDy4gmlZS NeGq90HXW99l+c6axZhdISOsTomCSPxKCU0jf8XwhGPXkifYzWxeWIP4FvpjhMC+1U0vLXKCwdmv pTb+ywedSgQCFRpr64wW1miM3VVviTCPF0a41GTcMDhv9+CFbhAloFXsL57b0snhAJxBTE+d7IfM ksogj6l+SMlJaUrZyGb1iyP5AWODpuhS1Cu6L7LXpjBS8jh1Kt7wtCZ1Y4DaTo870MwK9l5gaOJs xKFcEZSUiVio1DRn+Pro5W1q6MSy13QkvZHqWDF4jP4xJDYXOnH2wzwMPnVJqTXgUUrJ5HbgYp0C hXU58kP8NIkEbdQXDlvu+DIWUL4yCpma5ZWcfDPqwGnztn+XyNaS1tiKzSU2yletjnZZ7gDQi0PE r0zcakjtDXEUYY4QrvuJsBDqGM54h+eWrertyA7AwqTdGU8pfvawf0ZgBj8KGp1nvYCpKXwKeoJ8 umiIsiFpYRkNAE134CJegqMZuP16W9xzh+QY3lo/GvgweS0e6X9eIrs0476iCr8hwsv/qdxqf/9z 0a7jtaACK9T2r446EGjOsMQaylxQd+g9we8R36b/ZzapSxIoeVnBFSI8CjagUyGmgU4cnLOull2z yg/YsTlI/4mj2/xz//3DeVojvCOYAWs3CoB/WNRQHVdAmCwy2V/3oywF96ZcikIuZWUrDa/APZXS vwNrmHZ8kpMas2LxrPAQpTO1P0Ibmkii838Wz+4BdmNJmSCPkQl4rHfdjLXEALMs7oPJfBEy10iM x+BTtvkzCRr3kej41qQBuhdhOtbSACdMHaM4i41pxwKLJ6BqSahTOCArEW1aHAh5DpDd5Cf31rME Wv0BtFW0q5HXMfQDjywQeL4rocDt72RimIcFsRw9lS7DoTAwksDcB14u0ng0SHUxHYUyzlIzRKqR GlZzbtiYPgPM2fXNhET0j9dA8pwdfBgpYprMeytFKtvut5QrOHWOKDOv+tAFiWGCKBi2kVrrEJJI yCFVy7LN3yvyGdLGatOWHA/IoMUL8MiYtmKYFMFY7up959Os/2M1i1qc7BmEzkTcCzY1RTxSJ/JQ oFn7GUAYHBSjGTdeucBBsTZXPZbXQqCVVXGH1ms7TPw/NmdjAgoMQhlI7CQIRAtIiE5QTJFyCr3J nN3H8ziEY7/ImPHfcGVqP9ra21BtqnzSXYsy8+cuC3gVhrS99w5nn8ZszbZwefbPtQKuEx7BJ6kH euRb6VJzuslmiN98gNuTAUYjQI3MEmegzX2McA3Z/ZEDiBSrW3STTHo2I8/84bKIhDBiwyydwCeY 8J/CjPXqM1chX2S7V+AoMGzib9SY/ArSKf9IB0opuKRhEBDUySsiYwksOvtz+XSfEXw9WIkm6pf3 ey5qTu7Ka9CdQa/WGwQa4uuJ0jJKIqkWkeiwmziKh52fnH0FdHPk5aT7c1lsknYjtPeQ/5QHCwOH P5btQjG3mkahROuRtKtHa2S4y6XibbPa23zepYEfis3rtln0DoEyF61iSgAiIgJN2OaGfdpkoB4o lfLqvtFU0Y+BPDGdRIemW9mb8Zge3ZFWlAw0DH9x7hDLjyOcnT6qUJO5QgpIMapob+TdkoESAG9a V9mZiPxG535l4Pg/KFI2bgH4mY9MTaUqpbHj7+ZEhCxLJ1giV4dFVA/D7QjMKedcQ/XXsNqZEMJb dwOhzbeOMFDHZX8MupiPlm2CcBH11cFtG59WZ9OdE2EJVVgqqcBc6/6wbcyNyEmspSuN0UEHCQ8S dnE3joQAW40y0YO3AeBkuaoDCWx4GPLlxLJHKcE4u+0AUdXvNp3sYUgqp+Yob/urlUJ19vA929kt tTGmrP+J4NS4Ion8+TSh6rNFj1vRnLDIEUuKvx0K7nHQubOT54ysklLPgXalEa2Y4k2MJLq+WSNB qhluwmjGUGAOQ+jmQT9W4ceRQ19ivfGWJCqsb/B6ezlRUetGryJ/MZ3RMH0YWkY+72TReZqh+lik DJwibqmK0qxLcy0CxQSscad/1fGBOjTzuBI3T9A8R4adfFx7+ZLCmhXTa9pnQurkD1EdjpL5lyXO ptnAzKCiJ+RXlomZ8W8HHSgmDZrZmvVSXJ5E9d9YZanPJQntCRSqzJdsanKxFXU69e5zzhjZn2dX NXPV4oEpFqeabkLiVcltCtI7CmavBPRVAtzlqebBmjlQd2bDxSRtJPRdNf2bJ/4W+fNXlh9Rs2y9 N4/m+XQjeGmdkmv4drtqPqNvuaTg6As5Ut3GSdauJ2OyrLlqPtcFRnu/IRKwzvtYqPn4PJhkiIUH joxFTyx26Ez5d2t85Dq+KHXG//kfhvV9IM1u44CRrbe4F21k/huI+KPE/uPrvSkp7mya707QNqN8 o+jGv+Y9HP43O8AM7O8ksrdnUfw6mIEkmrVJVni05J+Cp1M/o4xxnc90AQ8e4ZUPVdW7vQfMKqpv KUB5gnLDxsnBiAyjUETK640mTGkGX7rINbALEi4tnRyMOOvBGUicJrFwHEO/S9boXaVCXCSqzqG3 lY972PFx81EOi7GS7o5kELj2qSloE/VF1CQSBfMCK+kFQCmCoYOJ59JdIlmx04MspHQO1045CZM4 eyhyWbICnkoXK71KyWHWiUEcHSWmohL5fyPVxzu0g30eCVMibA/CV1V6EE94fcmiXj2GZAo7Aeqk IEpJeT8vvQlUDG4sDlXw4nLwDmiG6mT4nrwKs/BMEcLkbLKP/ivGJd5hsTQZovtXm+oPk33uNtGT bZqaGKfjWCfNUcY7membRM6Jf+Dnsf7ivwU9Ahsb87HvPU4bucN1Gan/5cDBdpwtH1jYUv9L/4Sl YAbxZ+qDBl42YkixtJHkNrCUN6EgXHbElDfTyuC/d/MJO3VmDVc15G1gNMYZcltLcFNI02gxG7K7 lc8lbLkOk/k4/ZKAoimBWDCnUi822CxovPLMMNUudkD4+Q9S/39eYHtsLhB/ekRWeBAh6jaDtiji iEb8QTS0qdV9aBR4dmfmzNRs291OaDfYRgv725pRGh0M9Ox80aMYFtOxW+VNeZp9rdUsAaBJRoQx ctQF63privdRhc9/O9HY/1kF8Ju9PiMeVQh/ftNA64Y7bry/pV28s0hnUbt9C5WKWuHWgDQiNdEW Dpd9FTOtItmGmcaBOTrd4nDYp00GNQ7FnT7ejsJvS01GIksjPohPF789zF53JDNWhM58RkxmE6wt ly9jzrKPpmKD+gZxeaPcEwvTHDvj2TvNlTis8m7DPkuyT9HTRE81qIR+byjgEDfihxhqimNVFXR7 g/iO7oWIA6T/zXYrpnKVdqMYFm8uvQnwR3hJ8/E0lWaTIOfSOCtdSyAb++xIpXNmfPcoIrbX34hG qwkm4JhJoeVwaJOxSbKCDbJbTGNC3NBfQtMz6YuhxUExuO1Eb+U9VjPiAwEGGr+p7rwsDorXMsFC hSEqCcRa4LiDsykSFFdCReNtNnbY7dMQtowYRqW/UQVQAj9pb3cUpvZJa+1l2nor9gKs3wdvwUfY W/UsiDksKYxUtKbRYgjUSIk/V0DGvPZ52tjPPzyWwHhP11nAN5gk0mfX4KcC8biXE1ggkr2Tqsgb JdPt2u5APUePy4/jFv6rzjKXImifvnJ3W7BAVawS/I3KmVbMzNHXciG5D4lRehLTkNJFoKv6C9Fk BTn+W2j0eCZaxBxMNEKJuJM+39DiViMBP6b9G2IUoIotYi/w1xlBFuhugMNKK+JceYaxRksKWWTc hi9fcRApNQF9doB00vQulck9fRTG7HGdNOHV17WBhX+K0Wy6lh+D1MFkqeIzmJv247MlQbRIB+WY KiSKUMakWXsTXoFBGN8lqo7iwPTY7Lp4Jkas/YhyGJvGoXsGq2LxKj+WLnaisnvVbwclRBt++5Ea uZ9rvE6jWsxyaDXSc5kw5Id2CFo60/DuL06EvQiDVp0gelhprB36wf04diLtaGqo+XYYluvnzmWq NMP7gvEgFnRpneuE3TJxiKSJeS9DIekA/YTEXdV+lwA9VmJg3vxquDQu7QXVMyL3Y9NkmJ1gGsh1 MQZoKLJf4JGB6xqF2wsOqYPbX9Yk3ao7LNlOgbiy1NxMvmBydI7nPDDc5FBVICxIEuR4oPyOiaOh 3Zgho30R/eyuOb4Ef762J8FHvO7efJGbHs/a1+UipXR1v2tVU3RdI0qknUI5femyos4nWF0G10/I 2WFr4kdRCFBsa4mL0mqh+3yWd6Vob36yOtFCRrDrLDY2XUhK5FByrGuh8XLrRxGleWMmogM4BzCn whFe6V6PZST/L5YjLkn2zQ0Q6LMvtVJ6fEoyPnMyM4R9YfYIfH7zIk0sVoMVp/rcCJr4789q9C6y 50A4sfiJzFoGF5ll5NtbWAj66YCnWllUbSc/CZcHJE68je2U3PazxpmaNHHc+sj+7zjrwc9Lg6IJ uxp6RhHAyLUYSqZkA8HXUjq2y/68wSmzs71LycxDQLyjuuAgtWESFFTmtci7CO6/1y9JkK0vFtTe EuZk4ks0flBSEM66C8hNMTFh3nGn1EN/nvguFevl3CfNBB3WNBc3vX38pbV/Sxt0vkJEc9Tk+KPL bCf0A9YzxHWQLxcSLa88EmiNX78v33hPzWAeLI5v9TZAsfpMHrj6NJv9OY0uEN8yBaVI/0LWOzB6 rzx0IFiLWuIg9LFZA6y2djjYadm/OPQDpShqXE89sgFv5D9vSKr1Mo6O6T+9mT0A4xJJAX192pOt N0gBPBGytK0jlsFFgS8K+8eFzE5r6j3pYYl6VT+uI3wLwe9d4tsy6VTkThAtMLmQxqSvggqE1NJV 0cp+56P/JJOZi7cpHtuKimNTfRTTVCESNahbLAYhpjqWKgwDZhz1C4yRyPS47WuhLJfLyXHBNmlD PiaMssmgaNkPYbqjlDJkkNiUE6Bj2z7BBaG3/eotCJsp8gjE/YQ8V9HdJN4DunAULSP1SEviFKO+ J1N5sdam7vmNCmV/rx1YIRTpFBXks+XyjwdduchIDnDUE5OI04nJotHTlulXsLoyj/Sqcbs290zr sUcHIi2jCTl87e0W/7QafvvXyWAah2JGWOZoK54VL8bqSwO8YnhJhnzRfnWWpOfyznkKDPdmghyE PG4IVYax9Jfw3f7vJxEpvHXa3BRty0nJMycWTTWclPOx6D14OboPv9bW3n29uNaGCTl59R3VLyCM 7FILteXQ6bXWIn1/3mI8+uO7fhxnKzF9NCHklEcgzFj9lj8sPzFlmXvfVNOFRe7DrV6yu+WeDZCE jETPY6Pn7UrGfPFKK1LXIEUKzfbb33B5wD7M0OXqK0Ws6aFWN5wPJXCb9rZtSovVrTEyUMOhsJ9P Iwfv55wDGIQ2CbmL3vk9AXJB77ZLcDzIBJJtFMxeiiWMRe0SpMIfkTLR/0OSz0yeM4E7H3nd1Imd TWdcLGxo2a2t36WhHtu7xwtD61rw+qLVM24aowNL9AkutAE76l99NWfnZ7BIn+CHLTvzxb4oHlJx R5UUwgaojx6YP7kePxUOELx5LF5djyi6Nxs2Ga3hTu2Yr1zBq6kr4uxw1XGeBS+inkcLUtPWDc2H O1gLtgS0nsguBcqIC4O7jrg1aQgeIdcBnRgfgyd8w9fGxzRAlq8/BUPppWWqPm3fBNjp6XSlFXOv VvW9azUcfcg0VLV0ucp+lnKbOVsiLxKMH88yZbvJRS93FM0eyy8LDcCIHhYHlkzKgv7j0+Z4Ykln lpmigrK4yBNnY9/AZzVL449OJDWLs9/mZBbLnDn28iRdvcETKpjq61B3GI0y9hD9w8M78/IH5YZR 5g1SdGYq9KBrNGQAP1Bu5nAzU86yaj3h4GK8VQxPXAN3pslV/8pCZZtZonsU3mQF5oC68A7lBiN5 igJJCNKvCc9vwSfmnRq2nMMFvs/VnmgTHsNndXmCykeYBK//Z29M7nGDoGe5agyOg2uta1/uMUoM zpXufOpDrgBFff/W7IMq4dO5sgiy/oNvfmAByj/ZUH5abmMBLVPyeGW8hR9XBQ6YWGwuSqLNmpWq YzzqW9+RtpIOwDTEFYcPgKBntkBrTiSXzNHTR5dVT5bKRaq8cWw7XH3g8g7lvF+OYaqhugE6XNzb OuBlt94MtIGCW2qKzvkJKd/3h+toBDNiyo+kupqqCIZGghTECI/h2BceWq0sjuxoyqxmWWSvAXZF i8atHF1nrNawEL1hcpEfm5XWs0dCLCaXTk/0Z6GdIoHt91YCH7dwFbV7ogr+CwpLfaPVNgwzME2t w8TJ0AqvkFGKPt6v+Bv7k8rh0A8vRF9vZxBD8xapV1dAOFbWws5J/fE6DuSjzCiXH/EXKl4XMGhp Fc6Lo8DVwMX4ZSmTqKj7Utt0A+d/QlRkj+pQyoFPrX8e0gcdUNG50CQXdyNZHxQaWyIdvlM6eWD5 85YcDe7YhWieFBaU959qVnWiIQ8yr1IxFGiJVosme49y1OUtFoLdIuZ9/bbU1M2AYOtTOmWvydL+ eowYQE7lNv+kmEBj13MKFXT6RGFNnoYjF7t8ojEHvScSBadf5S1v6yXXIdTjOjDQwtslHN/ywb4Y hJ1nHAlhn/X/m3tGlDVeyOGfBdaWAdWwPZD90uROg9rZsA1uN37QCYhQJKVWAp5vcyGoJDcWiL6V wlm9cJ6OgMqOY5h9OrQqEW3V7EmWs3gb2/dccvUSWdbIVWxMw/FH6+ES2T1MZiJx61i5So7eoaBd Sm9PAIHbX4B4oL6GvizLBlTYT0ViflpMASAgsqnA4jysgMIMadN75URvJNkwsStPuU9tbMGgvwIs nqwFDFyIrJ+tHTnVFLpLNtHmEZsPIAJX1+OWvsCbJ1ngxbTdBkx2H514hFdH98oxzhB+3HavvrdD 7ZtFdoz9fxCaZPtehHVDuWoFfVVCoUlX9QTMyxwVv4ThkqEIw8VWyZiGL5oZ1sFSYdTMirVMXnAB atNB58ZjZXGYt19qfyxXBzpWmLBdUy54aVQw7t0FJK3z9Kt+9io6Wj0q0YtTGSNtbidkiDsJC/vu JkbLcOsI9sGbEB+uZo3kncWPMHD2On6rfA78HGej1TXUyON2NfK2k3GRnBHPfrpxXjxCozT3nhtH t9gC2OeAcbG25Z7bXGvPR2XPuV8PNHaalUFM2O2GNHHi2UAhsRY53H7tl/e4lhACVephTyQochKe KD74XQpJqyfxFRXeAhoxyVR+zzsWtQGr2pWtAHcfv9HgcKLPrMKOI8wXSlV6o2bLhjGKH1uzf9Kp eD15niOV+z10+P3tkd4EJR4YWewL384mVt/w+tBKiiTIwdsZmNyPgrMow6UvHxALlFSIUwNiIA41 lMp94Y+TxlUvvGiGhoizvE7HRQJez2xzh4KRrZVzOdx5mUpCfscVslOyiGyRK7Lrpbmlhe6lo4Yu c6hBRIpR20kTWIKCcbmXD9qgW05kpeVrNhWeLhc4z8xVZQjUW4Ndsz3bfvOaBNUR/D4rBlYc1jXT 9CBJDtGohm5qOysLFABkGz46RXmI5hgxSY2cKb0mZT6YNpjsbKf8e3+tlgCL5kx4UEkjaMCSePkR FC8bbs1b9YJMr+A5rk2UsVNLNAu/nU5n7cz8NxJe/2oAbZh5j48STGAdG63dia6uHhlPUddEuHyV cR6ncGQiKgnxKcLlgCohYRn+WCnK1CvpR4f1nZ46tIEYHQPrDJ38rekFnJ1W/5cf/lR7JOvRS36i v/BJaoorDmQbWRaKRxI3+vKHBM0piOzhlS8QyhVn4I95shZhO+0BST1g8gKWaS4Z/nelgUuxx+U5 t3pEZYfHOqbFwmuhMxdQRIBIRkVBIOTDJakqVOIk0XqeqPX8BNBuobPH1GQBJQPprEmPx8u+/1iU tHnY0q9VuJaf2zxqifqaNFvbWG972JKp7t+nlMpXyUZ1UAWRDTrwA1mM9QxeiwfJMGmPe4DpruHG q74JLYErK4TaXpHKwn+g7JtJujrCLuxAASbHbqdvh9iykjLQJbAt4HVaKt0sw+gShkYImu/ZV500 sEIeyhKSTzvFMWjqtENCraOqnWZ7pMTWHfFEDB15/1qrKJ0X6teMSGKJBtfhKCTw9g7R0MaUnliw luZzIP1zVTxSxgLvOe+hUkTi1YTvQDf060NMN7ueBQNhIGcuAa/HpIlFVT/yXJIYJFVeWFoOh5Vh 0Na7QaJFPvqKT8UP5fQghCP9EaWabETTplxeWfLRGDvTReB5QCkBe0TtbvG1m8Ss0EUrcoFH6aGT upJd+KWt2LhmLYhWWWFSdidELBOLTUfsIWhdk2nkfzlmys2TfjaqgTUSEALBB7ilNgYw5n4QDFnv cEHjTcVZ7Kbrevfe7qzir58rksURh464aQ8zRcLNgAh8hnbQUR3GBp3pH1PmeTZ8yjT+XhWQEIAQ +vCdhSEtfxUGfs5A2WQdXpPDAtjiOU5esS8PJdNzndX5MS9mR2d2v4Gb6XwhRNfiCwk9MVsZtDrm b9jyLOkWR6k1IJSxaue0ESBK932WCqkzsn+DJPkFTeq/rEAuWTfglK08LFOjWD2A7PE1cKR9V7Gs GWLAPiwHCHB79ZSNtjqNXcZ/T3TaePIPD/4IAdBPkFfAFV+xsDLbcJo5+eC9mycsBhkz4FN0Qbkp H4aNfV8Q6Gckwg2cjaItWd7HIYoQFL8IgraTS9ZFajltyM3vZ4R7FQ6osV6QzI4hJZDFHdGCyz8S uzZotLAx+7APz8ePdEU2ssKI1FoUrXYhkRUu8Ko/OD9szT703OJKYyMI2Ught58mYIk8WTnWu9c6 nQLSBj3oI50YyM2yP7gDe3Cxjk/12gRkV/G8X0BYQZoxoX2sFz63XO3yXgmcUDD9mmDvJVuKtwDE 4HvNceiQQU2MYqIx6HRrkmGviKW69ZF4oZh/x0zSzUTNdSzKbaHjQpM3RmbiXSu7vYrMGaTLV4zF chj0NF/CGbQg/j4RTVj9aSAiRJZmxs3BPzzs5Uhtqa++ETO81n9mPPqWlI+nriFW7mxWOlsGr4zj 98ljviVNYXdAjHcYHPRs/NI8MHDXE2TflDcywxf6kJ1m+NtqotL5h3dInOXvTVJ2jsqyEq0HooQ6 WS24lUfLq1TRMjRR8jKmLxQO+iAtnQjDZt0mCI3swJl56I/Tb5EdqowFFA6OHr/NVMjbh1GPeG0P 2ik7h8iI27ivfntTXzcJSEF95B22v8fY/uHV7ilFVkbYyiglCLaojJNtZAKkRMWAAKIV1wt5pn09 1Hj9evg8cBCviCOuJz11FVJWxPBsnll1mukfsWeteCbXF+g+4h0ShsWVGX4yiH203R8hW49YzRXk J7jdL2j1NESJBPjjXzF2tQU2Z93NAoHomnEgEml8br02M94Av6bDegdTwbnpHDyFOUQ7b1dCrjpS GK1bqusb7z/4OROLrD1JC0Y08Bdy7CuC1h499wg5YvI222ZiNPjA0OrxmXm4Y+VjJLnGYsru58m/ uflqNQrDiJJieOWEk/Y8nLX2tf1gKQ8Kj5e5lZztOaYn7zbNETJqZeZeQer0Z6MkhhllOtb+2NGj ZqRpdG17VpPcv5N7p0kkzPPIWRC+MuScpGOi0s6CZZWkji7rMSlObQJi/VvEElSR3OGjICTKoWDR vHidrlp7Nv6Gz+G2FgdR5GBOUp59R03HdrG7kAmeDpUgN/FqyHwdFuhWUofYvewxEppNitjVQEPW sGXbQIuWdfhDT907twBfpvqZ+egHA4DCViHPjEs5B0XRP+WVKxvAysea/sVKFNL33fn/gEPkKXxZ 3Tfm4ENJSp8cV2DaKfrhKhQUovK4AmX5EtxkdlnHuvcf112bHqn8FNk/8FIyKtAGi+O9ZFmKx55b 7GGpHZYazpKd0sadh8kUGleUUPldF7bWozdavqNmLKmdV1X1yM55q7umHVN/2nplvAF/mODN24lf YQ3QHJl8wR3Fvv1YyZMTvFpBPUOq44ZKEQPEdRPB/WZ6k72eWSzU3+DzGGQPYKrbv4azVLLgWX0M hSy1mtwLkgm9wQkz4cze4cK1cbXGhI7qk/D5Is2uZ1rgdcbiiAlOnTI9C6YcrWlMnXvGIMz8wOfx W3gFGu4xaQkogprcj1BkAwF3/TERYrc/hk8eNh4lg+u7yWp+1tIJL6y7tHvDxi9LBZFnpw5ZqmuI 52BVj7gft0fOUYNy0cm/hBTkOj4v2n5WheI5nmf92bTi3o6hGKPm+Yjp/Pkve6LSCTBEUbW99grm Wzo8DL+pXAbPQ37Mw/AP3KtPqKcMURAprnDDTMexHa79pnK+Rrf25VfMymSWKzt89gA4CIMrgQTi geGHrtsmzRXyDbWgIwqf2PQC6GA/FpEAk3leWzMBhrpmQA5hUUtnfRGC3fqdsDA/wCIphbFsFssP G6iGibL29PXJLxhDVL/2BK5zW9rqg08ocAhPLqq2joVTRFn5xnPHJ6L5oMkAIT4vuv+ZcZLGGsXE m23S2tNkduCg4ykfQU9Fa46RnBJB/uF7nrQTEnFNPNcN237l3YPb775lyjROBdB+MpO7D3vNaUU4 qWn8nsQJS61IBHX5QRABRjPZHMRsoGBRdqW3Sjm2FMWr7VEs8F4vGQ9Q9gCIjbMA3ZLRaQwdHJR3 +eSk6sbpCoOWIFe+yTPRoNp0L0myUDgMFQ+pRdp4KyqIpPOhcbXcvKOGt/andxfk15LY7FHRpiE3 7R2HPfF2GsCYLRyjPPptCn58LyY/zjnfdGtjxv21J4I2zXs03U3qiACZgln8c2FH8J7OLOHQHaNg 1KC7jAZHA3nVeXPOkT2IyZy8JdWBbeK5s/uGg5Izzd3q2V2/wYWwt+NrKN3TtVdSsANTugCzv4M4 RM5rH9vdcc+gtdSnwvFr0rlmj3PkGS3Cm7I2VRN++1x5qy85LXsdL1wuQZJJXfJxC92O5wCSK8xn paNlVvY6ua7tyBuE7NXqSx1qMUDH+2o6O8LsILM86pCPdto1/JYpXNylfeuItYRiGPR8mOLMC3dI MboAd3sRS4Eei4YyI+20DiK4G+8vqW9DnT+VShtlfOWAdldxKfjbPbFqQ4M8wYK6eYiVDRHwY4eD vrHfaqJXEVoK6wy+/0iQWPyzbUTFEpdaRojRk9GhcKQXWCQEGaaDsXL1KwchPk6Uz7cm+4Sz4t8S gWyWuGEBPUAUdVs8MAk6yvrNsPEmzQRkT7GSFSiG/cDDI/TpMEJU+rM4yWvytMoISkMPcVYWVZqy rngXjf/Gftv6oxHZlueecvwSJixRroq6Zq1yE0REBIhMIL6OGaW902hDeV1OzncQSHihAr+gg/gW 5PFsI1Sjb+qqMNoAWS6Y63zTWQrf9vDzFbLYsaueDlkeBJpKxAP5exPygr0ayp+yuMzZL5TGY3fL tJqsK2ACLfB2h1PN6AppAnrh+OZrklXwlyoMqvSmQCrJj0WyDz9pMTv204/fAaKPTuIn/r/lBDNV yMi4A0KSXWUkYyfprP8rkYZovjJxwRSGl1bQJmaBuWvbPFS68XrpisrPPFmZsNDR3vtgjhlL0qfk jEnSfxfCDmHA2tCgvUPIchctqZf2N3pyXQCFoiZUpnmAtEihwnQ/ysR8YmEwMcpEQ7TODjCBagsD vzzqj6BtMioe2DUspWlcinepeROrJKmxihJ7lnfm7eQ6vDzAyV5uOi0h43Ie8xGhQvdKCWdmT7KY Q7YlUpjN7UNe6iEVfei78u+AuYQjJrQQEsA6VJS24yjUUf1SUBd+HBLnDF/pczzXIJpHhlJ32Y9I 9+f3rmzYxfXIBVYtDgvVvg1vu/eAwhSmXqgH04L0RqAuI1RwLI+HS0Emq8+gsepunEVERsXyj8eB faIpwhLzFqSvU9YxnW3y3cICW5ExqO3Ctn3trZwWtz1ibbqUIrwVOi59aKL7J+C6CCt6dhOQm4Tg VBElzlE8G81LzBqoa9CEmTAC7hUsO9BZN9PHhanSovbVqznfb2M7cIItBpt0TEFTHkEymaYW4Ftz IcPCanvWxdnodGuSMlee0CDEVl4T3qjkbEuj6F3PmNqcMXfDeOTTLOL1QbNZW6n3ocHpKWp924qu dHCktWokYCKapcSaV57krWGEJou4cJcjNZLl/lFN/CleaRwFCvFY8CRKwmhhYGZ4far1p46zyxCk s3D4ef6u83scuWtlDtngps5pDG5QpvZyAFTdOJ63OnAqWj25gH25rjdQZP73jP5ySyWvfUbLc0TM meiNDW+E/PAVjk4/peHrDaCw3+ggbHpmFuIgtncYLaeTPlA93ufFrtEuXonsskMt5Y7ITXnbz1LT ZH81j7wyF31x8CmDNiZQ4c5AMu4GvWjk9R7TRkrZCTjIO98w11+x7S9frmMKHYZxTnfrs7unWr5d xblE3JsqNj00fsmX6FCnag/ERB5SQlsC3ANj5d9n+emfSUsmkgyzMgOEY0nAMgUdqByZhACGfjc3 Fp0J0jW3fEK9iWOUA/f6JMFfIzbNf/I7B9c+GU8lqdCAizlTduJlUgXgDoiBxiv2XQpLu+AzjH3+ iNtnsJj75LFRgiUCrwW0IigWMh3+PdqnLaIsppswJeM993y1pBJKzJOFtOBW+wpK562AL6XIGhye onqt81BI4PsXM6ZzO4tOCee3O8VbbFqlhzVF+T7VVl96gu3L+rQLJtLKcYws7mx383Rz0t3Xvudc oTgsiCTn2CuN575+aF9aD/8s9dvD1skoYIYOo5JM0mpikU+Rir+dy8BhRz85x3aOVDT5ARObI9E5 RhT2hoYHrYmoKn109IOk3H5qX5oVaIIKsXy2xVXSHpNcP6hQM/vw0GdcGNkNo8vonnwcJ86TeDZU IQWOYEVmLTpZ6zoFpGWi4vay5ajOvil1jiaoOuXprSkb8NNrOseVZUgm519wlKb6QdGNfaLKj1xH /EwMqELvr/lLuhwdSHSIsL48zl6APtLhTOa07ZFxldkTc6L/vvF38V4PKoQjfv2oTooA1hOOZVYE F7lHFd/xmx5VhQAanlJAexyGk1wnfFDo2vFEHINTeI+RRaQvt+1d6QUnJcQ5U6QwoRhhdLGI6fSg D9haYwkY1BdH7roHPv/UwhbskAkJV22C88yrA0XYx//gSf/W87GLLRicrcsjYUmjHqNLzdiO9KRC vHaDZB7grkVYrt2MfAQ11IKlO3DYb+UeNaQYHDR9EOMli3KYE1ErvpIuw/wo//rAS/s3GSmYPFrN 7eV/dHcBbtgoFxekVZrCokx6Up7g2MzrW5bKZtB7RdEatu7UqYSuSG9BSyJ8RycqmAREThBrtEJ7 a/yMkZE80sRqat40/10Jrf7HtC+cfCDlix9LD4bFpsOMNR2RXTnlpS0o4Y9yt+b8bqVsXsF+nLZf SmXcwOx7Qz2G5Tz0l3xeAJQdSaZxidDvs4c+BqYiaYbOBaUAMjDnMlTMKyn7UAwOPfZL86mRJPgJ Bf3X280pZSwHTW9M7atk/+xuL03NBCPFmKmAQbPZYMAlRUablLQNCbEHuicK7C5vUNU4IqHn+flO pRNDI7eXt64BP67ZqrZMJuyXT9mu6utAt8M+4Iu5Qp9uE+HQIDTgQ1IwPT8cUgfR+7HkWzkzvd4w gfEeZsWJt0BXp7ibBar+FG2YK72/4nDwMVnwUI/ic11LPeaWNOTLfcIFwvoXd95sFWBPrAWhaxzT dcdCwngh/wP/EFxoTvssBxgqbtWE+AKLpEt4G74yVLqwZrFP9j66I32bJcv3eS3RCfru6iQbHArc 65gFF7mDxeFdgtIoZ7yE8sqCLypfDxV0o94Mhr99LujflBQo+XD28qZ54L3km9xe8wstCJdp6N4L fUW1YHUNYr9ZJxz/2M4x0e395/YSyOVA1HLax5wH5AzYY2zZZOFCvFoVBAl/mUB89XI3r0d77NAP SWQFLIL+I5HaHvVG80hQ82rLKjVzzwa1SUEeNA8oYK0OykEnFlv5oEb87Q3RtW7VcwnQ20j1/Xa/ JdzcyuZAWggP0rQz7E3BvyK1iO5R5L4MuwFCssSzXmpL9fPp9gGT+53RqtS3aCD9ji8sben1DomK Fi+xB57CHZFOnOqSWwG6iaV/96XVhY0Y1URScK8fWYUBcYL8OM/iwX9Yfti2PnWro0stNtgHD0PA +NkVPOBJrQBY6/wt8j4IsKOcAB0va6t3eNI1fdSV+/YPIuQiBoqdPHBU/f8ztmjukQ456SVzmvbH j8w6i5jS56kluEg0H7FX0ObeDjVYZGff7p7BMG2dg2lugVv9QgwCd84hzacrWq9LHDM10YIMktyh rAfMk3mw1LNPrNZHvSvjhkEa7CI2JZzxK7q+AT9wG3961LIvx6MDwc8S9StKzn7n1B30ZIUlIpRj KGO7RGUWj0EqiVxjkVIMWTSNDhUUF6eMqAUp5ZuyaZQga+PKGh7QPlg+XWwVyJ/mhmdNK1lQu96B HDRGC6wNCaKs95/85njSqgJwf4C66SmOL/t1b0iy2gB+15+KKkrloTvNoWT2AY7qJLqMAPQk6yuz uN0CxUxFtrrBSzv4qUOq/YUVoXl8NOBGdrtl9DUhTQKAIdjlMwuYrqjmfBjAzg0lPqF1eEvco9fu S/0eGakxBGZ3xLNjUpcWPi2J0e9lgg3O1pJkZRHVS2+L6ea+ozg8YCNmXf95aNS7ZxPimoFOH5JQ Zxby8rRyzsWBsR70urLyvLtdhk4n1QvEMmIqfXHxeyO9k0ANChndzlSsDSaJ4kXz4yl9I2CkrcVE YZOWE7d1xsYTVoaru0sDVy2BwZSehtMZKrsfDCP71N1vt4AmRCi3WBD/h1QCb8G5k6pPwHEIdNQd zlvAryo3ej0p0rBolMrTH2xy4sqC4HrJkR86XLtmi2UeZjN1dP6OmE4H8UT0LCXW70sSoEMB4jkK 4ztRc6tqSl5Umks3ApPWXoSVxYWCh4R4tZKA5CkXa2TQWX4Wx1KRrvPOYRIutMTlJm/52++npmpP QtGsTvnhoKqGf5kgGfJTFj8PJpghDvmPaR0N5VqkOfN8krIFs8Zh4x4NSkLs6JQc2mgZMx+nWyvj WgmNj8756NSrE3uf7+qDM8OUfqpUY40iYx+RdHZfJ755qle+1o5IZXMgbtLdhcF1pGs8JW3iUluL EZLVRRcJ9//qGKWdwLNC/QhUwQZpgkmV9keo8YVpZJbH+IYYL4ZGNbt/gdx0rjLVFvNGfvZ3ACtU jzRTELnNw1+BT1zkj0G/vypVL7/o8hUqTO+vHrXkR7qxcNb/ReMa1E3jXK3MI4VUY00st3ukoAnH XRrecIotqUbGXWQod2f1wzFVzTidB+H4DYhjGgqAJLgTBUZZ0HYUGCDo+T/KF3SfrFezBqaWT0dt J/hbZXLSe7THCW2hN/dgf8JtfxJdOOxtnmyBpLz2X2M9NBXMnXZbzAEjJxw2apJi2FtkBgPWhLxp 5IE1zAOsWM9GMk5RR+aD9JOMsvV/sXCzL9fO8l854rKpIqIwFhyNvv5PxbQA90CJvDtHecsrZ43S ghU/dryJd1+c1A+IHJNyax7IjODihF+MyL9cYSq7zcRopdGXezV0xL6nikKH9PEWJz9KvPyPonsY b+93e9//oCCi+/eafCmA72jxA1fgBNc/8lglp25raUn+Ko074Xbw5nX1UFENHYLIPj8F5eFp4Gxy 2ZmM+Kt9ys424V7XXuaGN+HiZWD4GYQmN2UxsTO0kKPNp5zG+WvoXMGr38qK2ROf7e1nJfjOVmCt Te1seEQVpYoDJfjZq6Vx+uDI0ParwpmrZNeUCN8yxrjLb1ViG4RbxLlcuWvg22aCgPtYxxvWUkCO WJTcjYVwkfLbxXdqBgzO73SEYPJzWQWni/yxWDUtEYA71vmIegW09JfegNFgXdc1yzJeGcNj7Vn8 sVz5HNkl1cpwYBBdWKbv6AQUUkDYEWNL1J4gMScierspRJDwfuIeA4a7RYLiobdVp9PF45Tp3LNW pV0s1D7v/mVzfadtBe/vvEe0dRkglzXFSTrbsMNK6G56Ef+0ARZ/n+9/CYJ7mHd3JkoAsrGBm1wE zKnxcVV4ag+pEl2f9GwautTb2sg1X/kZ5lq/YN0L1iKHoxh41RY1ak2H+4F9LdCk1jeXPcBSmuQL eqiiX2CoF2fgEQJmz2EGm8lFRaoAU6ZZMajcpNenyvJdt43yttv067RFMt3tL5/gcrmq69n4ZoDX 4dSgPQV/5njL0BDQnM5boqH2NMlfTZzRr2lUrPsSZfYNDcTtUjfxqeDKQ4jKdzqs+I/ANI8bAWoG 9mTXqqDtCdCJCgjgaijGJfiQ7YLT2yvf0GK2+5Vi7J0a9aMwkbxzdmt+cKJVSXP1H4CEzBZHXx+R XieYFG9A7EwHWib2r+wzvgHt3umC5kf56qdXCG9BoZJuCyiCll9a6WmKcPZcS8Tdng/7Tt2YfjZz ET2xd2Qog5ENa16fK33rPQKGOT+S4lW/ThezKAbpgtx5QkMVHNVQ8TdMGTlq3qoPSzrRASjnVgDU N0gMTFQaSmB6xORIOGRxcs7RpZCH1iTkyquYnLmfCzYijI6ryQw5IGqKHo4YR/DasbWQYKUCNSS2 B3uRK74ZoCoYxL+r1UXKbwW4AW5KlVHMelby2BsPjkKOQNuxOBfrwIIlRmWkMtJ26zg6nizxlMEp SziUVCjcgEojVG4yvoUxxoIpkHjuyuOEZcBx1KMn1m1CVFWxNtC7X+Uef3O8/Z3bvxr+bq86w167 Q6T1i4Jf9kBCAB3E8b4Kg/A0DqRh3DbFX6Ez2vdn8eh4p6Ucs3u0phBQY3HZcdwrFw2m1qpcqam/ q/rJyN5oQ4WPKIj6o1hukDkwjUD3XJRSZQurqWKGsxX0QVhPHstxJz2ZO5xzllV4yUG3KNkhCA1z 5j/QzgWjDyq8F7qJI9kxzADZ+jkW39FMOz+SkEymkVm16752fI4B90axGXtU4YnKgbh924NXQgaY nlWqwQhBC/qEVFPMFYm46F3IAFHF6hLiy6T3qyck3ucZMSq4jqazN7S+8gkTVX9+oWT3Hsqg90fu 8LfUwFYvLYkTwNjBSbkmHBlyh+q8RSlQGulqZiERTwB/1Kl3Cf7GRkssVmWvttAMIYLO6KXlqFPs A56FUYWPSOBzab2OyaFHdpjp1JDlwhLFoE3OWKbZg/sQxA9R84zcfOHpPLoL/cboJj3CqRCo8QUB BtquaE8+wBL2UO6zhoQLTrsi8Y1xet/dc9bYTTYyPepm9JsbUiDpk1PP7wyxIKZVQKdikkXj3Vdi tAurXJbOyVcZCijmBh3ZkEJADKvfzLpNjfrAFYM0P9hRDERgh+37Hbm/gEnL+H4BSW/0bjIB/Ou+ 4j4boonHn83MBX4j5OnfZHIX7Rfk9/GdATHgkqfyWcsSM0bwqSvEpvXue7EoHzRxM7E6Pgow6/mF Y50S/BSrAKL0TgsqMFu++ObvEBP9JqzCZbbi1Nzmjr7K1Q0pmMzdcnDu5mPiBimS3d2FtKaiI2Z3 /p9GeEj4FYiWfXnNoeXAdPqi601ykBkZBCz+puGDKN1L0jLRI7VbOf0+6FcY3j+/onVc+KNHnzr1 qfNt4IaB067QSVyo8dk4yK1LMYF/nKb4aHo2Eypz4acQ5Q7e/emCiyMBDieIt+DSOJXy7hyOGxNE n9W777hgSrSYWjcUzSAs+EkrQZJtQSHEjh6/e9rplHlkUD96XqQToXGDUrS3kxEdziA7x8JhwEF8 eTHSamTU8QbzU3DyOBuuNDhp3XWxi6IEA6Gz7nd2gzAj4vDWJWK4jFWyWpOLT0ppG+zKNi5QbPXL /wNmmxrQ1GqX+nRlAAaUkcvNzeG/yDTxiMbBq45w0DPAeGPNc0h6JgMtPKx101gn8QLQhiajIyxV d0+5D3ymc2NmlO7cqewwaHi29o9qMEOWjnXSxJvz3CMIZut6uVjko3eyOpHhNziDhtQ6CMWrX6EE HhGlNr/lu1Z879j08tJMLtDzDK286oM4Ubog9EAcYSSFfbZy6ZaZ2uUbKK9Wm4DSSKpo8aQMqTQT sU8Da04EyUbU7DSbpKNyWMoDPc2rDCD8g7NgxF8/z6myZojimIPhgr92YuWtWrpngMNilu9qqkTg huBG7fdqQj7Pjw9Bo/BOOvOP15ZWfLnsRYoqF6RrxU5VNrpFcmJKQOnHoqKCKZ80Ji0rvXeUdKg4 FQfH1POpAzETtpWl1c92s+Jw8YkVomy+W8+c3I3hV3rytMvoyICvBlGLC49nGsCGySuLPAYcRMcV uHEATi14CVWtGCkyEptIRIFU0kc0sJGopBz6w0X7Brjn8OU/PSRVIErWch1TmfZ/Vwi9e1HqDi8c tjtNmz4h4yKN7sdMoOQ9v2Tq0iz43F9Zg+2PraeS57VLx68pryur7ElfEo3oAmOY3j5AnU/P/AHe krfDxY4Qi6JM8yCpBIYVd2lT+kl/BW8YKuGJ40vU4x2Wy4bm9xXs/gUO/xLZ1Yf0XbUZE2PiyXQm 3sno2oENo0UbH5tjfWzDqRiSHVERA3wQWplGUK+mmAQmwP6nRv3/gEF9RP8YwiQHdsOq+Eug1dXd vx6AdoT4NEB9q6eBKvN0NkuTF/JQ7QjWd/5If99qZGxvLrrETgYBBYcBI29hzd20V/PNvoAaPy2C NaFcdJBCA2qmeABxkkMD5xcr7p1zvUfjmR+MJXE+wyvWRS4etJQPbZHpLqwdrhOK3jQgZIMWjVuh TTV8gXWOl1Wciok587ru8/MjTb1gZtNudKWU1ZhnBD9rkh9GW9uox0ncyJue2hujMSecKstwlF6M ehhZcviV4yq+bvMSOYRk/83msSTsjFxbQXvOyLDJA1wg2V7K3zNViqPEgX57YHAp7cIsZv6K3M/7 zyJ9SAuvYIltzBVVpeGcAiPg68LRedBhv0t9aCKPngYkmmXbShA63YJRkBgGPPH5jZV7fc2MDqXC CR/PsX5Sji04dFBqcVT/2wS4d11bIw85Tvwse92U7mmVSj9RimaBEJBQwxu36yDFdU+BM7oo8/Re vmS9NzRWramaxLWC4+b+pQf6ftLIX5L6iTc5AiPmAGR5fjOngPPa+VouBpqd+XQ6i8LErtOQaKZC bwnjDsMJQqXp2npScy4A3lGVpH9CQQNUm2Osw3NLg4izhr0e+ORvPOxnELScznwOe6eI+lB5SW2t imAEvkzGE1KOdLKnarsN0bIQZgFRhGnf1I94GOnhg2iiy2hx2FhCMLnXwdZl07cvMZ/3fcvMrwOW A4JiJMc8T49WOZXQGQzT0fnReD9JYrzYCSB/ds8Xt/jLy6MqLxZ/FmAXtsPsU5UJYvEDgD5CR96x Qqypq52nghFarLKO84VfH5hkEQIwopd+KX6NQqqOW6rBcv0Ak1FNQXQzX+8x4nrZTQf+/HtTFePg V7z0roiRUUTISwA1PPUwSUxH+lCHruS7MzyG+EEKCA9LnwrmfV29U2iFhBiIs7oaN4LNGZT6W1Gn LI8LLnwmqyemZ86SKWXLd3urdR+ZiSqJ4HxnPPTbxiAngDIEYNNhuwPgXktyufGPn5r2rIkMl6Hr Ze5XdFQCVRfnghdCrfygCvvReJY/Yqy+tWd6cJeCmQ+sXzzJTQiMEtxvzCM9QbGrPnYTU0ZwXYTE dsbxAlx8OHM7Tq3BXgcdNzGgpE5CPb6rC8Sxc/Vlp/SunE/8fgS+pJXz3LRXpmtcu3XBHUm3AIFD AM8+lllWxuPqNGKvjY6/qo+MUpdW7+MGCngfwz7D9Ay8uPGeCKqPVWqJmXKS9gtKbjX8+epg4pwv K1pSXx15DNUrwj9yIblve3/khU2e/wxlQQ5CowmLrrjnOVOdUMTov2yHYuETd2k8ug1U+/flfXoj 0ZPbTaW69By03RmkMICBkXzAzvtFY2ZIe0QBH3CoVJQcE5RkZ2U7tAAWCE78LNgnpvruSiSt6m8Z lT9X6/IInqEB1ztao5FiLw1kdQGctEbkHxRrvmXAcV5tmVRVy7uNWaUcyiUEnTIcqLw4TK5DVnK6 Jz2AytLhxSPZISQd5Yv8thLqBM5WGGhVXTPuUWoTyo9a4fu97CCG50gzKAUEbBjq3ff8utFNBapu J/zdyzHtkVF2hvS4q5agbrcUp2go7Xou6ZqV0EMWQym1asB9RIgZNmfaXTpboXvJpSHj9H+e0WYN kyZdAJ1J53E/3cwpVT2Nw4HGi7rD+pSEsdto5Q3tNBKc/CfoHvetTA7e94r+gmnt+sszUymMJitZ dywaTCpq47/aWqPahecutpyHMnLlzvZUMk9WtPN7A+iL2eBRvMdDXhTKQRj/a9Vm+3hEVuZdhbiq KWf3BbVw3rYJZ+yw45ZhE3q3eDurIyL/1UZ1u2K7z6rW1jYSBG+7UhLTP8i97B1p0J+3eIfX4Ez9 Loqf7RtvSOwvoSKOAOzsvlmFUmyYLwvDIPeEtKVdhvTGlZn8h1Bine+AKc+C143UElWDavd9fdQe 6Gl547lR9JP8XWs4Jkd6qwStJaWgTcPw/+hAbEuJKr16fz8t6djvnkIiF5owWgGl2+Y6ErMTALAj SwUJcP/QaYgB9D2l5BrLdkD3gXW9Ajif7rsTNn8o791K96JVcllgl2n3etO6Rwxlh1GdQEGOSsWh KbRL2rapbujQreCTxXGI75D3zyWdlsoKvpz4TyROsB9Yrfm0A1vxiT8GNfgfgSHa0hbzL4OflT4f t6JFxdaNt57DJ4W4MC4yp4RFVHJ0taXnlpxO+p9Xft7mmbIAsCcpCpJWk84hoHqayXCVj7XTwz9w ZXLhW6hwd+OocDtjrqedUPXFRIpq3xRb1dAEyp9AC/o+3b25nRF3R9heIfLgrYUBCfoCIFK7a/Wi CnUm8qixr6xsNk7H07SeXjBiUFrn1Y6KS8PB8k3aIph45h3xKDIrwE1wg9JCLIW2KUKLRvu/Pqpk RnHMq3NIUzJk3szP7xxy11SkgvZpWBcrPujRDUHpNZBPAIyRQ5pMFSajPkhiSasygNJndFiWHmMR DGgiHv2N5ZiQF21G4P3iaqA3UENlu4wNFJmIgEysBrIdhZFxbhz+uHqZPbEkRwDMsr5DaTyKgknl VtC0gNXdf320Mr7F2ACFsPQKmK7RWnDSO7VXM1OSszFdhnW968RhhLNSKiv6thaig+SRhaR9PMrG rV9Aso/UGB3rFbQsCw0OFrDaU2sBwH1ttqOLxjRMtXsUakJ/pdY94IcceGfLJu6OUSIPGQ9Vxwcy zcnp+x3Ps7XbN4ciM5ImowT/J/DPV0j2Kbiz1aSXOMLImINzZ23eqRX3CpwqyB9Wx03vf3FWpnJI UtAh+7us/PKkzNhVC2gE+Y8x+78DjtxSz2x0tKV66eniFOQO6oQT3s3LuYy/H94etyomPBmt6XJg MutQiLeWJUUILgwZhlFtVwiXXln18nWP5UyaO/Dc+QU/qNcQW81r0f/SZZH2h2rJGyrIpR4c0XQ7 XJEHu1JZW4+A93J9GGVRBBqW505eEgjMgXN57pSj34RAxckod4SDTf2jHCyENVIxzpPQgSqqREqU d5z8QdpbL4UeZYn2TwUbytP2TeBeo9aLbXun8g65tH6JaXbPnHXhdf2pAEwDqB5Dpm0FlKnEvzpy 4O0fkZ0FLmEwzwLllUtbUktiP8wuKl/8+ap0dFXPIEdpAfTsT57kPtM6+vQVdtj5xlGkbacaePBm SNO+LGme4m52ioG7jek8/kGgf2VKOddhMZ609wQLby82O+u7MHmalc3LJNyMs1pomAcfRLDnuIOq 85WxjfH3IKQBJwPEoknQI4irLEtRxmf2pA4ioLxDjt6NXpicIbIcKOPRmOSk34jUuXuXEs7UCVZL 92DI8SIVNUA5bWvbYC1s4MCDqjHBbzlL3F+m2955gNlpd98Aqsj5vx1BBvlwoPD0l2ZI7EaFnWQQ Sxuu7WsvGxrp98NwOpjxu+7aWDsJtJVKI6J6XQ7ugr7kw4roY7RX4XdgUR/5yhus71N07RQ+99B2 ExwVsd56zerzXfTbHtNYlqcXVjNR7oeiPycaUk1rXJi4ZJ6F6Ejh+ywin6sY23Lf5uUPFRiP37wv pqtQNo0veF1BAQGMNGRL8+k/7Nyb3hSveYjq+Qy4uezygq5oCoZUn/ZanPb1QR9USVjUGVdRSoFb QQK6SrRmjmjp+JmO8ZhwnHEjYnDyDYbVuY4JIrR6Vtbya1+Kay51NjX/xjANmsWGCdPU2HTvg8sZ B7GIMJzNh4uGVPbnE9IuHzQrgVy02LaUXPW8izD2wm1YRGCVT6BgoCu5/+p9sc6xcnDa4G4n93my NVDgqHumN7whauL4II8icowd6Ihu5xT+X1Xl5JmoYTDIH8XVBh0DSdVR5eQCTVkOsqP1XBWKQqbc 8pvjYfxtjBAG2lja/UF1udSNAPFPEjCGgiBJ9LMb4YJ8p1IE6nZf/DUQKvGk3qud+LRu7k+uNHB3 BCbiD8sl0KSbeEBLVayvK8+rWcrq8OOyFGpFcm5hiAW5SCHHrSrkHtbkwkYAvK0N5s/W/5u3ZjKv Df/PA40TUaFNCKC5YSbN6sgkeaSiGD3XFfwQRq7KeLz7OecqMv5iOQBmiHOLoBzdTCbFH0VJyUMk KnYl2DBOhchTKTtgmu+wuEoC6afLRET7QcYk7kyGMBW3dffYTL2cpRT2VRRa4fhVb2JU3eB3FUvX FcSHL9V53AlCCA3Ob5svBdZOOStwgcubMZwjMIUi820OMlmTxbtafYSUUm073RbIUa9h7N7AG/Xu umYZ8MYr658W10YhTMJHHUnBAC2drjBR4vTG23hzBMxCrAb2TCHurgHyxl9PxqXKWCxSoNoE4yTU aCcDGGQULAQZThRorcuO8dgMgGjdjAvFAEggeAigHVqu7NjpxENlhIUO0nK221DbSMsAIUKqXpbi KHGrHy4zsOdVLfR1MPDRWIASe3khH543Jsz1RcziBPY/7aoxgGqSu0qtvRMpsvv/sC1o+gFzig16 D7+imW/Ty2OAG6zGVCSMNRez8rkATIWMXh7xq+4RDILOBHysJ0r1FfTnZ31v0uxTFbtbvjljkIqC xznHqjbn1KnqgLRXLc8/IYevje5deuERkORSuTDEbHUBQPPODciBmYCx3U2eVEyNKovRnyA2e0/f Q+zMRogNhVjmDN4+2FNpFJTnnboQIAu0mDiVj7k++aR7Sqfh9gnAetx2CT5IE25PcdiWa191lebz LTqdxLRoSxOm3EVy5iKS4c+xJlFROpnzNGyzewezD1u/Q2dNoQJ6Yi+dCO91jXn6KHhfYJTWWQZO wcjRtyhz3BG4OS76bpPqrTk01yj+Zc4CAp2eWyFgG0BsPOmDHkxyK46buSF7KYlBRNrocQx3Nwyj m5FZXWc3iBfqLR78FNYYnqsy4uPeinptyj1UPXNanol/fqLOV5Vt+qnOtIl6d0m5XgAJtcYrUtjj 7onL/P25rmAf31YMtcJ4L4suSBexIT2jQjhUhacyAeiXEqVKQcvn11JDtgADRWBVN8CFWOs/dgkz gmrc70N6k9/kUmdD61TDjnDR8kMoINGJngq7zoi1wImcp0VvAC3UYEagsHulqCK+vbUnhhkHUKNP C514dNf/WBYo6uxSkvcH96a1rRsQtekSR/F+APf5oG6DVcviKhbk2eiUghwJeiSZjDuKG5VZtyuu Now1VdEEEaXT/x2Devd/gLm9oLSwTaln1S4fEE5ydu2kENWGoL3F4kpnX+/BiEOSiuOyak/JINDU W35welxhHqqDvGjela4wDZyDGUCOphCvgsmTWG9W+2X3tRnyHf1+wKClT9/z081ZeYYdK21/ZhR6 hvJAuMbGOtcr/PWAglwd/rbOr8Nmfp9D0HfBsmaxLbjK9j8KSRlOn1cv/BZIcCioJzlGLitjlkgg UN5QmILQiQTdWZJxj5sfDSJo2wcj1XRIEhRdnhZUm5Z6Qrl1cyZX6z9Vd0dehYj38kD6ZHrCaDgS bFUgLjIvbzZ8zR9kwX30G5YI3gNGtqFTlwv9XPpYGeqaYbTr5YXUTrtnLXOkLtR2t9YOrpN50kp7 ZlA+ep+yfj/ACdL8q3tdHuuS2+u+zfVYYYlcKPs/bCEXxnTMpfQK+6DXWiwIxHcr6aR9VLPx0r+/ /Z/uzRjbWFwpLpALfA2KbcLyBRiu3bL66JyKXu8Qvl+sRgG8WmGPMhaJVMmSNuzmD49x07rk5ovM bXMeskmB5DRMum/YfimXXtv/+iQcnqKSzCsQUfaRRSQwie9dF54N7hqh/8gnSXYj6Lsdjs5CFkSq SO9gpUmyiMzyiEdSg6EyHor0T5fHLa6N9ToBby7rTNcWc967L9Ix6FD/nv2eFWelhTf0qZ5J9xQ9 DrIeLbOic3xT6UTxHF0l24sHQ4OY34nNMe4DwRyi3uhMalqVURAinLMWMGLB3ZRmHVRO1ZDnC1+T tKXgvRFbB4KNVKgwL3eLijIzVmRFoU71RILGWKTbX7tcUVc1d5y72mTWcSnus+MJaw5pCIZ3Oc2K rVV0bKpk/vtxkftUK80zRjkQLmCVFLbznktgoH9wg19MZwMee2LFrKfdfIm6xzOXWyxmIOdjfg5z /TlF8DdgjkRDj3kIcTOYZ0Lz30bvnhzl0eupfg+7/cg1z/7hffiB+Gw/Qv28GAoiFH301IXfFHYk ZuXY6cq7b+R8QgovA53AGFYYAlSssRxYO8QYvvL681lXHB5XCToTvbo2gg6Oys20jZWnIcESTAtC LDD0RnNOiLSpEwESNZU/6qxpA10Htf/j1UbVP4pNN2M3e8zfCCJqhKZOC/6JXuqn6IQ9Aib1C40p hMlsA7H03y5DloK8jyZTKU6eQ8lOpjc7P3ilhQ/ik+4+bTTRHAnUyijmmaP5euOom1PNubOMvBC+ rdOcI2uiuEBIld4uPm5BO/TlkeTmXl6vhAeQJc66wKxA7OlbjyodIA8obyCxbO7+iWeMAibjzdoO FaCeJEKfbxJdl3FezMpJ+/UGgOiOLgwz99D5dvgraXM30Gm/6386HVL7irnhe3M+qA4hrgcC8s/j rPFoNo9T5Ns/93m4qnLWT4/KpeXEwEru87XnUI74qAzKwTZUlbP83NcLDzgXTxuVP4uzZyA1SLwU KkS2BDwC5qB7APnwp7SYpagw8HhvTCFSozMkmcPEB2N7xQSf9N4l9BG/Lfzb0hpb4UDvysNtPCtV FBMWIovSeHJu6UwTQ9PPO/8bdRM3NHXlOM/tOum/9sXXyW/b9jwP0na6vnKX8yVc9QRt85995nHj itkfkr0ylnH9IfmPH47ZIKeWteiTo1nRLXVOK9/WJCpNJqGd6gb0ESzzRp2JUeRJ9AF/onYp4PzX I8sbqsQcDi1mPfaYQCmk7kpKrcy7gsNB6icifdaFz0a7/2fGPNiQvw49CNZNVJrwps4M4/k18OEX OW+ijXSGUDDyCCa4d+byT5RIoowJJStVhjeKX+zPutr0yA8lNMlHldkxTC7MYYVYYh1fmSk9NoJX jqOfH8rJXVkgcxuj4mhp3KU7FPN1zZ5Zt8z34v3zSPf8LdCjE3Dt7cjlkdITW5Sj6vrqNlUk6gqz thY2RLqQYyj/CGvPChGGCVcdCklEAQco6XmFV3bL0SCd8rOK7btrFh7a1Dvo/ds+0iAgtwc7LCga 8crSvC1w+xFXhF+zUJQGTGID0g94/eWZxEDp339eTJ9z3U5aMbROJq3zy7bslDCAFQren/CmGw1h 8PHf6rc4hhKWAVxyU8w5eGTXGMs1ovrBwrW8ViAYQZUyFpCzfdDDLYyr2kOM1fVD1jGPcaL/qlAT CttYOECZyJ2CEjDmNOFX3B3I/JXYHv/nX1tHsP8mMedukGUpmizpvrVJdguoVBGJQh5gZt6+qKeA o412dUs7aVAnDCRcovdjtB2ogTS7gleTPQrNlhLc3enkLGblQFgq8pMQwB6fkvrbqzpaLEcx8wwk izFodXMPowXIeOe+tpWQbhTYsQyDAlwza4ApgXPKxQjmNrAsgm7TFW/bV/Ht7zjN8xXjYLiaQfBb 5Zj11/IoDJQ9m47DJOxo3XprzbqYNyIcAaqnwYW62J+/foHAcJvhJTSsO4D1NBwMaxwdFZoNjTCv ikqliD/pp4GwoWTz0eaGNfK83IZNWLrW1dlM+MG14Jq2kB7uyOHDbu4puwilBm4WCw/cPPjcLt0/ HiP2yUXb5mL4k5EVFIZsC1CnleW6hk3XxQJ0uVnHL18sh4KMNxbvc0xxnm3WMmJWeCbRNv1H9/z6 6s8HtLiywMPp1heTAdRenzY32VxKtynzZUaIcQcQdCgbE6dSvTllEYuOLRrsze3jmP3YO8yB8NEc BlBOQb8+3LL5LVUSD0vLwFbXZhjY/SIZoVqAWsjPhuPYrUHP8vQPwdYlBxS9eK9519yf+RQqWRz8 Y1U2+EZ6QN8Ev+gFx23DJ9j4TRZql6daCF0XTcbxeDEgQsWus4EqEZu1zgshaPw9MUrKjpsVoIwf 0A/eHlsPk8A/xxxahZwK7J6coE/1KAstKBYePlwidXU3SLpS44m2lqBX0dffvkeAnHstGyoy1nPb ZKSIpA3+LAqru95U81Iq8supIz/V43t5c+H6YU05baMGiWpchcDUku0TKon/m9pdwh1ixtWkX2Bo Iw1sMFdqfq+76+FJyR0pmQAog0BUlqD54nUcGWLOndI/R7Xc5/MIo5T8tWZ2OaVNX1NrkeeFgYIQ G8Blsw8xOJkEq9YobBI5J50gWm0ET5wAx//uSLhNcGgbx+edxKKRCHlbKvKR4jxboU8M1dZo9hSb 4EiUd+qOpO+lO8AW+DN79WJN2X+cIc6pna7jRKpu3aj8C+0HnL6+RT1zAGmJBeCQS8hyoJYSHu8R Kj3qIksfuBMEK4/9EQ1NjKR4e0ICtA7GQNmBWZ3vf4p8Dyu2prqM7A1c50ZrsByNrn3EDbgVnDwt 7Pl/Jnf0nKMfcMHLPEP9XO5KrU7pSEsYEA1v6H1pCIRsE+8FPJF9K6fnvToffGSjC8i5EM6pn26+ a/ym4ebenBSe27SggKsAmlsSI4KpNLPjIihQ5NofPsuGwfjCCeRAJ1Tx3cLKhyZyg/sriZzlnDum F2yrATBiWM/m9Tt7B26+94f3JqGZl/2sd6g8BYLxua89TAKZwD0jPtfGQ05U246P97M5sYs47nwu WdJ+lGrcQOdLoFRVYd+mdJBcKeHhT4nbhtGXLuBN7y5lrCBsJpXpOCvCNBGSVTraQncGbQ3aXazZ OrZteWyM+yAonwxQFp2GIEbS44fPrsTFgjI0k27URSYz3P6TETj7T81NQp9rHWC1yWiXhKc7jmye W5odsM/r9xoq6w0wfv5iLWCQP7fnFxCxJQcTnFyeN0jahgCgqlod/df8MCg8SVlqm6K1yPc8XfuZ iL87ymW4gGNO8nCmOZh0JZn7kimwr7DBv9Qvgh6AserJ0LEB+gCcp9qlopo7kMlQ4wgN6ZxrCcdq 7qK/xaPJrRv8qZkEj/B/dL+Jggo2/1AqIUWnfmeEeHX93/uq0/qKnkG6R6mGrUCDY/gcnvAyOw9T QiU54IsFmTgFkh2v9XMLaUS2rl9YXouDRVo0AZj1/3ORMQ2uEklDxeb7fmKW9yDNqjG4AGBne1nf vVb6mwZeshmQPvaF/to35PAcXKtOuDxy99EFhHdvsFcphip9/q4E0MKVz+SmEqmeymOvoAOTcC6q BOHqu3dR+p3atjrDqU9vbfJ+TWBaSpn2KiusMGf7B3kbjyB5v5T1SoLXOhLLqBrkSDTaKlVy1O/7 I4RkwnvldnwpE8z2lB0zfwjoiDCdXuidpoZB1Zwx1EZlRoukPXAavN+kddTZ1n/rE6H1HLQXBrp5 6iI4J1yR7kknw7U/Z8GL7rf7p7v4/vRaZziOdadGi0pvur3n5kHbvDyk3ecFvxiMycNQG3/VTB13 aA8q707H0W2Yo0EhhCnQcQ5GBibjWkKyDCSMkUOwymi10UrkXBgEy3qWyYuBxDuCnLGamUAapsLX zt9ugVyw2JHD3u/oofB3rAD+NDhbGaoyrG+RPVuL7nxf8lqH0pot9ecG3VupJshrdj5iRDhs69aN gnHVyZZlNUN5JIfsdDTeQNPjQ5eePJ4jPxN3Wu+BvHkEb3SyMAadJy2WeDuFfLnk1LOqL8eXQqg8 o5zrMOPPel7xDGMU+HsYYB+LDQpRIGoCirsJIAXVqBfgKsslzHai2D7NOtNVg8SvWJNv9hxZJlnA 6t3ZjZf0SITyftqxN1m7NJDWGS+5ukdsmud1S6s1TbKcl3uWFm+8KV1PeqNeHV9xiApB94sTyLnV CBTFVc458NoMRGU0rEojiPGfSm5NZrlkQxGZ7NXboXnV4OMWd0PHG+YBzIgUYg1lCc1pRacbiNn4 NYalD3ybadNgMGIw9Qyh753bzkpKk4sVr61t/KA/6FQ6kv95YGcv7XabzXcdCX2J7dpdALyn79Xi 8TPQQX3QZNPbsyaUGW5JH4EOUZ6bYwjlNafJjh1hkH0h3xULbtvCPj9bZJqBpUvoOpPhmrdJZunE aKa9UeMyaov4A4CKNRZTiJKxfohu8WQcyF48Tsr4pRCqFpMwJKCpBifgMj0NQ7GLG6NaoolMeCu5 qy1SLfMOvyFP8Ck04S/yunD2hb6uOyb/CGAvBJ7TSqqx2f7Ocrr4UV7RoqyDuh0wAJKelm07+P8I hKRxeTSb+RYMzouDmdGLuOSgei9bw6K/vC64ZYXpzIEWvgEOpeOb6K6fOazENffiGKdjudYbarim v7hSU78OALX15lfFR8kb1XGNIWNnJl+GAm5DF3/ONwsI2/UnLJfE6beTP1LSJ5E66SIfFVzYP3e3 dmtoPpE8qsZFBDpF8b17Zb4dEF9O2A2QUtM+a6ZIMv3BArwy/1gE3L/csuNHvZhJH2GQuZFRt6aE 8LRNDaWL4YW8NWBG5Ak/MX5Oppf7cwKestSPSdqt+sufDoGEkO93LY9707KnRVNVL2SOWPWh1nCj dHr9ihVechPzEN5VXL6mUiS9X7cYXWr8pfclRt+UEcDDo2PEao5nCrZlOagSX3wsQ0tD4KAulpWW Phn7nL/0SIQke0RLVSwiM+/143ldT8a7HDEQwZI5jtmszFz+nHhOgpcJcAlpVTwP+9N4+hvfE4fZ Gao8XLd8sSL9a6g9JoMoYIIu9QSqEmPwPEi6lKwytb26GAVPA3TmLeZ/sFDkNeNHWdFmA4nSoVY0 Q21W7wOH37E7eq9RnMwP8O+BWOJHmS2AMG8IlGDT+Vx7AXvrlCSBJ7HM4r6n+MuLu+tj8bHEoti7 Aku/PHYFysUmmPxB6I2zOL6rtUmBgQJZ7RJo0wvuV7XN1Gk6zOxt/EsbUgvTihx3/sn3cxpLZfv8 nvrxc8aXwWdNtRDxPTUZxR+pTndH5WfY8A1XvOLsH2jVvyVHaqIzTQhHrNH9BwS70VTQyKsCmGLe 0QvetzYVu1HE3+GFcyPrWuZjLGnKAKZx39t0Zzfg8XDhSoyyLUIgJ2DDHWfdZ1JH8oWNNfK9bMIM 65L88UmwhZLfQ7rejaT+Mu1I89Nwiqt3e8frVf44cyGned7NfmJe/N1LAsYQX55rIQ4mIfPsZYUW eUiSwBDTQ+/UdfjFHMNFvHG7RUSzcZx+f4KKXGs5QXSC4noX9D8b6Z8TvZbZgcgeG4efyyFCNSpf DTqaq+vF5ZmnMFc9wnVGsCfBcZk6708S1PqbFAJC6Mz6yJZThKmDA8hZxa+gVKCapL33MElecrEZ 2y2LRTurRtXIjL24+dccS2lZKp2njStaMPz5Y0T4wi7pZTv/U8y/sxaY+hpysq3VZJn+hihyYNXi ZVizGzoND6D2kCKaFI+/N6+WHkDb+AwzA2xnx4O+C02rTP2UaCk31hqEEYSamOmk1g7DcjfWn9fo nXbevZ8S80V7Ad43BhJq3I4hEDPJPF6oo7CM/oh9eEO5Wqg57vx/MxsWkQPvRL61dB2hNm0QeZei zEYrBy+46KG/0Kf46bu7DtvWQVeJHUa9+fHDR8J+tmrN3RNnBzz+EkV6d3ikb47qIsCG1RP6ySe/ 5zmunWMR/xJsaaRqm6NQC5LnKQyE+V0uvtpwK1cbQ+f1Nd4mPN1DOXb9RCnafJJ21YAm7CmD6jR9 Z1BvBsJkC7s6p7OynMkqydvyzr5JLOFKswC/SmP3k4JVOaw8m+BUymy6N1/qiWsSdodzT+5aRSSW 3oZOqUKcO7L2DjIN/DhjpuHHBE0yfDSes6NoWK+UBpgH9DEuD95jQZjU/AT7HACE2MMkb3SGjh+Z 2bhGw9TvtaHrT8ImuCv/7ieupHP/vJY9UAXBgzYi+E+aQsSNI+GU/c9u06SdoEZ11uFmOhJplSX7 6iTxPZWXmLPz++usleJiQZ8sbY+ofZ3Y4yE0YTpTuUTRHw9Z/6RBZq+9s753Up9D/+C26nqJ1HYf AaU4aEDGgvC3R3VBHupfcWO+Ab1tLm+aFW/LcrQVt9JfFH5ydlnXsJ2pqhtqAGiDHUFtxWNy+V5q mxrQYsSFlFdFcd+8YSJkb2zTE0rBHcHOZVKYFvZFMnZxSbjsu5sf30wrQEdmJHqyU6Sqy+viMEy5 K4EDAJcNb+LhrFqPqz55iqmDWgOR0XlUUcacYHzqYD0xBrGiolNXbgT90c7h+wOTeOnnsXjCvp/+ 8XAgKLnwnJ/zBNo5CIGvcCYAlGxhuVa+3/FN/NNslToOv5zeNGRg0tnatNMNFxbcPanhFlt5r6iF R5IWLYiAYSg0ZdqCC+n4hs5a4WAOPckGg90CGqYuLuDQToUhwuFw/fIKVZi3Bb/KM+j4t9uhpuwg ms5EPFgnIlwdldb086drtPKvgtovc2mcaaQFnaY/QU0+lwGoyNpZNaaktLrxMkChS+pu96QmQ+uO eFiwNryunh9LTUlgt98BQXamvpQSiPCdVnqU4/EqeFMBz8NtBP8XsXneYlK7IWFY1UTyl84sdtHv KObrp6XlvMizG611M6nUX2LO7OZk02q26YmfTSEEXSEGb4zwTYqLW+/42yYAYRW4zGVZ6O3JIR4o Dtbo3FXgNM4brJ43I60R6c8pRj2DTMNh5g6etyrE+yaAo5ET1Eh3DUNik1gYklideoO4FfHJonMR wRvMt71kek9opToPqaeqJy21/FUU/aFu5XqFICXNWiwrxXqJ8nOrptUlLkchHtx3viB5n61GMtaf t6a82sVgZn6AUh+IqjbBfudl0m81DzSHXxIl4n1iPJzHlNYeui0zjY1+QrSAehLLsDX/YxG64U/p 98n6qYGw+xwS7+C24LH3hVgUivRsU4+DHEK7bQ09q++4A2REEgb8TM+Pgqhte2SIXmzY1prAFnz7 vI5S4AHJJlitzME+EchSu4NcSeke/LKrAAYlvuJPKnHQ3FYI1SKhd2OWILTlvRlBpm6RQHSHw+pg vRYfsdVSfqQ1GXgBxNfzRt+Sfe9lAifJi2CjeGMK96QQCW3IOj+FDKUEPjWwnFUxGRGbt3PL2/oR 6uBLY9rdqcQbqbUviG06pF3X9xHpsLejlCLwo4lcjOCVFMFunGrExs8F8sW79M++mkatUVQl/Liq H2X6lu4dglGC7Cv3gdRyQZMsCA9+oU4Rzy8Ql0KStHC+PtuwHLXGUsW17svCau3I0nQSqYJzVh2v 2jyZo/wHI1nobnMrWOYpfyCGLpCOtHoG1hsNd9cttspzuQl5cMsnExHpnuvgUVFp6fsMmEazehlO YFZAoavIZUjwasm3i54D4Wd/IDg12FwgAEgaTr1g09ypstfh/vS9FazUvBM3/6QR7JAelitO8mgX 4Aa5SOWzzBitq2yjaxFmyrfoBG6wUDux7bxwIVlJKQ04V3HjEXZ9VMZXBOkVxmvs0YpcxlnGD+kK CcUcV+ibya9kXrztpOGuRnJDvACLfY8mYLxWE/PnwLSw3C+X6Q6xxCVFOCbPYp6jSmjWtVTxRPcC sdWfm5GKdWQly5M/VJLAA5Ykkthpq6sj83G0HuME1X0Rpk+l15EXR4O+HrwhHLqcVfvQVz1mhpIp hVQVCg16V76IKY+mUoOMYRBLDTAUmzwn3DgUQepG6Fo5HdMAqL3Pbtng0/1Xm6Mv+1lZa6VceyaV T4OnEu7J4GjbIhypYRc5r/rmBpfi9wsHREQK8JY1h0sQB5wB2UROM/aVt7TBg5tzAoFsH03VtG2C PLdsSv4Q2D6QWQbWfZj3UIiDRwfVuwlJNUV0sqMrogxb41ELKjlNk0fyUPEYLWNbIJXZF8LL/Bsc V6/qrtcw/2DIpcyvPKdWh79HvEC4dEzYnoQ2SjWwLbWLajK33uPrtPFmQjf+Rnxviw/qTLxbt7r2 p88GT8tYaC/xDVcfkgwkCKSM71vsh7Qx/CiJyt8jJL884t87n98+Qin238PMgI/cVVFWJAXRz6Qe 5RNxMgYWiUs7qVeDBbudsKOHmWSKVgAkWuE9WgNdy0XZp2vS5C9lx64grS8sjLlETWzbK9Tivm0S uU+HG2CkevUD0kSAhzJj6ObMEC/tDZ2xYo0RUi2SVUcpHPWizJnGVDJboU91seVbQCLlWGSM7nTg jNu/f3PFdYsT8JYtr+OFirE5e9SQPqvrzxY+uyRGPcfEG0n/h0/kvd6dpEpi5sVBp+BLaVPjYFhs kfT8230sG9M26aHJpwU3mb3q6ukg7a1WucGN9xgPFcv5dmPcp0p/EuyVJei8DUyuqJr2HUr/iTnp PhyMdBy7EEZf+jbQOJNPCowKKtq6TaYNsiO4zV4xgXU1BaxhoTOQzFBaAYaWsf0pLzH/TVi3D5XG vmnYWvxqNo+fBKRyC9RtI21oQ3i4oAey7YDh8pUc0A8hlAssHNQLPxf+h8+pmQW2ja3KfroNHHXO sI8/5R0MngI3QeUiCCCmimzR8+uoFu0xTqbaEZ5GjhkTIHOLweks9ZhJtAwFeyurtX/1FC+giZF3 DZ6VFNTLGn8xHDSAy6GtVX+U+mtrIrOdN9edlbkF6fKGak6Ao95N6SV58FBjdCx00d9vcdySTLRr ycsJJLFImLRD0CkVO7ywJra0MdOvKjOJ16yOQUggXJzqKe1Syc0KNFWmsnEq6lRnz7hHVgjTPuZ9 Mrtxg5F+pTcYH2nzWWk3+JNbGRrbGCrYW16UkTKywm2zEnAF4kKr06dC+Mv7ag2puJt1RP1LgD/b nfb4+835PSSUgxYEzzkXtRwdG8TpOdBKfUUi51XNVyQZ+t9pYvGtrdu7pPdue2dYNhQABXMeayAW w949wgCI+upqkY1cdtjQRmttcYafMIiWVCfpqcMzJINqJ//3asyVQspcz+bLLtuh8g2VPLnGSqHS pJ9EXE7x8K8tj/cd2QlMUrS1ZH/z2gHyYdu/do22Fgvj1woT5g0ck3YDedJRriqumAwcZkw4c4A/ /9YyPjydO7I4MAKvrIg4PGLDCyDOzTq1h6/xdBHIDNexXUspI7UfY3HLqzcvBcHMzlSkUBjiHB0F XrvWguBVK+vUvwOKnF2DGajzJEc7RzaaV6le4PsEOkzn8xU6DnfAhsy7iOEutqLdZPZw9rkutt8j IRlaMmOZCoMeEfILHuuaGVCyB35pMveCuL48tgE0PAMhgEsJYvMJ3REKaTlno4UVGv8Y4xhyXBkK H4VAaRD1PWH3n7XyLKmrVeScdHFrm3PSukEqu7TLVYS0Fn2yeprUbsBG7c+2/ZMcQdnsO5IIIzU3 rB5jbyGPXkMim99Phdk5n2sDOKmTOwTKYG/b0jgb7t4XL09RyPSU1fcURzzobqETzJsXQg+j7Q+p Dygcet9h6mTL5hMwu274WMArkbH/H+etuulCDfy24SmYsSpThZe/kB95ml5XbhPEscdZBTnQ7aNN 1eCpfW/0X1VGDJItKMYsr+PFORPrbrJ4S2BiKVj/0DCW5fvAtGJKn4z4x4gtgF9YB7AKVE/oOOKv Wmb42PmshgrzJYqV7+hMQY+pc5wAtOwACa5suCyGHM/LamrqwJnu0s+ljSTLbhsoM/vMCobuubIv xx/aEBFV7FOq3PRFgirGSlkNhoPWSHWuAa1eN1ZWruX7fN+O8PMpBzpTi1rUr9sfh3A1L5HQsmdT 9IW0QkkwyLHUKEVQShyhvPM5ariwYn8L8/6D3PsPzuxkv5N3jJe7YE0VBEQhjimKQycB0bdOSMFB clNXHrlqzcU3JCfc4Jp0cDllz0SAsi8UY2OtdluqHFnc3fG/psHE8OiAifwDkavf+xFQ17mP1arO 0QPlp/XdFQ1qGuXhX6KZRkgEWaPi7n2eVbYn8hv5cqy9fefIietla4Pc2wKeLhFNW2tG+IgmH33e CfVXdwSX/fLYeNT5tlDllFokJllTdq0vs9vne1etd3m8Ozvt2sjL17ZqYHI1umMNcwOYMCSriq/6 6GX8gFx5G7mRtPTT1A5rI4N8oIYpnwDJjWIWep1lA9gHrrrzkU9tNPo0SZPXQpQI5tMCdE/yqson T8eJzumKGzpQ2xE3lMpWxxNUNJzOQhwvwpYKpijHdXIwY29NmNuue9NSo+kuAgfK9+jeMXWtWfts aVq81zognSZWCTQ0uTQXfjWwelFBahrlPQsd7IJcj3BLO+99ZN3Nc2dd6FF0AnJaqlHMSeuFyvw2 x06bMhGYSUVT5P1/lQpTrYsQWvJWSVf3YtKdDXYqrHN5tkv7Vwcg09rrtUXXb89TzHB71WDtd1wa 05IMRPkhgdTd/1ePHv/A5rE4l8yikXJ9rRp+rw1GCIo6JKbZ7gbd8bJXVhRnRxNlhlCs/Mlkj21h ytIsjx1rhxLG7ZD7OGyZ6llXf/joV5Pkc/0MtyFiPjXu1FVwNZRvjcQjaDnBDFWYUeCTfFqg1sse o3jxC3T2P2gbAS+orIpefDhbziL8J7UK8HFjLtPrVounIYXXm0g1w6y0ZBllKxPPCObfCV2mUz4M t4wLfYGOAaoq6Zs1NkXAJCp83F25UUZbpjMom2Hq39MDMmNpOAUHPIEJYzOyWWNSFwkLRuO6/W4j +wqJYEl5PVQgFO/En7O5MlrOmRUt5fAH91eHgU2j7VW3TnPYTYFh10Ru9cbBBPGIQ6y0uMpjUEJ8 sN7HnxgTIG8vF96DPpt0rWu5FCFdSWGzfKMWI0WV1zRq+tIdFNHVJkFhZb12Q0lKxbp1LHxv9CFs SPa3BFurTdjuofs/U0Nx8e4QvHy5+/o6/ZySgORyRVC+qAArBHwuVHDIklNoIeg/+Un50KhQ7Clw dKZ8V93PFpOTrU7I+zczy0pAvMiYEVmhp/AAkorW/dDgDgsgHk5AVWXtDrpkXnRQdIIxwEKJMTVr ggmUcMefhsfNRybsAypMfsTP733ToPPmPV6Tz9BNazDYchvSJfdKlXtuy9aBGwuobQ41RwRiwkM8 F7zTtybWi0spneiV/U7uBeY9F9+6dNaJOjzoucILhU/xKqNHkyJ2lyWTKZegNC3+AcHZgv7ugnUp yc3qm5OJRvfb1WCUrG1X0BEgwwkNAx/2zpJNrFC0PjHJm2NCKH5hXxz+nH2A+arJl7HiSKwkXdDK Fm5UlovjzqIooCshbeMtfUfpW5FkeZv+8OlK9NZMyFfS3kR8I4vZxbdXSKbq0YgAAmKL3LTP5MM+ XfB7F7Y+zXSJVHNrR7HUxphrBDRhlsmcxAY581kBE6uA0MkSg/T1dw8zYCyGIKP0SCIDcYBPHS9g nVYjwfGnvzXfHwcG9dt/Qz3pUk7Rc3zZCD/K/mNrukQc/S7Pt7SRi9IcPecdhtqBs2x0A77tTBp8 lHBmvmqDXu6LXkFNu8gVHkBlnGLJL4KPbdZiq3EdKMN1D8voTb/bOnFvGy1EJ6QqMB2EuslF/JOp RceIxlwLToZ5K7AMXUJYR1/9YMhcNqm5TKDfBlQyTfvNUxI2EwfAILPRd4SAjKLoFsKRao27UalD Ci3HBdzTkbXMJYQSpk9u7lmCbTTkT+s4sn7nTAcg1Z+08eoXXWovf/CfXVNRLvazvoNl5W05ysB/ xkrv7i2e9ORxnJgXBsO2W78AeXdg9RQ/EVd1fzUc9k2bmxJiKoQBdl2ULcVZbHQHx/MD9C4B72t0 tig8Uh1G1y0bTsnHQS/5878ZJO8sYSQsip1yi7ytWLrHhr2OyWztilfITjyeOL9Pm2hidF015g/Y /pA+Y0dxdW6XJCYdqcqE2Pya9LlGAigSb7FSiRBK9xPkqPSFfNYYghVefvVeaMz2BWGhQEhcVCTL MksDF6MT6cp0x8xqc5xwQXjaPHBgiER2T4JA6TnG2lFVSkk1aZXeCtuhCLNxbHn0JxATxMetYN1f OTfx3wcQoNtESwzNnChVV8S2PyeX+rqxXKMSb40j8iJlN5cAP7tt994Bvp72MEoZItLhnIX6IN4O RqTiW9pLu8lRBIy+p3CfEAM25rY4z5nfLG1hQLJ9co4P0z+/v7iHv09g4bS1N884mJolArJCKvjA Klh5osmAHNhi03xQF02AACztb2YUpBe0W5tNlauIH8y2l7D6V4RBHKI7VwmCYhn8udtY3uux1YCC MDJ3egDUmR5pkqOqDVbgdv7aw/LshjIU+xoqsyOTYWJAM3wwaHmXe16Q1+n+8LnKh56VElYudRxT GassmdXJ6FKJB5F6UqY/AO1ZDl299NkkIhNumKozaLpRBW+ZiONsoQ8dSlAMxrI6ylReqCGXEc/W zr7n6WanzCRtR+MKLAs5qRTUuN0+1S66RdWIS1jqtS/PIjfq2b6U1oitBwTL08WN8KUsh3CJzMK0 NmfjFthIyVLt6I2KSMENmAbMmWbAaX6hMVY7OKNgKJTwNjde8UeSni5Sne1nfPUdqLcflay7c7+5 gNRuOAwDNWINfy9BRlgkqh/+xFMPPn7/TuJKYv7gVeP1Z73IJ2DBdG1VAN46miBQAj45X7Qas43C KfVcN+qPL+/HC+iRL7f/+WCucBn6Y0+3/c+4hX3FkcQGBRa8WMCw1veYje9kC91aGLfikkb8xSNd D+sVuntxyIECdUNuke3J+Z4t3CpIiGnYXiGgTATnsvizeQ+8xJHGJVVnYfjnFS+aYriX7OPtWBTL REcP0YHQ+JccJDPkotOAT3l5BVYk/zTy6CzkTh6Y771HTJTvIla7I6Qvuqu8yrohYQ6TgTBz05gK heVU4PdXCJTwmDKnQS9TH/AfDYtGM5aCcMaB89i/ZP7wF2avgATmcB9c4Wh5LRhomFLzybgxlwWL CO6rGcTQfzP+LRafpuisPoSAMypue2TJWVvHOqD5drqfucPkQ0Hdhh6LH3S1bcu+W0mfFT/ca4N/ ItMFs5JBrjSkGP7oXu6n+H6B5nv1Ys5/S6jz10yp14a62Wr/kmbV2c/9XrKyuKXk+aPYIMeXkqH3 oEtee3FtwR2KNHA3XECp/jrLm9OBxL+Srj8XnIgzUj2cCHBS2+bnuAqoKon9yf8zExLZkb+wJn42 T76Mvodm0e5tEA4bUg0YI+DSwbkvUSZqTD/hmuRIDGBAtrlDGxi7e7s+Gdol/PkHrNiKZfyvJI7f /bMexmuhj/t3IkzaiAfcJflyYzMooJRmVpG5pxSSTSZ/7TEXyxXawTvfBlSKz9gXCfLD6YxOT3GU Gup7+2ZWK3DS7RpyzDyKrLbmXS5FtIsuFG6qRz4s7tFeThR1NcqiXdkIntQR+YachDhrpG8N3lif y5OckImqzi6tIG+IdOEo2/L2kuj9u9zpL+/xyx8JBtxG8/6yY3OcRzK9uc7y32bvhRaghfAJXFmc 4EH2LEiTm/+x+0JCk/6vb0md74roNv+JyJZPvkfMUYND1Bku+z2/QQsf0Sr8g+iTz1PZ0t6CLsIV ogBb1jqKt3nRE4e3j4yPGdVQQomgfViVOEifQ2D5MDctPK7oK0xEK3h/2JtELn3MTg+RHaDt0rAD N2ndvJl7RCWVfzKnsX5aLSWzwq8cAd5+eweHgTw/Bazq2J6vPJiADynBEiO5tfH3O4g7ZUj8v94c 368GH3lBn4sTbmaXOLrpk7z8ZO36iBHhL555KeQGKAsVbekNTyqn28oYYNlC3dRqkoGyvHRx8Lxo kKWeKTRAogf17kOZt0dRC+fWTH4AZCiiByWvm7PA9v2LLCfVGMw8yDLTOTe1nGEuF4LL0ihShjFc Ul+JX0E0Cud1lf/PPe4uuhKQnQYQJ8raOtsEC6lv5dwsCGFEVzfnFXtRd1HwXtiaTDTfDHe7Pa02 QNBMlER7jfxj9kcfSAZGcXFqQ/C9u+cGvJesSLDmRoi7gmAoPUhaE71AdegvlfTU5ErGglgOleRX K1SC3P4EJwcvyF+6uvGABdaQlKyyxiAtqzYhqzJznWb1qSEznZlupYzZIMV4tvYcdtBzp+e3sKCy qMBQovDTvx015odOE3xKV+xT12MnSjsYnsEz7rZ9vhnx224Hp0bpdojE5LImC2c+X+fkoJ5cLjTC fMx7bRoYgzGsXU8ZbFSIXAP8KxoVvbDPwrdBCptYtFqyEWvPDIsM53r+K6LhT3ivCivi2pRzzU8o 5lztnRgGmU155xQKAZqTFUD5yNvdOK+6QtRlxo2IV13hQcCStvtB5tQYZ0BPxgONUL6t8V/sUs1s RsWR1FPVFKMBm21/LtiKz6reWYNYgitmn3G0amCtIA/Y+lXRuXM/326KsQoeRU+Q/fxEnLef5YOh FqPbetBCpO1j4w8xH88ZfjqMzvXxg7jYuRynva0og1EF9Y3QMrMcg6Cx9d7lDS7SYkDV4YgESRcn S/m7R2A9qDXTDz4qhrPISsj5SWlcD8aCHKFveXL//NrKWkjb9r2tsVGZSzFFcf+DbAPTV60KStLN Xs3mb/doM7eN9rLG0qOdEvzWeTnRUxnb1qQ/aIHOOA4H1f8mcqHGbkQD/8ipYGYfECzfM/IvN9W3 esMA6GPmbwHI+UrW5vBtqWAvlqaPdWjKUWODHnh/70H+bQFh6J9djLCif2FfmqeCGz8TwRjHNLWi Jd0fdY7YA6fLzxHSrq0EIwskk/KOUdCIaB9A+fyy3sjsaOHiu/ORVQZMZdpgUSSa1tu6+0caMBoc UIM9hR31Bqu3a+Ak6NyDYK809+wf64aLJgG/vYdz+TdZtvM9RgSvv9qXO+YlEqhMLN7Nuaooc7v7 UeapYpy//fkV0BuE3hTsEZBUTgEcIbhlEhWHtj7//mJZPgfXk8el5bzbZGOf5iafwKaqTl8mTcg1 t0q4GdbFizNrQdwQYK4DCcAccreyQx3kAxUAm6no8MWeXge8JfESjrPO5UAiIzcMxDx7srsDSPIO Er1kRTBOWzVwVqljW56Tb0IGNhvQm3B4R5KyudMBYU7WXXrhyO349aMXENlHZ9GRmsry8FDnpDIB kyYyU35Q0e7f0e7SXzWWlQqIAwdrtpp7OYivRXX3eSC/P4pjtkmLdx1lTybQxeFUyuivNltLMKc7 qWDxo6nacNURtHbyP64mywx+WiKDtC1fYafyStX69i/d5/VX/U+QYN3Hn1/IlL0QdfszEKvpyAhr Z1bNhybvs1a6zMitK4GEYy8ZhuDb4Urjpej+88iJcZbjkNhpOe+q5wWpw52adMCp5yyG9dMtbWKG atUmOWTeIB2mDKNQzxDOuwPt7AshZ+rviC+jxn6c1lnx4npgRMVG8KsjLc8a5RDLNVuC6QP/PUND OeORmiF3xDasGtwG4OeMebvbSc9FjvyrpnbllqKZyER4SdzDGOXItliABuj2bAmVcqYep5DDwUmP qUnkrKykEvw7nmYIlzbaHEoqSfMlhllxJEE0T/nIBuz9BlvuR/6D04CpSOxy2R5BpFVtM+yLwWi5 R6T1nLpPuD91+/fWIGDUYelNxZ5555RUY0/3TLvNIrT+thOqphGrlVta9gXfHKGUrT+Z/hS5FLmw dvKdXG1foLZzbeaHZED36PLxZ5YszMCyN9RQbFTHQMSeAI6yuIQPbdoWKxn6guAViy+bB3ip0HPL 3QmL/pGd370lrLz1po7lCRZesHLrxLIgy5MFtykQayh6Wf3V5haVNNIUupv3lM6gCrY3iAgq/Czf MUbh/Nsxid9mUjmRMAhiuEPrid6dnR+mA1xa86udF4Tn+ScIY0GAdl4RXlOyubOfa/dOhoFWeUAf TTvaj3aFBGNvk98mgIkwvrvZBWrYI1ir6+o8yO91eZPoqZDUn6vu0URIxdtjLuuuWmCC6GKScTrH alIgWxBowkj7h3q/djPM74L92liVnegv2KbjmxPpFVK4BX8jB5SEyh3v+XFDmfoWcg1Rq3w0g1HM PHXxCOOT9/pbKXMZWJX9Vghf3NEfpmnV/SK0qs0oIk01YBz4n6uHhk1Xo/Ge3vaE/OWCQQ/R6E3e LYXwPg1gyWikZx/XNM+Ue4PVOGTuW0TIKj+VjQLWomwS78Q/NgYhY2XXkmxZlYyqUwwY1e1DEXqW qSHjEfToMyvaQY6q0jMGNg270gm+EY8Mhsv8lEs/4UJmSQrKuAePGEFGPLlZwx6xJQAVAG+bCdHX Fvyrk1iZFzOwchgghVvaSdX3vMSSl6FDQgsqJHQk9iXKVO7+6GUANIVgvnI5+eAGxjgaLO0ngmb+ lwRw9lQ+Jj+wTOY2XCowrlPlGmkbOh0z8b2Guw1yHf0jOiJOehHZ9tWuOJ+x4DIt/rgH0c/kOMCN C72rGk+PlkxNaIy4sQ7G5B/5e3xuWyOAIMYKaIkJe1PvzDs2ZSHJeVSEu/kDJm/7Ka7wg5UMMPiw CQeP2PLxWIPlktiUSb1uCjslEl0pCjB0yGE2vi6mg1/P5dIAvleo9bAvCO3AjId9VX3C0UghO+zO YZYkemTZLIXoy2T7YfdQ3/z6q/AAQkictohE6CDB3Krl/znKvDhsC8Nw5F7J/cfKTBfPo8sCdS8l 0L7qPCRUJ+KfMtfBtSktCeySV+bkhVprvXN3DHgMWesv3iXg6qfGctURmNCya6qrTvPX4B0nQERN Vslq2wtZvqv0jmVB9fy8g7OyLX1Kkwy64t83ec59iG7BMmfmvNqDsr95LAUD66KcC9pgEuhD9b1b GP89KWv39FTUlrl/2LFRAUfeju5TWKh/yTQvIKOygN5X4fHXGPI9Wt0zBhxwqAIKbVBYwqDCRYT+ 16Sl36vb3EpIzE6gQtY7QX18E43G0fP7VFPk029dOXmEqW09D30/03j4zqwsMGu1+uEb6ourO9AK Y7EWQL3K4oVfobwTX9jPj52pvyCA09T4WPL5/Qu+aIayi3Ns0Y0cNJK+L3RhzuJ+UU59MAtTomPh t99/+s5Wz/hGUd+wDi8m1bDCcc8eHQmy77c47Oq7gP7ll3/wSwqAVBQdjMCWQaUM546c4aM6crex xZnTO4kGb7BM3QtJB4jOLX2K9MAqQkX32VdtETI+jJbeti0XBhbfIrqj7nCHwq7cx0wUt8tus9vk z8AUy12Ix2Up3eWgMV1xxDnioI6UswYogyhTZjWnNR7mb4M7VmZiq1cDECsT3Z+nUAZaDEzqvqBT DZs9Du1NDyylzV5pr6m7hntvE6EboyUFaQLziHurmZ5gUcEMJEI8p1k0L5BGfktDwv3K4EUqkkuR T3Kb6nnmCYiLiPsNmn5bMGTPurdmr9d+zxBljPDZx2yG0uPmVQJwO6FrZu9rpXr7ZE4J1zCIbW5x 5NnO2EjQKkctihO0+4MBT9w29Va2TveGVbCqNqPVqYE1SahLqh9w//lbFwraCOCbmnARs8VQ97GX Ft9QVS6D2+GjnRKb9cZp0clss1XJzdhUUgLp4U43kImuSaJRkvg+B6gS008s9gpBwAgGG+4wJx8D uR4ujQpzI7YFKIALM3JqPYgujfM+TEvTZTKiskF/8Qty/RmXZVGWvbFSHO932WFTMqim4bXKkyqQ vasePFYiB1JhLxoJVKYDUEe1BtnzrzAxEy157Z80djybfz4XPS7laq8Ro2gALBAbR8tX2XHsi2j7 d13sSH1NfD0UsaXGcXNsrHw0QzqHcu8WAT0EcEQe322DcIxoqhrKSn4psH6Oz60SXLrbZDV7zt15 Qh2bm6IOKZLgH2BdjmyR8XiNjH/8EHFVwTJ/KyDtVXbvCiide0Id7G8tRdlhD2r1lK3QX24Q1a8z +/akoZ44RSSMLUWTW84hsDZZvbmoH3dCT2daFqMa/FS0yTTxBcAKfYpJLA9cJBg/BlBGLN0Ns1df Ze1LpWfTNmd5kSdf+MkPcPn4+AXGUvuetJIfki9bhKh7GkJqHtML18nS8Vh0uE6z3p/1kJwfZCSg haooi08dof+njo473a9b4hr0r5WC/5wAE3v+GzkNKPPAUyWgttqU7dTmItzJKL5dtTqhjJ8RxOAi 8hxkZYsdOdfPpUnmN0teMgKrgSXCYWRv3OQ5hCUIQSzMRT/ZUE48AKC1LBw8M3ii00p+GB74Yi0D 8jZkv/ZQzcr5HrTU3SBfclXfybUhUw4hnWBxSDMY1n03rvwPKpl7rw+ijlmpBPG1QpmujcVu4VYJ TQs4qDqP69pUrAlPQ+wviK8CFjdx9IKYt0dbrrgeR95k1e7o/Qfs8Ox5+PMdqUJjV/tjv4qurPHC LUsE1Kf6wfq3urtmMIfT1W0thzkPXO/D+eiYMeRVLMfVVi1bUB8Da5AKC0gGXJcnvG95Kvef9VTx 4ivLYa0MaJ08THe6b1dpEl9rs+VuV0XaqdzrEVWes8cOv5pRQYnY1m1HKfNwmrp6DmiONCMMys+9 HM6wuJVXwk502P/UFYQNYYrxIDfqMQC24edt2SoLesKQo83XwrgR9uq8803SgSaJU8qWCO73X1Gg nZAIlm9/PP7/+JhUyiL6auSd1vCknLT1Dy6wvaigWf+yC+BdDiSwtpDbGg5viLILcskd6xQv4rzQ /3rMXjldBcgIupatcg/t9b5J4Sp9/aclA/dVUvjFbFvCmhdYr2emdcSfx42pJOpLjkai9A29gykT S/Ugj2lEbXKT/htqnXGUSSaq/mYZHWoShnet3xTHZE5eI7TaPfIKkl+L6BwmwMsnsrYbJJ7gNdSi j6jl56vLVgH2O3WJ4LblU0RQHElSJby7u0ologgwsEyfZrDx2zaYK7TodbN3VdZOn3ibC7VPmVjI yKWaw+T+R6aO0gLOPyK9F7rZvHvNQW8OeL9M5RHhVLcAcuoDffjCK287ZNe14PDNG8VgG2uSn15V oBYvDmPk08qvWIpuQzc5WmpzA5dS1JijVwlL6ejeO4Afu5vsGtuRV/SdUvQqM0Eu68SJTmzlBRMc bAipVEZNpnIPsg1Eagh5sXmk5XjhjYj1lg0wAl+vt6UefADYb9OVOlFu8Oxz0DyEQCAxLo6BPnIU WVn3BPj6iTLF6EuOSYAtsxmu/EDLBAHyawSNy5j1UfN6xhkyXk1dMWjVDn/RlKcYZYJqFJq+EFEJ S6eN4XLKeV0YOPqDPiPIvcm7bU1v9+95PQc294DDPG7MB7OK5ATF+xi0kwUDpl4081smX6MnJ0Q2 NIOjHraq1LJCN0WOwkvtSi8vp+0FR9avjxHlU/RYty4RtQ1Alvt0GRsBzafhu683IlTulJoLWxOZ EUFQvrKqp0xCN/hexE20LUP1cNAsS4/ugau6Yr1/4oc3qgJw5rh59wQXXpyA/mOUaDh7yxK7LE2a oAhbO1zXCKCaranwGMdH4uboXnMBppc+HHBE9lbvwib+UZhzzCokQ96Om+qXyFuAeJ8Rj2HhP0i6 c8icfDhsQY0ZwMDwMYMPKGlgDW/UQoPt6P26kPlCklJVx9cO5oyCytcG0j+MR1zWOlQJ7GUZbXFE iM0ASwUIUklfFYuY2c8UALLEGnZg2jePBJdEo/lWO/FAUU1fvD78zp7/EBsZ3ua3CH9GljS52juH VWyGRWEg1CuOQF5DIAMK0cIK7E6I2i9j9ZKUU1LY6xFY7iRPbxIsAQNY3kJPqUoKJVZsgpg2tM37 n74iioT9VjlCQkLmBy26ScWRok2F0p459ph0rpBZHZSjCGAm4hYdZ7DsNYYO4dTn9SEYhBMGCYx9 KWCu9LelxHsXFg8jGIqHw1TK5JVJb8/38bGa7zZHDUgv9TirweKkFEge3UzRvO74w9hi83S930cg pVY0Bhy3bFc7Fk1BExwB0OCMAARYRtkho95ZFU4lCzpn2+BWPxsZxNBglj3LqARl+O2ZRf4PDb4z G2LYtAMStV5N4sAMCFluBMzq7WGWYjRfPPSf2FWlqDR8uR7QxqcyRoOpvtVLC9u/2/egTXgc3NY5 zzXoaznVFwg8pjMLyR2QDst93oZDwZxdnkONwgvdTf76u0YnRaswoGPP3Ivk5+9Y+AM5qn5a4dUB 153MAkk4FJG+TqkqqqKnC4rNeP4Mw0KGs3INIvnl2EcBls0On2Mm4EBZKz2/YqEDiVo0TrxBcSM9 j2L3NM1NUKyq8927CSIOn4K7rEZD17KGKMYB5TQl9kBlRb8I2AlXg+h32Yad33Ibf/e/NSBtSFev sAEKZO8USbFj+qLI0zm/FImM4YV1fG+cXWppmDnzVnUdtz2eUmrkrUHAszFVunVXbKsPbTf+Wl16 ELITwJfC7TyWTZ85uHehkHu7bnqfki7UqFS94yPkmzGLk/X54ZX3TEoJb+Wlkq/JvcN7/HOsJe37 KHQu6x/DxR3Cgnt+F59gM/29kC5wC/ZtXDD+BlOZ63Ihp4SPepQhjD9urYG0RYyLzmqdCGxKnpMZ UyoTLC7ekF2fOvuyjFExYWLZ4qmGJqcBVfhXpHSTJUrbSS6QzdVGmq/AnAGsuKxZDu+YwoAJszJ5 TXJ8gWofQHumhil8t+2LK/PS4M5E7xPOgq7/s15bk1dAIDPsYHLMSlsOGeZ5EbHigE2Ro4+EuQzO V76pQ3ibv+jpSzfKRmIcdTXFxv9gzlamdpoffGsQT+AWE6xKl4wJzKnYEi6AduayKmF2zrDr2pxc OClXtEomFSbHgbc1mwUq0unuJmNnnXRaysrpdqcV9YvNL18RJ3iapEPfs1QDQUkRGBKtCAAMo8Ur Q92rhe0PeJoLnW65zOzh0GDiKcRaEWA6AZ8zPMXvRNU7KzXX7xmOgJ/kIfp9KD1aLKVzLDDcHrqA C2IfsT1qoAQhh+XUqYrBVE4jxQPhuZBxve0ebrVU3+iZBhdP8Cdq2K1k1TgCZ6RhHnutWOIjxDRs HFOpNJ3JjpkYD538w05k5TJepx0EoLNMpnxtefn4cuWzXrSiGNb78tM40I76c0ekXi1+LlD10QZQ M1VKMiUIxwDTVC/a9lnJJ2BSZcci53W1rkEshNX7XDG6ZPnej5Sw8Dx782uoKi0VuQF5G6UOoKtY TCpx6RRM2KP4PASNiO7VfE7sau5eeg3x/dznNKp+NaN4uL+iuP9MVoq7CF6phZSpOFsZN/85qe4V 3UBoU9bk7m3voG/6+/jmcNDbXJC2gCbF9ogGNkJ3unA+c7cTbXyK/83kR0IB/FJaAq5eKyvQziOy q5xCCaHedTOI1Zx9vrk/0BwgoF7YphFvbvGZm0glTPwB1ojqM+Jvzb1ROLRzm+h7IWNpmiQ0DnUz 35lDWzp7PFwyZxEFwFm0fq+tJ1eIi2t1iVWcAswJf1YlG5kGSFv88UiCemRf5YdpLWIMJ0Ruc/G0 J9jaAs6Dp6eSiB34m+6QoIc7vDZ8HEb8WS3WxO2GTYSmmJEOxAM4tPLXwBEBPPq0H8ITQ3idZYi5 6pD2U450EoRdx1kGygDKpVGbm3KVVdY0rXsNKwy8+DmsJ41WzSLgvqDS3UEdP2KkATIR9I1PcMC/ eHMcPmwIqwXEvRrIW15znFDy6ex9r3jFhm6R+OlMB/93dSN/0gna1lG3qbMQTv3AOZi8Vj7i+6d1 tlzZKWcKOq4fe9UBxu2i0zBwsm7V7Sk6cZETvXP9/qsOH3OjZDmZ08bQpo6XA32leVaMILDDrW/L Zu/FT3bkNt0pHMSkWE09k2KydPTe4EgAUf65rShDfGoLQzUoq7SiVsF6rUWmD2LNv9bmNfI3EttI 9sa21Tb7d9FcDDiKnFMlBYmJTYXn+nBYp0FEmF5OtGprBG6CqEkKlw/OLYuDpBhEtwfbtoBsTulf EkhUahANuCf4rLeUo3y/KEU/KxcFVw8E7E3DWm5Wr/m/Ue/sjNXya56oj7EaWGI3fC50FPKudRTz mCkpR0KVOWM8RhKQ0u8+3/X2HhTGu/G1nJlhZJeyZ/nS0br/IAyRYpNoLOzafRznERqwz0OqcnKB Uo/hf7EiG357bZOG/FdXY9LxZ+4EbCXj29aIqFB6wB+RwJnodbX0GpHL/erVX0O+t2JQ9xu5x0+S kQNOd2pzW94wFFx6e2rR6LEp2z4pgLKM8MCrw53JeTg6MqOPJ0hw8BIS/Bc2MS/PNg8XzXCwqPMx NMxqMlBIy4jfw2B4OYEy9jNSnjyski4erOWswoZqYbhMBRQsJVvlBo5HeCpDp2z6gnfZ8cZjAfm1 WS6tbuXpM9mo5Rsdh0AMTfJixRgbQE4q0quUZRwdnQrjY0x3lzbHKJAi9gzTotRfdCdgwa5Lzojx fM0CC/Wm0b0OdjfZoRqtM4Lu9HghorICZSEQZnBWHNrSDWSVG0iz2Rx+y0Q7etgRjhcGNLcmL6i0 a7LXkupG9npIH2MB0171DgJLSFYH8Aw75J1mTT0j3Ren8/afjmheQOlse/G9wC/daRpsBg08XxmK NWAtRY/qC8MBDpqVRdSCYq5smYPYuTkL2FVXJwGgAGkUYOTGWCdit0qsY92SCINYIKVLznGwV7nZ PC7SIp91lxuUi2I175+0hdN+JndaIff+tkDEWu5aBSrbXCjsqgWnIX0k1noRTB1erWMTvZeuucxN Pa9WgHyldG0rRM5MzsKZvvIZdX1pBHAT5YNHu+bngK2U2xcLD6uPnYSN4tzxGbw6MRj86Mi8FhX8 ZuHrd4vwsnoX5bFcl1iiZq8amiNwqqPCetQatRyYEPP3XhD8OosTqkOr5YxCbgvya2yzgUmflzxM IR3YSFfPCogzU97I655aDY11uppNblEJWN4Ei6lnwcoOwEkew7hbcQANKNLH29RXtrMxX2gEtPx+ odW34jLQT1TcEAmp9sSzmWd8HtB8PA9+0rNKPdHJ5X6wLefL6GnY0rZ5MVjAOpOCV4dcvzlr1svl hDH99gztREzbhWivGom0k2DOyDIg9ZY+sfzyj6zFXovfkYhPNLyNdBWaPmYlpNzpFjoTqnir/+85 Iw+4Cj3MNPnVOZyTRjfVqQq5xtDd1SjGtdAJizwXhsZ+pkssENIMthaVdYVpH+t5YiWeMmGRvCrh hxWkIhyDtMGKXyvcFVFplgmMgEDNU6FIOUfsTXpwOwpFXImcl67p/Tn1aYQlnc/5roih1BQqF8L5 b3fKjyiSRXUk6Xf62rUpyfRd0K7RUAxL3LRh458C+YLplnzvN2Y3VptSg5v6MV1XIsuDnBmFHlmV pxo6nIDZ4enmiBaH0GVoNT6aTrfP3NjuiCz83gsv5Rs/tlQiP7xEHuU5VXhWNuN5Vu4G0Kr7i+yu TbBHj1ERtZZO7F4zCL2bThcnNC+d3EKs7q53BqKQa8fMNr+d7lR+By4ta6319lttzzZoaxCVgPRs PRQhYr92TxDQQ0KNSTjl7EVnm/0GtM/dBBBsRZ8FbWuYOTukQcwPNwfn7b6GQ/q+4E+ZCeEcimXf 34qEuWUxARPM2o04ESHChEA/NsJy/GSWRIDKcCApOqVFLNfJuC0DHbyf3orSIZVtjsl0WidwLYeP Q5p933oLuccB1xRNetLHHSg9Q5nsOWZrCrPiZ0+2oBqvzOhEMTHc/giZ3JsCOA9aPhDC/kW4CgoV s/a8n7PdN2Kio+Q3hTZaSi/Nf23D5HZ1IB8Xid263iGW0q36/P5sZFxaPJSy99d/GjWr+n86k2Xn Gpg6MeUXp46gSdV2uBPelZvD2wv3KEE+gBKowi3dYAjHGvTqCCYODWYhLU/fPo7+ismYWXxia91F JS1A85719RaEoMLsc3GLvnPmLoWvnBfqRLXGgGyL7F7oa5OfoTTBh6furlHNanXe1z9AbCO5/rBi bm9v99qPSyjKTM7b6jM7bOwSVLvC7+pFGQ+p21u5O3EyMLdhgVabX4feKJSPnKBjtH/PoT9HMVq3 3X7mBtc4DADvMANGpkcDQY/Qg/YNAnAXhDi62BoWCkcoQykfuh1m6P3f6ozkV8bZ31Zm0AK40865 MAtJaTZdE4LAxo6wMYMaFk9si+jWgo8F5tPP32GsbGvafr1B7di6Q0dje6Qvkc/7PQw/TRsCZORD xJfRxZ4okJzNEP1TpqaLoCID/h6LKA3IMXTtew3YJBB/MrXsLKr11GztxMr+DisZjUyttm17fNhC 2a59equYZUYicdU41oz+Pag9b2/x5brTW6aZTSIhLG8nOBU0wBHbWhJpsgJ81MiVDw/YsCkYE00q yW2tjsPEVOgKHIe2Y3GinhhYp4sXxf3iTsdJgF5z+wrL6WuQBcZRuIBFZNwwfCo+ENCfNAYcNU+K ONReZFjwJaJeptBJttDA2T+kQmRqYsrPFfwRi7pHrF+pjVVGeH+ZTKq/3ro4aqdv4+P8nKKUfB0u Fn/R+lhVJ3Fm1O7fbMUPTKBG7+s4wRVC1QjCrxDFmzsw6KA6s7HiuoN3MP3jjG7xDFGtw75lShlz 62HrF+Oydvi+gurAzyPtWwKNuwvDiBsXVr7qpgRk/06lI7KY3lksAkSL9eLthDlbR/ShhSyPXELy uXukL7trsuI71IvAcnsDAwVTID2a0QdG2ihEpEYVr4+9Pvk8QGV7ogbL5uwTx0RwEAEI9848sxdR 34CsRCZOyN84+M7UpXRyRLlFoLKGYjN2/7d+pY4ItuoGb9d6IHux+FkYLtHGQu+eSnVOx27detuB I8p8g48B1tFcxm8svRWh9eeslTxJ7BAbZGO0WXgCQYwwW102PNSHIxuMfdDCakXn/kFAWaYoffhV a9C29n1bejyVIRp1lDO95nTQHtKJTrjBEAzJRt7mIUVgWWDVK7p7UErWy6/CYVDrUtfeLiKF6jW3 DgdLh0kajRsmEfcxfx2ciKxSoPr5BFa/5BH2SmZrppEKv0skTwu15IaRMRaukm3HPRlzwvfxJ2AA UrzcZNBr+nMItSgQV5dL7dKlKZr1sPXA0E23J/DeFDF75FMCcNcMjXQGH/JtKlGYuY9xa9HlrG2p eoXsQU/5PX/gv9EU9VpOOZuJK9W31lFMJUrQhV3xO6ghVv95fVZfgxlbwj/EKKuCij3Cv9+Tr/K2 3mTnJZpE3y/uwjy3+QYJ7Pr55lwQiW4/ox+ym3uNdnIhQMwvelzLjxHjzu0cF6yGSCjvwlWYhPsx qG75dsodSvpdX+vztlxqt+YjD9K4oQFVBFtK9xMoHzR2KD9S5kh3Sjxz7DtHtmtQOsZWCyeO1nwt a297a9jlo2n8NLzPjXcMfZOSpvwVxPFR0laMybX/9tKGx5cao0t470d4BP17rOwa0ordTdY8Q5oO qRGtinTJz963fGoeDzoX4nC5lo4TARWnoNE58bVkXqM02n6hg4rlRI+TtQ9Q4fkFPWNzLUGEGebm EPKJKjR4UccsYEIZN2Nb8G3Zsn3l8bwrTc8Lo9k596OXenI52hqF0AAIr8a8Acie0nv+ASkPdbPn 9vOZZg8zBnlv8NFwbRr4zciW7dTjL7//MPIjf0L06midKUG4mOOyFk5jytnoCv+FpH3QbUFxo8gM xSoGuGP+a5YAoUcUcOaMrGeSjXSx8U02kG3fv6+v1AuRZsi8ol/+1qXObvfzNVLRkHiJHu0hbtRw M+JLXx3Y56IIVyzuE9e59Cm84MtsT2POwxf9XVhLipMiukhg2Pmflc26bilar8GOsSETYZFoAhk5 +bSKRJ4wmYE9NFvRbDCOVr+3N7i7m6LtQVIgT4KhSI/iqQaWh/LABsRFTKXk6ZPCeD+Hu62cutpP 2lIAwLOiqIWbzJdhpldvio41t3CfyJ8uJa20XVjoXpggP8C2qQvxygFhFIfeYi7Y7K1aUSD+0/C3 FSdKHHITpVL5zlVTFxrdDTChUzAbPQc8m16HS++q1DKbcYl2Qi+L7IQhF3AuSyAnQdnR6ndjgyiB zi/oCVLCmRq/ImqDMh7712ENFRK1NSZvvw5Anlh+oLe35/MwatZ/LZ/Rd8V5PtSQiekkV450c/XB RPJk/qzfa9ZYHDXyFRbWJPUy7SLKgB7mRXFeDHyyGODjvZZGKx905zhnV8xgvTthML3cYdGFEuRF W1Tkvs83wq1AptJ10Y1LTEOz0+E9CJoj0VO14oWDuJwDsux/3FZ0LQF2mVDmi06rE3+Vud1Qy1Cq hJuiyydE4FdgyBymvDUf34H7HDDf2uHkByrHScLVRMW5pBzYhRAATuMAjWPtpjVQQmVr66FHoo+2 u+HB8qaHxzUw/BGqO4LqNhjt8+8MhWsyzmkzP0Pi09mEeERoynOWMOHSB6k7FPHS85D/LGvu3mux RFMO3kQFUWkryoQntyxazThZsKutr2qJXkWw78XGPgVm0zSkU2qoWAK32Ac9X2ggVURTxT2Crhih kacJ4QWNSn9/gFuHsnjZOvrl388k5Xk1hjDlcUMyXcY5z3M5xxsOr8iTeDPL3/TavJIvh5MQkvEw ikrCjnjROVTfPyO8ikw4V5o0pQrWto9srSWOWXwnQXgDNmiHv2+705OIaUQjblzAjqbki0XgNPc2 z/civol3xrt/iEhzz2oitBw2A1rM1DOIllwNC3m3VTEk5PFOkGH/EjoEUQwJ94gxRbsUUWe3UAzZ 2FbVkCX9sN4qmNTZUTxBWzobjhP6kDAxf8TaLq+g+cXgWyvJK/b9QRHuhuDDrohDGFP7W3AI4xK0 VV7NSpvReTAJClAacea1swSP4li7nP3jKKViwemNp1ssL3mFwmGDRsemYjIe8KcUMh1IJ2GhjXJB HEQ3md8LJpBmAxHUdxuXoBg89ce7GCaVEnj4MbdnQ7BviAbpFhNs6TcrG9D1EYDaMbDM3te7ud0t 2j6xq9O3EtMgiF8V1WP+Jp5JsfPXQQhO1KmDzrQbWUoSSA2Gv2JcgUxPIrxbzY7yeUBPbm0wLb7b CIQ3+m/xV45KV8Rivbsa28bZ0WMVfMokk3gsDNGzaCaa2spRP52uRKaYa84KGNg/nd0pU+dJkSK1 VxYPSH0ktqMY77vzn1oCcbQws4PIf7fBdrFvChxbqPpCUSI10m8FAwHy4O/XeIHUe8EwUmjEgobS 2Pw/lGBc2mKsrwWNQ2Q+akoBxC2vIVIJJ0ERVi2iLc1xjVl4SzLw+zyZD8GD/BShsCadkQGGIbTu Q/FR5D6HV0HIX+sCmel65Sdm/3SsKdiAHi18QR4A8oR5uISW1ShMDLkC0Ik9hHQIh7AptNtZnsI/ ShTmDy+G8YVggCKbtBWEVTO1VngLgbWJaAlPxPYA+UbmVsd/MD0Kye7EUZCYC2Z+X0PW9q7TXqbK 5DvMg9hD/z4EdDOurmPnG/Xlv5k/Ek+nfHabfVPwR/avjhUFjsgzufiKA0FXGmQDOsZwdXkB302B UpsM0lzIyLsLV5SMWIu+0UMTr8GzryR2qil5tbdDkTfTekVyBjFVEJ3qLWQhckr6f8jjpjm1VadC MWQWFNWUsvYKNBJGj1ZpAtcS/byACUtwks6AqmaR0BwlbxNedDnM+QzjlonVt5eBjVz3WGl0PTGk D0qxF/55UdDnWL66u5hbI4aINtBKoOdF+HBQduMQ2INHMGw507N3t6LwX7OxbC5Egi/0ZKXm1KQW 6dWJN3MQqHHByb2qm9QrWbPKn/uUEwy0rm6zwJRaVVOl3MrGkEvfbbN1UpQ6rifkDAHkahazJnfV llaa5XtPWxsIQcijc/GmrazPrXBvVRCdNu7lHBzhvqEukOsdApWfDJXVcaU3O00+ukkep87Uxowo gDAlBkqa/UN0lrfr7OMVLvZdCge4OPl1Ag9CPHlkz7K96rBK4C1KUag328XSjiyR8Rzfuj5Ny7Xv ma3+glPialfO33TegQ0+a8P8MLimRhG7gFGZoUROWs1rl/qOxiM1kWeQVy8YtxSYVlrFUd13h+Ux hO5QW9AjEIZgDRad6Wx1e82XV/Eer1SbwLdW5T55LoOz3EevW53a7JfNT6qKgiItwntXZlwTb/mm FhBTnwMMr48T5B52q4L/7A+hExmjkSuLqBUf3PVZGjSrKk+w2XcI+sLef7zjj2KCk8eMktMU4Ze9 KwEhxyTP3ET0orHyx2fx0I0f4NstfOx2CQRq2GTT57zY3ZhzsJvWgUxe5tBZOkp1tJEfyeEj78pF y3QARd+2RVoSgIo7SzubDFHmuVnJqsUyxoWtAJP0/rmPmqWU19yK0i+dgD9FHbTeMGkgGE5YTVFF DFT/QDW58EPD8yc4dP95TSrm8JKj2pJvd4E2UtGtrQMzH5K8FSapJYDL1ZxC+rSy62myHUxux/sf bextqa1rE76HyEu7lDM4s71fy9uQjwT1Ys6CZtOcCWf5YlxW8RQX9y7yPJVOH2N+wPfOOIQnLJTf bH5mrTQOxShXAkxPBpzYDUA7L7bzQ2kbDv9434YgLQOFzrZ3O03o7j8YiWHJmFLWnLtJmU3AUyEs 6FfBgsLcuNUmRd/36zhQkpnbw13Wi9z+q+nM/aXIB/evw4YeVG+zKqYce08nDe38xOi6BE8gn1gJ 2x0/pr9rsEwjnQu3FXGYydGue0nXYpBfZFIrWWvwROFUUFzVAJpIZlVlkH7/EJQQyUadCDUtScJL RrhXV1Rl+0KU/LHjJ5h1bHKZ/yMRihlRylnhvxS4g0LMP1oiv8HfkqLiTv8Z7IMTD7Dw12QjyTDw bPh6Fr4tazsN723HnJSprBnnCkLn8rG58puuXRwDJCJkowvoJOUHYN0nPbvgWqXks83DMjpZ3GnS qh/lPmYag+CuvOxgUWcJE/kODOncWr4ZLOMUVmGI9mH0GjB2Ahz1jCittV5pZukWLdvfsBmy1PYT 0jk3uDl5omaeQknKgLBwSiAYsrjkXBpPhGyTQeERmE5MDcSji1AasgMWjJ+ca+Sh7JEZWW1sLguh XsZ0O7jcyMfncl2V6mUQOZj4twnwlt5c8jY2TxdRh57BUjhuoGwgi2suTaCPpRpKSMfDF53e4GCI hvPs3khnm5dHc7LZH73Ai3NMCRFokLlGlwxO1RD5EVijPc9mEt+C521VLl99bZOW0Yp74REh0Ppt I/3N2LOH8KZjwca1MFfioqnQHtLLCHQVsc42LwPT/vU9mZgrgBR0PdxBfKT+uLs73KAtGD2Vv4j9 966K6e6/V0GgMmYsnNopgCzTgH24MS3wsA/cDmLcbUx2aFSlJYwBp7yKaRz8C6oUijzivwCPqsET wrb9kWKxbakEanMTCBJ/RnhRMk00PAm0mbIAuTN4cQcfLZuB8NyODAVFTLxR3ZD9/YUYMKbBNhPJ e8S/7ke8avmbQhGfAw8siAF3PwFUDodZmJpXlL7Oz93m6h0omE2fuspebOOlgfYETMu3X0987Lu4 lPkTwxCfFQWXfGKu0IyI7pBRmJnZ19iQkcFQqyxuXxvETmTbanyJ/YDv5U/og+bbu3ERGcHN26K8 Bmb7MURR13gQPskEFNDeGg3WxryxZ5b2M6zoGWkEjFhfJf2yftERwqWWVcmNcyJhT9Ysm7vmlqR5 3YIQyz5BSWscwINztR3S+zw6ejue3+zkrFphtWqK3E8X74XESpwAU8RXnihtQHas1uWYHNGjCozv JOM1IvIkNr8M0xMxx/5t6L0PM1LJJAfB7n8vJJHOaTNZUl39AhbC4MsC2/S6AzLQiVlij3VwdmKb /Gc53pVzfc9/CxVn9ySihmySAZKrZKE0XxcDMbgRrOSPMe/nfn2wPpgIlN8jK/4p+3nKRRYoAqKa 1j/67XFDv+ysFFijj4KjwwIYqKWXAzZAzCrp6MSXeBY3CXjXVsDiRjCgyBkzxBYn6l9KImL7jq3c UVI13C258j5uTQxxlmGuahoPZzqjUZpwWPRw5F0VWnfR8HY/ZUdgEUbQerTQ/zxYytcwxcDDsemk AcnjHqhxP+1d7ccuGHfX+0IMzOIGe7GNLIYWetrwbS4Zl8veZIsZ7nsA1NGTNOHeHdE/vgCV/FWk Hce7qnmFu07pZRq0crgKtbHXaVdSFFDwLOf4hmBWGGsaZF0NU5Q/89I0PTT/Hd6sqybqwMY+bSzb n/5VAZk0g85ewcAHn3a0QXtAlg/r0CmGsDPtU5AYfruFvrcFhaYr2zCuBJCsLMChjlwtjzmOShn2 gViUkvno4v8oaESM+d2tvfI+3+HGAn5vunRRCI22aJjM7u/kn6Hj3rF5fEO8MOSu7V8utEmOH6b9 8kFshpvmlRmrKUhXtKc+XaHf7+fQUs5rWmFL9yZM3neL3hIMFHMF5tRf0UcHmNdQ31Hx+t128B6h pub+qM/StWt6FAg61ze0zLo53em2i4Jn7L3bKgZzxb3R7y8rKyiAwDdocCp74nOOLQSKZhcrbGAi LsecMhzouSjA7708R1/R3mdsRWFijvtJRXG644F7PRphayPHkCFqOIkuAGvGIItwc25oJytjL9tX M7Di35LpQWNoiNlnlq2DyWV/rOIs4go+l8POhamiwZM1iohI//t0cRHq9GHU39LQbralp3cYip37 CcT0rgI2OQDKVR71s3sj4ZwYLTchbcYCxrlw5YnLqrBa9m8lnFosNl5GvrRwCxVvVrHf+riLDFai HdlkpiZbD3YfOttvS76GWMDraNptsDd4tBsDud898aEh1XqzkYJ5EsP+Rr4qq5pjQ8Hy/XehqYgG nblM+okcrUqzrKmQIYeJAyDYD3t5qxdbWECE7q3eDksjzJTjGFOywPSzqQPFbLp+gXVWs8fQB+G0 xU+1MgjkxB0K8+1J7bzWVOFn1FXkRWvVkP+o6mr9usZ43M8CH5K7QBoV2heDk44djcKwoZ4KD/lq zWdKCR5fJjNbOmyl8qdjx1//QYBFMibBSOvN9QtG5UKmw+KZiSdkU9CqyEls2s1WDgKvCyldrdfZ MvKHrMhoCnBf1gOdWo5JK9l9ju0RL4+FZbcqpg5j7abBWeLqb5Od5W5vtI0OYXlKOnhSMLiRRqaH 9XD8RbQ2/6rYszNZqc6h7CqpJeAraxQCWG3NPdCkK5xSHSSmKtGQeXSubaEFAC2fTwdxB6Px3NLz ofHe6aXn57nPW0trlYW7gZE86ncggKg1dUy2FWlEUME9em5o2BIsUv8JA3G1FjszfKkhxvfjEJAf uxlWr6R/h6t2HBQU1C0MJF/LMan2RbhpNY/qNn5aYBil0+jNeU7mqzjUPAeloUpf32URE2hZKXMi jPSJft3BP7hoBrff0w06Pw+93SbNZYMYdFNe9ogN3TROW3KBmPy93J70RgmJJhldMs2UqzqkKuax 6fMfW/ro3sE8EvMyLny+CzP/7a6wKz+SuZ3VP/jJX7uqUyqmA4vxvfAEQv8p4q23u0D/6naGIxaV 0kdHGRMEBjPw+svTakplr/0jylKN1y+64jt3P6hFlEKWuUdnaGHTu2Vt2md9PJ3bR116c0yzYA+c ADHH6AuNV5IQNm/Q8G+Hy+7WLLc5c6SZF8hEkRoVbEgSxlWJXhSBnBKL0W54zCDtEIC1rwWZ9jNo WHMEnuavTRST3va44CYBGwU1u+UMilzVnWaC2RJZAxRJ6qwnck2ETt/9blAClnSMn7vHvz9f27EN 7CU4t6zEDuDHrruRrMi2Kf+L5ReH8mqkA+1NFD/RrN1d9DJcyJ0LME4B6LSpAzSlAw+b/KtWzhgf uNI47xpegteLFS13LuwdX58r8XkLHHDyy83vL8bdrY74Z2WVBpz2H41yqLH8FjAHg3Pk8bCMaJUZ f+lt4uoY5wZTi0s8qXacHUDnFOcfO5iLYal0j1mieTcgp14WYbzJscZDyaWpeDVLokeiEhBUoWpl 9blU2Guig+ifUExRcnDQIf3xfkMoDL03MF6XHAP3d85IJgaDRTXe4QvyTNTZk6CZPuSfxQJBol9V 3I1hWbFBCgR++SWEPTYRhA5hEKF8DIlZZno7nohsgaoAoV2rOvM0nHxzndthNhwo3Ivd0EMWB6kH WWUCcW+piIolxy7yPAxwoTZG0Klb003tf4sjTPP4WdR2JvuNgEohCmZoyLxiinC392BDYlzq641P aR5h2CirCJN88gcKTnrSbRSskS7tSav3FDM/KigCqj6gNHCmYQr/TBb0yk5T29PcVOwL4t2U7VnY noBLBQ8Taa8nL5LWc8zNrEmlURhZ+XPCngw74/jgJD5KGJc1vTXolnh32jPnp8fV2dEOQPEEouyQ Rxb6Tk3dPsoRq7IOfjWXv17IpywrPfEp8SckTcv+2/Hq6DmhPFpJAxmZqM+iIiIJuAIKfizilIdf r1qeadmujMFlBzQuZe6zMDU+p18nEcQcwt3fpfJIq72WxUg6T2jVHPBrSljRnqOvv+6m1UNTHmCJ IqMjBUMeYh+l5EAz6Dg0aTKjhwWBgi9RcBxR1YCifu9b2WKSDVSFiI9YMhNgq78J4dy7I6pKCW7O mqY0x6z5YAxDRqZBw/WLAh8M4w1WDg4cA7X214mg2pZ7j50U5WajLaTDdJRAMlekhp5QeJU/3tNZ eaiD9wVjSdxckepwGg8X7OnCTHQ5JRgg6OucgR8Jf/J7tPo3D2sPhTrKaMIG9ZxAGzkSEx+g+kpy G6BgtwWZMgi1ZSKQTvh2bfqdz6vVal33npEXLgCt5BgQWlMYqh7+65ZRMswle/T1ib9/f/tKxVnx oK4Hvz1OSTeAYRjI2MC9gNBMybluISw1PG3gf8Q1LPN+OmEGDlJqSB+WivLXB4TzlQx/1X7UB4jD PGvD43tY23d43yyVcsO2FocLT1KNziQYYrjA498FXaZpVY40CVCE6Jx3+5PzgzWkNiOktIr5YyVa TFApKxO8LXgKzHaMk+XcxtQVvmSSk4g2yzK49vSkCWHcJGJQHBzFiI644p9QWFWGqkBOMuxb/dvO 6sVdDnePcsdwB8rImCmEN/aLKm23jxvSXnz/zp7GLFt7npSepqhPm5XehZHNU3SUsFoDqnA0c8Nu 4jhe7X3zdSnoF/yZx0W0uNU2gwsiMQOEg29lrDAhlew40JEQYHN9u3it80aQ8HQh5fMiZUGy2ONH mb+ikTo7fttGYaeV+LmRHOYGLNg4TYH7c09QuHQX1uMkNx6drVmmAXjj37y2kpJEwl1TgXlgSXsg bd2L7ZGcpnDoTUQu78YLC0E6UyUlFz8HWgCRzLdfARtCkCJ52BGSk3DPWS2CGYqnNikLnatjd9EZ WwTSOYWfSPVRbaslRYID/yXCvijIekYpsltc+3XmZ1VycXHIG4r7ftHWPK5hunpSNGBcxJWnTUJi jj96xkfSaU5mU/1vznf1/alRS9G5yKlEco0QRQhmm4mJqwmNtTIk3nH6psOUuOLaLE1l+nVUZO+p uGVDTuW3RySTqY0XsTfZZ86S9VVmg/c+PwdSe+WPDhJm96OdQ0MN5s+3wJkwYEIozNC6mob6hIqq wpl1FoW8tfwUNu/tOSkV0fB0rOXw3AKswyE7uTTg1JuS1mdw/mZAiepI+fHu+AhtKa/MM/XypiZO HvRx9XC/MrDSqpt76IT3ZQTXLyCucqQKqy58V8UMdB8Z6uUkfz8HEhCAZflemZ5Z/YI+VRimb1yD ER1lqlTMutC2nOxHj0BJdNhmVaQzJmEZJD5uei9tr8Ae1Bis4MLurfHy0rBrn7tH2EjVKevfQd7m y2nAzU0QSgTq71acOQPaMewoHWczj8b5c7Xpx87F43bLbniEmxrSElLeys4rgRwq0yofqjDF0qpV qbw79BQZkzuGJyyAyhOBMWRiqTArFPoJ53AEGfoCFQgM1lK8titWfRN3Gj919GxM/GRHwcRuhk5K Ckf9DvDzQxbeIdAimNOFOD3bMHq3rf0e6JkxhRDHHohtszn6BLGXnuDUmn7b3ttaAZc/1OGFtwKP la4HgX0AgHM67ALjbZ6XUchGl4Pia3GMreSQL3y4ozg2iDU1lYizGV+EcbzxpwHIcczWAvNq3zGr NBVmjIlcl32kbMO1dY8OMbjOLda69qFgE9KbQsejIMjq7h6RMNpTGO+15cR+injZgTXAGsCG+RZZ DWQmkZ0fzkU7Ny+Gq6QD1gW2OZSkKNa+EOs2i65D4Ly06Vu0Y1Jn/ovxDf0a7f5WocbAWV9V8UiU aeXobyd9OW2E7wfvS7aNDb45gJzLfsz2I4l9OPtIinXPh45OGbMjGPKbI3Hg+1sgXIZlwnUtAgYR a74Dhih+jgtoCz/52YhBDFyAihommxnIaqQykL6bYFTUJOQyaff5f1Vt5AJO1vRRGtwUdvTR8cao XqHh0CApDKkmwo4uHUEJ41Qbhlxf/2mAPtWwNhHFDcri2qHBNHYKM9tnM/IEb7kxQzO00ulpCOJa a3WDvzcBPFKw84l4PpbCvOsN0/th9zKck2ACGGXjcZSdPoCTgDxI2Eu8DgXS2roENbCWfb7yYbr+ qEAVo7heOx4aQZTtIpYCo0dqzYL61p1QnAv2lhKF02dYvZrTcxjf0u/WkcG0xfj4tV0/PDf2F4Lw GOODyS/RoaR+uH8vIc9w4JPTchGTsg6y812ybiFuXJAknl0hdmRcqlqJxX7Sk8tGOJ9pr3QUVa0N qKZIKyTkOByRmRV/H30Na0uAS86Ohp6ZagSwSIrifwkNphXV/8w5DzT1dUBhrrs1Z5UNW1adEo1f TVFGlSdg5V/xFfuFADh5cMEpH3QBKFR3bdvvRUkJ2iLwVfCGql1ctXN1OwZmft7e8xB6lPqZqkRU 3Ky0pz5AQ2/cgfApqfQfxazmXn4X3ijzab3OsI1NRDhvdgTOSHOAKB9b2YFdAv8CGsvQvtNDS3F8 nql/CjKRqrHx1hwibFcP08Njd5ySb74gjS44zQuG/lgrFsSsob993nvuD5rFdKeba7XeBO3uR4MH 4zCjGHMmeNpuQgFgV6get8unizKvT07Z6XUptJ32enY1gyIq+frLhEjsb6bhaST+SgRtcI0IABU6 cJyOr/As1wt0MWB9CThFHPm0TcoHGYFTE8xHrcWMmOnN3o5tLh4zkhS/mOxvi/jsNjMS2ugApxqR yF33CgY56XqwZIyuXaVRtl7mcMIkRAWDrWPt6IK4W8UoNsMbMWi5NUmmMSWm/DwXUzU9+ypQScjF wzOD59u97zdwu/czNab1MIYgEKRCZdVIyebF2h1uadgzvZlg2UlFOyZrncmRfjsa+J+m8DUNCRLB 8L/J02VYrW8CgMejp3vOriGCIY/PQCXXP4YuCzLJ/A5cpGH0GzMQnmwk6IAb8vG6hudGebGZXJER /LDSvkkx/N7eO6CGXT7Z7PmYbAu51yrpzBpyMR+r99n2FE3nLVz0frFHuVLC2lJYp5rewtDYPyA1 uYmuywJlyWr5noTWvRicFa0T6gya0+CYSlz/w9cuQYUzB0x5MU/DR3ryU/HtHDkHthvt0X/uKHKP l+SgNSqB8clsZkBzJFSnO9rgFmGuvfo1d9QnDQ3eEebwG8E/lThU1Y3CDUTYvC/BFGghDMrlx+JJ k9pxZ3bI1UWxEqmssBwflH/S8plBglxrAYsHQxLSYCtSRLl4oowj+42RI2k4dLx13jX3+ptINtV8 n4n0Zt0XSj+84/WZaPGYRyLjt9juqQbXhuqu4OuFgFmO8Tj9MYXMs7mjkvpdk0p9kh8fYA6eAoq+ YipThJTHz0LelqXBHz3Atr2v8B4nOXcDpY9+YDrFcWz+MwFz0AsTxmvsyyYsMFMhXZ+WsmJBQaZE jepHY39jzYbO+2Qa6XvEam434oB0Fxg+FDdkTsSi0rtvNABqs32v3OIl7VcXZRFopFj8vNP/kCAC iWDcxG+b+oAjuURxYOoY3mluKJWqv+QPm0yFODElyh+UoDtWJFy2hDYvBuhfAKLNhKl0gqi7zHqw GDt5bqn7emHkDvYmfCPYZd7i03S4jjL7VLPkLuJJKdW9iJdUOl21hZLCvO6zGD8kPNCexEpXHpuI GCchSJfLxQctD6q2vJf4S7bu0NE7unly0B0wcGWmT4vDk6uj3fLTZ+1pavpBzwWi/vrAkcMQrEV6 cuWOFqx+uAHtZQOZv5zsiH5Z8pKcgtM5f1CMAqTsoNg5Gzdql0VPpesWxY0nlxqyzUFXJFqpkbjC 92uIfDhSUSjQX85bDUcww+OoUVM+i0Gjk7DHCE3vZvD8t/a58+/QzkOTTDUPzRcFy0PUvqOEmFop wapDl7qAl7CRdUWZg/4egQJN0fS8MQmAPJIPefYt2lSs0Dm6cYy3j7wvdFU92mResTS/QJN2k5NU eNVzu8oW965HrEnk1DRoQMDhh3sV6KP+lFyVneOirsoBiWTupfLEJA1sqOLEY/4KZwuUqbjSuop3 8OzKJIK/x2wN85gqLYP+1UfLkv6CQTgG7LZkG/BlCODnNVl/IRCMQ+IAcpeUzMXxY3qAEpaPig27 6ThuEP6LPRYEmIhekeUtqzvHTJhAQ02JOHqJIXb6XNdxmAj7Qzqf1ibAvmVvRdlzChaZaL+0XVY5 M/sK1nw1r5qisVuIZMwwz+tHx2lgFwVXTGuhk1lIK8W1NxQk7u+EWWSb0yRy2+HnsYgY0RCSqbWd StJAdaFDkQD4mDv/kXUZGtWJndgO50X7Z9bRMfWcJWi2At1imI81Iwafi80xGMnne84A0T+Cj1Ie 7o6LM10QTVeZYUUcowsEEOa3eZAb2s9GYMQkEKYYLB/xBjxTTLASTltzVG/yS5cnC1nsc4mwkb3g enLaiz5K0eLa8zlVGa5wZXO5L7L9nWya5jwzfGeMcvm88faTjH6E9k3mPVU4bBHHK9L3uVXaF7SI 16IA/3oUMc72rxAvXjTIYFIUXxDLM92PsvIYmDc8BX8sMB2N7dcTGer8fVrEAG9RWvyG7+7DtsCJ GiqqxieJXZV/7qczVshzCilIww+DohXRS40ioZRAKvYywl0cUBeI/DroIy0MxqjAos29bOpRD65X 04Z9D4giGBbpQzINr9/YbWprOeplBgWTnHX3EO8zoUop58WT2c52hMKcZNFTl7oqWcVdZtf5cN1b G8EZe+AJiCrLsSkV7PJhqS9czgZDeVngz7D4iDCz4EgSnbaW37iDoPWPEETgaTuQqCX3Qgv1/p7B iz1NV40mo+Gr9eJDOjDfrr3jlx0ZiNEJAK68OsRB2y23AfCOB+IQNx2J0Njrkw0rqPnSeVbcL+GR NK2pxxoU3o/YapLt5aAfTqyyVJGQ0ccdgIQKdwklOD5y6+o6uWTEmdq3ZI2YrHNzhvHsepJMpSjn FgJTQiFSjPx5IYaU4MgIJU/ex1pmP3l+bqro5ZzJaxntYf5tO68GYQn6TJfH8Dj0hZYN7HrPksjp wCIIrOBmivU/00QgsdPWpuPtUFQd8vOb1pHUzUrfU1pUy5TynsSn6zXFpNCOZz+/yAKhNrGRa7q/ 9FqWktkZ8DmNqT3tveI6ACWzA1/xoI6pqU/sij40VAW2B5VhmY0xyP/Wg0isofCu0RlnuWQSdZNd k2kLF1thbsi0yZYPOIMVhcAaJTC1L57thnjiNPK6TI/oHhIHfQv87P8WzlbFs1bn4lnivJqrxVVR IfvRAEqMF+O0D9m/JMfptTKYx9fvUMbB613ntNBsxp7IxdVvjUNoyuFwM3Iqq9gbsbiENkf10bS4 F/a9J25gKkaSSYP+XgzuWZ/JlcrcgnjN255LBbDmOqORtd1y7onh2ipSO81nd5koypupaofEnFVA /YoevQlmTPogjYh7SzZ2OcucMcOWa5ZNt5Ax14EXwJDt+aqXmhwhMnkbnBd4hg7leuhDFjkesW3Z MD9fas8wu/LK4j9eoJ/962kCUK72ui/BBSX+/+kQClhCeEXWHnHSge5wBdijaruCaD2xC9ebpweD SJqNYAkDKxapxa3wWc4S/NrsGfoyCwyUMsy7MCWSovwVdi52tsiozVtsC6IPJvzm/WQILT7vDsys SPrx4VKUiYXW3AzW7wk8UvyhGs0OxkGc1VQ48AJC41j7/ZNdl+gnTvXKJEPTstlu3xh/lrz5bwTR pBNXQprGpBDX2WiycJVBiD6E3BULeffmS5V1EyYaEdUS+EdRzDBKYs1GTNfrBUmhnNASv62Mf4ee OY7FtEvnmtRJqe7pDaV4ce8YBBGndrl2gemTJ7MmpXZJwi+3L2Z4XSp440F6H6bUjUgeewsTTpYw QlaCX0LsqMOKenBXHNvc4Tvf5Y6XYjLqfPy1tutxRo9dfoW6kRXSi7czixLxNFKLvdBkiq9jPbUU tR5+wPMn+/VTIFFaqcTFiNWBopww3+XeIueOeFeVXq5T5T2Yhj2nQWviM/+sqzJjO0i70+p/NoEE YnUyLt3PgTsLLusuXsKSBzZY+QMiBF807XOv3wg3rjb761A66B7HF+KkJ82B+UnByoPtq6mxVBBo NqzBGIx1Brg1vz2E0krsEj8sMQACAHIohUR7xvJWQYymTGdbFG42o3qxVMApCDWKsvj/bhiZ/r8Y g3TmP4FxnAcaDaBaJ85hWfUSvR6773nMDMhrWQgryxiEZg9cTaB7O65cs6DR68eLr1tpfiZ3L/NZ sfBbO1kHKRGPD62BtWo4fWvB2ufW338Qj9UC5Oq6OQ== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_defaults.vhd
11
32589
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WWtJI+hY51PBIHEJuMmabMx/exsWif4/+eIlqg1wHwt0LXqCBCF/9KMUuh0c0q1Aim1AelneHBQ1 OAUIypxCcw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LHWPiFER+ylhKASKVb/M+rPTiZEUFsSoYr0JzoMMS3GVOGL2OLFeqOogSRZKIElrPvU6koRPo+Es YaV4XvTWQrPYaKRuwsx4NBG8Kxda4juxxP/rp9bkfo/lyl5vcqup7qHmHWRkyViNZaKDThZeE3RS GK4cirCmiwfxEo4Mq3g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AYgjStfBUMWeU3jKprSUnciTIMZZPy5txygzDux4UChegSEbbUtF3rfC3SvidfLEgr0Xx5YrKuqi 8UeNbGyLgV2Fztb5G016ybd926sP72A+bpKraAlbcvGRolK2h36OEHIbd1QCQ1CK2LHFu4yijIpu ZCVGSRMDfbCIVdoIEwi/d3uG3uxZJ/MwcPNEV+U67K5AP1cQj/MZSgAHfDEJN3ny/eyKwQLT0b8X VrJgv+gHNlk5pWmHGp1jOFZV21FW31bI2ureAimxycfLq41ciw7AJu7ntE0aA5znCk0zlIOF29Wv FVMB4Roq/qxMuTuLdNHOyDamSc7+1ymlG9PNwg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IqXkpA7tC+yxdagm2gZbfE8KY/sLSwkzWYcxkLkeovhP6k5hwXB7qgBFgwiDFM/bCJ5ScHqOq+cZ 9SW3fwNmkWjldStW5jyx3Kj7D4V+TZG/OyzKcMcs0tleStvsDR1qcGkMdGgwTBnHkIPkC3uG5oo6 ZM4eXtEq4AXscq0m4s0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QQXOFVhuXbc80+zZ2htCYHYcCp3E9O59+Yl7ypoRWeIoCrrKiW+q/IshosljPYv9Rnj61yuPSL68 usz2Y0hE3ZS3oeK3PPxnd7ql38jWr1G6pJ7M5hierEefIrxu37p0e1dp9jw5djTq//qZTj1uTQCY 59J/r5hUUP+AP25sKdsRw6TJVm9uga7G9srmPkRIdoLPPfYk0yF5ajnsD7NGAlmU80CKSwU00Ofo u97sna6z0bNS18cL5p3mUTSRYh3EhR8yWYQDBDVfjOUeffgGq5Pb9Fz1RotN+JuUStC+3C8AW9BL pf/Y9/KyYenDu5RF8gCAras14scTUMduPi8Rvw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384) `protect data_block oK7CZuHusBl4p80xpwcKpymTrw5o1kRNzmjX+ZhFa1GtBqHltzfjdIMu7doI6T8t4c0tLg4nBy10 0J1w+YaOK0eaIld1vBoTsq3bRC2TL/FGTG+oJU21MsXdgGGo++aHGllNx0wI8SgNpSMvxNd1ZjCg JMUPr88kMoirDuIbAqvxRo37BYgEPQfMmLxeSRNhPDfISFMED8rq6MDWmAwMsqikye0c+dw264I1 fQwVR2hnoPglDUwOiiFmM2FHurlKNoN1b8g9gflsQNYKMZGQbREN37ojBOcMZBSmE7y92M/qXXVi yj17Vbm0h4rU34ck7WjS2/eo7ngiAOj7ptA1Q2VhSkntfbtTz3fcUJ+nk5EMX0c0UshPOQ3kq+cE bl4X4Im4xJbCWiRYbfbO6WRXcE71+bwMaxvD9S7oS/oI3TpuDzcUjM0fiiH7iU100Em6qOc+Qvi8 yjQL68D6n0ytumZPcsEphe8hyc/l8EtMat1Fb13wwx37NDhKBD5VjIBFM3D3GEmu+aNF4/+K/cSf N0RUQJ2AOqB1b/aUGUAwxbGxAx2sLM6yzYC3mZqwtU2lwKryGVNxplP9QgziGaQxYffra7J3xq1B rf6rgJbkpE4OAX08o0zPvLtOoIF7DsBWnZv6NcsPm/HYCj+H5rgKaM8vf5Bfq8dEC33UjHUfR63G kxotSoTuTicsyWBtXDptqUMr1X8AZaZNBw3qHxt9D/9+yu8nYv0HyXRBO7MpaTo1uC0HYMmqII5k AnTduMRrbJ5GdhIGDwNTDmCNRZXPiARr2fdl7UxwOnX3sl7EWcZO6L2MctGrwuWJjrP4tyC1L814 KNFnw82CLs+v0dj9vQ9xc7Ep8BaRpTry3A2zdDY8Bedfuwb085qqDzA5DA7rfYvebH5x1tB1q+c+ KNcoiXOOvapH5fTDxg1h8oKA0gkC4lgkWXro9wwRXQf6/dGQdttbfQgILGzuDf1C2H+rjgsfr+0j Mz1YgMd968IZmBsJ/fgmyO8Bzh1km67LgXuDRSSOI3IOhoDeuitGCbOhT112pgy4f18NwECUf4I8 pFPgQLQ9EmKApBDhRD53aeQeaUXZCC8EyhaT6TUqADlgoJIShlslwcysLYfSOzH/W/DHKqIXBOou 3W3s7HpdMvN8UJiVTmeIRLrs81vlI+75PlaYZrJjbZs0wtcLqYRdXb+qMVWmqwANfiJqn3zPcCE/ a1l4lK76Dhk2VHVjCTPsPILwzGsBysPoZlwLxKUm6hVuPMLi/zIl8QvZyH/DAwsNbffqv3CbifAF 28xnpGwBuoxxOW8C07rJ3Pk+MBvCIyXRGTs9ZMm+XIRGqp8J+ecb1qvaG5EatauiDazvw/QQsdsR jXsb1w3vQH/R7MnfZJSB/gi69O5th1OHFcpO004VoA16GHIImZgXAF/26bshmcdlcizQXH9sfSke oIj+yP+UR9Ix4EKd67P0x8uFag3v03E+Lp+kyCpqxh3dz95kAXrMSYaczX30s+TjGHriTNF/Fzlc SpVcE1OUdN4D062mqj+HT7kb3VE4mNtK6kVksbTKDv86Olf2gDS4yyk9wAdmzSW4wpc6ONpUZcyH /IZTJPn3/GLA1n42/Eqd27PPZWnR2HNWhBpT36TS6WfGBykInNtOfIECai2WeKkeukD3zTnBJEt2 7p1Bfyervii/AyitcwibBBxS+i5VTyYXxr23oTv8HPdptL2VYTTJjkiB8dBho7Amb1wq8rh33mKg r9C/jN/ticH9S4GP72y3NlnXINA1inAOcGP9YArsGIkci71MINlIAple856esMWt+vdhdaO5WXXQ H0LQ/DrAOI5fY22LFveIcDMClhD1Qkol8KuZlRttzGpj+aXtRKorYjTsG8x0h6aCfT5jzIBaZG3C fJhRK8fbg5vqY+NPebj0j+sGdNCqaoR2wcTADecn2d5bKUWHLEj5JYnoeks+SKVIVIsNrYX/YRGG cy0Yr59KeQZc2hWsJ89YajDTi93WD78tAMI/RRAwsldj0FWupgmvzk655NI247uW0hLzjSbjAEcV fXQdB438cDS8hpMSmfj1P7dGP9eYOERhQQlo3ncAvDoxXZQcqzp5B5dn4C9n4q7m1IWhbe6ODM0Y L37Yc462nVgTcBT+4eVS+VLqAYlWTqEAllzoVFWT1MXW1in7GBjVgtR4091CmTwNcZ5zvMNfUvMI xOCcyoqX5iiVD0TMca0YFJatS8ssamEUwhRJw9OAy7I2c8RQy5v0rXgY7dYb9F799S/RvZOHQenz Hi82DX9anD83gVUGFQMNy/wWVcVJcoFcrFwgOtGNkWVdbjDRaAMwRg8LKeMcSASJSZGsDUbUygZ+ tcnGmePJTdJFwCjHO/zhNhXnYmq/rvbaOq7m12vrsUqroKY/FIK8Vo1OPF7TvMl/0kswm62pTCw9 57ZeGvd7njFmj6ClUb2rsa2mTmHW81FpxDsIl2Rc7LVKk9judawpHyioeoJbPpnfK9fSMDoi++DG 6NNKikN3PFXMfpj58mH9AhAym3CPYkbHAb9cE29Hf1gJ1X2etCJNK7i9CRU8dnNknFd7zrC2QrEf l7BV0ttsAprnQkkeHxpZCubazUjjzR/M/arv6pMo/VUzC6swpuJw6QIsysUJ/58qzDx0EbsxLBpu uam/1wm4JDGp2DpwA/vtyV+40iIiE26HIRIk3saQBKQcpQ8oJb0oRu3WPRc02alKjdsD+OvXfdCu cfKcenhqCuUBcO1m3fgXhKVLzIGAMbINm5NNSK2jtho2z1prW0Do05u2k9eeifJUQ2WdMVYv9+Gx IKTs6W1t/G3mUysnPA1RXCHQ347olalRlQWOxetq8aqSJTLQIw64RbmOoOw/LD8uTBF2VytbnZXy 74Cbf5W8ne3HCybAC0ZZMUqRlw4kJh58D50z3hdU/MWmxY6dSRGgrW/cDWy/KY/S4+zNYONOhb6Z +MFvm18oD51gdObBk2MpcU7XO5YZLdwlSef0ZQHdH++AGqBnI5Zm6ibXT65R9CXkQ8Ss2wjz6bba IB6gA3AGh36vy+xbZ+SiEoZc1rCt54mVSkktC5i4fJz9cuX9uUwdzjT5tn90mK22CIQVxtJjDCMj pMtDBNFG/U3hr21JxeliIPVEmtCTQhFh86fZwr90WBCdA9JBaYWhaf9kRZyApa+c4MbIHbQdx6pa OuGGxHz5pdCPki8xF7ZkLpfxyKK6l6N01XHVuEbXD0kJy7yALXfLAYvNoActQ9+6oCV91FFssFt8 s+Rz5Ng/17MyO1aBow/DzwFch2UhCoU1z3QzbNdb2oEKZNX1GMhE9+wOovaCMB+E1sSWZp/0w+K7 t6atjBUTvWNzzrfaDJ8CkCertEdKjRTK6+9OD/cxq0LqNH+I+lzDDRUXACzkODGf3nDep0wYEUSk irdDxTge25tOGANzIQDxCPy7yT38E3EzohLUOksYGjx51SyHkmitQCWomNy3jhibulMoR3vrd4aY O6nDC7VEc2M6LDLx7r6ROT9dlhTPIRFnr8iC1wc16VS0neEgmTxWgXDLSBAvw0G98XJ4glhh6V9K hJOzBK4uCNDkmAFNmrG4+mCwxKfasLyPSki2/7CI29VQ48BMeUhEqEE//YyXXRYGXq5be+1JrUjV WfJ8rumN3CHo4Owah/Boo3NeQhqi6tOiRq02htjd0GF9Iccg1wPW4V9OSZRXSV7a3LQbzducZR9N AnJ56+ATTF7byKXvMP3ufg5VvteRPnsRlGOfWpg3zU9088L1CNEu481tvMt6s9+COnQMLycaANxQ H06tKoemk5hbb+rRoQCJqD2uUGIGK0S34As3FGNx6oIVduweSNdDtsD0Ksn8dUjBfrewABFfJQB6 /zMeLzC3wSV8j184KKh1C9wsX3AjMM5sos+a8C2tflfSZLim15o0lLhgbU2nu6uLccselSarh0MS P+STtwmqn36MavzbU6NbVuGCOmY7P27YC0YfPF+2nPlnEKaTDnPgO00k09ptK41dywtjDLGVHW7j DnOAXU55B8WbiHx2U067zLRBgfulm7U4MpDyFjfAYoUwr/8c63CtTGRiLyhdri7N7HIaHz+eRCJ0 8eDpCcGtg8+YFkMtTxXAkEtC/L/NXkjfXTT3PBK3/VgbyZwQZCm6pzhOfZKj3i+3XTGU3jL8UO76 VQrECPbLhc67JIOGutCPl4o1o76xdGao1PECdnLvLvMrJRFVwjXJYJBx/o1NjdBCTaLC7jqFTwRm xR9I00PCiX7P5iVVFC9WVodNARTnyfyuNJUkpRzEMU7nmJ9/OzxpHP7ZKuIuPZjD/8IP8YxDGnXB 9ZbYNInJazz9PD18jKwCQ/kU9P3qwHnXElGxLN2qzC3Y5pK1n6ZTCXxjfBdOPw83vxktKwSde4wM zjXOYIBe8+6W+v3WmTQflH7T+DJ3C7TiHpaR1rhRUaYcZum9iKD8ElV96sfPYQededXy8zXrX98w b3+ctK2oF4kkrSchUqa7lcECg0325EIv2+4qwMfUu6X6kf3p5PvkCCnwwf2TNcJhZyd95qpPnKj0 2j0tO4SfKa7zxrmcpXqhbVlp1CdN+Y3q5a5FBRI7LDCOadYSiuKV4rFnuPZR0upK4OXnhZdBfdq/ Znfx65EvbdCfTe7kM4Y81ayN5zk2J/Glt2mVeRhs3XXn2wxgKnRIIkPiLgCI4H7qXiIKkWJ3A5OY 08YaJUSM6L8LMxwZd4l9lCDw2308oNpDThVn7DVWizerHh4KZuwJtI9JRhhQXF0OhbUK6SxZ73vd o54raXky8Cyl1yAcySZyzN9aP99X5w6uiogx4YIY78QllP2d2xP9JvlTdJid2xtivt4bGRv6G8dy iL+ONyry/6+f8T8KNDg3JGCvyo6WB+120iI1lZMpgi6ur6lOiOOA/bC2zMvEuxiPxe9L/zhVbuxV IrWztXsfHotqYBpqn7PQJXTxz2z4CeLdyTf3LVWMb5KdZ4nobZIS3GNGgTjPdpDk9RBIzc0DCSbL xsslowZ3lqPf8A1jU2IAxPq7CjI20kDVvY9DByfiyo21UuGQyHudYPCEwdzjhXufgvODNraPi/5C EIRIYD0laX88L6D9dh8xxlpjcl3bD8b1cuVYsUOIyrkkDffTQ8bTFD6NvV7dqgU+JKfdtNJqO5KT g1NBDpG6a8oGhz7IK5FZP5yg312/hcOXsNUJKenubHsW8y0RNQJoVdqCE8nHv7O0rQqir8sRdBVi vBL+dKbzMcY3ind/4R6wTJXMavWQ0X7ATQdVqZLyHcTxLyaqe9JwH9mBWbL9Cwx69OT3puq9Qd6v FwtRFrfxHzPkmfmofaN/0kuMCfGvtHTFO01+O303QzhzHBpRfSiDn1upjVYv5yK7gQ22QpsHI+X5 QsS0aFPLsDEajeXI/S8U4rp7Ob4Bvxo/jlGRyk20UONQ+9t6N2Iq0gZBNOFiV1F3VP1XX25oyYRE Xph6o+26Ry3TQJnsdVb8vUomkawUxvhoKj+gmzf1g+1hlUkO38uKfp+3d4uBIvtOjrDDF+09vstQ MqxKN3kMHNrSgJBBf2VsnNhMuFCTsSHDV39txhUzxflLL7G5SilF/MAHFplIEgsY7v7mIOpjJcH0 OJVwa4Fl5RemEhd/gwLVnng8tIHKvKwjr+DCoM/Q1FlmqLl+K9/Pv79fmFPXvHTFNBzKH7x1Yhqk gsDsDY3GK3eosjAwLW0jGQfbzgANbrJZaOCQs+YLjBy+bSSpLj7UgC3KeaBaYxwIyrTuXDhyHxAH h//hf911PdFgJWdJxu4sOAWM6TI3tVZKoYGSBbHeIBv4+8oh+njrJh9bu8eJxtThme6Ss9M3Y6P4 YqrK2lEs75vgykqtMBxVtLIPCZTilyLhQx2NMWxqenfwnt3CZjoOB28/pIzVXe7IhqPu+f9NKMM8 cLizf/QolJr6GVfBhrSc+yZ/rG8CcuaZ9xYYa/i50Q/Um8kFRzob2cK4k3hYgz43hyLXOP7uLkyp 73/7UXVQDuf1OZp2shm/RT/X/yT+EYxYDiYtJwQy8X/A8gfhWxrWWGi6hiIAVse4DULMSAIyqlAm 2vCBfix+ZGz/0AUMm7AWZukPp9kkpJRfoA72xs2CjpHennA09Ie9OQ7EXdldv3CgB3z999RYfrrv ZnBsu9ttKRfob/FVeWO0qPoqskwNHWGNApaMhjJcODcMMvFSqFfjKOFif/XnTudX0F6v3JizmIHz W8oFLWg1bR9lAAxKIWOuqUo8pgWFUBXz2avopXLv4DjNUhNH8iAUrOWUg8ajBWW0QcWetn7OTRkM 4HcmUJ7euvyJ5OOrkeib20DGr8h1RyVLVeCMuuXSKHm2eqpFw07JzFkSiIo/C2Yk8bLCCFlY81fR as4wz0wbwV3yghSW76gxdWPNQsdU0xjjcWJ8H/LlE/B6VriveVs4x4QqKyNMBFs9STMp6RyZUPeG UIzm3B0XjrwZdcHf7A1Lwp5WYpltp8GHYYMC0eRi9O+h2JyOlKxJJGDbZ3EU84rVxkr2XrZqLED8 dSpAL+QL5g+ITFicZRts1sTG/rMT9T0K7L2x0vPH6i9g9zZMtWT1mF0vFsUUdirTv1hw95A6UIXZ fVYeAbx3h+lcZg8w+z3lEhAOz1k+ckXKBVdDMOhlAWGTIzavnKGfz3MGRxtAXXDoJgVUBVMymR8g hu3qBPXi1D5G/1zeQelLgXNomx059bhVsYzwZzbi8FsrowZKBHvVW8YrfkjPSeI9FyeL3/Yma7i2 6Dni/D1mLe3rmFGD+fUsLkDqolWrQesg95qWi3CU08OaDl7A/CB7DDFNzpTC4d1jNuf8IKyR9BBa Y1PqpcpcP6LhvmnWGmvHMEvhu31eowpT6LvVJfx31oLfPjf98uvZ2bS2InG99k+QB1RHc3OMiLB7 q/W1LUwnAkrtykaEqbfHWacnPOEXeis/6HEdOUM3EwFOT4AVQKgOCP1Eebs/CEPX4gSnJhGTIsLY 1ypT5OQeIwwjQn1Ap1C7RWVRjtGrcpERJ/k5aOE1iPbA7MbU1iqk33cnhGTYscNeJZnjQ2eNg7qA DUDBbkI8J7ggjoN2s1G0a5P5tJWwAyxq4xZ+OhqpGwSX8RXDQLnr8Ylg2PMURNgjHRHgW8P6qrqs 6lHijPOeIc5j88TR/C7xVu8Pgr8BbOafuucZpofDTEXM0ESRTaaHjWsefqXNCQk91T0r3XhZMVQ9 LvZOf+wpY/TPa9n7mExyGHy1ECLVs05Ig3ajpFbXktORzw3rKWLc8gPGhZDpecOMAZ4b4vaEy/NT qX8u/8EOSD0Owj/5KkVcBQcJXjcAFSekL4RfU5anArWol6s0qKVn1ceAL7BpK8OGOxcZ7HRxf9n3 5VqsjWqV9R9d7gmaS930Q79ZnWLWbqP4yE3MBziIOAFGBKmnzdi27GSckDlqq025L0NY9ehEv11O XPURF+jaCAN5aanfVKy+bL9WDAVdOb/wIm6hZyMcjenDj1V7VOpfDZc8q5VMGIkaL7iRpx8czQUw PqlqA1AHBXShOc5Ql3561oJn4wghmkrLiFQeLvuZgR6A0RXQmYdvNzpZdgrImEkkWnJipuWFJoMP NAD4hbxoSa7W8Voxs0u+4uCJ24v7ccQRtmfl8C71CuKEzS8gcJMuXfUMWVJwBPedTapItRSKy4ML 7BFQl/Bq8LLLhTCANtMgCMCMV4hTSOGRRS0FCCW5h3jAPtOVC0rulU04Do8BM9sfanaVuGO3Ojd9 EQRhOEM7ISOLu59GEAxV88aaHLz8rPDg9oZf0cbjKE5KLNeAfbMiC76idyfcRjqYIgzmngehFroW dDTm82KAYsMSNYGLT7F1cCOO1LRSKbFJrlJalV+V3Qjj+CB1HycRk2oYJEV6/aNBAY2n5TAlimrm IAjzDaPgMAsjQs0/953zXoXlURX/5xlzoYD7osldr+wH9D+B3/6LA1Ub+KssNhLPkUXzJTjXpa8g lW/CssuzVbDPP7M7DfgO+snEJVeHa8lYVDXYge21yxdkvM8MFPt2D+WHLDKAE+QbEkoFVKgc22Ii eYiZUMpepe/4aPGx1QaEZ5gLkUxl9qD5du3tIUofttXcspJfgzZdmZNp7RUpjFCCvODK+IxjSg8f vfilSuCl/Kqla586AvsNc+/YJJ5gfK59L3CC9FZWRwcOeoM6Rstlx2FbEmg6UjpEzCDRoo2QUwwg YtXIpLZgJFRThYHgUGU1QM7cymSInieENdkHXn6OpIktQ01TDIKQ4WPwXCOCDAW3DOhyTazXDTZx mG9vRD2rqt4DJVVXumqj1cWeMOxzOYraxj8pF5/Kg/O5BtowM3HqLoXpE4vXisqBGGtMBPhdG5s3 VdYg2fmCrBAKSF76ctsBJCF2gIQd1u7rkmq07FEKJIX9Jy19mr4joTk9R06GOFaOq4RLHAkis707 QpbVK250XOXHyF9NRiDDcqGyzmzfZbNLjUwYCaJQhtBGyI4vWr3e32/6WajMsoP+VIJYbv78neHX W1CI5ruG3Tnd217ydc27k6CfB2r0dHlMxKqLiNoNc6vOpeqHXAAffLkVKR082M/g0L6RkBF9krbr VG26nCzeUE3Td+S0Dsbey5vT5vjvYFU55CRddxEQUj6ABy0uc9ihE8mYONjsysifrBH4ChDb/+iR pyILhj1WDaWEtL3UggCgSzl1nL8AePemY4VvXuqikllsYEH5yC0V5yoqykql3IxwbUSkFTV8t6Wi fkkjstyEc66mV25npLSNefF6KJYNunWTo1AmaBKbnuclW13jvXSi9/GeP7MPbB2sei9NrdEZkj58 dRSdIuBXLSQi4NYWgOMbUIcA7uQ7/0kwl19FkVfmfo8mfPq4unua7B7FEqQRTf4G3rJlGabjC5sf Cdymaaqy1vopdm8D0p5owXI+9TU9AZwC1DQTTx6k300LfUPk3rFNiaxDtskpZqNxPaHTYQgoiNIc Q1OCicNEF/ecz1k7/q6gxZinErr/49I0RnLt0VtyNpSAWQDAPuxNmANs0FIR/fVUMvtEQuvjDzf/ bYbSkJnjmUj58LXqegBqXc3xLb/LDpeRPUlv4f/jjuMaSrrrS0YX8mB/i/HJ44qhrbnFLP727Pd8 eOn8nHpyAqNXjuZWKe+jNnCmIVtuLqPXoB2dvcUOq6OuSP1Jp5v3fPcXohiQXBbWNQM8tNu1yrvZ 3mDPlUtYJLBwv8+wSKP9FaTLXMZ49l6JX3SEpP1mWcej5VbeJYaA7/0JyfLHr/NHAXFsCm0Ezy6Q xo4U4YWq3/sg8u7yOYcPuNCmTI0cynbPL6KHSetOA0lRgKx7b5gOsVO3jPMw/Vjknzo/5NBvi78y PLPoFK8Mi6Qxo1vwztjFP4KEZU9gFjMB0iLbbPLL3PAA/nvFNEXsLzvhX6joDxhFpXkJxLq04+Jd Sy866Rn/C/z7/jLo1fR7G3GpBQeDsYgKErl5peZrePnfZEsYdBcjWdkHYxYsPA5twq/ojPQ2shhR /Tc7MoxgUPWv5RhPVbJ4dV2VylktX+XG7i3Q38cXN0t9Usmcg+2cS9B6hEOYCXY2DlyVQXO5pn/D WKa81TEiJYq/MXhcq7nweRcNLaUEOPU3W48m6Y9uE8KXXPEjBe+L3YGGVrYHosbxU4h6VycQNxXE dAJR4tnrsGZa44Eq1rJDoUDzF0PPH3+izfyEbmIFfIb957NhUJPVS9EdoZsOqIp+jmumiBRfxjMo FAIJa6h6TdmAw58bOfAhNm8/5LQ3cTpnWhALNLvHyNpspMl/c/X9F2MUTh4zJ4gizHDL4hWtX2UX wyES6s71r63Y7dKd58uwkImkZfU5RuFxSK7PNuWxgqtVUpDUJt0zy68TvDhclRIfE11rRcmdaVSh g6Rg0cQMa1GRXANxmCKRbDnrmK4CPlKAcwG1c6N/pmfFrhstmkzxT3bte/+MLDDXmP6G1/695x4H zeJm4voyxOzK/AOB1+JNDfK9i4/EPLP8wDR0JDpVE0y4wJbwLFegifNz9TzWOyLc/BKBUOTdLrCV 0Tlqxk4f+XF2z+9fu10jrh0eCqq5z3FYtD12mYN0+K/0Vb5LN7Kwxzb00Mjz+SxcLRplhv6qoCAV Z/2qPioy6rLLVXOo0A3t1k9D8vnistEUYaIqgImCOLHS7wZABYbQ84T9csjB/a7pVhA68B+R9kY2 s8J9CEvWfDkgh6x2738OeTI2V1wLUa7W6fK6CbnK/OKkP24MRcKFiW//FuZCMM0UpmJq8gp/H/+0 GlIiWMeKb/nUj6n2Ah0SH4inYRYh06eAPfQ5Nx1DGeKMnkNGRUw8ObwUxbaYmzfLab98eQm4qpeA UWySEUAw/gRhugu0klCWYR6rl+pBdcWTAl2/FrPi+EvXVx3Yb/g1OP9gGVgzqTN5ovimh73Hiel+ 63UJ0RhzwT19X/1zKzlu8Z0KxX1XXTHduEetCKrQ2PsNvGoG54rkWMZlQxUKM5FDLvHLQjmpziV6 mih+RM+3aiU1ELTXpVNUGEknS6lcp9l5qtrhlT+Agiawb5+PZSAPhgD4QKU77+0MFv2QkIvMvvPg VHaRGrYXryWjmUAzAU4XZzLpKGpwpBvnZUxhq3KvSFwnvCD6hMgA/MkwALlrn5tQcOGwLFLz7EFP Yyi17FKwCf4RTwScQ7fj1OjRMUkYvicfCBJq6llHkHVewcArKKi8fz5/fn+rtTA4HRr3J5kyHywe 2V/sJDhGHEnl+Fflwki+PPfFYVcrPkLyX1D9YE08BDD/P6nSn5e7gigH/Y0JHcxBEkkETtvSunoJ HAT2MFq9TWAo+SW5UDKn3NKGe4hpNjPMwFUuAtiBp7zjZ2e0i3+HGyUE0WJZImBWGdaL8IWxV716 N8FWquCjJ9M7DrGakzJgpgTehvifaWhpZ8D609TIzOOSdD5RbAjjwN/Ch0tUorKBdCwEvhj1jcIC BoSF4eH5RkyZ2PUN5DHDrmdBVD5c13m7cXhVznVTBsFIPbgqYyoivhDxpvp3LkHJOPl5BSPiCeg8 ksqfftrVsoCfZiqHDogHPiYIpvzcbfmfISy9KOxKjCCqbSbUrCsfiaowBrNsGQxchj37yLsD8D4j rh2KxvAGCA/eo84+seQeEoLyl199/hXv/Epk2H5EdvQjYWI7VGNfoafHjPWKQaZGThiD/LCSERt/ NNKa2s9CHGv4XGXWPDS8oF9kF+ZJ3nJ2rE1ZYSIwbklBH6P3WJw7OBFX0XVHX8nWDMmxsg4U9e3G jc8RiqaAGBfbOx5fTbpAyFywtt7iQ295O0ib/bGCElqtKtRaxjp/ZlExme9SYOJTF4OPK2z9wDFB 7U+ADN6zsGl4M5U2vHL8FDwCkZ5YQuPaEXJOLG83dMpSd00h6bibBtE+4+4Jpb7FP7/5N2OqCUd8 WIrE2KSf8y5/yRTUpUyKeReSmzNI+cc7ewQ3FtntVSJmAItQAflwETXFtmPvmOIMs0N3pGCD5XSU bMhnIvA9wYI+agQYgPDsLGLEu3S1ejqTj5eupzlGOPo6RIiOvCEtoot+/7meFAN7PVLJvlo/zPTI Ytd4DxHrtDHAFhdICfatvnfZYV83fZ1s1UmoDujoPneK9hXZL0jcpRxEfL+c+0sDBcJ8OEIrLKYs k1ZZNc6EV7DZnkCXbDodVmxyWj09jSQDfJfBGhKQ7AfLOsL/E/VpSjwrtuQQZevWw1Vc0xX6I1X6 2sR+wEQ60d3aJQNdi5uafpjCLdymVQN2nvH6aySZCl2o4Bka0dT2ZE5IOONHzPjzav/4JabJHvSp 8vlS4Hscgl15tzj7XPRxRkGDFmU1tvzU42XPeCvPYxrkH2f4XFQfxg6XeZAd2pzeDYHCen28RBVO 2yCMIhCTOGHzbnLiQovm3tXbLnxStoE33SFlywYsFabaE/uvRJ32GtMcJ0bmEMLy0S+P7GLU+flV PgXed9p+KFBawrfJDAa9YHipoCq+zkloTLDJ+0ySkwvuaedxNnyYm4aI3yny9Ge4ZKImwvBsiETY F47s+yceGnbkW1k1ZYb7sox2TzLTFXdKCQBCPUnrqoFMsg+S/TJkXbSqk/J5zsclBh/DkGvZJo/G PI6nh4iBwQeG2qqSQh5HoR3hyPm2o2MoAQ92xTAYpg4tQ9MViAB920WZeu8miETlKqQ1Vaa7fmsD ao9n2D1fSErYrCGGcuIkTUQijjluuj1HbCj47c2ODkbgh7kHI8/VKceu31Sc6EgXZZyDCvzMtvUj VDEt1QiO/5+Sk9vdIZy2URsJO/SIWzlE2T9/2l/rkuxK/XuoZ7qgipvfnuvFd3Vj4Gyv2LVq3gid P/vRu+Tl8C3KptS3IQd55SnmNmLwgxUdk2v45tkZSfMwb5SqaEVPJvH0/ZpqyDoNu9TpZlnXAUDe QOsIxMSWq+k7o/YQu0dtR3Rt3v94xHIFXvnYUCQ/7hvgm9lCa33N5Q/AjYX3T6ynDow+kJvuWLbR CJZ7vqCZeDUORoESmkYSRsL00vj6at68dTRJu6C4uTdkCJ2XlALh/KtAX49OD9VCHwie3yktPl7Z gluCOsNhFTXZgWYpdxY9B6KGgAsXBlKQXP7EGt0JE5xOqz25BiGjHepsHP7lWG1BR81xSkV49KE8 Rz7kfltegH8A33S/eizOplRi+MjC5iEgZmLbiyZeqNaKPc3BrqEY3+rlEULuGUDcAOVTC8Tg8oet xeYLQpJON59WnM+jys8YzSaEKWSaIcW3aVVRgCVUIIRU1V8BXZlCjAZzePH56QDbcQaT54yAZWiV ENJ+CNOmiiRB5RgaYdRfeJZvWciNnNccsGeZqFjymMKdcyYSCLISNx54vaHDk14p/qOa4gHV3U8u RYkGkmCvGahIv30Ax4gIg/JlLG4tEfEf9w9Yzg9maqUJStUi0d9ahGlP1xOZEYB8EikxpN94ASG0 SWiQgtZ9HC/nRLZkC8IEBKEoRPQodyhU1n7wbu8y/GkG2TcB/a5/Jqz/NKwlJe6fIgIoytdmvkzZ 0+Lq3OGcS/Clp7EZ7iYpqSLI2/0tWrcY1wB7uwyHGHzdvdrMPNRfbjAEDga7LAHeOrEQ1SlfiCAZ NcOcCvgcn7ebV/C5ugjnfZqaeJaPzrwKls2ctLipDjiqKKgWWq/dG8ces99bk9J239Vo4IFfnefE BnHvathp0bAGGEA/rVIatd1xJqbVtFYID/M0B7DF2MhwhCj2cqRTDbsZY+SZy+ekmCQFlg9bd0q/ y3ZfWBJ4wBd5bboRmiy6K7GJUoBXtxMVazWPUu6qsScZAte7hsdMx4pXhhlNBsIQbQOkgf3M7yxV BrNRFE86rMu4QfAK6EU4D3K59cfjJBxxk3P5dtiz+EKvwB418p4YlDqddeLARMHqsEGrYDrGX2Gj 92g/i+7DMIjQh53qleCA3anLXk/qHyomLzwKUMdxBduNnmySe6XXBj9YrvTbwvBvPldTFn0AEjZW cpXfdaY8A3yZDzlmhoKIUadytOhgAnmyVNkAjYS4UWHjjO5ysYv0/nj6EL2ykEseIPUVm5hg6k65 d6N3wEFta6LVlggB+gHN7et/l4xSBJqRqPeWKIY9M/uSJZICR4gUnYG2Uw9o2YVbC+1J8dK3mjXX mIm5L9BioiF42/5HEpXjB4zi/Ga93Krcz//hBL6TM8s57wobJRj8jEZvkb+ZLZclgUHXT2TEMe4S 0mmmmxX+tJtNjqC+olEx0Y3ucvujd5YEQ5Neff3S7dPj3/5jdMWPGndUHQ1zTInhcqukTta5/w12 pyeab2Whl1aRSC08eE+OUgAByaPlUZirQ1pm7ut3jqOc2HQcr/lqjo2HuYUJY5MIC6173cd0BB8y P4qkQj41UTuugZgU1dGHYxpKXB16cXirSqGwShliR0gGRH9EVrc/ftEhIFS71jy3uPlDqs7JILV6 148M4Cp3KTsVsAOHBStlik8LIOWkkwIcsKLMHZI72XXWLMUNza+4AUiZqcBo6gsw/qSM8GHlXRHx epJjBF0L3hl84XoFKIztTdKgr6qKLoSORPGuhCLVTrU2ifa1iFtXi1Xg0WlacbHEmpf6XZ7ML3jK R0OpoD2AgtTeMXXd9TyJFvBz0gT8iYqcNKrC7Vy4/P3p+xqD1RPDvKTAKFlXJ1kGQNn8ZV1EFAXL KI5vieVBI71fc0Olr6w+vXuyX5HlyRL6Yvku0y6XQ3O3Bu/B930PJk92QReyl2smqG27rcPYCm2N 8M9DyrTcjONuZeiYh4D8Fz8wcFBK44ECoWxzkXbu2GE9S5zg5TjaEEK+7NrzizVq4TF0mPPCQ0tS 5lSzs0J4I86WSMwNnpmn2CgghASCeAEreBuT+zd7sGnYi3BgCeWr+n4ZOokOqyVxa7R8NBXDxVoa 4w2e0B9RM7t06eRpkm+4pqTdqrZ1D2PG/m0AB8VYODCOC2Mll6Ev6bsg7U4Ce0U88xP0GMVmH745 mv4jzpfLw+jbRpe4gEI+t4UrvKJ4xrc3Mpg5Asr7hbxvKVM108B46qrSjy+ApQt068w37JVXEPeN Xzbvdt/59wp2Kyiogs913A3h9Cm6pN47zG4EH1TpuOYrM808ooHGc16phCLpTy+rXKdwmXcCbaoo HuO63okijnjMMIub9k60oz3lymChB0eOEHCDxkvv46McyrjBmQ7gGmTs0NDZNeTQDliXcKId9G9V DBr8XiZInwb2ZqNeQYcYMwbFnwLwYCXrJ7n5Wt7cN8B0Q1FfcjRNkONZWqL4jW0zI2QwJ5MPYQ9S iyJfZYsPn4P2kun35xnNxS860D37W3VQZ5rt+7rqCzyV06QFZSNdiGwp5X08KZhKKDcK9EkqJFZU vLXVkDTGOWa4nYz77sESiDnqP3fl8Ukf2UpAiz2CtTAa6H6QRPcYUIZTyRf/s2u5aiRSZXxOtJfs 2fqC4aRACybJpzl+2M1m0+NnPYenqVKvUl8kPC8iM36X2/OL+zO/Gs+QVq9Q8dSZMXmvjqyfYp8H /w5TsrRQVxltUgfVt10ZU+dFhk/Fxn9thhutSeND7MxnFquom+YmJbILLJ5jevZ8kT59Xi3ni01p oSCRJBjH373uRAHSq2mh0+rGmbH8p9bE8ew/luheI9m260DD5BUwCdWJaSYACsWOQlD0gnzpo2Rz XVtPPxs225b2r/r6f7DM4WPCvaZvaDoMSFzUONq215DXK1F20jw2ij3JPAfrUqahLPZh6Sn0wY8H AlJcwSpnJ6Er7sgk1G+9QOFGK47k+6yddDjssBoQY9whRjntygEQ2relDTs5cr6PKnk6cmUq2meT VvTY0IagYzEjZT9lwUoYpSozfaHeXdsMAE1VBbxJmPy4M8bnUNZPFEN2T18eA/KRtqMGOF2t1BiV pFWgHRvtPWS0+p5ElYQ79G0WMhK19gKSB6vUOjoTGKiALn2pc94smG9DkTleY07KNeRYPcmBmifc Pcggj256yZcQ6X3MY2Z+M6hcfvcIwO4809XQZ6FcfLZ3ZWYdBWq/zNnDMLCqSxRFuXV/K1nC9pVW oU8JpbLzjdQNWb9tftnq6M6iCA5qArl2e3WOo0Q/ZkfWB3b+5rlu9z+SlprC9JK8puIsKU8paIMs U7KsTTOprmWjgxAoSuUj88m0dd/iiGMRFlH2OjvLaR0ZM5UvOSwLrAHtxmRG9hkizMoA1V3mlJgy usML3US/Cu+pAwsuz0LQtaauT/vPRZv1UlP7ex9BZh1uEvisiRAu7x24N6TmGnbx5z2jyZCuzKc2 nx71V2J73iQcFjN0U4QTd5WVhCOuqQ5Qa/fgiA2d3LwR1twxvPNzzE9xGD8f9yrcr1lNeV8K8hkQ O9aXjhD6pCUwkelooFRu289hoLM3nRCoNcnms/NLQpFx9qRY2KNddkLb2m5O18a+JkoN16lj7yFc DdQIMGPYslN7xf8Be5H41ne1iT6pWm7/qik5yBOco80B69lccPBkNPGNO9S3Z+gG/JlS8gIvE0qD 3LRAbTZm+tqPZHrFAxOUp9TSed4nWT/9fWlXTJyu/Xcxihx2LoFR7qguLLx/dGAl4kB6MeAl5OXq FFYYSfeFTW9IcpjmA6E0aA3N1lseKYvS+zJukyLZtM/Z+jeELPEpsqjFIuDsN4LB12uYBpGLKFLE j5qhcMsVCr1ZZace8mr5mWGAd7Rd2bPf9VQR9AhqB0rXTd9J+27HE89qfQb04dWKz21ylcHSprJY 3qfkvXaMZ9VH+ayuzld5IpOGDB/UqrIz/L0/D6s8xYjiqjuC2HUpuT7RClxGF6MtrpP/ntj+5uxH 5hSdgD0PVo9uDb22rifn8kbgNEsisnIUyNEJFphPjsB0JqFvKALHA5WxK/E+YtTyApS3qafOU8S6 UVjpF3Y2u4V9cBK0fQkBfsGYNIuuHkMVJpI+4+c1MOFbi+sg1M/aEGJ3/rI31dq14HchOk3cokX5 RxO2pVWOWfBJm98f2zHNERk9yojwPy+JbIwRZ+EaXqnfjyc2ppS6Vs9MNIMWyzJrJygpXx7YS/LM vrRim4OJRReN3/pLZ4rbTYs0eJpvBixHeLnPCvLiTH7j4NpFeDKpSrOq1x9i/eB8CIeTmDBJMkMj HQP/mlcrAFU3g4D1KfC4E0W5kMiGBrfaagy2vaIsPTHyVELgO8XUwm21nwdLbvxHJpvu9DAvNpu+ FzFLLBle5EDeZg6JO6SLyMXO9fpDUzYSWPA3IKY+VS1LPsfJYv8LeLk5Wo7ACEdwdpfDfxDZU1k0 LW3PwM2djD19NbEQ2YgLVrs8w2ZsIz/sxBPu5FwmDcBko2FRSPLsl7iUsoA/cAxFqGvgObXTGPKT TAZ1b4vYsmhdVrSLDZ8cpSm47BvhZ2npbAo/efem9CBK3fSfcsc3yLsWwcIemQP63QkUbkq81KjT gelJPtUux0aXMWMrj9fabBKDFa2N1QPZhmbCRQZwN8tat+EWmGA+8iTchDPkVUpH3VvazZ1g8QAa qdg71kV491CKCRAYXIB/nxgtcR7o+hMeIJ+NYFrm5ZQ7nKmNMbuaKSFQQBnnb/FpZ+IXGp0k0qjs H3MsV969L8HLR9GbE8vHGOsOyU+97JQiRMZB+vs2MccK1MXdYlGOQlOSsuMFchy52zr2XavZvX0f 40oCysjzB1DP+ZTkaudh6+mQJygquVv8oE7AP2yJ/u9/7wMeb3kP/wKMaalgfvamvhNh3MoBRmeM M2qMfyhzf189DiNi2QgB4rwEbkxLrGboAcs9hVunuRCCvZytuoI3U4RTHqoESsV/PTqxOrnxrpDn FnViEQm8PwV331CsOm6s0oPUpIImjMoJQ2VnuRKwTAsedaU7pqVohEjjIQ+2UeT6YI17YZJn3cfc tutGTMPgYmMIj0GatgD9uUVZgHV9fh2zPfWSPkmzuW+RmtJ7nVP9k6MlDpGgKTL5jhjShiDIITWj lBRufcNIXfyqdFv2vj2g7eLXVk9gkkDa7W2aziaDLOI2WZ8Jx9Xd7rIek1L61cy+RepZj/somN0u Ih9eSDSmYL2qGzdDIg7nF4fcWIaIXgoaSbRMh9O5pRz8NZPRniCx1Y0qaOCAYRZiim6rzaA9ktw8 kxczvsGzHkUUUj6fG0KmhprBqxr8cnFD92k3f2qa6Os/wZOhW6MOhQ2gyno6bjIx56lFHA/A6ZVJ RI0+Sytjx424mVyTqh8MImf4TjKCJds+b8J8NUV+VJ+p5LL81LYAVAsLhhstRAX2N3yiVbP5WJwR 1hJbANr0QdA/zVWOEemzS2uH7Y4tlvRRgxP4UcmrFKgYVCA+f2HsRkpdRxg+Jy5AzEMdDclZ52Kh OyeVuRO6SF8xOVD3foHIDnY/qoX8pI6EZpstXlF7v0Ca9hZ0qer/8zIZX8nXxmzc95zFZsAxlXad O0jVFRPXRZTMm72f6kMYPmI+sN8gA2QnqmBZEJAvsAIjd+EXbhKRixL5vszNR/A1lRw3V8t14j7F IWfIUubWwiizELLtaBZKUccLFWp9IDUZVtYVo9rMVbx+Nu9Fzng2/hiosxwcum++pPGfw9OoChBO M3Un5df2WEnl7KjvnUjr3NniRdWPpW6FnsszTINYYBEv7Q0d3h5Ho48RnDc8J8kTvr/F2KS7bgc/ oOBuX5NLCa3IlKC9eJ7jSobJbGCs7+fGXpskGRk/45ya0VA8+iqPXUwIS7NVUuVybDgHYb9SdYtg d4tkrl9VM5rdFi5opJLrOBpr4zx6XDLVwN7Rbh/t9Ar+tEWF5a2ZFGHXXY/3lBKi4wkG2VjeGOAs p+N/4rfx8GhJlbzEM9nd669Kfb8bl0a/XowA5FiRfbj/7qT8JVn4R9f4W66OEasLXv7HU/VLFikY Cp0mi43tByAh7v1WWA956ca9kdSJxyCpxLWo/fu4UaJeyq1wvLD6tye7ukW7AuccBDFyYbMSAi4I s25t9sxQBFAcFRMSRW1GZyIEdqBcMqJXXBS8p4CQB8oGNvGL9v3HGTqWUiKwRrpg8iZL35bhvLcv FhM0jJ7bMBEte0cHxS5Oo44K3dbIG4o3VijLi3BCijzNQnsbCbln4zRGavNeOp642gTy2/G0Yoj7 VDebhe/fjz6E0TFiDP9Er5JW+kV17m5KVA/tp/m/B7Sd1x6F1RA/Uq9y3ssL4pDzJJ8lqegJkyTw 2cWo5m+kYHn+ZAYRqasno2+dGzbGxa6h61UdB3wzVkl+iWUldW4QcOdUBADbfOv9LijCOHLY4xvC U40xDNoZTdzHcByEMCBCiA5NrkAeHDzbd99eUcT/aMMtpLNoF3nPLrZGuTNJuQeKP9lkwe4hUlhK beW/ENIJkty2nF5i2kDvY8e3/ZdgHVcBxXDhsMaovGWHu+PbykdaMUQ2oLi0w76N3nXWXkV7u2KL aRLivPiTnmRqaBF7PJqWFpJQJ7OF6OISZRgLWN/qkMtnnUni11y/2hjdGeg0bzjQMNEcyOiV6ozb HAvJIP0w+FfUX2xYCJcQGi7jhIY3I2c+O4LDm/QFks+0nC+o4EJuE2Xr2IEzO7j4wKPtbLuwnqys rCsLUDT/n6WpmdU8godqfYSY0ILHCUxayhk1byqFu1Z2kcJUDa89lKlBYpM7KtmzTu40zXuEgnk/ xCCkaqeHCjj+eI4yYW1kO43QMfGTgYZAf4qBrfIeGzPkK3GReNyhpLrJh77DNWdyvlYiMICBp5Wc AmHzcHEG0tJ39QfOvGDy/vOg8D1Nan2bsXFWAog09UoyEW5cxqF1CglN5BQ/YHDvTMUT293MdTiV cz8TzUzauLWlhlAXvS0snOboe1dHGydbdLwPuyrhh/JiI6J4OfFiIARguKSMbld3sxXMuOS7BG0f URWS9rmj/cFYlEE13FbdGyG0b+cLjveoEpY2GgFX2zMkNygCsjCaF6YCl3eTvuyVZprJBHKLVAS3 MCpCrmmrOo1wzNes5nuZIf7yC+U3luc4I8p5fvo9gWFDHUAF2RNzmZR2VFCOiyL6PcsGDH2tckJD lOd35fxJdFEynISApEeCXcZyG6v3KeC7yJG0+LpHUESw6VHqZkc5i3gjoGhOcKgHNKJJPpm4TuHx 0eh883wCI6v7zWG2gS2WtLQQaPE03AGqhFpNdRZrccfdYhaQMxim6nUhyyYiXOVVwpHJ4pD/4sln 1XvvIsTuWQMHUQJxK7iJ6ivnmyzyVcx4hs11XLeUiwbwH46HyFCPtYTwA+5rm6+DB6Hnbt3m2dPL w2YfLaML+16nXQW41YUwrB5uRTmfs59Tfks4f/t+TIrkBYNocn9eNwy1CeOWq4w8J6qoi7AJQGe1 CL9EDPRbFNZWBO318PREcSQZH+mOFMOe20BwT/T0nUvCvu+EFy6ho+++gG3W08DADAlet3G1C9vS sFIEv9dXlEva6bqaU1P3ToX4zsmmlwJAlcdT/XObUarB8FnMQc7g76/xTy9QNe1MqtQW70+POJGc v8JukiMFNE0WKFdrMp884JzcYODxjNj1MgG4MK5PGofidnPjQCwRyYZv70mWgr8O2vXTKiSHZ1FX 67pc+kI9cOoc9VZ2mNo7FrhaJUIrMLe/jgwVwUbrABTNoS4kzpfccTsXZ8TgRzALvPSso/DeGcrN oshx2q7iYu0qkNJQpQskJx1OHz2WDXMgg+1083cmIu09q+25zQQ+B2cJozNeiAVviPv9mQynRDAR Yd8clzLrVODtbCQpWYZVhjBBuBqN7HEbBKxIXGsC4APaIuXx5FXy/qxDeqyVRkXp12iFRw6crGhG YKc3k9A9PoInTvX90h6sC8W2jLgIVQVfLAxPgVyYoDwyjthcBJHKwo4LuPXUlkpMOGTglY8dGzFY AHtVuJYVd/6HvWlEcXxckVVcfgyy4/9azYgC6Reavd3af2apXnjAVpG9FQ3igMnLSCs35/Zz/N6t oei2QYpJw/blgB3g2ARBpN/ZGffC3osWjYRnA9tgo11MG4m7tgMSz48i+zNFYj0guJTRj1/+Z7pV pCgooUQeKFyeq1mGQEMQtb4PsMBSFAThrGE8r8xRfPyHD3ny7JBM23du/56fguJ654Gmmk+hmuGq fn+msddPpfe6132LFGeYWSGFvYbo47Q36cRngpxJnNWtnr+ShTC1YTKewj68ncmgdnpzjvIlK9JU RlTqPxyySZjjmPTuWJFmg76lNRjLl8WHA6UpdLAd/l/OGBa+QS2DGi76DxdvWODS2Y1WFVv0S/RC vmYoTL/sDlUjhCfPU3x7Cq3Nw2ZrcCvGa2UVHnZCkCrKSHXZLBtdSMRivTNlSeC7MNwq1htYpmP0 rh0TlicSpGuEEE+pkhDMBxGHQgr8z3xb93qe6NP0EPWJzhbjudjkoGevfP/dQO7bKSMv41+aDiLv /daTH8tmiNFI5FdTC7OjS4W0Vh9/jOX+8E+m/ebjNYVy3qm1xRAh6D4Alk7e51O0ouEMaB/e01HO nmO/XZBmhVSbJdZUzs0v9kJY8cO3AcPU+xAMgQMnlH1CNRtAnnUyUNtzzngFD6OGwbfnM7F9xYQP p4m6philobVR7GMr3EXFUSjdlP9xDI42bThZQQ5L8bZaHd3phgPZrFLTacxzco5JDxGqefVKDW3d lw6rb1lhm85yz7X8sG3ezQHeB5P7nAKakAnDrG1F+8FecaG50qsqUJomShQy/RMxd1jpBrKWzSOg q43kYBXubdJPiW2AjhuerUXmPyvzM9RRq9ygs+2GN8ApEDzmjE5565ca4l8sVdTUKpYe7mb+ixbH q7EnGyt/HRHC57oYTSjs7j0LNZlDmkaBQgo3SoyYYhWLFglCQu01xr3oSeKZyqu0Ahl3xHxO6UBV OkzfQYqLu9CSEsGae/YGcey2rlS4W3/cJ75rFswHHbTG9oT5fUvrSn+F0QB3LBnShW+ercQfNY8i 33eDnd+d/Q+CdjjYIn1vVkTXgpRAq29JX/xziYqawFC4QO5FmnA8MaOvi94xX3E+yvLCYVHLPqlx Ma/+eUqNAuWKGGwd+TeccB8eztG36Rll9Afq3ZVA+CSg0dMb9e3kbBxmVd2vBPdxyYg6f3Y0BLGx LwzyxcYAVW0ieYfmS2bF3B2bNAulnPBk/p0hIpRemSOO2VcFQTDyvBnXrqI8iRk9zAFIWISrvpo5 /Z8/baxWbkKi0gtksTt6v50uihCgXmnFyc0ClmC/4K8qBJ8dhrr14BGGfpxTLu6tNZwyJRzybd7q 3GrRgb94g9kvge45zbC2a4AL+OoyC5WzZzrQwa7YBbirCgc9MK190aSG/3rMotSWyKGVU3lksTrD ZwekGGTPu4QaHPtKh5eB8q/aSL0nawpGk9U6PmV6szg1IdPv0hpla7sc9EkyCrb84w/P0MqSk+mN XYxv85xxHexQeFUInI3IZp3ggzbmpAl3q58zD6oKpZHpCciHEPoHSF/KRaX2lVPmnLGqmlb7xqkO jYE9Lu7jGnTca+b4m4P6XvEccSTf+PyrPRzlK4BmSV/pb9ZfURB+RlkLiLBySqxqJbBlhJK0V8tl HEt/OiZbxRANtSr6nbQN5FgCUExeEpf6iFFoG3oSAlRMSW2C7CW+lwtyLGno0rI+a8Et0Em5Tp6t uoCXaVeh8VDKEtEgzf45F0ODCjR77mnh1y49v+tlqQggj0J73wwg2Pc9nAmUSM/Y2vRgzdsHK5xp 5oGAEzVy/ezL+LJ/UDYqvuhFMkFCcLc+2F55EoPOIP61x60m+c/JSbBowwi2XL/2raKxM7N12Xom Fk2kDiK3RWIxV3BLkVP/WG9XF8kCpAle0YJ1wtI//nXFh+GjbjddsXrxaEcwwSEKbOTtuR4nsfOT jPSJ19phC0LuqtdicOGubYHt4TXx1P/jCrei39myjKG6zpCJMGXu1cUklvcBsS5byEbIC3O+PYi3 JRxYvUoOHcPY76PKVClM79n9G1nO0nbYvEEXvcK8VaQVpfWCu1aiY8T6YvLHoOXG03nOe2H1+TU9 ZsDyg6EdDDpQMXLKkkSU19iMjvvNvIKWaWiBKYEWcZBK9JBf+/RmtYtTD+FAzD+T6vgv2C5AURUL XSFZAfIDLUkYTOUVC3nov6zZxGVym0tdOoyN8iB6KsNHCR4juh1WfCITg4w6lMRHvxyVXmvy9+II uJcDnlt/4ysPJumscgBV7G5Mv+Ll1uK1qsRJABL/ca0wvwzWCmmf1n+mh46v4OWT7PlUa5DOgfzJ k2MAqYAT5opSSrNOVpmvieXoaCTzUgJ7bb9LOQfeweZWutSrfu8FYQ0nUZdzRUwcvJXn797uCIb/ qTztizbUMj0rNAX2mV+p0ltshnGOh795cR9ZP7fSWmhv2PPEPtBv/puA9wWehq8FYWNg7LimJd37 ++rLoYSXBKw/CgHcpI+JitwdxGhCBnRbCN/wKTjl7CTeCk4qL0ajK28qpErgMnzNQgxEJt2CQjHU Lbhd71r+79/KWxqmm41zHU5ZPsvb6OQEVkeiJbJR78Bnqz2mxf8cHdKyMjBuaJoY1FaoXbP01vDx AIt+v+WkvlBQBUYYHo3q0g2dTCqDm1IjHyv816y4jq5sdbloHZuvBuMfiarZ8p9r7GBO0Ax2v+a7 UG81i6OB9s8eDAN0/5Br6rKYLoaoP6fBNdbU2e2Hl2FJgbmMm0j8XRHLVgQ+7Z5sz6hInpUVChFg CrsF3RrO8mbz9+aR1KbREANWrAHUS0eEvFNWsUuZVRcpuvCneewb+WqoftOPv0fLxfmWzkChJouI Y97MuSptC2xMu8r5zHnvxs73Y2xPgo7udUnykxKLypJhJ01458c8D+VLagCW2YBXCHZIE8yh4GWv rNHyVaHFb+ooF0nNlFGDzMz2LQeIptKds5mTv6A8X15NHKDTBOB7XB5iaKJfgNLxbM3zX1ESF4za n0IB2x5Wj+hAv83dJmA6dPzP7kBJID4Up8qG9icbzcUJIgylUzxxHB/vmTOVZWFz7adDjrvqJ3Sb pTzsBscjwoNiT4fgQajSC5wgRlXaUjL1Qrpp5tvNtvE7V3xGg10O12r0iHSwPFl2pevPnFj4QVK3 fmOP9WUE22aRNIaMcMhTAUwyNL+KkygzGPCnlV/BCX3mlYi0s9YQl14ZZ41O8zBrIkfHSAmCaPKB i4ZTM0MTpH43FiPT9LkUvV5vKl17nwsii1a3dVZZBdIDVTcxcjMFYRKoklctyZQ7vU1jKKMWUuyw Jx7kV/ArVYbrUGj2Rl6KHYkk1Z+aQMML8QEMLKGqo7jZu+XFs93QmEL6OKS5kqD4lv73P7CduVyg YfxpJJoNl1EFOz01qcr0I85vDfkM2GMi9mAdDLOAsEeaSRPGNI6gi5AD7WeswGnMDb0MPs+EBLZq hi0FYz3m4aydoUToZTPxfZbfb6dXsSMPev71ex8Fw0LZybhPMXnDeVJw/NYLYJ8zW4zRmaxEI+/p FlQMLH/P+F1zYmBq1bUgAl9L+cvl4aHBdspOCnjPyijtMyLETjRhaL9SMZz9jQ8wXgl8qtycDfEy S47ATjss1vKM2hj4DuRIq3uGnGlq/AGonDV2pq3XN1BCkoTRuOlDZoG+h6/MimejFGL2cxNE433s 2Yk3CnCdtX5RE9OwHlguUBRaF5GFXstnNsqESXvONZPoJwYsOnW4gK7mQ3S7rYncdJ2IPKQThDeD LEFCk3mSYlqCGEm2gKRpNhY7Hmq+7LkaRUD6VwSxCvInZZmrZSoZtk8o3f0oTtJxb2m2H+mmqgib 5uJLAfx8SK1V4NcaWqYYw6UWuNnMDjHdsRpmro0R+5oUm16LYKmnSqnC+hYAD0HJ+5qe6r4b8lsY XSDd8vbpd3FsUkvN49RKE4+IKCaSQmZatwh8VERr3Cjvs1FD1VE1Y1Op7kC4KxN4qCWBG1xMXI9z pTBeqw781N5UF4MmUaKmE1Ml/pxwQ57HB1ojmNqsmzpoUzUmwNhk901aKjP64U7PAG4DXqxS16hr f4Fp2kwndMUSwqNPlqYygePuO7otFSHGyg94a5ZNh3B9mklYC3trZeaI0DEB5Ko5j4syAw4k7rev cc8pacnTRLFd4Lhuma6Z0Tp/6ZGLn33gK1fREmDpAYnuuyDjcFlLoBRXRUg6A+hhSwKQRqTGv2YP E0AYnmWav2AXhQzdyzfUvoEHlgVDJ2tkirqCujmnj/u+/IPrqBn4lV55E2Jq53PHscHszLi6glXv 8+qld2YGd3qUXaD8/rRJCKoxoBgV70hc6f2mha53sem7mDRhgOHuxh9C87me//bxWb4FDl0j4eiO sKGWKsIX6SYq75HaRo3kZNiB2zhp6MHPaRAas88nntMORoHlaWnnd/3ZLVkZLwEHxg8fQG1/4VPx rKxUejvLw4zRrOJ9EqpGdOjXYmariAJhZtVZN5IZX9pJ3zu7277j0u0et5X8Hnjt4GBIkSOEHzce 3sI7La0qNYrZ71X5JpFvs9DSMDLMsWFi0yEcdQi4S7yM5TKI+TYgX4kX4DcEnUrBhsyC5fcuDP8M mj4nTdWCug8KzA72q+tL5F0mXCIjWZQoZFgkvbTZjhUd+LR9M9/WigIUDRpZ/VNsxw3NRlI7sW3Q PHImBY/fHalEHM04o4XEU1EOnn0G+gFEjet8tnNaw2WWj9r0vjX4l3czCHeq9/qrwLWOgZcG1zsZ 3vA8FiDtbwohmTkvcxH0Y58D1eYW3Xg1Es/S8Z5BOmKMd23H/NNSS8J0nRRdHKMgg1Si6yPNUw6t /+bxCG7NpK5wpw11jyddNoIxo0nzbtt2yNMgUHhhZdGcBdnY8bw0qbjI3HvC7+e6LTYdjjdnZ4Oh ANW/AbwomlXNLfTwA3ZOp9K01iUmpcFRKjHb1vGuG59C2o4arJqfS9R+oytuqeUrvvlPeu9/9PAj uA5fj25xxFcvG10GuTZXWVpTrXmIWCqqm4ltHMObg7t1Rqcx2amyfRJgUf3t16VtFo5xsO7VO6yI YFlEjBR8hh/1LOoqVfp9iD5guuXKWb1oSsMAb14rXCNXRZg0/v3Q0TeCYhCxWHtKcAS74AIoRxZm gbNV8a2U+f8C8+QBunRZa8D1+4u7ZBPdqzEXQesZsSmkt20l3i4wXiXmZHIlIih9cPWaVTctAidW ps0IQvEAq+gpmipA1Q5/RkgwKw5nEUDTlLKbcTPukXr2yzpcFdUAQvyhGPbZRpsaalpSJyAwinVV 8Jsggdk1iGar5IMadDh45MA3UNTNKHqyqwZPvEDWi++4FlplE2srXyOEzrDQH3jgHzVmDtF1QcBo OvBTSm9YHbS/a6Gy3aBBXfiiJZ8FAdh/X37WW0zDALWyzy0dz5rN56dwnnhiBpeOqBi7rH4O9jGW KQQYGHYB7sJlT+kEbLKK3sYL41016DN7lJ3fTB7+ZMhgQQdkndpxXSHI5C+XptTsQmWAOpY60iQl OWIE9L8CoRZk8ulRtG10MnOmAddkzw8rR6jU7JRC2RP/o0triAdj3w0fKgfEzrKj+iPg/+UnjqML UKddpIdXcgGhNQXyE0hPDgrVLYNPInh4naA/betR4le5IaXt2Mjt42Um/RefVd3PfFOIEBkyuIXw jWnf7gkoMuKBDv9HflSd7xIE8jpCGsRTpsYBGAOJj823tvPLYz9lBmoXMGHVYCX98lNVsi6soNXs UZIBej+GTmjpFtv7OLkeq0qyZjWLjli6A8fi4PwgbmE3/T2gElqhgOn51LMrEaZ2s3IshFK+T0KP Sl9f6HEeodstniRtHxmQH+ukjR5Aotq7mfzPdWxs/bvEV/QoYe7KR/g2M+O6WyRE6S7/8NeWcWWy a78kQpEgGiCQTTmqFeSff6ukpJlBFN2W9C/1D6ktAjdxyQtjOlmv1S6Zu25kB5Z6UJkD6UJReLVp RZ1IYwJwwn34RJ1CYkh4vldlvX3eVvXapbbAO0K0KYW9KIfqEfJj/MlRdzXSNAtvI9XipUizF3I3 09+BGo4lZSX+sez0+fiyCONN0lHlDLywfVqqOaNUj8/hoNyr7cxRvAsL9WHa0YkD5/PQfgyBaPoy deh8iQNN6L8tbBlPvX1FIspnCT47I1lzVTKqgkW30kfJEd8G4RQLIIr/WCBZYAdOIQOCx+Xz/EMI Z9NZ2bQ7CJ/YQ9GctK3ENNfs9ugDr2y5NvhrPV6BGlKc7BvYm2xmJdqOhyqgH+hDSA3LzQnSwmQI MOdaS4wctVt0w+zvrcljkJMiCDnMXqk3G+3gKQGspsKuBinM6d6LB2WLMb4f2loIR8mAG9EGo6zF mmtOg3hYEyD4R1bXdxiLSwFHS5z5dE/EV4fpWuA/DZr2S13nuCgELzB9B/ArRgFJngL5ynJt4ZWg 82cRR61Uw3GRghrGJ9MdLsk2s8hzv7E+D7jQ1b0M1NDRVbjpw1B0xOgQBpE1v99JZRR3t0qrC6h2 gfTJQaJ3Egwxc2gf1e0v3ktvrSzgBkZ6PeT8Zq5W+8nNL/2e+3O0Rp9Gh0yigbNrsFcMB424ZA8F 9SH/soiWZOSZsxGcV2tuTsOlCDm7N4KMx8i5GyLsJ8jd/a9/TifzD+WVfHbKcb8z920+lZFBXGxS Ok8v17LVq5J7p6WNX4hlR+7RwCo//bu1Zd1IQnNcXnKMtmyeESIfp+hNsaEGAebxF9JDG40FeK7n GwD92zEbB4GEdH4vl8DLrhbL16YzeE0RCW+lQaHZrACh99M9PApkHBq/wu5DwR09DnXnQRvGro4m WNAy/XUOknTu73tWqxtarHOeUM+NM+/U3X5tSSOVNUx+V5BUaJOb7Aq2HcoBflaZEvhCg3Ixr86e fn+FKQqw+IOdEZLEohsCb/a5aq2ZTyZaXqeBC1tD22piZ35dmNG79M7aWbbzr33cZbMWnR3zOcfF L325DvbmUZJSE0pncH/Vax1tXf/vGjQLzj2SECijSu6BiGEfX8mpgbt9vUMN1LnEVdBiIcuD5Y5X VqwL4+3J7/z1tfWKuMFSmI4XsVIUb0Y0+KH6PBGdTMqlihPhYkIgOPlInfRSjgP6W2Z+Z+Rg9yP6 +N1+Y7vnKXl5V/wuUgASxgXkYdGU4Xq96+OjsXJMCgC+ESP+lPnUxG4ivjoqw9uUhvlav6A5Y+RV rsSjYqm305Cku5K5vmOMyAhwXaAeX3fYcVydvCMAbSfNBabm3pBNlY65OFiUPsvMGnRPIwU7zXi5 rHktCsPO9M0M1sebd6t4+TOET0iA57nzLoNMsD/C/5Z29FMJH2CrAem3vVSudW9ySHHh/xbXqTDy GiGMiliaD/5ZvdVq+15fp6yt96aq1TIR555+kNPN4LyELLV1Z/V0kvGKuGpTLamD5u29mCAqBgJV rN7TOi6OZBJx6IPC/H6CYwnF5u01Re3/7yqjHaWkS3ZPPfvnAAxnJWPzbuJL4rrS1SBTT6mE5XlB veWoX8fvRQkei0H6kFky82o0mgvdSMXYH3S8088xk70cFGTg9zAxY2vVWae4VdYP1w8iY282TQeo NOoE8j5SzqzOgDEzw5jqo77B8fCxcAON3auORwYkCLFPY3GXzvueMchqiVOvXa27AczaOduZUuMT KrPYIOfpBkx2L6oYZUDQS8mX5w6aYEgIUH6peo4xD21p3ZRF9ja/S3n09x5EtgR+mygzuu+2MdUC jL/0m1YtmC94UODISBum1hkxkg+Xz2YdjPgvnStMoWl95sIXrqf4mWgcJg3CvL5lrzElmKS7akT9 6R40U1pUTSkkE0J3zmhvo7ef5QyYP0/TOrddCY7JCEqCJC2VNb9ZnEigVJjW4BREULtQS0jvi4F/ +c3LTdOIABqNj2q9OtMITYWhrDys7l3aPIuj+fnhTs+aLYNkIfhNcUK8t/H8MP1vkejOLzP78l9P Xgns8zXwiSYUIA6bCg53bGTUholtFQuzlB1QKnqivijMvNsSDSzmtuWRbrV5yqa2BGAHaPizh0AR DTZ/NdN5qDhLNKqTaRQ5HZn53zq0UeAi9byo/T6WfVJMzJ+arb/RQyf5c2s/b2SDAYnkmbAbpyZm NngcU6G3bQSB0oV/5vjJfO6VT6QmkknsvJoQKIrrVY57htPB1KlLNDTz9S1h3Tt/0Gun6uG+48yg JasMygXekIdnSMTAF/z1/+AyFu/2oNG/qhGy6kH9w6fIJsBr5DkJciuxRgI7MzmVzrQN8IDy6eqa 54XCh7iN3x+j7Bt/ycp0CBbHcOtDbYHKHXql+AXsTqh3E0Go8TL7sMkPIMepD6rKeuFu/VAe9cgZ I1panbC7GZlIOXS9acxJbTo9yWlNqdhuz+mwHPkYMfAmw8NGNeJzVgPCUqPf9XEIyezndGxp2nxH lpUjROSOH+h6FEtCfsaEEF/LWSGLz490rEnappMGr5IvqalJOl/A0pnKBqnKkzeAjftk6uszRlkp ZX0EH1O/R1cRz5hBDFq6T34O7OAJ6SsuwIQYiFBfCMM8fbcIkPJCyXLfNUADJpf+DBK0arpL5UKp w6lVVpNc2DRMTAcvVhpV7O72ZH+b+N/khtbHPYcmesuDq63dbrHAQFkIoMxMcty8SQi80tnAZ1Pe HOzRIzkfvZHrML6RPGx4fIITPJqUjtBUcWr6Zs66ufiN8BphL9Cvr/Jog8KW0eSz11PAnP+F20Oi OCGkPFVH4KRY5gSIboGplSBOOC/UBLUhD9cgqu5OzgeTLwqwdS3vJbffZZ4Bf1l8X1uqzsq0fWPE 2hICCRzXPzaGn9ohDtDABd5Jru/8x1NxHZRO9QNKkkLqzoW+JQ/muXI/vGE13Y+rRMOxNNA1rOxC tudYteMfmyOKe3aqUaOVpBr6GEYlrDwnxxBNNgOdvBv8ighoW5h9mUS/DC7lSlpuLn7VebBuZ7W/ 4zrfEWDMGPL/Ki6hnXFdu9iFjswJYzvURy8T8liDIlyec5iMKf4LZ/y7UDr8qJONLxkOIg+a9m/h bC2fzjSb1wKOmCWGBtOIO3CP81o61uR0iZ3lv9GZqnn08Fp6xZw2aRpkjUkw483WNfcumjQBTqUO 4Q6woY38HwpfRIj8EecXxlGOsP0YjyGFSXpgigfq7YVWKhIu3N4NQ83FzL9pqVr1YZnVGDloLtFs YV9k5pr0nQwuUJWoKJ2Avr1cWWO3inX+ireJSqQfsP0ls5yHdUOEYcQrMRfFIPNFnVsG8ZAt3f4w Y1orCYV8j31Zq5KeqGFcZtcwjHjPQz/HvrAerKrtKd8iADRxoc5A8lNjAscMlc7SVapho9DIni/S opNHZnRNYdraXt3uza7cspisT7eTpcr7UZvkN0em33NGWtGVynDpa1xqfHQLenr8H/l1PpmTJL7A SlwqOp59BMpIwJV+kxlEsYGXjNU1QEykk3dwV0j79pJ+agYUlQa0bL7dxEUeBARGO+gTL6owvsRF yAGY8gbPyWTS0n78EwS6IpjvRgb5E21Bi692GVE+HwKlEU9eFejTuw== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_defaults.vhd
11
32589
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WWtJI+hY51PBIHEJuMmabMx/exsWif4/+eIlqg1wHwt0LXqCBCF/9KMUuh0c0q1Aim1AelneHBQ1 OAUIypxCcw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LHWPiFER+ylhKASKVb/M+rPTiZEUFsSoYr0JzoMMS3GVOGL2OLFeqOogSRZKIElrPvU6koRPo+Es YaV4XvTWQrPYaKRuwsx4NBG8Kxda4juxxP/rp9bkfo/lyl5vcqup7qHmHWRkyViNZaKDThZeE3RS GK4cirCmiwfxEo4Mq3g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AYgjStfBUMWeU3jKprSUnciTIMZZPy5txygzDux4UChegSEbbUtF3rfC3SvidfLEgr0Xx5YrKuqi 8UeNbGyLgV2Fztb5G016ybd926sP72A+bpKraAlbcvGRolK2h36OEHIbd1QCQ1CK2LHFu4yijIpu ZCVGSRMDfbCIVdoIEwi/d3uG3uxZJ/MwcPNEV+U67K5AP1cQj/MZSgAHfDEJN3ny/eyKwQLT0b8X VrJgv+gHNlk5pWmHGp1jOFZV21FW31bI2ureAimxycfLq41ciw7AJu7ntE0aA5znCk0zlIOF29Wv FVMB4Roq/qxMuTuLdNHOyDamSc7+1ymlG9PNwg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IqXkpA7tC+yxdagm2gZbfE8KY/sLSwkzWYcxkLkeovhP6k5hwXB7qgBFgwiDFM/bCJ5ScHqOq+cZ 9SW3fwNmkWjldStW5jyx3Kj7D4V+TZG/OyzKcMcs0tleStvsDR1qcGkMdGgwTBnHkIPkC3uG5oo6 ZM4eXtEq4AXscq0m4s0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QQXOFVhuXbc80+zZ2htCYHYcCp3E9O59+Yl7ypoRWeIoCrrKiW+q/IshosljPYv9Rnj61yuPSL68 usz2Y0hE3ZS3oeK3PPxnd7ql38jWr1G6pJ7M5hierEefIrxu37p0e1dp9jw5djTq//qZTj1uTQCY 59J/r5hUUP+AP25sKdsRw6TJVm9uga7G9srmPkRIdoLPPfYk0yF5ajnsD7NGAlmU80CKSwU00Ofo u97sna6z0bNS18cL5p3mUTSRYh3EhR8yWYQDBDVfjOUeffgGq5Pb9Fz1RotN+JuUStC+3C8AW9BL pf/Y9/KyYenDu5RF8gCAras14scTUMduPi8Rvw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384) `protect data_block oK7CZuHusBl4p80xpwcKpymTrw5o1kRNzmjX+ZhFa1GtBqHltzfjdIMu7doI6T8t4c0tLg4nBy10 0J1w+YaOK0eaIld1vBoTsq3bRC2TL/FGTG+oJU21MsXdgGGo++aHGllNx0wI8SgNpSMvxNd1ZjCg JMUPr88kMoirDuIbAqvxRo37BYgEPQfMmLxeSRNhPDfISFMED8rq6MDWmAwMsqikye0c+dw264I1 fQwVR2hnoPglDUwOiiFmM2FHurlKNoN1b8g9gflsQNYKMZGQbREN37ojBOcMZBSmE7y92M/qXXVi yj17Vbm0h4rU34ck7WjS2/eo7ngiAOj7ptA1Q2VhSkntfbtTz3fcUJ+nk5EMX0c0UshPOQ3kq+cE bl4X4Im4xJbCWiRYbfbO6WRXcE71+bwMaxvD9S7oS/oI3TpuDzcUjM0fiiH7iU100Em6qOc+Qvi8 yjQL68D6n0ytumZPcsEphe8hyc/l8EtMat1Fb13wwx37NDhKBD5VjIBFM3D3GEmu+aNF4/+K/cSf N0RUQJ2AOqB1b/aUGUAwxbGxAx2sLM6yzYC3mZqwtU2lwKryGVNxplP9QgziGaQxYffra7J3xq1B rf6rgJbkpE4OAX08o0zPvLtOoIF7DsBWnZv6NcsPm/HYCj+H5rgKaM8vf5Bfq8dEC33UjHUfR63G kxotSoTuTicsyWBtXDptqUMr1X8AZaZNBw3qHxt9D/9+yu8nYv0HyXRBO7MpaTo1uC0HYMmqII5k AnTduMRrbJ5GdhIGDwNTDmCNRZXPiARr2fdl7UxwOnX3sl7EWcZO6L2MctGrwuWJjrP4tyC1L814 KNFnw82CLs+v0dj9vQ9xc7Ep8BaRpTry3A2zdDY8Bedfuwb085qqDzA5DA7rfYvebH5x1tB1q+c+ KNcoiXOOvapH5fTDxg1h8oKA0gkC4lgkWXro9wwRXQf6/dGQdttbfQgILGzuDf1C2H+rjgsfr+0j Mz1YgMd968IZmBsJ/fgmyO8Bzh1km67LgXuDRSSOI3IOhoDeuitGCbOhT112pgy4f18NwECUf4I8 pFPgQLQ9EmKApBDhRD53aeQeaUXZCC8EyhaT6TUqADlgoJIShlslwcysLYfSOzH/W/DHKqIXBOou 3W3s7HpdMvN8UJiVTmeIRLrs81vlI+75PlaYZrJjbZs0wtcLqYRdXb+qMVWmqwANfiJqn3zPcCE/ a1l4lK76Dhk2VHVjCTPsPILwzGsBysPoZlwLxKUm6hVuPMLi/zIl8QvZyH/DAwsNbffqv3CbifAF 28xnpGwBuoxxOW8C07rJ3Pk+MBvCIyXRGTs9ZMm+XIRGqp8J+ecb1qvaG5EatauiDazvw/QQsdsR jXsb1w3vQH/R7MnfZJSB/gi69O5th1OHFcpO004VoA16GHIImZgXAF/26bshmcdlcizQXH9sfSke oIj+yP+UR9Ix4EKd67P0x8uFag3v03E+Lp+kyCpqxh3dz95kAXrMSYaczX30s+TjGHriTNF/Fzlc SpVcE1OUdN4D062mqj+HT7kb3VE4mNtK6kVksbTKDv86Olf2gDS4yyk9wAdmzSW4wpc6ONpUZcyH /IZTJPn3/GLA1n42/Eqd27PPZWnR2HNWhBpT36TS6WfGBykInNtOfIECai2WeKkeukD3zTnBJEt2 7p1Bfyervii/AyitcwibBBxS+i5VTyYXxr23oTv8HPdptL2VYTTJjkiB8dBho7Amb1wq8rh33mKg r9C/jN/ticH9S4GP72y3NlnXINA1inAOcGP9YArsGIkci71MINlIAple856esMWt+vdhdaO5WXXQ H0LQ/DrAOI5fY22LFveIcDMClhD1Qkol8KuZlRttzGpj+aXtRKorYjTsG8x0h6aCfT5jzIBaZG3C fJhRK8fbg5vqY+NPebj0j+sGdNCqaoR2wcTADecn2d5bKUWHLEj5JYnoeks+SKVIVIsNrYX/YRGG cy0Yr59KeQZc2hWsJ89YajDTi93WD78tAMI/RRAwsldj0FWupgmvzk655NI247uW0hLzjSbjAEcV fXQdB438cDS8hpMSmfj1P7dGP9eYOERhQQlo3ncAvDoxXZQcqzp5B5dn4C9n4q7m1IWhbe6ODM0Y L37Yc462nVgTcBT+4eVS+VLqAYlWTqEAllzoVFWT1MXW1in7GBjVgtR4091CmTwNcZ5zvMNfUvMI xOCcyoqX5iiVD0TMca0YFJatS8ssamEUwhRJw9OAy7I2c8RQy5v0rXgY7dYb9F799S/RvZOHQenz Hi82DX9anD83gVUGFQMNy/wWVcVJcoFcrFwgOtGNkWVdbjDRaAMwRg8LKeMcSASJSZGsDUbUygZ+ tcnGmePJTdJFwCjHO/zhNhXnYmq/rvbaOq7m12vrsUqroKY/FIK8Vo1OPF7TvMl/0kswm62pTCw9 57ZeGvd7njFmj6ClUb2rsa2mTmHW81FpxDsIl2Rc7LVKk9judawpHyioeoJbPpnfK9fSMDoi++DG 6NNKikN3PFXMfpj58mH9AhAym3CPYkbHAb9cE29Hf1gJ1X2etCJNK7i9CRU8dnNknFd7zrC2QrEf l7BV0ttsAprnQkkeHxpZCubazUjjzR/M/arv6pMo/VUzC6swpuJw6QIsysUJ/58qzDx0EbsxLBpu uam/1wm4JDGp2DpwA/vtyV+40iIiE26HIRIk3saQBKQcpQ8oJb0oRu3WPRc02alKjdsD+OvXfdCu cfKcenhqCuUBcO1m3fgXhKVLzIGAMbINm5NNSK2jtho2z1prW0Do05u2k9eeifJUQ2WdMVYv9+Gx IKTs6W1t/G3mUysnPA1RXCHQ347olalRlQWOxetq8aqSJTLQIw64RbmOoOw/LD8uTBF2VytbnZXy 74Cbf5W8ne3HCybAC0ZZMUqRlw4kJh58D50z3hdU/MWmxY6dSRGgrW/cDWy/KY/S4+zNYONOhb6Z +MFvm18oD51gdObBk2MpcU7XO5YZLdwlSef0ZQHdH++AGqBnI5Zm6ibXT65R9CXkQ8Ss2wjz6bba IB6gA3AGh36vy+xbZ+SiEoZc1rCt54mVSkktC5i4fJz9cuX9uUwdzjT5tn90mK22CIQVxtJjDCMj pMtDBNFG/U3hr21JxeliIPVEmtCTQhFh86fZwr90WBCdA9JBaYWhaf9kRZyApa+c4MbIHbQdx6pa OuGGxHz5pdCPki8xF7ZkLpfxyKK6l6N01XHVuEbXD0kJy7yALXfLAYvNoActQ9+6oCV91FFssFt8 s+Rz5Ng/17MyO1aBow/DzwFch2UhCoU1z3QzbNdb2oEKZNX1GMhE9+wOovaCMB+E1sSWZp/0w+K7 t6atjBUTvWNzzrfaDJ8CkCertEdKjRTK6+9OD/cxq0LqNH+I+lzDDRUXACzkODGf3nDep0wYEUSk irdDxTge25tOGANzIQDxCPy7yT38E3EzohLUOksYGjx51SyHkmitQCWomNy3jhibulMoR3vrd4aY O6nDC7VEc2M6LDLx7r6ROT9dlhTPIRFnr8iC1wc16VS0neEgmTxWgXDLSBAvw0G98XJ4glhh6V9K hJOzBK4uCNDkmAFNmrG4+mCwxKfasLyPSki2/7CI29VQ48BMeUhEqEE//YyXXRYGXq5be+1JrUjV WfJ8rumN3CHo4Owah/Boo3NeQhqi6tOiRq02htjd0GF9Iccg1wPW4V9OSZRXSV7a3LQbzducZR9N AnJ56+ATTF7byKXvMP3ufg5VvteRPnsRlGOfWpg3zU9088L1CNEu481tvMt6s9+COnQMLycaANxQ H06tKoemk5hbb+rRoQCJqD2uUGIGK0S34As3FGNx6oIVduweSNdDtsD0Ksn8dUjBfrewABFfJQB6 /zMeLzC3wSV8j184KKh1C9wsX3AjMM5sos+a8C2tflfSZLim15o0lLhgbU2nu6uLccselSarh0MS P+STtwmqn36MavzbU6NbVuGCOmY7P27YC0YfPF+2nPlnEKaTDnPgO00k09ptK41dywtjDLGVHW7j DnOAXU55B8WbiHx2U067zLRBgfulm7U4MpDyFjfAYoUwr/8c63CtTGRiLyhdri7N7HIaHz+eRCJ0 8eDpCcGtg8+YFkMtTxXAkEtC/L/NXkjfXTT3PBK3/VgbyZwQZCm6pzhOfZKj3i+3XTGU3jL8UO76 VQrECPbLhc67JIOGutCPl4o1o76xdGao1PECdnLvLvMrJRFVwjXJYJBx/o1NjdBCTaLC7jqFTwRm xR9I00PCiX7P5iVVFC9WVodNARTnyfyuNJUkpRzEMU7nmJ9/OzxpHP7ZKuIuPZjD/8IP8YxDGnXB 9ZbYNInJazz9PD18jKwCQ/kU9P3qwHnXElGxLN2qzC3Y5pK1n6ZTCXxjfBdOPw83vxktKwSde4wM zjXOYIBe8+6W+v3WmTQflH7T+DJ3C7TiHpaR1rhRUaYcZum9iKD8ElV96sfPYQededXy8zXrX98w b3+ctK2oF4kkrSchUqa7lcECg0325EIv2+4qwMfUu6X6kf3p5PvkCCnwwf2TNcJhZyd95qpPnKj0 2j0tO4SfKa7zxrmcpXqhbVlp1CdN+Y3q5a5FBRI7LDCOadYSiuKV4rFnuPZR0upK4OXnhZdBfdq/ Znfx65EvbdCfTe7kM4Y81ayN5zk2J/Glt2mVeRhs3XXn2wxgKnRIIkPiLgCI4H7qXiIKkWJ3A5OY 08YaJUSM6L8LMxwZd4l9lCDw2308oNpDThVn7DVWizerHh4KZuwJtI9JRhhQXF0OhbUK6SxZ73vd o54raXky8Cyl1yAcySZyzN9aP99X5w6uiogx4YIY78QllP2d2xP9JvlTdJid2xtivt4bGRv6G8dy iL+ONyry/6+f8T8KNDg3JGCvyo6WB+120iI1lZMpgi6ur6lOiOOA/bC2zMvEuxiPxe9L/zhVbuxV IrWztXsfHotqYBpqn7PQJXTxz2z4CeLdyTf3LVWMb5KdZ4nobZIS3GNGgTjPdpDk9RBIzc0DCSbL xsslowZ3lqPf8A1jU2IAxPq7CjI20kDVvY9DByfiyo21UuGQyHudYPCEwdzjhXufgvODNraPi/5C EIRIYD0laX88L6D9dh8xxlpjcl3bD8b1cuVYsUOIyrkkDffTQ8bTFD6NvV7dqgU+JKfdtNJqO5KT g1NBDpG6a8oGhz7IK5FZP5yg312/hcOXsNUJKenubHsW8y0RNQJoVdqCE8nHv7O0rQqir8sRdBVi vBL+dKbzMcY3ind/4R6wTJXMavWQ0X7ATQdVqZLyHcTxLyaqe9JwH9mBWbL9Cwx69OT3puq9Qd6v FwtRFrfxHzPkmfmofaN/0kuMCfGvtHTFO01+O303QzhzHBpRfSiDn1upjVYv5yK7gQ22QpsHI+X5 QsS0aFPLsDEajeXI/S8U4rp7Ob4Bvxo/jlGRyk20UONQ+9t6N2Iq0gZBNOFiV1F3VP1XX25oyYRE Xph6o+26Ry3TQJnsdVb8vUomkawUxvhoKj+gmzf1g+1hlUkO38uKfp+3d4uBIvtOjrDDF+09vstQ MqxKN3kMHNrSgJBBf2VsnNhMuFCTsSHDV39txhUzxflLL7G5SilF/MAHFplIEgsY7v7mIOpjJcH0 OJVwa4Fl5RemEhd/gwLVnng8tIHKvKwjr+DCoM/Q1FlmqLl+K9/Pv79fmFPXvHTFNBzKH7x1Yhqk gsDsDY3GK3eosjAwLW0jGQfbzgANbrJZaOCQs+YLjBy+bSSpLj7UgC3KeaBaYxwIyrTuXDhyHxAH h//hf911PdFgJWdJxu4sOAWM6TI3tVZKoYGSBbHeIBv4+8oh+njrJh9bu8eJxtThme6Ss9M3Y6P4 YqrK2lEs75vgykqtMBxVtLIPCZTilyLhQx2NMWxqenfwnt3CZjoOB28/pIzVXe7IhqPu+f9NKMM8 cLizf/QolJr6GVfBhrSc+yZ/rG8CcuaZ9xYYa/i50Q/Um8kFRzob2cK4k3hYgz43hyLXOP7uLkyp 73/7UXVQDuf1OZp2shm/RT/X/yT+EYxYDiYtJwQy8X/A8gfhWxrWWGi6hiIAVse4DULMSAIyqlAm 2vCBfix+ZGz/0AUMm7AWZukPp9kkpJRfoA72xs2CjpHennA09Ie9OQ7EXdldv3CgB3z999RYfrrv ZnBsu9ttKRfob/FVeWO0qPoqskwNHWGNApaMhjJcODcMMvFSqFfjKOFif/XnTudX0F6v3JizmIHz W8oFLWg1bR9lAAxKIWOuqUo8pgWFUBXz2avopXLv4DjNUhNH8iAUrOWUg8ajBWW0QcWetn7OTRkM 4HcmUJ7euvyJ5OOrkeib20DGr8h1RyVLVeCMuuXSKHm2eqpFw07JzFkSiIo/C2Yk8bLCCFlY81fR as4wz0wbwV3yghSW76gxdWPNQsdU0xjjcWJ8H/LlE/B6VriveVs4x4QqKyNMBFs9STMp6RyZUPeG UIzm3B0XjrwZdcHf7A1Lwp5WYpltp8GHYYMC0eRi9O+h2JyOlKxJJGDbZ3EU84rVxkr2XrZqLED8 dSpAL+QL5g+ITFicZRts1sTG/rMT9T0K7L2x0vPH6i9g9zZMtWT1mF0vFsUUdirTv1hw95A6UIXZ fVYeAbx3h+lcZg8w+z3lEhAOz1k+ckXKBVdDMOhlAWGTIzavnKGfz3MGRxtAXXDoJgVUBVMymR8g hu3qBPXi1D5G/1zeQelLgXNomx059bhVsYzwZzbi8FsrowZKBHvVW8YrfkjPSeI9FyeL3/Yma7i2 6Dni/D1mLe3rmFGD+fUsLkDqolWrQesg95qWi3CU08OaDl7A/CB7DDFNzpTC4d1jNuf8IKyR9BBa Y1PqpcpcP6LhvmnWGmvHMEvhu31eowpT6LvVJfx31oLfPjf98uvZ2bS2InG99k+QB1RHc3OMiLB7 q/W1LUwnAkrtykaEqbfHWacnPOEXeis/6HEdOUM3EwFOT4AVQKgOCP1Eebs/CEPX4gSnJhGTIsLY 1ypT5OQeIwwjQn1Ap1C7RWVRjtGrcpERJ/k5aOE1iPbA7MbU1iqk33cnhGTYscNeJZnjQ2eNg7qA DUDBbkI8J7ggjoN2s1G0a5P5tJWwAyxq4xZ+OhqpGwSX8RXDQLnr8Ylg2PMURNgjHRHgW8P6qrqs 6lHijPOeIc5j88TR/C7xVu8Pgr8BbOafuucZpofDTEXM0ESRTaaHjWsefqXNCQk91T0r3XhZMVQ9 LvZOf+wpY/TPa9n7mExyGHy1ECLVs05Ig3ajpFbXktORzw3rKWLc8gPGhZDpecOMAZ4b4vaEy/NT qX8u/8EOSD0Owj/5KkVcBQcJXjcAFSekL4RfU5anArWol6s0qKVn1ceAL7BpK8OGOxcZ7HRxf9n3 5VqsjWqV9R9d7gmaS930Q79ZnWLWbqP4yE3MBziIOAFGBKmnzdi27GSckDlqq025L0NY9ehEv11O XPURF+jaCAN5aanfVKy+bL9WDAVdOb/wIm6hZyMcjenDj1V7VOpfDZc8q5VMGIkaL7iRpx8czQUw PqlqA1AHBXShOc5Ql3561oJn4wghmkrLiFQeLvuZgR6A0RXQmYdvNzpZdgrImEkkWnJipuWFJoMP NAD4hbxoSa7W8Voxs0u+4uCJ24v7ccQRtmfl8C71CuKEzS8gcJMuXfUMWVJwBPedTapItRSKy4ML 7BFQl/Bq8LLLhTCANtMgCMCMV4hTSOGRRS0FCCW5h3jAPtOVC0rulU04Do8BM9sfanaVuGO3Ojd9 EQRhOEM7ISOLu59GEAxV88aaHLz8rPDg9oZf0cbjKE5KLNeAfbMiC76idyfcRjqYIgzmngehFroW dDTm82KAYsMSNYGLT7F1cCOO1LRSKbFJrlJalV+V3Qjj+CB1HycRk2oYJEV6/aNBAY2n5TAlimrm IAjzDaPgMAsjQs0/953zXoXlURX/5xlzoYD7osldr+wH9D+B3/6LA1Ub+KssNhLPkUXzJTjXpa8g lW/CssuzVbDPP7M7DfgO+snEJVeHa8lYVDXYge21yxdkvM8MFPt2D+WHLDKAE+QbEkoFVKgc22Ii eYiZUMpepe/4aPGx1QaEZ5gLkUxl9qD5du3tIUofttXcspJfgzZdmZNp7RUpjFCCvODK+IxjSg8f vfilSuCl/Kqla586AvsNc+/YJJ5gfK59L3CC9FZWRwcOeoM6Rstlx2FbEmg6UjpEzCDRoo2QUwwg YtXIpLZgJFRThYHgUGU1QM7cymSInieENdkHXn6OpIktQ01TDIKQ4WPwXCOCDAW3DOhyTazXDTZx mG9vRD2rqt4DJVVXumqj1cWeMOxzOYraxj8pF5/Kg/O5BtowM3HqLoXpE4vXisqBGGtMBPhdG5s3 VdYg2fmCrBAKSF76ctsBJCF2gIQd1u7rkmq07FEKJIX9Jy19mr4joTk9R06GOFaOq4RLHAkis707 QpbVK250XOXHyF9NRiDDcqGyzmzfZbNLjUwYCaJQhtBGyI4vWr3e32/6WajMsoP+VIJYbv78neHX W1CI5ruG3Tnd217ydc27k6CfB2r0dHlMxKqLiNoNc6vOpeqHXAAffLkVKR082M/g0L6RkBF9krbr VG26nCzeUE3Td+S0Dsbey5vT5vjvYFU55CRddxEQUj6ABy0uc9ihE8mYONjsysifrBH4ChDb/+iR pyILhj1WDaWEtL3UggCgSzl1nL8AePemY4VvXuqikllsYEH5yC0V5yoqykql3IxwbUSkFTV8t6Wi fkkjstyEc66mV25npLSNefF6KJYNunWTo1AmaBKbnuclW13jvXSi9/GeP7MPbB2sei9NrdEZkj58 dRSdIuBXLSQi4NYWgOMbUIcA7uQ7/0kwl19FkVfmfo8mfPq4unua7B7FEqQRTf4G3rJlGabjC5sf Cdymaaqy1vopdm8D0p5owXI+9TU9AZwC1DQTTx6k300LfUPk3rFNiaxDtskpZqNxPaHTYQgoiNIc Q1OCicNEF/ecz1k7/q6gxZinErr/49I0RnLt0VtyNpSAWQDAPuxNmANs0FIR/fVUMvtEQuvjDzf/ bYbSkJnjmUj58LXqegBqXc3xLb/LDpeRPUlv4f/jjuMaSrrrS0YX8mB/i/HJ44qhrbnFLP727Pd8 eOn8nHpyAqNXjuZWKe+jNnCmIVtuLqPXoB2dvcUOq6OuSP1Jp5v3fPcXohiQXBbWNQM8tNu1yrvZ 3mDPlUtYJLBwv8+wSKP9FaTLXMZ49l6JX3SEpP1mWcej5VbeJYaA7/0JyfLHr/NHAXFsCm0Ezy6Q xo4U4YWq3/sg8u7yOYcPuNCmTI0cynbPL6KHSetOA0lRgKx7b5gOsVO3jPMw/Vjknzo/5NBvi78y PLPoFK8Mi6Qxo1vwztjFP4KEZU9gFjMB0iLbbPLL3PAA/nvFNEXsLzvhX6joDxhFpXkJxLq04+Jd Sy866Rn/C/z7/jLo1fR7G3GpBQeDsYgKErl5peZrePnfZEsYdBcjWdkHYxYsPA5twq/ojPQ2shhR /Tc7MoxgUPWv5RhPVbJ4dV2VylktX+XG7i3Q38cXN0t9Usmcg+2cS9B6hEOYCXY2DlyVQXO5pn/D WKa81TEiJYq/MXhcq7nweRcNLaUEOPU3W48m6Y9uE8KXXPEjBe+L3YGGVrYHosbxU4h6VycQNxXE dAJR4tnrsGZa44Eq1rJDoUDzF0PPH3+izfyEbmIFfIb957NhUJPVS9EdoZsOqIp+jmumiBRfxjMo FAIJa6h6TdmAw58bOfAhNm8/5LQ3cTpnWhALNLvHyNpspMl/c/X9F2MUTh4zJ4gizHDL4hWtX2UX wyES6s71r63Y7dKd58uwkImkZfU5RuFxSK7PNuWxgqtVUpDUJt0zy68TvDhclRIfE11rRcmdaVSh g6Rg0cQMa1GRXANxmCKRbDnrmK4CPlKAcwG1c6N/pmfFrhstmkzxT3bte/+MLDDXmP6G1/695x4H zeJm4voyxOzK/AOB1+JNDfK9i4/EPLP8wDR0JDpVE0y4wJbwLFegifNz9TzWOyLc/BKBUOTdLrCV 0Tlqxk4f+XF2z+9fu10jrh0eCqq5z3FYtD12mYN0+K/0Vb5LN7Kwxzb00Mjz+SxcLRplhv6qoCAV Z/2qPioy6rLLVXOo0A3t1k9D8vnistEUYaIqgImCOLHS7wZABYbQ84T9csjB/a7pVhA68B+R9kY2 s8J9CEvWfDkgh6x2738OeTI2V1wLUa7W6fK6CbnK/OKkP24MRcKFiW//FuZCMM0UpmJq8gp/H/+0 GlIiWMeKb/nUj6n2Ah0SH4inYRYh06eAPfQ5Nx1DGeKMnkNGRUw8ObwUxbaYmzfLab98eQm4qpeA UWySEUAw/gRhugu0klCWYR6rl+pBdcWTAl2/FrPi+EvXVx3Yb/g1OP9gGVgzqTN5ovimh73Hiel+ 63UJ0RhzwT19X/1zKzlu8Z0KxX1XXTHduEetCKrQ2PsNvGoG54rkWMZlQxUKM5FDLvHLQjmpziV6 mih+RM+3aiU1ELTXpVNUGEknS6lcp9l5qtrhlT+Agiawb5+PZSAPhgD4QKU77+0MFv2QkIvMvvPg VHaRGrYXryWjmUAzAU4XZzLpKGpwpBvnZUxhq3KvSFwnvCD6hMgA/MkwALlrn5tQcOGwLFLz7EFP Yyi17FKwCf4RTwScQ7fj1OjRMUkYvicfCBJq6llHkHVewcArKKi8fz5/fn+rtTA4HRr3J5kyHywe 2V/sJDhGHEnl+Fflwki+PPfFYVcrPkLyX1D9YE08BDD/P6nSn5e7gigH/Y0JHcxBEkkETtvSunoJ HAT2MFq9TWAo+SW5UDKn3NKGe4hpNjPMwFUuAtiBp7zjZ2e0i3+HGyUE0WJZImBWGdaL8IWxV716 N8FWquCjJ9M7DrGakzJgpgTehvifaWhpZ8D609TIzOOSdD5RbAjjwN/Ch0tUorKBdCwEvhj1jcIC BoSF4eH5RkyZ2PUN5DHDrmdBVD5c13m7cXhVznVTBsFIPbgqYyoivhDxpvp3LkHJOPl5BSPiCeg8 ksqfftrVsoCfZiqHDogHPiYIpvzcbfmfISy9KOxKjCCqbSbUrCsfiaowBrNsGQxchj37yLsD8D4j rh2KxvAGCA/eo84+seQeEoLyl199/hXv/Epk2H5EdvQjYWI7VGNfoafHjPWKQaZGThiD/LCSERt/ NNKa2s9CHGv4XGXWPDS8oF9kF+ZJ3nJ2rE1ZYSIwbklBH6P3WJw7OBFX0XVHX8nWDMmxsg4U9e3G jc8RiqaAGBfbOx5fTbpAyFywtt7iQ295O0ib/bGCElqtKtRaxjp/ZlExme9SYOJTF4OPK2z9wDFB 7U+ADN6zsGl4M5U2vHL8FDwCkZ5YQuPaEXJOLG83dMpSd00h6bibBtE+4+4Jpb7FP7/5N2OqCUd8 WIrE2KSf8y5/yRTUpUyKeReSmzNI+cc7ewQ3FtntVSJmAItQAflwETXFtmPvmOIMs0N3pGCD5XSU bMhnIvA9wYI+agQYgPDsLGLEu3S1ejqTj5eupzlGOPo6RIiOvCEtoot+/7meFAN7PVLJvlo/zPTI Ytd4DxHrtDHAFhdICfatvnfZYV83fZ1s1UmoDujoPneK9hXZL0jcpRxEfL+c+0sDBcJ8OEIrLKYs k1ZZNc6EV7DZnkCXbDodVmxyWj09jSQDfJfBGhKQ7AfLOsL/E/VpSjwrtuQQZevWw1Vc0xX6I1X6 2sR+wEQ60d3aJQNdi5uafpjCLdymVQN2nvH6aySZCl2o4Bka0dT2ZE5IOONHzPjzav/4JabJHvSp 8vlS4Hscgl15tzj7XPRxRkGDFmU1tvzU42XPeCvPYxrkH2f4XFQfxg6XeZAd2pzeDYHCen28RBVO 2yCMIhCTOGHzbnLiQovm3tXbLnxStoE33SFlywYsFabaE/uvRJ32GtMcJ0bmEMLy0S+P7GLU+flV PgXed9p+KFBawrfJDAa9YHipoCq+zkloTLDJ+0ySkwvuaedxNnyYm4aI3yny9Ge4ZKImwvBsiETY F47s+yceGnbkW1k1ZYb7sox2TzLTFXdKCQBCPUnrqoFMsg+S/TJkXbSqk/J5zsclBh/DkGvZJo/G PI6nh4iBwQeG2qqSQh5HoR3hyPm2o2MoAQ92xTAYpg4tQ9MViAB920WZeu8miETlKqQ1Vaa7fmsD ao9n2D1fSErYrCGGcuIkTUQijjluuj1HbCj47c2ODkbgh7kHI8/VKceu31Sc6EgXZZyDCvzMtvUj VDEt1QiO/5+Sk9vdIZy2URsJO/SIWzlE2T9/2l/rkuxK/XuoZ7qgipvfnuvFd3Vj4Gyv2LVq3gid P/vRu+Tl8C3KptS3IQd55SnmNmLwgxUdk2v45tkZSfMwb5SqaEVPJvH0/ZpqyDoNu9TpZlnXAUDe QOsIxMSWq+k7o/YQu0dtR3Rt3v94xHIFXvnYUCQ/7hvgm9lCa33N5Q/AjYX3T6ynDow+kJvuWLbR CJZ7vqCZeDUORoESmkYSRsL00vj6at68dTRJu6C4uTdkCJ2XlALh/KtAX49OD9VCHwie3yktPl7Z gluCOsNhFTXZgWYpdxY9B6KGgAsXBlKQXP7EGt0JE5xOqz25BiGjHepsHP7lWG1BR81xSkV49KE8 Rz7kfltegH8A33S/eizOplRi+MjC5iEgZmLbiyZeqNaKPc3BrqEY3+rlEULuGUDcAOVTC8Tg8oet xeYLQpJON59WnM+jys8YzSaEKWSaIcW3aVVRgCVUIIRU1V8BXZlCjAZzePH56QDbcQaT54yAZWiV ENJ+CNOmiiRB5RgaYdRfeJZvWciNnNccsGeZqFjymMKdcyYSCLISNx54vaHDk14p/qOa4gHV3U8u RYkGkmCvGahIv30Ax4gIg/JlLG4tEfEf9w9Yzg9maqUJStUi0d9ahGlP1xOZEYB8EikxpN94ASG0 SWiQgtZ9HC/nRLZkC8IEBKEoRPQodyhU1n7wbu8y/GkG2TcB/a5/Jqz/NKwlJe6fIgIoytdmvkzZ 0+Lq3OGcS/Clp7EZ7iYpqSLI2/0tWrcY1wB7uwyHGHzdvdrMPNRfbjAEDga7LAHeOrEQ1SlfiCAZ NcOcCvgcn7ebV/C5ugjnfZqaeJaPzrwKls2ctLipDjiqKKgWWq/dG8ces99bk9J239Vo4IFfnefE BnHvathp0bAGGEA/rVIatd1xJqbVtFYID/M0B7DF2MhwhCj2cqRTDbsZY+SZy+ekmCQFlg9bd0q/ y3ZfWBJ4wBd5bboRmiy6K7GJUoBXtxMVazWPUu6qsScZAte7hsdMx4pXhhlNBsIQbQOkgf3M7yxV BrNRFE86rMu4QfAK6EU4D3K59cfjJBxxk3P5dtiz+EKvwB418p4YlDqddeLARMHqsEGrYDrGX2Gj 92g/i+7DMIjQh53qleCA3anLXk/qHyomLzwKUMdxBduNnmySe6XXBj9YrvTbwvBvPldTFn0AEjZW cpXfdaY8A3yZDzlmhoKIUadytOhgAnmyVNkAjYS4UWHjjO5ysYv0/nj6EL2ykEseIPUVm5hg6k65 d6N3wEFta6LVlggB+gHN7et/l4xSBJqRqPeWKIY9M/uSJZICR4gUnYG2Uw9o2YVbC+1J8dK3mjXX mIm5L9BioiF42/5HEpXjB4zi/Ga93Krcz//hBL6TM8s57wobJRj8jEZvkb+ZLZclgUHXT2TEMe4S 0mmmmxX+tJtNjqC+olEx0Y3ucvujd5YEQ5Neff3S7dPj3/5jdMWPGndUHQ1zTInhcqukTta5/w12 pyeab2Whl1aRSC08eE+OUgAByaPlUZirQ1pm7ut3jqOc2HQcr/lqjo2HuYUJY5MIC6173cd0BB8y P4qkQj41UTuugZgU1dGHYxpKXB16cXirSqGwShliR0gGRH9EVrc/ftEhIFS71jy3uPlDqs7JILV6 148M4Cp3KTsVsAOHBStlik8LIOWkkwIcsKLMHZI72XXWLMUNza+4AUiZqcBo6gsw/qSM8GHlXRHx epJjBF0L3hl84XoFKIztTdKgr6qKLoSORPGuhCLVTrU2ifa1iFtXi1Xg0WlacbHEmpf6XZ7ML3jK R0OpoD2AgtTeMXXd9TyJFvBz0gT8iYqcNKrC7Vy4/P3p+xqD1RPDvKTAKFlXJ1kGQNn8ZV1EFAXL KI5vieVBI71fc0Olr6w+vXuyX5HlyRL6Yvku0y6XQ3O3Bu/B930PJk92QReyl2smqG27rcPYCm2N 8M9DyrTcjONuZeiYh4D8Fz8wcFBK44ECoWxzkXbu2GE9S5zg5TjaEEK+7NrzizVq4TF0mPPCQ0tS 5lSzs0J4I86WSMwNnpmn2CgghASCeAEreBuT+zd7sGnYi3BgCeWr+n4ZOokOqyVxa7R8NBXDxVoa 4w2e0B9RM7t06eRpkm+4pqTdqrZ1D2PG/m0AB8VYODCOC2Mll6Ev6bsg7U4Ce0U88xP0GMVmH745 mv4jzpfLw+jbRpe4gEI+t4UrvKJ4xrc3Mpg5Asr7hbxvKVM108B46qrSjy+ApQt068w37JVXEPeN Xzbvdt/59wp2Kyiogs913A3h9Cm6pN47zG4EH1TpuOYrM808ooHGc16phCLpTy+rXKdwmXcCbaoo HuO63okijnjMMIub9k60oz3lymChB0eOEHCDxkvv46McyrjBmQ7gGmTs0NDZNeTQDliXcKId9G9V DBr8XiZInwb2ZqNeQYcYMwbFnwLwYCXrJ7n5Wt7cN8B0Q1FfcjRNkONZWqL4jW0zI2QwJ5MPYQ9S iyJfZYsPn4P2kun35xnNxS860D37W3VQZ5rt+7rqCzyV06QFZSNdiGwp5X08KZhKKDcK9EkqJFZU vLXVkDTGOWa4nYz77sESiDnqP3fl8Ukf2UpAiz2CtTAa6H6QRPcYUIZTyRf/s2u5aiRSZXxOtJfs 2fqC4aRACybJpzl+2M1m0+NnPYenqVKvUl8kPC8iM36X2/OL+zO/Gs+QVq9Q8dSZMXmvjqyfYp8H /w5TsrRQVxltUgfVt10ZU+dFhk/Fxn9thhutSeND7MxnFquom+YmJbILLJ5jevZ8kT59Xi3ni01p oSCRJBjH373uRAHSq2mh0+rGmbH8p9bE8ew/luheI9m260DD5BUwCdWJaSYACsWOQlD0gnzpo2Rz XVtPPxs225b2r/r6f7DM4WPCvaZvaDoMSFzUONq215DXK1F20jw2ij3JPAfrUqahLPZh6Sn0wY8H AlJcwSpnJ6Er7sgk1G+9QOFGK47k+6yddDjssBoQY9whRjntygEQ2relDTs5cr6PKnk6cmUq2meT VvTY0IagYzEjZT9lwUoYpSozfaHeXdsMAE1VBbxJmPy4M8bnUNZPFEN2T18eA/KRtqMGOF2t1BiV pFWgHRvtPWS0+p5ElYQ79G0WMhK19gKSB6vUOjoTGKiALn2pc94smG9DkTleY07KNeRYPcmBmifc Pcggj256yZcQ6X3MY2Z+M6hcfvcIwO4809XQZ6FcfLZ3ZWYdBWq/zNnDMLCqSxRFuXV/K1nC9pVW oU8JpbLzjdQNWb9tftnq6M6iCA5qArl2e3WOo0Q/ZkfWB3b+5rlu9z+SlprC9JK8puIsKU8paIMs U7KsTTOprmWjgxAoSuUj88m0dd/iiGMRFlH2OjvLaR0ZM5UvOSwLrAHtxmRG9hkizMoA1V3mlJgy usML3US/Cu+pAwsuz0LQtaauT/vPRZv1UlP7ex9BZh1uEvisiRAu7x24N6TmGnbx5z2jyZCuzKc2 nx71V2J73iQcFjN0U4QTd5WVhCOuqQ5Qa/fgiA2d3LwR1twxvPNzzE9xGD8f9yrcr1lNeV8K8hkQ O9aXjhD6pCUwkelooFRu289hoLM3nRCoNcnms/NLQpFx9qRY2KNddkLb2m5O18a+JkoN16lj7yFc DdQIMGPYslN7xf8Be5H41ne1iT6pWm7/qik5yBOco80B69lccPBkNPGNO9S3Z+gG/JlS8gIvE0qD 3LRAbTZm+tqPZHrFAxOUp9TSed4nWT/9fWlXTJyu/Xcxihx2LoFR7qguLLx/dGAl4kB6MeAl5OXq FFYYSfeFTW9IcpjmA6E0aA3N1lseKYvS+zJukyLZtM/Z+jeELPEpsqjFIuDsN4LB12uYBpGLKFLE j5qhcMsVCr1ZZace8mr5mWGAd7Rd2bPf9VQR9AhqB0rXTd9J+27HE89qfQb04dWKz21ylcHSprJY 3qfkvXaMZ9VH+ayuzld5IpOGDB/UqrIz/L0/D6s8xYjiqjuC2HUpuT7RClxGF6MtrpP/ntj+5uxH 5hSdgD0PVo9uDb22rifn8kbgNEsisnIUyNEJFphPjsB0JqFvKALHA5WxK/E+YtTyApS3qafOU8S6 UVjpF3Y2u4V9cBK0fQkBfsGYNIuuHkMVJpI+4+c1MOFbi+sg1M/aEGJ3/rI31dq14HchOk3cokX5 RxO2pVWOWfBJm98f2zHNERk9yojwPy+JbIwRZ+EaXqnfjyc2ppS6Vs9MNIMWyzJrJygpXx7YS/LM vrRim4OJRReN3/pLZ4rbTYs0eJpvBixHeLnPCvLiTH7j4NpFeDKpSrOq1x9i/eB8CIeTmDBJMkMj HQP/mlcrAFU3g4D1KfC4E0W5kMiGBrfaagy2vaIsPTHyVELgO8XUwm21nwdLbvxHJpvu9DAvNpu+ FzFLLBle5EDeZg6JO6SLyMXO9fpDUzYSWPA3IKY+VS1LPsfJYv8LeLk5Wo7ACEdwdpfDfxDZU1k0 LW3PwM2djD19NbEQ2YgLVrs8w2ZsIz/sxBPu5FwmDcBko2FRSPLsl7iUsoA/cAxFqGvgObXTGPKT TAZ1b4vYsmhdVrSLDZ8cpSm47BvhZ2npbAo/efem9CBK3fSfcsc3yLsWwcIemQP63QkUbkq81KjT gelJPtUux0aXMWMrj9fabBKDFa2N1QPZhmbCRQZwN8tat+EWmGA+8iTchDPkVUpH3VvazZ1g8QAa qdg71kV491CKCRAYXIB/nxgtcR7o+hMeIJ+NYFrm5ZQ7nKmNMbuaKSFQQBnnb/FpZ+IXGp0k0qjs H3MsV969L8HLR9GbE8vHGOsOyU+97JQiRMZB+vs2MccK1MXdYlGOQlOSsuMFchy52zr2XavZvX0f 40oCysjzB1DP+ZTkaudh6+mQJygquVv8oE7AP2yJ/u9/7wMeb3kP/wKMaalgfvamvhNh3MoBRmeM M2qMfyhzf189DiNi2QgB4rwEbkxLrGboAcs9hVunuRCCvZytuoI3U4RTHqoESsV/PTqxOrnxrpDn FnViEQm8PwV331CsOm6s0oPUpIImjMoJQ2VnuRKwTAsedaU7pqVohEjjIQ+2UeT6YI17YZJn3cfc tutGTMPgYmMIj0GatgD9uUVZgHV9fh2zPfWSPkmzuW+RmtJ7nVP9k6MlDpGgKTL5jhjShiDIITWj lBRufcNIXfyqdFv2vj2g7eLXVk9gkkDa7W2aziaDLOI2WZ8Jx9Xd7rIek1L61cy+RepZj/somN0u Ih9eSDSmYL2qGzdDIg7nF4fcWIaIXgoaSbRMh9O5pRz8NZPRniCx1Y0qaOCAYRZiim6rzaA9ktw8 kxczvsGzHkUUUj6fG0KmhprBqxr8cnFD92k3f2qa6Os/wZOhW6MOhQ2gyno6bjIx56lFHA/A6ZVJ RI0+Sytjx424mVyTqh8MImf4TjKCJds+b8J8NUV+VJ+p5LL81LYAVAsLhhstRAX2N3yiVbP5WJwR 1hJbANr0QdA/zVWOEemzS2uH7Y4tlvRRgxP4UcmrFKgYVCA+f2HsRkpdRxg+Jy5AzEMdDclZ52Kh OyeVuRO6SF8xOVD3foHIDnY/qoX8pI6EZpstXlF7v0Ca9hZ0qer/8zIZX8nXxmzc95zFZsAxlXad O0jVFRPXRZTMm72f6kMYPmI+sN8gA2QnqmBZEJAvsAIjd+EXbhKRixL5vszNR/A1lRw3V8t14j7F IWfIUubWwiizELLtaBZKUccLFWp9IDUZVtYVo9rMVbx+Nu9Fzng2/hiosxwcum++pPGfw9OoChBO M3Un5df2WEnl7KjvnUjr3NniRdWPpW6FnsszTINYYBEv7Q0d3h5Ho48RnDc8J8kTvr/F2KS7bgc/ oOBuX5NLCa3IlKC9eJ7jSobJbGCs7+fGXpskGRk/45ya0VA8+iqPXUwIS7NVUuVybDgHYb9SdYtg d4tkrl9VM5rdFi5opJLrOBpr4zx6XDLVwN7Rbh/t9Ar+tEWF5a2ZFGHXXY/3lBKi4wkG2VjeGOAs p+N/4rfx8GhJlbzEM9nd669Kfb8bl0a/XowA5FiRfbj/7qT8JVn4R9f4W66OEasLXv7HU/VLFikY Cp0mi43tByAh7v1WWA956ca9kdSJxyCpxLWo/fu4UaJeyq1wvLD6tye7ukW7AuccBDFyYbMSAi4I s25t9sxQBFAcFRMSRW1GZyIEdqBcMqJXXBS8p4CQB8oGNvGL9v3HGTqWUiKwRrpg8iZL35bhvLcv FhM0jJ7bMBEte0cHxS5Oo44K3dbIG4o3VijLi3BCijzNQnsbCbln4zRGavNeOp642gTy2/G0Yoj7 VDebhe/fjz6E0TFiDP9Er5JW+kV17m5KVA/tp/m/B7Sd1x6F1RA/Uq9y3ssL4pDzJJ8lqegJkyTw 2cWo5m+kYHn+ZAYRqasno2+dGzbGxa6h61UdB3wzVkl+iWUldW4QcOdUBADbfOv9LijCOHLY4xvC U40xDNoZTdzHcByEMCBCiA5NrkAeHDzbd99eUcT/aMMtpLNoF3nPLrZGuTNJuQeKP9lkwe4hUlhK beW/ENIJkty2nF5i2kDvY8e3/ZdgHVcBxXDhsMaovGWHu+PbykdaMUQ2oLi0w76N3nXWXkV7u2KL aRLivPiTnmRqaBF7PJqWFpJQJ7OF6OISZRgLWN/qkMtnnUni11y/2hjdGeg0bzjQMNEcyOiV6ozb HAvJIP0w+FfUX2xYCJcQGi7jhIY3I2c+O4LDm/QFks+0nC+o4EJuE2Xr2IEzO7j4wKPtbLuwnqys rCsLUDT/n6WpmdU8godqfYSY0ILHCUxayhk1byqFu1Z2kcJUDa89lKlBYpM7KtmzTu40zXuEgnk/ xCCkaqeHCjj+eI4yYW1kO43QMfGTgYZAf4qBrfIeGzPkK3GReNyhpLrJh77DNWdyvlYiMICBp5Wc AmHzcHEG0tJ39QfOvGDy/vOg8D1Nan2bsXFWAog09UoyEW5cxqF1CglN5BQ/YHDvTMUT293MdTiV cz8TzUzauLWlhlAXvS0snOboe1dHGydbdLwPuyrhh/JiI6J4OfFiIARguKSMbld3sxXMuOS7BG0f URWS9rmj/cFYlEE13FbdGyG0b+cLjveoEpY2GgFX2zMkNygCsjCaF6YCl3eTvuyVZprJBHKLVAS3 MCpCrmmrOo1wzNes5nuZIf7yC+U3luc4I8p5fvo9gWFDHUAF2RNzmZR2VFCOiyL6PcsGDH2tckJD lOd35fxJdFEynISApEeCXcZyG6v3KeC7yJG0+LpHUESw6VHqZkc5i3gjoGhOcKgHNKJJPpm4TuHx 0eh883wCI6v7zWG2gS2WtLQQaPE03AGqhFpNdRZrccfdYhaQMxim6nUhyyYiXOVVwpHJ4pD/4sln 1XvvIsTuWQMHUQJxK7iJ6ivnmyzyVcx4hs11XLeUiwbwH46HyFCPtYTwA+5rm6+DB6Hnbt3m2dPL w2YfLaML+16nXQW41YUwrB5uRTmfs59Tfks4f/t+TIrkBYNocn9eNwy1CeOWq4w8J6qoi7AJQGe1 CL9EDPRbFNZWBO318PREcSQZH+mOFMOe20BwT/T0nUvCvu+EFy6ho+++gG3W08DADAlet3G1C9vS sFIEv9dXlEva6bqaU1P3ToX4zsmmlwJAlcdT/XObUarB8FnMQc7g76/xTy9QNe1MqtQW70+POJGc v8JukiMFNE0WKFdrMp884JzcYODxjNj1MgG4MK5PGofidnPjQCwRyYZv70mWgr8O2vXTKiSHZ1FX 67pc+kI9cOoc9VZ2mNo7FrhaJUIrMLe/jgwVwUbrABTNoS4kzpfccTsXZ8TgRzALvPSso/DeGcrN oshx2q7iYu0qkNJQpQskJx1OHz2WDXMgg+1083cmIu09q+25zQQ+B2cJozNeiAVviPv9mQynRDAR Yd8clzLrVODtbCQpWYZVhjBBuBqN7HEbBKxIXGsC4APaIuXx5FXy/qxDeqyVRkXp12iFRw6crGhG YKc3k9A9PoInTvX90h6sC8W2jLgIVQVfLAxPgVyYoDwyjthcBJHKwo4LuPXUlkpMOGTglY8dGzFY AHtVuJYVd/6HvWlEcXxckVVcfgyy4/9azYgC6Reavd3af2apXnjAVpG9FQ3igMnLSCs35/Zz/N6t oei2QYpJw/blgB3g2ARBpN/ZGffC3osWjYRnA9tgo11MG4m7tgMSz48i+zNFYj0guJTRj1/+Z7pV pCgooUQeKFyeq1mGQEMQtb4PsMBSFAThrGE8r8xRfPyHD3ny7JBM23du/56fguJ654Gmmk+hmuGq fn+msddPpfe6132LFGeYWSGFvYbo47Q36cRngpxJnNWtnr+ShTC1YTKewj68ncmgdnpzjvIlK9JU RlTqPxyySZjjmPTuWJFmg76lNRjLl8WHA6UpdLAd/l/OGBa+QS2DGi76DxdvWODS2Y1WFVv0S/RC vmYoTL/sDlUjhCfPU3x7Cq3Nw2ZrcCvGa2UVHnZCkCrKSHXZLBtdSMRivTNlSeC7MNwq1htYpmP0 rh0TlicSpGuEEE+pkhDMBxGHQgr8z3xb93qe6NP0EPWJzhbjudjkoGevfP/dQO7bKSMv41+aDiLv /daTH8tmiNFI5FdTC7OjS4W0Vh9/jOX+8E+m/ebjNYVy3qm1xRAh6D4Alk7e51O0ouEMaB/e01HO nmO/XZBmhVSbJdZUzs0v9kJY8cO3AcPU+xAMgQMnlH1CNRtAnnUyUNtzzngFD6OGwbfnM7F9xYQP p4m6philobVR7GMr3EXFUSjdlP9xDI42bThZQQ5L8bZaHd3phgPZrFLTacxzco5JDxGqefVKDW3d lw6rb1lhm85yz7X8sG3ezQHeB5P7nAKakAnDrG1F+8FecaG50qsqUJomShQy/RMxd1jpBrKWzSOg q43kYBXubdJPiW2AjhuerUXmPyvzM9RRq9ygs+2GN8ApEDzmjE5565ca4l8sVdTUKpYe7mb+ixbH q7EnGyt/HRHC57oYTSjs7j0LNZlDmkaBQgo3SoyYYhWLFglCQu01xr3oSeKZyqu0Ahl3xHxO6UBV OkzfQYqLu9CSEsGae/YGcey2rlS4W3/cJ75rFswHHbTG9oT5fUvrSn+F0QB3LBnShW+ercQfNY8i 33eDnd+d/Q+CdjjYIn1vVkTXgpRAq29JX/xziYqawFC4QO5FmnA8MaOvi94xX3E+yvLCYVHLPqlx Ma/+eUqNAuWKGGwd+TeccB8eztG36Rll9Afq3ZVA+CSg0dMb9e3kbBxmVd2vBPdxyYg6f3Y0BLGx LwzyxcYAVW0ieYfmS2bF3B2bNAulnPBk/p0hIpRemSOO2VcFQTDyvBnXrqI8iRk9zAFIWISrvpo5 /Z8/baxWbkKi0gtksTt6v50uihCgXmnFyc0ClmC/4K8qBJ8dhrr14BGGfpxTLu6tNZwyJRzybd7q 3GrRgb94g9kvge45zbC2a4AL+OoyC5WzZzrQwa7YBbirCgc9MK190aSG/3rMotSWyKGVU3lksTrD ZwekGGTPu4QaHPtKh5eB8q/aSL0nawpGk9U6PmV6szg1IdPv0hpla7sc9EkyCrb84w/P0MqSk+mN XYxv85xxHexQeFUInI3IZp3ggzbmpAl3q58zD6oKpZHpCciHEPoHSF/KRaX2lVPmnLGqmlb7xqkO jYE9Lu7jGnTca+b4m4P6XvEccSTf+PyrPRzlK4BmSV/pb9ZfURB+RlkLiLBySqxqJbBlhJK0V8tl HEt/OiZbxRANtSr6nbQN5FgCUExeEpf6iFFoG3oSAlRMSW2C7CW+lwtyLGno0rI+a8Et0Em5Tp6t uoCXaVeh8VDKEtEgzf45F0ODCjR77mnh1y49v+tlqQggj0J73wwg2Pc9nAmUSM/Y2vRgzdsHK5xp 5oGAEzVy/ezL+LJ/UDYqvuhFMkFCcLc+2F55EoPOIP61x60m+c/JSbBowwi2XL/2raKxM7N12Xom Fk2kDiK3RWIxV3BLkVP/WG9XF8kCpAle0YJ1wtI//nXFh+GjbjddsXrxaEcwwSEKbOTtuR4nsfOT jPSJ19phC0LuqtdicOGubYHt4TXx1P/jCrei39myjKG6zpCJMGXu1cUklvcBsS5byEbIC3O+PYi3 JRxYvUoOHcPY76PKVClM79n9G1nO0nbYvEEXvcK8VaQVpfWCu1aiY8T6YvLHoOXG03nOe2H1+TU9 ZsDyg6EdDDpQMXLKkkSU19iMjvvNvIKWaWiBKYEWcZBK9JBf+/RmtYtTD+FAzD+T6vgv2C5AURUL XSFZAfIDLUkYTOUVC3nov6zZxGVym0tdOoyN8iB6KsNHCR4juh1WfCITg4w6lMRHvxyVXmvy9+II uJcDnlt/4ysPJumscgBV7G5Mv+Ll1uK1qsRJABL/ca0wvwzWCmmf1n+mh46v4OWT7PlUa5DOgfzJ k2MAqYAT5opSSrNOVpmvieXoaCTzUgJ7bb9LOQfeweZWutSrfu8FYQ0nUZdzRUwcvJXn797uCIb/ qTztizbUMj0rNAX2mV+p0ltshnGOh795cR9ZP7fSWmhv2PPEPtBv/puA9wWehq8FYWNg7LimJd37 ++rLoYSXBKw/CgHcpI+JitwdxGhCBnRbCN/wKTjl7CTeCk4qL0ajK28qpErgMnzNQgxEJt2CQjHU Lbhd71r+79/KWxqmm41zHU5ZPsvb6OQEVkeiJbJR78Bnqz2mxf8cHdKyMjBuaJoY1FaoXbP01vDx AIt+v+WkvlBQBUYYHo3q0g2dTCqDm1IjHyv816y4jq5sdbloHZuvBuMfiarZ8p9r7GBO0Ax2v+a7 UG81i6OB9s8eDAN0/5Br6rKYLoaoP6fBNdbU2e2Hl2FJgbmMm0j8XRHLVgQ+7Z5sz6hInpUVChFg CrsF3RrO8mbz9+aR1KbREANWrAHUS0eEvFNWsUuZVRcpuvCneewb+WqoftOPv0fLxfmWzkChJouI Y97MuSptC2xMu8r5zHnvxs73Y2xPgo7udUnykxKLypJhJ01458c8D+VLagCW2YBXCHZIE8yh4GWv rNHyVaHFb+ooF0nNlFGDzMz2LQeIptKds5mTv6A8X15NHKDTBOB7XB5iaKJfgNLxbM3zX1ESF4za n0IB2x5Wj+hAv83dJmA6dPzP7kBJID4Up8qG9icbzcUJIgylUzxxHB/vmTOVZWFz7adDjrvqJ3Sb pTzsBscjwoNiT4fgQajSC5wgRlXaUjL1Qrpp5tvNtvE7V3xGg10O12r0iHSwPFl2pevPnFj4QVK3 fmOP9WUE22aRNIaMcMhTAUwyNL+KkygzGPCnlV/BCX3mlYi0s9YQl14ZZ41O8zBrIkfHSAmCaPKB i4ZTM0MTpH43FiPT9LkUvV5vKl17nwsii1a3dVZZBdIDVTcxcjMFYRKoklctyZQ7vU1jKKMWUuyw Jx7kV/ArVYbrUGj2Rl6KHYkk1Z+aQMML8QEMLKGqo7jZu+XFs93QmEL6OKS5kqD4lv73P7CduVyg YfxpJJoNl1EFOz01qcr0I85vDfkM2GMi9mAdDLOAsEeaSRPGNI6gi5AD7WeswGnMDb0MPs+EBLZq hi0FYz3m4aydoUToZTPxfZbfb6dXsSMPev71ex8Fw0LZybhPMXnDeVJw/NYLYJ8zW4zRmaxEI+/p FlQMLH/P+F1zYmBq1bUgAl9L+cvl4aHBdspOCnjPyijtMyLETjRhaL9SMZz9jQ8wXgl8qtycDfEy S47ATjss1vKM2hj4DuRIq3uGnGlq/AGonDV2pq3XN1BCkoTRuOlDZoG+h6/MimejFGL2cxNE433s 2Yk3CnCdtX5RE9OwHlguUBRaF5GFXstnNsqESXvONZPoJwYsOnW4gK7mQ3S7rYncdJ2IPKQThDeD LEFCk3mSYlqCGEm2gKRpNhY7Hmq+7LkaRUD6VwSxCvInZZmrZSoZtk8o3f0oTtJxb2m2H+mmqgib 5uJLAfx8SK1V4NcaWqYYw6UWuNnMDjHdsRpmro0R+5oUm16LYKmnSqnC+hYAD0HJ+5qe6r4b8lsY XSDd8vbpd3FsUkvN49RKE4+IKCaSQmZatwh8VERr3Cjvs1FD1VE1Y1Op7kC4KxN4qCWBG1xMXI9z pTBeqw781N5UF4MmUaKmE1Ml/pxwQ57HB1ojmNqsmzpoUzUmwNhk901aKjP64U7PAG4DXqxS16hr f4Fp2kwndMUSwqNPlqYygePuO7otFSHGyg94a5ZNh3B9mklYC3trZeaI0DEB5Ko5j4syAw4k7rev cc8pacnTRLFd4Lhuma6Z0Tp/6ZGLn33gK1fREmDpAYnuuyDjcFlLoBRXRUg6A+hhSwKQRqTGv2YP E0AYnmWav2AXhQzdyzfUvoEHlgVDJ2tkirqCujmnj/u+/IPrqBn4lV55E2Jq53PHscHszLi6glXv 8+qld2YGd3qUXaD8/rRJCKoxoBgV70hc6f2mha53sem7mDRhgOHuxh9C87me//bxWb4FDl0j4eiO sKGWKsIX6SYq75HaRo3kZNiB2zhp6MHPaRAas88nntMORoHlaWnnd/3ZLVkZLwEHxg8fQG1/4VPx rKxUejvLw4zRrOJ9EqpGdOjXYmariAJhZtVZN5IZX9pJ3zu7277j0u0et5X8Hnjt4GBIkSOEHzce 3sI7La0qNYrZ71X5JpFvs9DSMDLMsWFi0yEcdQi4S7yM5TKI+TYgX4kX4DcEnUrBhsyC5fcuDP8M mj4nTdWCug8KzA72q+tL5F0mXCIjWZQoZFgkvbTZjhUd+LR9M9/WigIUDRpZ/VNsxw3NRlI7sW3Q PHImBY/fHalEHM04o4XEU1EOnn0G+gFEjet8tnNaw2WWj9r0vjX4l3czCHeq9/qrwLWOgZcG1zsZ 3vA8FiDtbwohmTkvcxH0Y58D1eYW3Xg1Es/S8Z5BOmKMd23H/NNSS8J0nRRdHKMgg1Si6yPNUw6t /+bxCG7NpK5wpw11jyddNoIxo0nzbtt2yNMgUHhhZdGcBdnY8bw0qbjI3HvC7+e6LTYdjjdnZ4Oh ANW/AbwomlXNLfTwA3ZOp9K01iUmpcFRKjHb1vGuG59C2o4arJqfS9R+oytuqeUrvvlPeu9/9PAj uA5fj25xxFcvG10GuTZXWVpTrXmIWCqqm4ltHMObg7t1Rqcx2amyfRJgUf3t16VtFo5xsO7VO6yI YFlEjBR8hh/1LOoqVfp9iD5guuXKWb1oSsMAb14rXCNXRZg0/v3Q0TeCYhCxWHtKcAS74AIoRxZm gbNV8a2U+f8C8+QBunRZa8D1+4u7ZBPdqzEXQesZsSmkt20l3i4wXiXmZHIlIih9cPWaVTctAidW ps0IQvEAq+gpmipA1Q5/RkgwKw5nEUDTlLKbcTPukXr2yzpcFdUAQvyhGPbZRpsaalpSJyAwinVV 8Jsggdk1iGar5IMadDh45MA3UNTNKHqyqwZPvEDWi++4FlplE2srXyOEzrDQH3jgHzVmDtF1QcBo OvBTSm9YHbS/a6Gy3aBBXfiiJZ8FAdh/X37WW0zDALWyzy0dz5rN56dwnnhiBpeOqBi7rH4O9jGW KQQYGHYB7sJlT+kEbLKK3sYL41016DN7lJ3fTB7+ZMhgQQdkndpxXSHI5C+XptTsQmWAOpY60iQl OWIE9L8CoRZk8ulRtG10MnOmAddkzw8rR6jU7JRC2RP/o0triAdj3w0fKgfEzrKj+iPg/+UnjqML UKddpIdXcgGhNQXyE0hPDgrVLYNPInh4naA/betR4le5IaXt2Mjt42Um/RefVd3PfFOIEBkyuIXw jWnf7gkoMuKBDv9HflSd7xIE8jpCGsRTpsYBGAOJj823tvPLYz9lBmoXMGHVYCX98lNVsi6soNXs UZIBej+GTmjpFtv7OLkeq0qyZjWLjli6A8fi4PwgbmE3/T2gElqhgOn51LMrEaZ2s3IshFK+T0KP Sl9f6HEeodstniRtHxmQH+ukjR5Aotq7mfzPdWxs/bvEV/QoYe7KR/g2M+O6WyRE6S7/8NeWcWWy a78kQpEgGiCQTTmqFeSff6ukpJlBFN2W9C/1D6ktAjdxyQtjOlmv1S6Zu25kB5Z6UJkD6UJReLVp RZ1IYwJwwn34RJ1CYkh4vldlvX3eVvXapbbAO0K0KYW9KIfqEfJj/MlRdzXSNAtvI9XipUizF3I3 09+BGo4lZSX+sez0+fiyCONN0lHlDLywfVqqOaNUj8/hoNyr7cxRvAsL9WHa0YkD5/PQfgyBaPoy deh8iQNN6L8tbBlPvX1FIspnCT47I1lzVTKqgkW30kfJEd8G4RQLIIr/WCBZYAdOIQOCx+Xz/EMI Z9NZ2bQ7CJ/YQ9GctK3ENNfs9ugDr2y5NvhrPV6BGlKc7BvYm2xmJdqOhyqgH+hDSA3LzQnSwmQI MOdaS4wctVt0w+zvrcljkJMiCDnMXqk3G+3gKQGspsKuBinM6d6LB2WLMb4f2loIR8mAG9EGo6zF mmtOg3hYEyD4R1bXdxiLSwFHS5z5dE/EV4fpWuA/DZr2S13nuCgELzB9B/ArRgFJngL5ynJt4ZWg 82cRR61Uw3GRghrGJ9MdLsk2s8hzv7E+D7jQ1b0M1NDRVbjpw1B0xOgQBpE1v99JZRR3t0qrC6h2 gfTJQaJ3Egwxc2gf1e0v3ktvrSzgBkZ6PeT8Zq5W+8nNL/2e+3O0Rp9Gh0yigbNrsFcMB424ZA8F 9SH/soiWZOSZsxGcV2tuTsOlCDm7N4KMx8i5GyLsJ8jd/a9/TifzD+WVfHbKcb8z920+lZFBXGxS Ok8v17LVq5J7p6WNX4hlR+7RwCo//bu1Zd1IQnNcXnKMtmyeESIfp+hNsaEGAebxF9JDG40FeK7n GwD92zEbB4GEdH4vl8DLrhbL16YzeE0RCW+lQaHZrACh99M9PApkHBq/wu5DwR09DnXnQRvGro4m WNAy/XUOknTu73tWqxtarHOeUM+NM+/U3X5tSSOVNUx+V5BUaJOb7Aq2HcoBflaZEvhCg3Ixr86e fn+FKQqw+IOdEZLEohsCb/a5aq2ZTyZaXqeBC1tD22piZ35dmNG79M7aWbbzr33cZbMWnR3zOcfF L325DvbmUZJSE0pncH/Vax1tXf/vGjQLzj2SECijSu6BiGEfX8mpgbt9vUMN1LnEVdBiIcuD5Y5X VqwL4+3J7/z1tfWKuMFSmI4XsVIUb0Y0+KH6PBGdTMqlihPhYkIgOPlInfRSjgP6W2Z+Z+Rg9yP6 +N1+Y7vnKXl5V/wuUgASxgXkYdGU4Xq96+OjsXJMCgC+ESP+lPnUxG4ivjoqw9uUhvlav6A5Y+RV rsSjYqm305Cku5K5vmOMyAhwXaAeX3fYcVydvCMAbSfNBabm3pBNlY65OFiUPsvMGnRPIwU7zXi5 rHktCsPO9M0M1sebd6t4+TOET0iA57nzLoNMsD/C/5Z29FMJH2CrAem3vVSudW9ySHHh/xbXqTDy GiGMiliaD/5ZvdVq+15fp6yt96aq1TIR555+kNPN4LyELLV1Z/V0kvGKuGpTLamD5u29mCAqBgJV rN7TOi6OZBJx6IPC/H6CYwnF5u01Re3/7yqjHaWkS3ZPPfvnAAxnJWPzbuJL4rrS1SBTT6mE5XlB veWoX8fvRQkei0H6kFky82o0mgvdSMXYH3S8088xk70cFGTg9zAxY2vVWae4VdYP1w8iY282TQeo NOoE8j5SzqzOgDEzw5jqo77B8fCxcAON3auORwYkCLFPY3GXzvueMchqiVOvXa27AczaOduZUuMT KrPYIOfpBkx2L6oYZUDQS8mX5w6aYEgIUH6peo4xD21p3ZRF9ja/S3n09x5EtgR+mygzuu+2MdUC jL/0m1YtmC94UODISBum1hkxkg+Xz2YdjPgvnStMoWl95sIXrqf4mWgcJg3CvL5lrzElmKS7akT9 6R40U1pUTSkkE0J3zmhvo7ef5QyYP0/TOrddCY7JCEqCJC2VNb9ZnEigVJjW4BREULtQS0jvi4F/ +c3LTdOIABqNj2q9OtMITYWhrDys7l3aPIuj+fnhTs+aLYNkIfhNcUK8t/H8MP1vkejOLzP78l9P Xgns8zXwiSYUIA6bCg53bGTUholtFQuzlB1QKnqivijMvNsSDSzmtuWRbrV5yqa2BGAHaPizh0AR DTZ/NdN5qDhLNKqTaRQ5HZn53zq0UeAi9byo/T6WfVJMzJ+arb/RQyf5c2s/b2SDAYnkmbAbpyZm NngcU6G3bQSB0oV/5vjJfO6VT6QmkknsvJoQKIrrVY57htPB1KlLNDTz9S1h3Tt/0Gun6uG+48yg JasMygXekIdnSMTAF/z1/+AyFu/2oNG/qhGy6kH9w6fIJsBr5DkJciuxRgI7MzmVzrQN8IDy6eqa 54XCh7iN3x+j7Bt/ycp0CBbHcOtDbYHKHXql+AXsTqh3E0Go8TL7sMkPIMepD6rKeuFu/VAe9cgZ I1panbC7GZlIOXS9acxJbTo9yWlNqdhuz+mwHPkYMfAmw8NGNeJzVgPCUqPf9XEIyezndGxp2nxH lpUjROSOH+h6FEtCfsaEEF/LWSGLz490rEnappMGr5IvqalJOl/A0pnKBqnKkzeAjftk6uszRlkp ZX0EH1O/R1cRz5hBDFq6T34O7OAJ6SsuwIQYiFBfCMM8fbcIkPJCyXLfNUADJpf+DBK0arpL5UKp w6lVVpNc2DRMTAcvVhpV7O72ZH+b+N/khtbHPYcmesuDq63dbrHAQFkIoMxMcty8SQi80tnAZ1Pe HOzRIzkfvZHrML6RPGx4fIITPJqUjtBUcWr6Zs66ufiN8BphL9Cvr/Jog8KW0eSz11PAnP+F20Oi OCGkPFVH4KRY5gSIboGplSBOOC/UBLUhD9cgqu5OzgeTLwqwdS3vJbffZZ4Bf1l8X1uqzsq0fWPE 2hICCRzXPzaGn9ohDtDABd5Jru/8x1NxHZRO9QNKkkLqzoW+JQ/muXI/vGE13Y+rRMOxNNA1rOxC tudYteMfmyOKe3aqUaOVpBr6GEYlrDwnxxBNNgOdvBv8ighoW5h9mUS/DC7lSlpuLn7VebBuZ7W/ 4zrfEWDMGPL/Ki6hnXFdu9iFjswJYzvURy8T8liDIlyec5iMKf4LZ/y7UDr8qJONLxkOIg+a9m/h bC2fzjSb1wKOmCWGBtOIO3CP81o61uR0iZ3lv9GZqnn08Fp6xZw2aRpkjUkw483WNfcumjQBTqUO 4Q6woY38HwpfRIj8EecXxlGOsP0YjyGFSXpgigfq7YVWKhIu3N4NQ83FzL9pqVr1YZnVGDloLtFs YV9k5pr0nQwuUJWoKJ2Avr1cWWO3inX+ireJSqQfsP0ls5yHdUOEYcQrMRfFIPNFnVsG8ZAt3f4w Y1orCYV8j31Zq5KeqGFcZtcwjHjPQz/HvrAerKrtKd8iADRxoc5A8lNjAscMlc7SVapho9DIni/S opNHZnRNYdraXt3uza7cspisT7eTpcr7UZvkN0em33NGWtGVynDpa1xqfHQLenr8H/l1PpmTJL7A SlwqOp59BMpIwJV+kxlEsYGXjNU1QEykk3dwV0j79pJ+agYUlQa0bL7dxEUeBARGO+gTL6owvsRF yAGY8gbPyWTS0n78EwS6IpjvRgb5E21Bi692GVE+HwKlEU9eFejTuw== `protect end_protected
mit
Nic30/hwtHdlParsers
hwtHdlParsers/tests/vhdlCodesign/vhdl/tripleNestedPackage/b.vhd
1
44
use work.c.all; package b is end package;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/blk_mem_gen_v8_2/hdl/blk_mem_gen_mux.vhd
11
97172
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CQirmkq/BKqR+F2Yg4UkWTIdFrTRgyk4k2iLzfwHOmDbkUM55Mewqizh4+Lf+dmwwhALeC71UJDA 8mCAPTmMHA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BYObDmDa8ddFsyZLooUzpHL8ns08oRugCcZI2k8wJ7bPNu9wkzUe6gLxEl5Rus5mNXhYLj63VAJ7 Iv4x/x3ytUfhu3Rr/6uxmrwyULLvv11XEvyVGCHx4t+Dw8cVgkM3usRkRQjUSA971GtmeHD/8MvS cZY9jYskPE1Jpp2ln4o= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Kh2guWzq3vblhcxdfJUid0wZnG6MC3o3a3YO1P6t3Zu5fbaVoJKIAXW4U451VmelQdzOKVHousSk 45IlwBxf/RYbtIg9YdXFrqworoOKKYA8Ps20E3y76/ejy57L44f0vm/NoFaa8+RGMVOrMaXWkAX/ 5m2QReWMg9vAFlHZfrIsQnJM7q9vDbH/9XlzT7azdJd5gljApTrMFtiNcALEiKPoDWNj9DKTR/5M z6fXEbBnQi7svJI++6ajKLfscdCdmkML0xv5aJaja/A6sBU3ZyweO65mSDcAEiF4/LGSrOI+kROs k5jflROeFMl/1IvGNyU4OxK3jsBOPTmAsiyzeQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ybTxbKW8ZHek5yeUP9rGjX5z9pX54PPpKlEu/sk4QGiMGrMi9n6exyltQw3382l1i1u3uPUdj71P S8JZfrL7/T6Wx0syH1SqEmm7l5ELtT4AmtRRmr7PjBfr1/vMkuv09pkrXQw9kL/r54fCF2RBbGri s7+5RYH/ioAS6hXm/iY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Lzavdu/+bN8Q3cXw7+sJQn/P0yOfwv/fFtLcbHiKvjYFgahsXpekRbm3lL0aoljDYfGXg0j67Y/J CJh77b2zEDew+52ugEfOsJOLiiRpJwaOJF4CPdnGFr+y2s/iVHaTGQEUZijIRl8qTdOe32Iiq02f mP6aA/zLN+yrK+T1T2VdR0v0N4rZ0JrKgq8LJ8s0nyhEoYbHdLwsd/ZM0u7jNcGRN3tz50VSRBLJ ZurOPxU3vkWwEns7DOtGOqOqjnvGsm8xpTXRyN4dwUahlB3pl6We1goIcvF2Q5RgulgpDiLlxbfg MhfQJZhd0vcYcyGscC4+SmhXhqUJfuRf0w9ZTw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 70192) `protect data_block qv8DX7tqszlAnrMMFQvAyjuEgPBHuTi1RS9pXGi1unSWEAcUzGPG/WVKdzHgqjKAr4iiVKlxHzJn h8Uxlbrjn+yPwpUG1q4DV7dD+pBir0bwDUlYU4hNcnWZt1dZroU0hyvG2tTVvowG7er1i335kdAM PjbFZs7EWvRnDRRHx10w+3OfT2mqX1VhIcqEuQlp1137UhpooiehRLpFMH7ZRgQkcKoYfaXEQqnQ IUIXqzwshM8EyYFu7vhzl1dcgwuBz+zJe4xXjRIPP9p9zD97KGqUIWMtW/u06XQyn+DAXiIbYAdv XPH/V+4cn/tM/L7n0Ruy5LdSgVYz3ETR+ap4RaoKiSQpBmJoz0pgsipPeX7eL9TvLzJgtPY5Niz+ 8nt4dro50xmSfQMtGbi/bUVN+NWuzzkbmvFpQyxcU4pfVzKJBWQEQ4es9NxRaNZODhL7BApt+sUj czkU7A2LmTxFvMiPFim5VCGFpYdUQJBlpII2ImAkiLermQhLeaHPwH1VBLHpVsxtxPvSBRj5Y9jO vfOVbCifZHc3XQD3lc3bV/kEjZesUd4r3CH6oE+yNkwiudE3Z75ECvlQ2EGSJ4IvvgF2yw4hA53J q6jNtwH0pfRSBcufz9ufnLkTLsY9qgu+l98leEH4Noovj8s04hWWzIPuvXsRdxh4UTh7nEfavqlY QXRlge0hBwyvwDJEfzCVOn8dOP25B3XPE+0TNyIKLihcradJ8osDIWgzeL2oyjRHGfPnKw404eRS fQbWGeZwuPbgfczaJzrf+v873pnVMpfXyG/z+TKaocSXUiEBcfrZuZyKkj0J7YihI33TZvqwzMUX hXuqooGTfL7AQOzU4c01ykL+jWv3UsYIzz8xk9wXcSkFYg0SlTLdzexkb1Ypt9X29I1jRESw+M1J KT9KIaCOkOF8pfXXRn4opxDfHzPGfULR52vhI8+zlzfz2SIfq13mb54DWslnpuZIe1wxuanmAOuw fcmIaX6SusFhACmBKKFxr1PRBF2Ca5nUZ1wjxlSJFQAD+c3i4wa2MoHCP1pZ774TTJjBbXqJow5V vreoe1Z1sgbxATUO1nQ7aTTBq51ls01rdfRy1hsLm6No8bLNmWbClpOf+gK0y6zR4nakbzHOLWru q7Jv9X0B0ce1Zs8JqTlpOecN0wITXPAe/1/B5bRdGkGDRq/eVk4QrJI8Tn0W+L1uGvdnSHhekusI n7CEo9hk4crKkMi53eVKWYCCdVdKNZHuCUXBokxxzOY9fgVeZKIYeHuMvOcQ0kviYtlLOzLUuxmR cORyjkxsOhH2+3PFMh1VbFjOfMBdkE0j/67ExLxaeZ02t6L2lVR/nvLy40KN63zEAJ16PmE/BoTz f6LbSRwbBKkBUypyPOABcN+42vvOfCVMtUv/FA0UqLOpHMPxG+iRl+BTRG+yiuLEI/hgTv7tmizV UBLNa7VRY9rdJPIKHGhnFxxHN7L2CqGAuwFC2MPoycBgVbINDKlUZgWQyj9lwgYqfHygkDloWk3d vKXE6IYI7Fnbz2m9gzzfGqnldHVsulU1KxW4EJJrhr4m+ZO3ci+6FePdx8fwgN8IBklvfk6Y1PT2 SYLrGF4jJhuW3AWmQqbvbtv8K/v5hE70yCl87GoudH2SIwz7tdnPmeiVYpfP1WEPEtUtb1/fZHbq umg7we4eCW4YAmysVv9F7LqczqzwlR2nq6+PA4VbEPKoLaA9yXkMKigsoGJX92JYTzSGR35jML+K wahZviu0eYtZgPsEW6fn9xVUjmvyeqmfMoHaD9C3hWdyaah5l+g4+HT30Rpr0c94NGaDvFZ1XQEo 8uuf+0Pi7EYgRC/XJ5a97O+Qv9g3udlHTFosjhaVhduZCsjeY9RUSkFRW7NZ77gptGZqnW2bCU4R F6W08Rc01f5CyV6PXM+u8X/peNmhnqkN0JHsaTHJpu2tAD4PgcOzhI748SrJHqQqjd/uXZU9Rm+8 6YmaTDIok5CBVRHhh+fRVLR8igOUDbHytW6IaYhc6tc6CcyQu0r+JuVMvg4hTZ/AGcLAP1JR/DpI OnWxPakZ1/dO6eF3kLz/+J7KOCn7HYzxvoW0uwDEvPgn726O17G8PQXYZm69kGtutGk99OXoqTnQ uoshur4TIqz5CYrAyfe6ogV4pmw41x6hkyvEju1Y5B8EUTWnHZ5XmkHPFE0WOXVuCy2ZKAu/dn3J Q6u6ZjW+RbLdpOA79PWVInmWHWgRK6LSsKzVCkBxcgjQOFAqrhhBbbHRddE6TCfFKRxq+vbDyy4P iZ+dhhrIxL45Yhtxkzg7LcJRLWels0ALko/8S+HkVUkkVAlW/rVaeA8/H3TNyf9FVhjPxvThO97e 690xZJk44juOtiYybhm+rV+C1YYNCHRsGij9d8y3Zv7GPAuxou8oC98bt7zPOUeU5BvoBwaSVBOK DhIvgr/6YoB1DaZ2VzsJGFL2yvcMPpXzjo3Y26v9xtIA2KS8Y1Mn5LXI0hNxO3dpKRIa3R6sTJrc En4161UNxJzOvOyAHVRVA1RJEx5cXeFilNdj0VaIwprMZRsZDNS94lPrKzmiSu1QljIWMKWEk6DW YsPcvGrmi0EKbMBfgPfYb5sARuMn8vFg0caLhz5kfpaZpcutCVOD6svxbkzPHoJ4iOg+HvpdUf+W IRgJTmV36pNr6MX5OsLZRSjSIOCSSZToz+5aosRiQdN9FnkXRirVqnI3rwqMDuW6ra6InxSmdK6L 38uAocR9xHhHmHhmeb5+EqrYx0ClkYZumZb1A9thCjYCNKLEnSSmul6XwkEOu/8TjhvrMO+6Tkg1 U6mCxjbqdbXbtLhKnfpF8Wk1AFLLDBpPsZ9xHCzfsYGV0vq/C1keKbrNwULgUK2G9s5sf0KVqtzC 4OmkXK2+IZsalfXpGK70+uobN3HBM3Xb8FoF3ZLu3wwI1rIzJt/biYSd2nx0uLEMQRC1Y2hzz9kZ Df6Z2bvh80IRUGTFrHGLLFwSGq5/iqWQbaxyyLoeLuuEPm3x2OdzTMTaH0f7fLjeSrdCIIAZzuP7 /YDza82g0+PA1fVvzs+Cod4YjIeXIOM0iIlvEwamWjf+Arnt0Ve4IfEm8rcp3r9+U2ldugZMayR+ CmBYLWV0VeZhORVSNr7gfgnJPWI3mEI3Gr0YqAGSUhBjhYxKflFWVRWxvwpCDXWPvEQ38BOU9q7b feADo3ReahuGOKrHdyp11JoYl7BQdwSaq1is0SB6qNybvv58Cu+yFVDLMsI8T69WVG0yRics+2K7 f44nUZThSK2fbPqAHtLcwHlpooMygWo66fMMPXbjnvoO6FFpKlsZeBcG5BXkIAQGG9pOcNucko1C qtun73E67yLuH3WqeBPyTZ65w/we7wxY2oRglWmnuMkxkOMx+dfPAB5rDBZarucamHmKRyicC/5r fo6VX6MKPxxV5G7KVJApAGbpu313uEG0dzIgiJnKtd6yucun3mrNcvn+Kq4AhOoKqema9ux7Wl55 iDMQlm5EFJP/tIprau0QfaeSvGEMTLEiUFvzaM5eLy7j66QqTgmPyAfCyuRb9HFoF09JNIfH7nJN j28EglvIwixYRjufkjSJ24MdbAKV3D54rQ2whcRQaZbrrrmyzYnnCfY+Z8Wt+vKeaL1glDGugAQX CE+QbnEKrqbZRlrtIMn8iD7HnsDztHDAEJY1MZM9rXrjA+vlUWmsyMZ0ekZBVcRI+jgj+JCkF9FV LfjOezdmdEyyzNsCq/YmerRPzRxNZht6zKBAKy/bJkToiqA1HWBMnMNYimquXZ49dMqWq7rn3oEK AkfGwVDLEXYWb52umf1M82KFKqO1VG6e5CSiBmqYp/9lnTfZRuMQpqL5PUcsyIYZ+QsjystZOTQv XMr+h0KVzMWPxUh+jizKjmTPAXgRNfywkvYP1ohkh+wOyTTRv15WBENWkarUHMTndS7waIo6trO6 Ud/KaBiGy2o06UvqFqIXmWhhNiDVdxSFiRoPhV/5wxLNNnEr7sufb+jpZRHFxmBcd5Bf2WSnAh9s p4/z/GaDo+bHPl78nZDXQWEUp4qP4i9TPVW1qHMy7Q77VZB8meowo/LYGJtSbpcCd96NHhrWZh2F rR5N5kGj35vAfQ8VObyO9ntKXme/RE+vvTrVn5ywVue+0jeplQ5YAR96RYBGFCbIy+pnh5RrWeCf Q2yMOyw9HreV4eAEGK5KMNENKuSItNcYD5jbRIYy40PEZArNXedTtcXoqj/RliKD85rahr+KwH+3 Z8177wTleHXMhVkeD/uPHfgABdhsYtdeKewCQLzkupbozJ5US/BInf7r21H8pQ2X8YklS1sb5dDv UiivCNwPdyfHLOw375Gs6VaKUNgDiRr8BI27CQhpJP6odfxn9DNTGyFfcKvsTvE0Kec67FAX0F1W kpOiKXJgtK2d++1oJIr35VObu35/yFWyoLPbU7UvWZ8P2Uum6ZhujUZFTR1mK25XrwDZXviOrcfI mMa40BHwoYC6gB1rPB636iB4dOvhDR8qtCFDEIodEDGLMzHFu2DZ/UIVG2AN5It8o6luXqrxv86T bnN+F2oUq2+M75TIECsSfSloaASf0fz5HNvWww0mkYhEXwtqc2Nyr0NSCQ+cigSwcAfsElm/iIE8 YuTzLMGj36kaMKqvHNF73NIx2THSfKNGsY19BxZZYyW21SyLedKhmP11tqXz8Un21cIWOQ+wzoXS a2fdJb9tNYUZ5g/febSs8L/8VYvpvREhaL6mNTxmSpQSki0Xr8JmeB6FTYSVzMIrawY5x+yWcT+A djfCn/6yLqfogCq3jxvfMgauQKlqrEPGPPTpU9p5BSDCQOdl+GMbxF+FhQG6QiGVZWNZyefIOsO0 BtAz9h57dEl1kTXF2hDT7CzJdz7wcRDV/AZEae60HElg3ydM9KNJtLpg5YG0BdKRWOK49rMKcvm/ id8qvQa/jHv5Vvad1kbXT5uw1DS3U/dSyxNIjO92uXIf87VixJ3lbmDxn+vzHE2I/Q6o3XUQmB2v me3L4tTzOJyPmakDA9JrO2dvN1VpUDvkVPiyivbWdblPbTgEg8X9a00wYseEj+ucKtt6F5xidprU dlNTs9FKvrt3aMbjjSKVIV3SBauK3D6z6R+XO5u1fPjKgaAfZajsfdUoDr5V2oF5SC8AH+hJbVf4 WLjXE/oCRyhSKCiUfSa0BbWr02lPXD31OjqmXD06rf9QBj58i838xFyEMRNexaDEj4bYsS6o3w5P Y/X1g/XqU9z2hqNS8OT2Cst9jiU7+wltDb7oxCNO3fyXkzV4cgtJplgSqCOVAgCqnHqTfhNhjxgL gdjfOOKTBRWlxLesNmAnWPhgCADnG1wWQjUn3cWIIK4kROfEAsiOfb9M4v/++8OtIa2SPpMaP+B2 Y+tt3fVALgFC2LlkPOAMTHq8lgBdP2Sy0ZedigEWR0dooeMJTwHBgvaF0HydfwvxTYGyPXxugclI oCKDljBWd0WMpIdcNZPhQ4lAJncet649qlFT5eIDVfRXL0x42A4bYgDUetRt44em1tiQNram+vot UKu6OMM5WrauEtKj/JiB1QrGowreNyJgiBLpsPF17jB2zFyfa7rg7gnLSqZHPTTUmJUCCyGu8UMA gQhALOFZ6BXuzTcon728E1uFQh0PwZX+v4oBy9iP87SYXDGEZXFfxoppEUSjTrDHwAZwwbF/JRMU nC+Hv1LkKZPEcRIurV3nxS83WuOBkvQUhHWM27jSNfzGoRkgJGR9ATVJT0FRCQE+Ir2wp6saFEWy FGn8vvEmPUz95zP35RRib0AiLN2QbgfWPQWXRBgNRRdgFIduR6ybL+axuR0tF1vnF0mk0zHzSjDF TqrN8R3Xg4PSULdcqkvSRldOftiOReLNLkg7GjUE+ZTv3mvhTgKleotb5epgAv1w4fiWm4vwTiwA kqDzA0leCha3fVsNZGjWmyRA10xng73QADPzLUS0wN/6UP/ONXIGKgmVOzmhFkaIY/KbkOk38l8r pMPY2t9JO5vegVlWwpEYLHQDS09T6jNgpmPDRNLuyqRnOFOnZNaCP4GMN9N30Ipo4Z6+mqMEWEgk ji7TNXrRyZcnwkYUW/IHFGcZ0LRIGI9QnHbZOS9Hgn6Km5EsksMkKfdlPnTC3WIOIuDA6vm4guza upVrClGWFBT5TgrCZqggdHBd1T3xy0qa6cg38NHK6ddNBDKxvGubl0cBI+fMFwXkjHnNG4zUIrXe bM8cd4VcLIA0aGiWN1Kf6UhiKkXR53+FfyFrN36kGUHbfHcL0sXT/DVccuNZZg5oBInjzdROKsrK eldt7S8JFKUis1MRZG2oz1bL1Za9Im0YGP9Ysw3vp3k5/iJJ0TDy0NcrkbwuLf2TfG50NUKXb129 RFApJXDELmhrtO3TCO9gUcQ46pnEWn6hIEfL8g2rumy/CrdmDZvqHz7MrkvUYFVUJ7Ekxn9mxFLc esPouePBQ5/2FlhLoG8o7R/URMIsAb8c9v2xYwzjhej9rrxQLhcKqOoKiOuhzpfG04WCW4JqS5/t CG9aWSH0juyloYIrCJN63hIoivrpuDgMTBlqJHzmx1il0yqVJbDOSiwkC58MVAVdNT4VaAeHFHtW 4WRydsm6WLBaViJROzksFMhw7Cr/fSPVUmrCpZZETvf3XSkyyMi7g9InwCLFKPEd4DU218FLA1Hy sOgedNUatDGceEPkd2CzLxAc86P5qTUxlJfLiitdxAtASYyppgbd+ODD93TfeHtVLf1elOr5qO3I gbVYSZylGQqqD9QDDXEVzD8mHxBkfYJEmLOqkNvUMt5CvXt6CaSG8zhvM7YBjOESp2V2G7zpCzUV bCc3Nw0b/0QyHvwPX5Og2FqLiMUddVkkR3ETDs9cW3BihkcDAwEOzY1hZRvNZeOWq86BK9Xodnrv zAAYDiqXvXD9SlHxAb+rGQ9wIA+A2cy0e8HWk71SjX5PjM/8hJYacDhPrs7tZbiWXRTN6+lSYQvN /97yXFf6/pqKP0fCNZdquL4SMgO1Q8paK0pRb/b/e5qX5WZcEq+5e5SFLX78oEcObd+FjuKBhQ+d fRFrQ44/2hzpQ+aiLbEXhu8k3uZO3EL95bN2MLj7u2HyybdF+V0uZ3MnyJ4YybweovqCpW04R5bH MGVs+7uyaHTbRnRhK97uxESR9Uyms7KDHkKBhKLDM7ibu9b6uFAuvGleCt8gFQAT8lBEk/6fkFYa XN3vlz4DHRCF5agCLGvPVNskhBrYDK1bgaZgNtkEAqxMRwKvHvsescRiKkjDMRRoaxhQhRfZQoRu R2jONlN50r8mu+1Mo6m+5yVOQnwD+ETWkHFRQiyJqj0cSqMHB9RRdhoN+KbFH7w+uiH7xRFGSsJj voy0BZy4UlN+fuVjf0568erCsgua2rK8OwZVFFgZVbqqff0UynbVudfdl7RA+Y0AJPJGUH7GkiiZ nsZF5rywEVAJr7aP/qpVkMnzdVNMzn0rIiokV9puU0Qn1OLamiCdVuQ189I+Bt9DyV/BgWTikoX8 3ztqk0Rm8d3Ia8g90E3jsdiz6qfWiiSRLjQLN2/IeNh9g//p683GfoI93Mu0I0GMJOVupRYgde3A 1lk3PZfX4q4JHvpXSEXfRuc97HHvZNwoL26g0384uZUJBP+s1PWCApOYqzx+8A3XLAZ4Lac0Ve2e hICQGigqJnkLBbQywuc3dw/J9DIhgM+U6KKTTUxVRTmiNdjJ9MXsfRYO4jxHNgaM4m99D3hFl8Do 3ggQkryaOOOYuea9abt5DziS8OJUQdCvWmUk72B87qKcKaSGkAntLS/FOkoRsJIkvj2vwHW0HSaN qCfOYKLugNOJroUkmEPPOAGraNyeiR88SKhs7KVNlqZTabZe2OHWZpHd/VkA9VD1TFj8U5ed2dX+ 406mF2PHApW/sGi2ds5qMutBvxKBk+E9Xk4q0TbpLYoZ/TZYdoDPD6UwVIpE1xGWtUnLPxJBy1+T 8NTTqeZuoIc8kjjFmRoOWcDl5l+YpWR4TTg5kXfYmp5dNLg7nv+2uDmvyYhR142BHgVryMqX3ar8 VZecfaBgYOprfBI5L6Nv0OkkrWQYsgJagu5wOY6qpUyVrXdphJR7inLM63x7Co+bAEckz6kxnY5N XyiIJkmDaRKatYu5yPIe383kVWmt2V2SQ3R34tQlKJk8SYiOLtLRZ0gd+ZDqOreu1gTU5f3CBH9A jVJuyqeixzsh0qUT9kWqt587XyofYKBz5n/Y65GtsKL8RiuouHmxrAYmiGzxf2ulN3VckDwYxlTU cmVYATye7WqBGgu81N3iJ7/S8VLMVIeHFngat6keWCLmQ4zEgsuF6CsUG65TILcLkkPDKH7trEUj Or322UNBm4Ztfn0z3Ld+S9G4bt7FuvSYuCoLuweoaU9qwOp2tpkDqOC/38JCVtDombJT9DR4MY9i T1o5X8i1U1zh6R29Y+U/2gykGyCf7rT4spHaV+ykejC7UTzPP2EXoW1lJsk1/wD4whAghB7xjYfn xDihjonkVKOr6QiQhwHXFD6ZDGj7ZFAm5nvqCEQUO7PZ5taoAcMCJfiZJkXQVMqIJHjlcC7lbHbh rvfGsd0WMjZFm+PKhSFEQOgqsJubsTDHWDdF0tC5yXTTchS9q0hboGuZjyVpNpkM6w1WakUPKK/M GqBaF2U4jnd3t2glOS9PtGrLRqwq4fD/x2pxPxGFDuwbsjNXmIiJarlobFjLCiTNdlNkRJzXPNzo ClrFmGggIvPJJoQtDZ950KM5YLJIR4+y7Eg7RCFEvQNig24/9szBdFWVhulC82LPQJoXdIVZg59R wXTvn0yQ6sedmCMs4CcQ8Z3lcANS+mLo9XdRYoVmNrHc5GYtHUK6bt0vV4hN+bPDbvRTYV+yQCbS PbwF0oG8oYje9qB7nWezSnNX+0bdNvtC5IBG3RDG9AdRqAIO4kKXMeSvQlR1ZFypnJzkOoWDbqVi wTaZM6747cYG+wRA3CYp4u63tKbdO9fPkWuS6J+Me974gN5QUTxUsDKs4mBCoW0J6NjTh7JO57H8 6Guoj99uyo+k9BZg15c3AgurQLzIQVS83N1R3NnXkMKeVcxdP9epf6zTW9nE3xRbq0CJZRQwIwNE ry5UGoYjQxWrAu7GDKPq+PXz7eCVgyxyxN7Recfh3DSDbmb7dSq5iKwBY5coPKnNIi73qiePT3Y1 5G2sTVobPdcU8xOGPhxR8ROzs5HHurcJkGrqaA6ftK1RZwuE95dSebK6GggIwuyN2RWewZifIS88 PUdTW4IKCZreYoManDhK28jXrfhxgdbCZ+HMc9bcKNynIYJTF2z15sFQh47kDFAxpLRUGGoZ4oVq +2496oY4yOxhm0uL3E7/2OfoJ4pIityl9PSBjH/yna8efxqqBxs1fyp933AuSspo8IdmF2TnQnjS kzaVLpBDBiLKiNP2GWgaKM8B8A2y26zvXTc9VN7sA6bGeGpi3U84enyIBiOHuawnUuqj//S3m68e U07Pm6wvikeO7TMoHUrBzuUSOKH9cY1G+zuaFq5SEXsMKqV3O/KIRrIZlDG0uDyT4UQYyOIzHbUB 9u+ZPiVxxvB0nPZimP8mpmEZHINH+NTjezpdOjZraVsos2Lt/+eAabvfo57GewJf8nJ2yl2NcxDp Tr6wOoreKpcS6QOzPad9b5il2ahpBHOaEY3t6S63gvDqnXlwbSbXWXLZ2mIFXxaMeyNMYCn+K9RN /7aYc7WdlnLRUYV7zZAIq7sIOVVThlou/VoUx3lbH+PIT59SsFWLW6Z6lZL0i8uvGGDpibK9gra3 82bgPSA5VFnk/5Gg7gzHOvCiYswa9rGymgP8Fga8MBuM+CiTcwIhSLxLPGilXqiK5uo305eLXz1t i8rSyF4lVX1sPTl+tds/aHJiaoyu5bXbTsxsFRCwV93m+iv7BSnXBh8UTiI1tvFSij3K7af2sjYX 4jYKHHlTk5aPqRYXHs2nAVTEP/oqvydbn3pyLN8NTfM0rmp3g44nTmdTI+uEjNCnbM5qkRNSNUqh laNBChHkfyjNoq2uhAAp1iWrbkHsRigDWynW9tUsiYdpzNx/sKAIim+pPl1NjLHMAeDETQRL4B72 TrNDAZaFzDQXoCSGEpTAhFis3/ML8TN0ciZAQXrC/bpu1k+9fyxeroRzNRmQOMzy8zxZSwTJaRLg DYXwIA8jozdImI48gl9n5S9JLugjvAXhEmPZkNLVFW+te58S0M6dzOqdcsqkPAnC+rHiqJTq2z3J mCUiVdHwOWIRe/Ncv6QW8EAkkvcyJ416b9EuKRLN6nnbKP3sSOTSvYVRovDAnH4+IPAXj5/uYH1t ZqQHAQkaCXdN3oAWxRH6hmJCBa7VDZn6mEz3ArzXY5BKavGQrs9s5d/9yGoNUzXkLadRgo2vh6y7 gaJ78TeSU5V1CkUvTTNO9z4VSpDCQV/USL70OTDkVR9EYTWY428KfuUGqGx5L1bVeScIixfwOTyi WrkPsj6HpHN9bXGtKPWrkdkcjaI6txStalUvrJ7Fh0sxmbyaY8bfqphfbttblLmqfsZ2ABHtGALb VWIN9RbVkzH7utRdocPvnE5JkAdhL2Giicgg5yWxg51Wz9J3sYZUyUd+gQYMRyM1EZS3cx6bbEhq 3rjI3Ku3fF4F0XQy8XLZyfc0RvN3dsp6FZnfRxOgnv2bm1mwwYlWxqSufQeVzNSmB2pX38z2vzKl VEUhqEZdBMKYCgcDGDOwwOEceANsNyypxEEJaFlThFQR3b9GFNqYd9Qk1fCebYuG+zhQv3vG4skk A4CsxCSnQ8IyLi+gEgHABocgP9zItptuZcVKlgfYtHcNC2D9j0ktnKpiLiScZGEHU/wyf2q6PtXm FOnxoZgB9m7c/qeP8X0heHAg0zNFSl/nrBWC1K8hm1FdCEtBjT/BTY2hhOcR0yUqrxr7DFxvJfNY DiZjiJ+tJAmSupaKOLfXMxhrf1ULhPMzEycZaA74hYSK55DjcF6wZeO7PpzF+a3IbkZPAVTrHVcQ 3JLWbgeW4TctGs185jtdT9mfM8hEDJfbuWyUWH0fwci5J9FxXVSe/4Bi022ia+x0A5xxguImiR+5 LcGE6f8rB+i0QIWP5JrbDVzyEVEWgHLjq4ij2WmGWCMbRpm6zSk1Mddx+xU3XBR9c03iBRMkTFMp 90fGJ55EeBu38wxyN8rvuRRgxZGOWZS8q+JddacoLTcE+W50gRGOTC6GuJAjVxL1HJ/a8MC5qY1D 7EURRkqj2BU3f4puCrVcNk39b9Y/dLekhU7gmp8LMLAUMsejiQVR30G8+se0kF7YRiafpAGCMBVG wtn8iETqoyZ5/2Mlv6ZwO07BxG8ymCdaahN9b/Z6+QfNOYTtk5C3qdqLAsxCr7LYOxPEaNTsUS47 NBEHGaRyT/KF9yHuq7a7egKrC5KmCYgFhP4CwHwV5idda+Jgsn+Rngvh6DWmqvqr26FhQs6zL8Y3 WymBsR41EfnaINbSnH6KP47IWROGFs6Z9ibTCsnr0G6HW0ENCEjP1VzEU9FCR4GAqUgJ8M5ldOxL UuL1oJuPS5ijHR+NpQXFNTykilHJ/OLkzQrIs7+vlv+gceDHj423xamKo/ZWpOTPEa0TUzj4Jy+a R5P6NlwiIq0ZRdz9Nb70QABGFlw6Hmt0OJNYVuZhUuEb+5fVQb5yLlokRyJJpXfuDZOQ2A62sUUo 4hhGqBkxocw31mBtz6iZsQ9LJSUeHQkcE7UUs0rnKRao6Q40mBD12y4FD62N2DgEt4rvE/429fyh 5W2tQQzS0GB0OVBNOwxvc+cLCsMuJlE2Fw4hI7TJsC74VqoSCsfQmE+OZO8+Y0wEPPeYtvHjtu97 MO75CHOkYcihkPQ5amb2GC4ipdqsX3CWTyRUcIwibxF8nzWmUarQaHoFGLvEpT3ULPtq5U3JY9WE R6Mqbs952RKkZLbbd4ybAH2JRYzU/GCCloX99SCSc5Rbou+XuyytSkTH3WVlZ1AiWDL/osrc2hV3 6VU6gb8H3MNwpkd/yX4rEv/3qtdKZCKnfzI0QKdVEmef1AyMP0we4+QMSJOC67zfIOdh2bvq8Z+S TGfr+vYtoqbewy9gnD7+ECnsOjZiXCB61ZnFAdMoXzmhr31EWZb9ediiTC5Ff739vA/cB6nnRPqL XPnVEbdhP73pxfPLG/BC6c2u8p6MY0EAW0QbM+eIYxjxtpbECrPCCBOoXBsbP/dNLBK9ryItabMb NRT5tlLHcRa1iT/tnpEwtLyf1u72yVmHvjWAg7m8+H90fW4ZQM5SMKWZD/D+dVLur6kkUiuIDW0W G7UUGYKO15ZmmVjLblVG2WrB0XW3tHu5iqPbUGRqutGJfbbHgyKKU74XsBPHvITOCWEN7aCH2VsC Hhmeoo/GKTfwp4OGP4fZatyD0t0eBI8Imz81m1mYul/sNx8Kn6wpIhx9LEIydPOMS71xPXwolpVb neHJzcqsqqR5U1XYltdnusnlM2CiSQMK1f8g26Zb3uaHzMEy2YOwL6Gko29zDFvGyUjyNyrAY5xZ POAId9lO74PaA1+wQIFCtODa3D2YqZuKbyDGen+OBjriL74X1A7NqQhIJms9FW4SbgJnxpWOqNio R5KkbR/kPZzYqiIi4JM6axgU8QqfyejvNbr5tZh/no0ZHXaLzJlL6YUMy9HUjfBylYbJILGPycGM GSG0fJvzNQZYGCsyex5HE8iPUROvaD4Jp3nlcymTYN99tKgsm9jfZV2DOXiCCOCT2Ldc9wFqKwTh nPvtYYwMvwyTApB0y/KVyje7mf5RxPHM73AePsW7GyV+3Gd7MQJFa2uDjWLL5RoRWYCgBNyr7+/x 7hSrVggrkO6vZ5/nLi3pY4JUsGviuHZPaJzfwBD8K0tFKFLPB9JGn+mLwKoP216KuRH5mcrPmkNA GPc/A6l49MMJ4+nwTNY+K5r9A2t49BlNXWsghl395Rgd6WOk5Q8h5/wzIN2OruY3xUyLkIEog3dh uMhoIGBCCl2N/meK/EvCvsKEeTqQ4Yfzbdt+vYpR06bMTi2E2+D5tRT6rTb85EKdMP2detihcymR l1lCUBCJ4CInHQ7q7dElsU7u0uzZJXkxsoehCOwDFMpKkU+2B7Pg6bsU+ihqr+FAlMc793r7fqtm hTQVr9YxATyqCfysAEvQlxXiyKUDgld6kzY7XOfYfqVUEVz640E4kKQdiEUtv+F595BPpq/oxCNt ojeAPCvia0CmAhI5Xn9TwSxM8um6DbXXoorTUhScaT0nGPq9s+6FZN4mcRvJ8Owro3X49o2VtWb0 t3ipNCTldM/+eCm96IJQQsPmkyJexDixKfMWeBGJyGCUGUaZVB6v3yNi6UXSEoQKfUUeFAh4St/j Br5lpBptjthb5iDGe1/K2rrcdSdKElyFpKJBOkwb2lbJ2Jp9t95pgwPZc4gsTdp4zdhlFD3E5bk2 fCV45n5tl074n0J880o1VtC5IQ4VBq07j6vUlyU0mTJxXoNVByWSyk7LAkwMI7Ac4fZGcV6qRY1C 1y/Z8nIIfT/NwCQIbJxkg0nkgx+oyXplhQtwDAqLtCxBcppp4XEJLh27C1SCHpFGH4V1SNrFbMu2 AJyVjaD4EIWbgrae6P81CDdoeteBg9npc7GVtAHVlrKIgN8WI5UmjbEl27tBo+m/FdmZGqZxVR8L ZbA9Wa9sEYljd2/BTKpoTohfLWqvKaOnmRkZCuuq+jvrA9SvP3PKik1xhZYr1KgTz1P/kTROqZW9 ykWcVfr/xlJy49SPZG1XKYqomKY7CV2969E4BDXYEMeo5BTN69nvIzXHSv4RjsqnKgcKybIb/fXg 4RAy8Xf0qPWwZ9wfyPtAcxVJSTpk3GueBZRohYpyNXeE78Vz1uONaMIO5fnaeKXKjOhbMxs/a13V 5WndvrfyS4SK/gbCFcISvsrsyePz653zQA7HVl05dv6Paek5v3OFmEPfDdYLWaNGSJPz0lSBnH6A nWtHZ08Eij+VNRxOwiUCX3mzxbMhDDgvNWSI6W/nBGLKplDitdjjGqllfDjOf9z0n4BRHbvR80n5 GNd3vtCct3FG5EZT+F6aohk7cTz2so33VFwHJUZIPITNlYfuTvn26RPQDkggqsZrEXaZQ9Ri/xOG B6GP+e7XcPGLxLJKGYoL/X1eVdQxc5ztgSBd9SdjWq0Xp+MoeOtrPqEpwAiNfgnlJMGebskCG+CU TMq5dRvlNIthMnPseAhGt59WMgdy+Vkd0dEQNA/kZxaX3xQOe8600z871+0QxPnPclVbqguDh27n rCBjpJQTHNO4IoshYKKzuS6aO6OAt8+GEe4H1YG6bahnQUJAAGc5eCBEHG//NOcnv71/W7dW0w5o r5umX15WEKafoKPecpeu+NoRPL0Vo8uUSNq3CGJRrLL9PltMlxcsGipMdI4uM1/k8phh7M0Nz5GF 1GcHe19PRJI6k0TBtFCMEuy2k8bR1IKWUOIdsNdtPKyVDoYx/JLfWrZnyUw0pGRf18iMyu0QTKVX nbWYdlxfGnK26G2X3g3jY0NCL1RXKLQZdZZi6hf5mz8Pjfhpybrd48cBnSDv7R4AkP/wqTqj+4+l X9jM9A/TJj1Hllfl1kC+ebwEjuqBsbqxGNovCblUpnHgSBB3PrBWjRxQyBjK0LXpCkoZNTE2WvXf 74brT+u2wcUG0f88ErRGYIAAVSIB4tL+XmCITUuMzV9qMte0qCua7JADmhA2Z8LMdfPQDl6yPvsx lS0nfHU/rsCds1/yPwZvz31T5E9z3H5p6Jqo2ZWr7mUqqHwQrSHXtwRJedVDy1TgXUm4fkxWjLeg M8/Ky+kWoT3HvWOsQGBVGYhxH+HtRzflEayIvfk5TCfBvzSoK/hg3PetFabUUWxvhgHp3Tgw0dyE dqf9MaXwejy+/VrbVQhPxfcBP6ZLQ7E4QskGGmoH+c6e4ooWjxL4HCRM9w8Wqp4RNnVX+IJKdkJb zBHbNCpa2CjGUnoiagQnzG51IXUsVPlxXnXVQYRFyhTwAwXpAIfR/cG+GHRSHDzohZunLc25kQVE c9AszhFx015ZI3zIBejRiinQlFP9Vlw8+eOJA3sRLm8fBA7vHv1iq6sJzgfqVj/GY4+xGfg8168U f72Yq36ssN2Hx17rt9yelArEeotihT1x3VIAG6OSMEaUsQ8VcjD0qFTCtCBYD8WGDAXYWgxQZ07J k0hZAkr4LlVFW/sbp6BKdn9dZHPZXbv6tAb3wNld2SlE1ZJ6CHEgxsBt8a1nP0NdCnqx28Yf5aPm 3l4RkYHX6mG4ANbfqjBcFMLe1ZGxyPCZtxUd19bS5iffogaAvsdeSvYpMvcEjqRsM4XxXvrGMj2M kt9PgVsXH2Bx93EamrVcjXJBXNcLmPeMU3+82mvSGsg20mIeZkJGps/n5XnwFHdFl4uRrsOkJfnP esehPLEX7jzYA2KvANDRDF7c/Va/pl7wKiJkVJyMEK/O/6/TWIzLRpNkPb/ce848YheUxm/bzRAL 8o+eT+3ssPXvnCtQhwM4Yijrf+B9k53ZrpEPQ1rX5GVCkMNRoB0HfYx4Z8Mh9P/xAE9TPQhR5S6+ NDxRE8cmNM4UibioX/jDW+fLQnAr1xkBJr1T+LSod3IafxhrWX1s6fBvL6WkTn15CLlI5kCKhaaf QckNw9m7ZoA2bStVgbO1b7WCKTg4PByJ/PHdIFLMxWHnt4M8IYP0N/XaspJmCcE+rAlyRa20BjbA L2TvfCBL2+6HLBUl8HxfyYqhfOb6ERY/mxY5oFBe3QWVL8hjoBmWw/esSHV2hnTVPEgyR0Inhhvs 18NffxMmOC/GFRevAlOTFOiIoRuK5Na4AbEEqFpXnOD6HnIIbkp8vnCtJz6kJTwLxNV1AB0ZF35b k2hEsad8U0uLMzts4W6HTUiYF2M8487klMa5VcONNLKgaSsaoY5fIp/5LEwlKeb6CxeIdJLnR6wo IImwWLysSv7K9lomlrNEA23TQ3v0R2GDRBSzqAbH16COgIOCr2wUWrPcHfY7GzhJFJzY5/FuNrZN etzbVVDAHOplpKib9eXwI3GJO3bT8QBS6/Ii/Ypsg92ul69FbUer7qC0wceEwcglKsbfwk/rj04J mx/l/n4tTDC1yprEp4u+3V97yGzoLZ91E/UoGDm6ZWH+s3a6AIyyksV2oszpv+obOaTM0TqpMsQq f0aVP+bmH4q2aBVazo2bldmQxOZkPAsRDdT1I0vEpnymofRIKXuNkav4DbkEl87anOWXAUs+BNPq ZFgA3iDAV/lMEMJipPzqoKxQEwjAWbD1vhCNj8DM/ixvSPtWKjQW7jAPa9K7PcMTD0cVcL4GzFis ouczRXZR6600UiruGIX48kasI7fMAPKi/S5MW8A2rsDIwAB9ikMR/4MxQkJjNSeWtRzjxSQphWJC eij5aUruokAzalRo76Cfv36k5Fg2rs7VP4bPA22kUqSHH/t8ZqWQo9Wie2sdVgrRNxXfT6ME+3L2 vvWahefFa5vUyHcxH7nuoJ9i0uSoe0kA3qedfsYv7caYsdNvA35INQGyjM4UH44M7ullD33WCYT4 Spck6KkGWNkVCJIbjKQWVFdx3uhJCuQZgtHgLZKo0A38vEEnLEFwlAMhrghT1tETgrwjcxE2Bn16 Zk6peMRSokkWwEuznUtxKMtCYPQ4EOL5D/62Ii6KX8UPvYf6B8zr6x3sVJueQwUDGF64nvCGBPlu cphLo73QGFR0JBhkfQ37BpiwLHBujP8Nm5RbRyO3BGCSzOJvgnL70Y1tOHwZrrryTHPAK9UrYfQh nbOm7WOQWG5a0zrBkYuP6RSXS5X41tl0bY9I9utVq1R/xydn8vp4AyntsjhWG2oiWqtCs83rGIuW 7ErWYQOY85so0iDxvUElCEYxTPcFdj76mKjR2a5cw1fNPMCSKyQnpaPvgh/Dp6MfCRTKluETfEhP hsVNTbiYpJgdwi+VaaIw//OkwqRMrY+bKI+edg5WJtE2Gm4WiKFFOye3QfS8V/nVNouLKtkLUtvh lwYwcAKjr3Gg3Z1d4vsCkQni05gkDDx432bitTuzSZcpcT89ezufpg0bixPaOvaXRJ+1lcwpi5Pi JojZYJyt6IHYq9UNja5VfaV6JQdVK4Jig/xiYJO7PcwECSz0PzXGKqbpg/K+3nouMpj575jXO26d y+rSSL6AaZGKHWeVzogSXWpkC+qUHkaOvt8ygU5iJmhtuNSyUV6jhyOSEiMEj4D/lFXDcteibSZj TgJLWkUQmjvcX9ikZA3Tb8svg6vnbxGt7Qvsz1Yfx9tBdwjDqkHSvQAEgKiC9GPq4SxmKw7LM0Rg TBQYodMM58r6M0lJYeKgGwcTk9olff1qnd68Ffm3YhCw7at7jWMHq1z+wlE8/86f02giQ/PcTjxJ no2612IgkpvbSeB0vzZCExiJjXKGA24j+nQxiGgyCBLIBHT0nGtdLeFCDwl+1m+1XvdwHxqdXjfw 3hy7leSVOevVqbn8YFdOTCdJj9r9XnmpRIqOyDjAM9lfoW9K04zs2TJnfQBZ/yg+IAKB/WB1gRmo HcerJvNkIlgriiFKKOzobjvC9MOzCF4n6atvA2W4D5SPPnyx6e5mUBr5qLctvDHE498/pt7eNFNm 2Ot3K1Yurlgl+kW7/On04jsMYuok/nP4kkV3vJbhGCy1D05CJ00PVEHAg0WqHCaiD0OfzOtNyKWQ r3P0TNdmc0u3bGaR4GweL1zRL54niymt10CGAWKMXuTGXHV2kWHQYXjRglRs8BjT8lO2NzxEJ2GV 3E0COVp07LDReJy9zYc3gc4by0oMKDnQ5x0OabuRRQI2BB9nh/Aj7++LSHsQ+m0V8j9sylKSXsh/ NEfDqRLW3nHwT6BQyV9yHPlIlbBq02OWkRlbtJShP+DxVYfWMcu+APd+Ojh8lA7Lc/AFo4dlz8J2 oCscXzkfwDp7U1z5U2jDrMVlvt6V+rKaNv6Mvjc8zVV2d4E8admWSNC5RPZdRH0VrPnQEA0WZ5Qa YbUsLIofLqOuTp+5bIlVcdcRBFjkB3pU9rNEj+7wpjKwSxjbMY6dXSzGNlFlrevu+WiEmIwI6KaD PcuKxdV0BXNJCYea91LPJm8vl+a7tl6pKFIHUaCn8Hf7gDmeJlovzeZB/Gb2icm8j+MU292QbEZa ABL/LZBmyJzEi4xbCTvvvACy13HbCekHeeHg5TsKEe1a6F1108VqnV34G3z5/0LuCyEPJqMoArkB Tk0fJteOy3R5N71Y/uU/O6KOJ7awpa7teTDWD/I5kosPACk0d9spk8w1cHAAlZCHHz3HF/WXF0OF lSzG0YIFyG/EkoyVIMB+IXDpxkFfuTeqsGrSuA7Lj5dygNoNuwaEe9epg5ARFXURONFrMr8yUSHo MgjFtWlYqnYL0gZK3G2iLvSW/2WDRvlTlCT2fh9zmw7mAlHw5sO2tJdM7baqHm3kyb3YTFwymSBO D/QckjSSPDu+92BimJOoD9lMsnDICP13/xLuwFdvk6ksOwFlw3XyjXv8pz2ul7ZV7yFlkkQCUAyv +jnHU32QZyc02ViXTAztFREusCt2pfaRYbV/cUehl7rbPd/DuQ7nnRxMk3mzigWdQ3piioSFYOan CVHlTm7ynH17/CGvIYaDBncN0pJ4bIxuZC3naY5kYr+tAPXG8xNb1vCDLrlW4dKrTcZwi6bNNYUV T4+NfJLDrXLpI2O/ZFceQfAUzaQxCYxNR752u5GwLELWB5xdx8xi/di2JY7fjpcXno7XUQG0xb1e VfU6ELFdEdsBzctBw//+wOnB5BndfHPZmKtiKP7iUl5bWTA2lrhTeiOYqp3RXCKEr7ADI3yDjMNj 2Uu14j8OQ5K1Pik0ppVd/jDW+TkpqKGiECgMStgdABiMfPB3CPhzGdy/OBqFsDhRu6D9i+HiN73Z uIwR/RzG3kwDSWM64hRE3y4wEH2dkNsOoLNwI14zKMlx5IbL1DfYL4mFpbBu3urWXchAL2y7/RxL hVO39A8AOADhrN+DcXM8TGnSdo/JSkvEEO83ZuJ7nNWJakjj+nDHi16bt7754y4q53tRxfNK7PDL TJzLsHjO9+UvlsDy1BnnqtmYB8cvWWHZXdQDK4zP+YGvZFOAz5T65zesDzNpJlZzRDc0SVBxXsAD nMPZpkbQRiyUIY/vExVQmYf+3UemrEp9sEMjRRRDJjyML46HQBMYgJpmU8i4rkx2pNhO/vufxQL7 0zyS2PKn9UiLYyMN/tyKbwjQqgx103RuXo+26MtIboGcFQjzMOITMyQ/6e0VElyc72dehtTAqNsh aRf2ICj4RM1aECyB+sbqBvfVRhzI9FXuT7Ihqy3Wqxy8CYWbSPtCox+q+MOS3OCB73W8bUUM0Wtw CCMM0SmfHDcUWB0YpOwYBMpOT6nn25hiVNbWsOjrlddNoRNRDYdQEt5KIKvJg35RPKBu+ZHG6aGG xmOwlg47QhskdQY3hyPW8B5zMx2hhL+zRkZGmET+LQCE0hlsbO2Y3WKm32ThrqOQw6jTbMSOATBK GzYXYTy7rjc1lvgcbwKbFm7weTknAHo4DGBkG93euMDbiXIEXfo204895kTykJlXJTJP0qAiKtdE 5RpQvbbdvbYrzAr+aUD4AADq1OYImGlpk1lN+ZhBvf817qdHll95hLk33eeCnrM6ggmXOeZxW5hZ QUW8/7YaLR0Rz9pugG0SS8MmI5pHk+KLBrZx9z7LujLc9qwwbQx1d4PBraVsmkPLXFOAAZFxdpHk ANSE9mKA+NDNUlYbMnrQpqYfKOgG3w78Kz/7ZKL52YIsNWK0P379aBBs0eNkxLM94IFbe6vFdJJR BbReQdBLBAJiT6STgkrQ2PHXRLyvvH4S6QV3Ykb9IOftaRiBsZCTScYvLv7SIpeCc4LlMQT8Ij1V tPh8KxR7iZpW6F2kLI95ukEEpsSGvbZjTikig0xm1fHYILdMI0D+V9+0+JkH7yHlBjXbLc9PG9R8 wrRDoPOhlmIyV09jbeeyS2y9asuNmqzW6EzUPnRztzMqBEaTtRD1GVfrORNkTEbYWaQPpb4ab8Dm ublzDy4F2I5SQAVd6TgPWRe66hNVXAzwoQGOGLx4XBPCF9RS1m1q7lWNcXDfnxeD9X5DFglIpdTM 27KmecfW+eHaSx/sx+j4o2Map/hpJyGsiwqL2FL1psqygLVBpfN5g5ZbmHjAtOmvx+Xz/TP4Au0k oo9KhHkiUKGq5dsyWuc6mSQU1kMP/f0Pp8GrBpXHGYdsn9LwEovXLC59bgDTLcrEcij/weFyFEpJ vVExP6h6qmTK22PrNs+jpT4ewzsKeM6jZMXRglJ1apqPNQjVtZ0M7yrp6RePl+aav9eWTRqNjmnX Xnx44pkP1utqeQwnQfsw4pN0Ci+QXokWLwBOw+fx7/4zgAshiQkBHFvd408+0TwQaVXjrc4bn4Mb SAbSYS0icPMYlSRQjMF2KERilc4xCcUaxnKvA2K663UkSD4RMEoSP7V98Q3ORr0zNrqoW9vLKJsg aIA4aXRTgF+KtwxviSt1S84sKBS+UyNwvNBEXM6LrtXKmwpjrWcGX8lmC7fSrnHqTLe5gK2I4Vt7 rdKcROIP/7eDRsks0gQ5DDxn5OeBHofbKUnme7JZREYjhfo0EMcFrkjQySv477FbvqO+Bgp85fsB x3FlWYhSBDTcFtFxo0vfC4veAj85SmGg1S2HiyAXpcPjdeWtRcDwo480b6HHsS51ljVs2MbOoIjR FnSvVqF7XIvWMvV9hUH0JDfQvObxT7m/ryYxLvLGbIuU/1LuFYM6pUoOJQx6Q+woAUIjYn6HOojs SWmgD3L+5zUaQA05hUkejTUqJUA8yDni7lbaa2jMdprC0Le7+5Lk9gcmTw0NY2Ru950Y67z+V0rX N524PohJ9qEMM9z6ibQ3DLPxUia0Ftiee0bxJ5ek2s6fvkJgezbqFQenfhcW+7fztgN7yri14csa Qc2gy0jZSHJPEU/eL6D4sTtQ1H9ItqGT7UpTK/aMFHTfI3MM1Vle7WtZ/TN+5Hzy3NnRw2nlGSOx NY3D4cwCTAP6kbsNwS6mjMDGDeDh8E19yPN3TYN/XdIgUZ4LS2xY8vtBFbqlptw7TxJbNVAA+Wpo q6+WOE2PLGlkZr2wuhJX0dX/aFZT736iWawrPA6J6J0Lh+V3piG1OAaLO3rAlsjPdhwAh9iMvsNl i0SzGs+NQ8KGpxiA9x0r8Ejk5bccLFIXu7PfmGxacMwCPERDnx9vX9YwQj3yUNSLwFg2SmNO3AnS I7cRNjbGcJ50j2pppUr+kpfdy62GjjO6EbrG3rH+6gJZNFntGZXPYYu6662PCcauQEvZAoZaD0nl ASb5UvaIfqcMCXHOnqb96xQjbgL33Tep7Y7z1kUcA6+jPruuwOXgo34X9cIiDXLLxfge7tyjaG3j 6H+2ozqwmPzEs5bqJ7G4qGrbngGbA9FPt18Tvcs8UYmdaRGIW6HBvkhqqsGTQlQo4mEo8PzAqKse mHO7e1PW8kxFw1JHmcebgsbL/qDT3xsa41SW9k6odnDc7NSTfM/ctX9ItnXbQnah/PO6JI8sb+fl p738M1aILDmSL9n7CGeZDETqP8Mfz7U+04Z5k5OoamLLiCUKYAgDA9cmc0aT1jjpGRIrUiylW1K2 /0UkZ5Sv2SI9BDtGJtKn73EykvbYZ7cGK1bZPUNlECH0dNd7vnN/azqTeAadjrnvvszyoXIqU2vv pVjOBH7y3sHgr9w8WhsfjbzMUtXDURhN2jUKrJ/yxCn/VfxJNeY8uu+SsuNQnVbjMhUmmC/Rbq5v OcZR9/5d00nHd4C6l8ZpT4dnIDt1P6YRj0MSz93QdKa2HEOkobmaHtwtnisGdGKSBBf+KtLFT9v/ bnbWxEbAyJqBURLJnA82P7hId6OEzZ6fI5RMjvoNqJDu4zad7JeRGNSL5ihIz0JjAB8ZxgKq3Epn Gk+q4WBVj1HH8pEg7eLC3iiAP2I6CtCMtBej2pWOyWAan9GEWURSHSD9PFrMbl2sN9h4FEjD1nIR 4T9ExpOAgOIsbAjyT+yO+d7eN2zFX/4tNoibWSAYXTMl9QybASpbuLBCtBb7/4c6Hhxd1COIBcQN J6FGN1h+DtcIfz7IaAJRCHLA9s/q0UJitSr+2f6ao+pwmpdzZcHulIGc38vGUyrBkLKyC7UBw3Nc Zr1q/mmXN9IU79asS+ESjvTjHKs32p8j92hbJr7BBAII13N+nJJ/JD7+tHIBzUlIEanqcwbJvGxE 6sItbytM/o5KnpnsmcHNEgQZEinl6GOmrBrswBB+zkreWd7Be9Q8M69tM0F4IqdGdTIii50J1FQZ LP9kzOiM9bBNt+SmDabXezUV9bKzxhZC59gEVtXcI5hXCF8m46+hMwfO3i32oNEfy+Ql8VNVQz4P /GLF6wbMqQBgtXsCaRTMJQGQ3wkBNnMceQiGe1/YklvtFGDB+Xwk+H4oqkH5KkMjma+FQYkCKL5E 9R80AAXWz3KQYj64AwoQetmqj7SgTiDlJKOS1x/FKQY8q5EWT1IM0RiKPNOWMA8Sxo95QNEPXNTw AAscYHB0+Z5L9wNMT3gb5BRCw1XxrgiRUY+gAtmvxpChS7GQZYFcswvCO8W8R5e5xXbumPlso6Ey mI3y/n/yeo6t0Wn9isfISi32ft/bh4S8gyRqaPL01EG2qvIcvmPnnNvQF1FUEVhne86Qkh3zGgBL BgDBWWfTon32Qq4CyEDgQ6HO4n6mX8u4IkMgXt2KP7Eh033f15ApKrY4emw/meUJjx+6wBYRiRme pqvJ66SrJ+kyFlbbw4Kr6fAuaWOxkH16zjR+WB27hPdpQHhA37ZAtIIM6gprmWqKHQrwK+Jog+fH D+WqEtNrvYwfU+5xO/p1eZvS2SvvCDpvfM9PF+xUrTHK60KaWo+FP/Ok/2wBxIR49zmrWxCeEgA+ 738vSbn8ZScTtKmYa4txHl6P0WC54/sy03X9AEt95IkK6qrVDSOtr8FPhAgqT5v5PneYIyilhXvJ ryTYC/DJcOK4+S8njaZ1uJUGt7HYFshU3I5pee8BhIds+H1iGSTzbfUZgUCf/SC9uDTQx5U20wVA HJ+eQYodQ7VMesQjpcmxCypz73x5oMTxCvsoIv6KtYCsmsZ2JuCadVP4GK9/OPfTuh2zDUMt4srh P5CD02vNn8F8J4xMVKhnAACGCbSdiH+E3JZJEpszY9Hp985uyZI5tN/Tl0C5AQp91zz+jp3opPLo FTjbuadzDJjWXlZG8t8h4eKztIxotzrJRDDtw8emMPgR+ByxbzCQ8yGznZbY9TZmV+YpSbgINngv fRT5NpWW81KO5yirWIv3PqkU4/6VXug2Qv5i2wMSLPQPWzwDZS8kC1gvl/RCqRGi/J1qGflXdml/ AKysA4RBL4TFM5XcAtRmxJjpo5/PglYumXxXZMaRBaf3t3wWeBKE/p4kdxWNEEA34bDk/MsdSGyc q8HOUMCxG8shUfWVzNVJYyUfe7LehOZ7T/157NFNEmyx2fGT7gnaYpiVBGg3XikYaHy/ixjkWMUW lytJKTLWIgm4Hi0X8dOGyp2xojiF9MfcRb6kD5qfj4qCMKzN3xACNLFikUmEVTICLjM71icBH7oP tNbeCYdp+7liqQrG+7M5TwMTkEKA2yY1bVZ/5LfXoBSVR0juTrKotLX/d++FUiESndBVzQ6vBCau auS5zAO6j6T1Z8d0KadNFgblrabZegXHI4w/Z13dfyjbuMYP3VoninhK95KGsgaE3uWOl+jx3x// vP91+8MbXZGnn3pU87DmwcdFWJNNLyLgdSd0wT8vZgQsUAoBt4s3xbucenjfa/F52NUc1I7i3WxB LVw2sLjzitLjBDKJN+xtZr/83sWt/+R+xY9EIxDcQN5qwa+RqjJDpVESWrlW/PMbtdkB7Pd13nvG VdZJGXTtcKluO2sNz6c6AXTmYJ8DYREMoHDtDzEiOgILebUNUOMFHhLR7rtJ5jqarKyZ8fih+64j K3+4g62Rl4N3TlodRx9smByuOK2Or4Zh2iGkx0+AhyobYrmtOgsA2i/ZG8d4kALKL/TwYT9X5YsY wf3oeKvT+DMohVUkC/psPScGCMx5310cD7KXtwsfe0w37mik/iJeQZ3lYqITIt251LJe+8KWYl/U OQ9Uu2df3lDlFt6J893RL4vr5td+x1Imt3Hf8lKTdapjaLEDycxP2tumWRAn599XRLouvTCFijUw hK0nPSy/hKIrKLuMJlL/SLa8jW4OV+ma0+DEJqfHzYioAQXnOpkmDfOJ1cVLt8GupjqzNlexECOs V8yDDo6uJo3P9SzdZR6G0zHNUEVmx1CKS0MVoVCZpIt1OU9k+MYIsATPOqqTJ0qoWuvOt7aHCAkp ic92PLLGwes2NA8xdTDjBOCJpJMzl9EH1eKOHJ7fyi8wl1tT6qSMA9U/JEzcUpK08nuV8hS+6Hnr cKs6DV85IAWBtrARX/48kwDp//hEMd1jmTVt5eBC4W2flOqeVq1REOcQOUG7yLd6EQ11nesLtKgP sei2CW0ts72DKdUWNzvaPbT2iFVy5QpUFufRcoxGNT/ogGoEVv1BNExmo0ypD/+YyYrCT31A2Wnd unjXbJhWz8AD8UwfpbCNjps+7t/dnA4gmndZBRcY56Hy7qg8ceKX7BElkvqqoNdQfU5i0rMIZGqE FjChWD7W6PblOMHTCv3CTOROROH2p61tOHpCTmkK44wCAtmtpc4VoOQ45xCWLcf3YK1YRNsAbChF rm8nCK67ho23qfVAJ/LYC/I7ud1cVCBqstAJQGfKEW4z+QtIy/YWMfZkEUre95QZ0XulWwHsC9k2 eaN+3BxG1LrbpDbs3gb9NPvFEf5538JbdjqKwbVbML3Sd6iJ37los/zqCj8TEwmg7wbWcQfRftvi f1DWOlc+W6xDRAXO+IqYZuiD7SQ7LFxY6Nf3eispJVtTfWVKbJLVLn3zDa/qZB/C9OfxkQw0v9br 7Pq21HnEq83haAELJg01pX6gNANBHtEaY+xnhPlnY229tLfDwTV0XMNPMHhLsrTc1LUr0Rfb9VOc 1YPREuntV+Tz3odnFne8A7pXEVOO6fverletZ1D2bJMnHf6jtZGcrDRXWYQcrwWZ64+ZWtAJjUef XBOtLAKZCFJICdMKXaH1Zh0Qh1jpmK9MdRWI5WHlQ/AaVYBVDdmgBkCyTf8BpnBnWm7wpNb5GdvS WvxnMKUjS1v2s37Cg2rGvx94jXbfhfeleHxkYt+eKUQOslqb2jdZScU+DWzHtL3zJXuC7eaYF0gS h1i/hhq29tFw6+80R25K9JUD7rHl1XGFQET67u2sZ5EYwziYs/t5Ugf/BiAle0gwMlIQyyju3wjB 5detMl+kLqcO/zPWdiE4fgFERsmx7jgjvyb2VCFDvlz7FzoXasutccQ5BUPtN5JpZ/ySpJjIEGz5 ecTNeWrgJZmBNCl3JFRDA/4e9EQkBg5Bs+issN+pgKnjd7r9rjd0+OjDOnfKYcFF6AvRwLl3XUl4 CNK6dhdyknMiOjZI7XDuD15kcZD5xojiWbauU5+bCETmMrl3xPXEWbbOj3dpWzPlvdT1Oi6Wl60T HbpUiapF+NXEoZKQHLHNNsuxa5xvTZ/PgKOIVIkOG55gySviaHlOrdfw4ciH/+Wb0JzSrUtAr452 HT3WHApFHvzz75QIi4E8Cg2eOY3788jEKL7+WV8xXowqsySetd65yvHE/dyyqBeooWTVaSIPc/qW MTc4SsLRU9c3XfnIXoKZUhM1whqjhpRhTO3gExKs4N1EoK8bB9bB9r3xpKEgdUk7EBf9u3TEK89S SE0wmyhO5nivJeqAi6nXPnA+MfBlu7cN6ELbhlJrx9Mj7ZX4vL4InXeH05GpSRTBgqvKBPJk4UFa GmwxdRYNXqgF5YUcvmrZ113BkdfMebfoGdmNxLqGTgPv9Yn1mPheGsfe4MBf/Shh8f+2anleGl19 DMqlKP122AMTyxg89sM2Hgi14C8anIC/oHs52zrL2JKK5rOE7WxawMJ3TTTgkCsHlenD8WxZ51bI X2OZy9LVrYQINJMCs0Rajze+2RrjKYhXwEm4Ibm2QStxI2XY8JzQeNZcAMM5B+jaOECb49ry6geW oJzxA8kge9B6xEG88pdzXHyph29X6xginxniyKHJPRIUS6N0U4UO8LGBvs6PKDOGg4j67Dp2pasz iBZ7AHk8FhFOb1LicTlzO2ioYgh4SlwiYjCW6P1tRNNK/G65tzWK/eIo0zMlWaVQJziM+wouleTz pu1QwIns7UpmCegG0UtEi18mvBf84qMG7uGfeAxDjpz9NodDGUg2UUCTfDtchJ+OP9NKC9G8H2wf IosRu1s4rVu5GcHvpTIX8lD7cwkY2DRGm8MchQZS5zPYF95D16whDYcN4ZSOIBQtB5cxtCrorEx/ Ge7xQrGBFxHpCcsBYatHeFpqkjSrMALpXhuIneFFQ8V2M6nWQwySwm21EsD6AJS8F/B4RPs0X1Sb eGblKs/Kzujb0Hmq5crejGrydmoxg/M+PqpkmRzUF1zjlmvsomLSxwaxnJ5pxqUgGgUDwMj1SsR2 yszVl7blqkp/wWCRngJb2ovnqSsa2sKNSnuB+69nL3idIrRmahMz98lI1n6fOKpst5pomgBaCR4G CwnmIJiTVcdDmnxE8Bcvtw7x4v0mYV+n4n1/UmpFLdEKVlfaRNipRh0Aeve5eroQiKgxFhi0WMjb Tk/aKoCS5F1AKPkUWCFBkx2FuWbuj//sTS/wEkwPIiNUiiYUGBOiatoZRo9f0m3NT7OJrez8KH8R QRzQiGptsGTwv31jEbrt8oaomQSTY+/8+8nf4D7Q4T7xNLzDN3fnCVLFmH6R1LrZ60jZOaUmXa/I 1NtYQ65guHPlyuV8N/d3XrtUpD7ILWu5N4JG+pjy4GIk2RByjAPQN96NAgVY8Xi03bPO+gh+Yfns klOR8hnPRMIegyzxP3x641hS7kJMOjCknf76pr3K/2uUveOzh/2w7fxcYDYxVHzn/Q4KfQRZGIEp fN7HZJMZ/CPWJOYECLj3czOfam/5NpqQe4XeXQWYYYq9elPlZD5mYVLqXtYt58MIiR8mSES0RrQc SW4bP02ehr69mywfpqBg9NXqll0Aa2tzPSbmiU4qjSjcsPCGM7Hd/s4kBCOJJOtglEHwmmCh+c84 eZuQ430/oSoG9KohK0cMTf57R2kZF264XKU2tkSaW9hBfFTMUBhfS8BkKP6ewmDJKjMPWXse8PzX fxMFyMXAYrPi2U8aHcd4BNFHlmsJCDvGqD+YodiwWesyv+1ApgP5/ngjOueAhOgBzMnsYZdHT4wP 477uiJKBYJIpig4Je+mdU/cboJJyB7C2zCVr6+mAUwz8aysEF7LU8IDCWt45Irh86CtRIPep5/T6 qjoPze0Nj1xhtrWi8s4ExsR6hfcxA+VVVXxAPAc22/IhVjmVYMEIc39fPb8RY9L0RxXKWFLlgbhS smhseL6mdmuUuO4FUhNrTVkrnPrZtyyU6QQ32/126Tn1RYiGvKCaGJ6wkDnxDINVwQkB4Y6v41jV 1yIYAuX0Iup4FKyfziCpj1X9YXM2T+gQoseUxRfE3ZmryomQW9zTvsJjpwiv+AInZpuplrtWrj3K Zw4GlgJHcBY3eRJ/tlultKGncC8VW3dvl72VWTSHIqJzzY3+phwlXA6iVJK6ifGITFYtsNAlflx0 bGc9R7nkcIJNWgY+nY0QsIz2382Axkfe8quB5F8WN0Dmri4rNZ6BrGWL4BFrjr1r1okYNH11vgJ6 o5RpJkfnMta1e7AAwmDLwnPZMe/puAVKAPMZNL5wkF5Dy3l2BsLIftB9xAg3efdsZoSKfHoAiIK6 PkepKLTOiA7XL+XGSGw74TNvmt3TpSeaqQm++DSd9Li6NVA6CT3PbgK1fhUewA47Smlwo73AwW2t +k8ddVZG/gP9ZelXVPMSfQqHSdjV2qG+FkClqCYi/d0pVtjCazXES+uu8yEwH9529F+uIF0E1o3X zwX1+YaA5yQZQXowftJhEiRrWABXvIkH7VFUzdyN0/gJjxH4iWw90gSiMZ46J+dQSv7bfSkm9Lqo G6dKYF3sz5Wm0WpJeXAI2tXWndmFWRa+FTTGo/6fLZXd76237InF44RJFDTQTO/90B7S8pJyD7+E 1pxRZpS+9Z39U1hg0nfpCiCtV+TX2lUh6AYv58OyotHQhlWqEVB2wm2w8GN8IykH+3DxJ653T2wa 2kO2noTWgoL19t4pFSGKHrScLaBa2DbysrbJocExr6vdh/oHlJ/1oTkBLNQjZe1fKnvcliXUemBa ULKqetqtRqptPLB2r6VUzI/P7js5POJUXzNYvUSMIhS0aP3/GH2ZVFLKUPGJLij3rHnpXHfidhVC US5AXSNoeqHGNyQ1inP7KCtnc3Z7Exrjy/hxJrytb/F+Qw3urS0oBGOv1uZYi9CmgmNGFKWT5VVC lYgaorn3WkWL1XwHmEs26cEDzDu1E7SJ/sW11ke/gE+2eOx6lj2PpOz3mWXGUXozXGcjeo9bFOBT Ho5/8tO5BRjFRyKpXlyCgGDteeGpU0ZWQO+AhIk6cD6UFv9aVYmblwOWLTwT7Ue3ZmZJyo1TIR4s nD5MiE0hGEsgvzuzPuUF12mc33tCF9jupg8H5OhpzlWWJdzsciZcVdB8qLQ3QFgTYfe2yiK7Qr0Q bSOx2c5JlE4JggS6P6aX/RnADKeafcI8RoxbEzl3aUO2GlxYs1BWq0o5w/vDGUhrnZF4aBQ4p4xt DkZBmV9XjX1I2WLmXsBfeK4qzJKSgbe4vUBLogCaTJYC2568e+lD2AjEvaFsGWr2h2nu7yJ5DSGR K4JGFWcwu5tcHVnd5nCU9rBFc9LqJ5+wcfhfz8IaR88ksxeGfP6W0PO9+QJVxszMCudbgdBfkm78 8Bb5zhJRgyQmA4kQc9ySHmDi+exDbX3nNm27HaIoFdxckikH8I0TEQ6MNrcQsjlMQ5q8PrKtwPqK lf6RLiUye3zkbDgrmgsqOuCgdVsrtky1kFcMFl+gR675XWpjyFBiQlBbFSEgdjNtc3EHf3eyjHxo bdsZSTp4LMvE+PraWjMX+/hT94Pj3LiqjJcVIk2zbVQ6m+QyirZGcc66z2f7NeH+aiq3z9zjhK7A DVsWDtlql4OESatPXLkEzA6nTraftE4hLPxjORwKmDKzPc3U/aHg39viorYrVf7Y4gKBWMQ2vd1K yIHp4Qj6wzuZoDhBeNGZxr4rlAUu1vvwPspC+2pE09fmtS2ayY3dMEL91/WT7Bu3/Lw7gbciojmr eCM+S3vO07xa4KkPZVdds9LahT/OX5VwCeFbNxHGy0N2n5wAM0FKrxqtb49B+G5+rG86unzw+Oud T9P0KKGGz83EB8YVId6V8HyIvjcNvVM67aLwTLnlrcBt2Ieu2NWLnDdTnfPqn7aG0JeUYYvWVWqm 4omnU5o4Xk+00WgR5EEqSrGxRBOx37E9Dsfx/tb49bo1oT2R5WTbNhMOKikAXqvxj+HxfZ1DCGLC PhsGyBBczBh2kI8rLs073iQvc/9OEwhucGQGr4GC+xUvV25oujqxnlZvCKpflJRQYTn9QsXElPrL ZYAXzkcc4PP8rWZxxH1M2IB2OQ2AF7k5DESm7GObOuA+Emq4VUab6WINBb5WNqDsubYr0CjgRVra KOEt6IbDNXqIYv6hvcIrCkPvUhb/K4WLNxr04RsEC7e54ZXVJ8Rckb0YCHq9yjbbT5GeHXamCXYP lCmiMjsCbRFFd6RqTqqaUbuy7Mqefjo6ZRK/Xz9E05F1PveBkcnDB9EzeLQriTAGPy7zzzYk4x68 RUp7dwEC3jOjPGLBmwU4c98sc/67RYcT4SZu1TecJ6RL6gRneqbcTXbrkcnK8nplSm+iTr7yWkFf SsP47yyYwo2SsXiCzdCteCl0TS53CFrLyAS2fq8IZ4oAEeKU6/HsZmfFwzffyIvWKzZ9MS5q4aiW MOrjf6BOvzHmhhCvWvTigiwMqsYUVtHrF2MAaHYmSudc5+EQG6qaKG41bLfZIG/c1V37OMZBqOi7 ebUmDNXhsUOtbihLMXpb7JpifNQRkKzMuHGab2SHHId9vc+UyocDFq43xQDkP1Occ5wZbmbCzazh s/4aDJ/AATZSssUEmp+N84vtyYk6ZETLSG8f/xikGj/VBt7eClVdooEv8//q4RPUQwLo2bxsGu4c 3YOpQ73ZvJi73HycKE4vOdSLt1rMxkyYLws9FrndUQzLQK977lArASWR1yjkwyCvdLTaYZd2VWMB 5OAo1p8VumhSJlHqN97Zc7JXEcYWmQJOsO2wJXtjJnaFLBjcmAOzorF+f70e6QRNAfR4QHGZZb67 Mv9h5ElNzRKUWrxjtPgCNUfo6ZTqLGicvQLaOS8zcpxUYlfrlWUQZOFlxtGnVnuL+GmVru/cmGh0 dZXEE00J2AJdp2+2pXvNwbfIYxputeKhE87aU+MKwoXPkF/c3nz45gMvb19G+QxlW9+qWltGM8x0 JQYCyYriVom5sGWohnrhYbFtnZKGkZaYX582NjQZEveueNEs41op2j0kdgvMFgzWSLVvLhJoDDrR EKx+xP97cU6bMBe3ae065OOs9xlDe6Xs94v/NTieWD2DTmcZBgkLL/I6ObybI5Hvmt1L5iAixzcv 1UVykrzgT2Qtu8OT3Q0FgNwi7SavsebQ0PacCDeyZhY73hJJ2hdf7qYgr6fyvB3UpZ8OaP6P7nXF e4rxVWOXX7q+gt2yIu1tvzi1LXgEaY2jEnl8OGfhUIJJLJ4io9a46OtH+azOk2pU5gzD5ZWCw8Qe Y2oONIEe1Ah8qjzPVsnRr8hjZG7us8Ve4O6WfU/PLl+nHRKOP4HwgnctePiGPyQrt2f8Kks+kZ2L 5UpNHzJ6yw0R8iu/PWhepgZPsAjPiImPPpO71SlLeLhaNE0I2iE2BbyNjVPJlAEFDMcIZbZuI0wC cVH/JpnmLK/dnAlj4jsKz61GQdj85Oh4E3j/ymEg/WqrQ+igC5EW17ZaMFEXL2CoK8ducWEZ5YF5 cjGWv9aO0p6Yx3Ycs2XUTX9H/mNcNs/koa12vDTg2hDIaez26E/SaBw7vWeQDCffwLH27j82OjUs xVvzP4nq7idXdfINazS7Rz3ajdTP37TVLCql5AU3dcqLr+IR+kaCPDuMUktYShoalJL8M1daruVT nne2Y0zZWI3nVGjufI+tAqBVmO0aL/y/Y+yaoBhKkDctTKGj9xixtkBw7B2yEVb7OSD0VlgHREva qb0yQC2Y3uEHyAMvbCVx6Ye4lE723ivSBsaBVesnqePyeOYFiDDzyX/07U7lFJttQKjeGxtB85ZC +fjjgu81k+Fn+SUth3rCUouduXleSOg93//dpwmBSoMxFmzbW0jvc/8K/znju6DRZGbT9ovyeZIU 69hNGZ2UQEDv7Ocq5n9LfkY0nbLbt8OqOZZlsgcTr/RgQXWW3uoA/iZ8lGoa3MU3S52mEMrvb18/ 3n1wYjJV8yKnY5miIy9/hDI3s/pieCAAJL0Cj1iwewqhTOfAuihlD/dCSjePyC3QnAtbyp9yowRR z/ujB/iQy8tDYWnLvAj1dVp7c2kLq9vHLeZ9pAItbP/W6HeC5TqqgqZatnBRc2YyrENDYwZk17GW PGAGU9IC+aVRRknzQL1hmuVxPHbDRv/z0Lavcr7bijhUVMTmU87fj9Ozg0ADdDhxlJQ6iKEkVLna qQILnu+wnksOEBvQySgygyrJ4apMz9BPwL5BBcraLBipXl+CiKwpQz364kfmtB+iaVuiM44BqVKP I/9vsNchBKl/DHmc6oRvMycY++djPeVMRVf3B/YCP4G9lOBW4I0BvniRaMwNjcHcxdkpX/2tLYJH drXVA5bnus/ZsM9aPjGbDQ0FGFRNSIx0HkXdYkN0RNCMAvJKlgd6giqkv5ffG/Pp11YOfIjlDg2g RJZqKdGMuNDd982enDkgNCx9cDGjrGXvgfHcNHFEaFFSuoUgrHksy4KRED1r5Otiw1GtVnucjbyC IBFL6ynYV7FxknZyl1ecMGFCkUokN30qwr1p1u2cjm4iIGrIa5xk/gRn3zM2IftRC0y1oR0uV+QD 1TR8+w2L/ySYnOwVEsn22OQiU1PvOfaf8iqDKq1qSC6eLIKRSIfrTMSeHXAmvKN5FWXUfUlRLQbx yl7DqV9YaZCxM2T9pEJhBoGnD35zW9OV0K4WvaVhXQT9sLKiJWuPT5EscI7n2O7WWlLBcAyMQBGO LrfofOSZF0etNab+iCJ58vX1/VAEGAuGhLpC7C6dCruZIN6ariK2ajV5OTZhD1uGOQpFVBMH5k7P rBRZ3YlH6HCHinshKDZIiwx6Kgq+kqfdxI4SZdaq19Ss7MWcJmWmEZDxUNJluoZP5+9niGPmjrHK 2Pc9G2WIXK2YmRyMGJd3EWLJxPh/U3dMxgvSj5HskgvpSQx6w2FYH7LWDJrt4Z6aLjyx4iPVhQAQ KgDDIzu695p9xUghQuR85ivraqiI/sALM+qvBSw3fYYsUjdeojSPzXtYMK9wMxXD0q+YL8xPVzPy KALEnU3VL5YhfKg8XWSZZ8JqM8PdxPRCfEqP+BVhwFnO+Hpcp0Yt9m7dFbKcdpn/KiE978+G2Vu6 /nLrynWRasEyYQz9F3COCdzTmnjxzMfRhO97bmNYerEoiTvqbYgL6pko/wG5QmCJauU/9nlsKiy+ tWWtx/WmNC+CInVd22lQgkuM0ftlwVGwG0zRjlAVD2wNpCLyGe4B+NNKHEHx2vVtBo409d/f556Q PCmjuguG1kNZZb45GUxOTc05/eIh/pw/O4zI0PK3iuOIA4DuTo++sPIC47UB284UvU5AbawvX7m+ 0hvreQtnCf0D2wt489q8ddDl6U9TCozDxzY6bVMfDb1gU9yJOYIPBB/Ww4uTtiEvA6SXfUcLJInG dIQZBut3WDuwiDR7D7lUoyzRjAwe5JrHr2wNCjb8FBkcXlVwKGJNy3f/jryXnjmOluuVNfzBkAws MgwyrQwIdi9lMrhUDJqiC4rMThnLIwh8qdQnH08/tAmT+B9SGPnsjyoUA5Zg379QeI4GlHSRKJbH N4knToFZqvwhgYMy4L+l59Gu5Jc745zEyriSosaxyym/q8gMNP059pf2nbHtH/15tqNWV71HOyxg VUPYhU3w1N3ZvFJlwepeA7i/6AegqqSlsgU96NzMQ/eRtfFp5tn3CUsE/igCZ7pGeBkX4abvjoI1 71xvZk4AocQIu4Ygl7PjpVloM/mDxHRfS14Y0rtgdsP+QLTzVMtCUa3nMoX7jw8RGully5A5K/Ft ILNDpJXscUw4ELSfbPIxRQQxoQspe4ui2GwdJ51RYId4kcYI3A9nkWOQ2sG6XgHLbFrkLTRM5gnR 5mgvREki0OO0LmNbzEXlts6Yx0sa7KvlBwxQeoAE5ADTuHHXnVV8lfM7sVl5v3RzguMAfC6p4LVp ulWLj6Hi1EbhUglHhot7KY0QPK0uby2nQrXI3p0LnOpNmoonYWxLpv8kOKLYOSEtMwKIa1ajwRPV iXUEAWBg2N6UytSkV7/uCkRDI/ZSi7ScUqakATUJpVaAJePUiQmx78fjehL2QwcZtO2UlGteqawp iHcNEPn4gUDCOgnbXNSDKhFWkeatf+k0iyaHer2NmfFBjRWFeaqG8bITdHaJlJe/Ky+6smaKgOT/ tRuTZa8nEo/uhrpB8fA7y6VeCVnE4dzISedQYjzT4ZDwO1hF/hKwJCkdU0DuvKHwJq8DHarZZkfw vXUu+xkX4RsVbVVt7aoeNLRhfHmsTiFJG+AaU/vrmUz0R1kPSj5u0zHwRABDGgE4XYKa+FTJ0lhC Nr7jeIRc+t1keh9G9e32ThQ9f1vDHJ5w1zK+5A8frxkeNTjHV4aWlaAh56iDxv1QLo1vEe22d64w Ca6AlbjLui1yM27MC/WQQlPipoNHBHQ4oqUWLL1rq/m3HrQEnGjEDapHs0V68vO7Mknn7JgPow6q kxXDETrOd6nztMbJrXBkLgnoBWAeQORrkr2DMPX2VhageZdomTqZNyq+ADqbeC+MRDn+mvF1aoFS vFEhyg8EOeLRIQNASUzyVWegVlijYSBFnZTcl3ijhIdoOxHFqmqeA/rtmNCey+ITDOOmsJPCgj+R ZNLYKsvL8uy7R3AHS07eQdJUAxHLU0F22tRUoSoib6RcStbWpH4NdY7ThQqfJ8JHTDSknFspI9CX nuM+WZFAYu5GMHAfFMyzDnYKApF2CSoBGwyfHVTX2wYrnAHE+31fGL8toxAwcWQFq9MAwLgoB6og S3xfy+63k5Ab3jWKoKQf4YUBqHbnZLtvxCLYO5CbFWjbp7ze2MZH1ZnKpbfdCAvZ4Hx9xgwnEU4u zgnMYZMcg/hKCYFanEC93Ls4u6EhqNuGEbjChwr9NeEvAJk+3NlfUeso3JkF1DNWwec0pbOxcjUk 5s8yDL/vlvSlMAvGYy2FxKpMUd85FzAf6D9lTDjlJgqlrV0X8E98dvYFWD6iyHVonnsgUNKfYlQu 91wRa37jXPt9gUI4k5PrqMBqFxeaSlQWOLfn+t6g/+r8LLjddfsgLA8ieerTip8KMmX7CnA8tkEv nL531icj/hAm/fS35OCEUmIJaXrh6L3eeutMJhWF8xPWL+8ml4/27z9hyr7AN7LJL+sT7YW9jVwS 1cOhToM3YaPQmtoBVz0TA1IeGRW7z/gdtntmV0qnCh0awKp51QwfeBcb7+8JHbXlB0xp0iMgtIao yBaZ5az+5hOhZ9JRSyGOJdk4sLj1hgTbj5E9b4U6lqnqkBv3J3+dw17xho7XaGAbFn308et9zkOC Ji0dFGbYLatfJZnQUlYKE/rR5abKM7jC8s00Rr/xIgC+FLi8CwwFgOYU2HVBiS3NJOlxuy89vY8X 0pFWh3//SvhLGD/4wkpo3e5zwnNqiqWmvly0josS1JzZmMefBEr+oJSMjSmqStlHbkpCNPLJWFFI fKUQYY9b18xZbQXOr1earHTABfQIC6dTuIoXG4qPYzyA0xuvPl/5jf6HN327jNEZyGLupFwJoe92 vUS6ZKVOwEmf+jpkKVK7PmC9y9OyWEYl+YFxvdFdnbkG0N6HyFi8YRvrYeKGg4t7EZPQBpxLlWV4 6IzLT7h0teDVu8seiiprNsn7R6/IcS0/oiswkiEYX+3Hf0Qifq56hKCjyTDmonpyj5fVxtvTcBgB CkDmhB5rTORFEFxT22fIodPeXWPYd90Zn815wtp2q9xyP1MmmJX0TQNW+vcMLaTPTTi3SXztiBgu d6giqw8vVywVrIKf9HpvDPrx/lCFXhhaAG9ZKUPvW+6dpNHZrvc5ssfNY6HEr7ISRtXEPhYxlzSH RM+5E5NyfjtwFx3SYleseOkKgpq1M10vdUqF/ICHReQDPljduLrMiqRKgQXEh3sfY8A1EiLSERFJ P7H9pvVneEVmLcHSRGGaHnsHUsZ4M1qdFT+P27Ijx9su41BmkTZzY3wZYdbcFYhYXcmVAN5hICA4 yKWUs3T80ztI47G5+QipJq9AZ80FcyJ4P7Yz0zVH74PRa78V7BjnpFxfyX79KPmkZcOhX/jW4shI JwRXQ27wzGCmwGL6VykJ4logqnzWdKtAByivEn0dw/AtUNJG3C6/o8mx/4mBdi5zJWWRvNO8IWb4 TCiAGJcLwq+bQXvrydv43kQxgkH/73mGyFwGayJJVtIO6bbf4zL0mVdQZxXwItxS6HeG9/m1uYHj 5mz8/W1zng92xG9ruTegVyebAYc4sSEiFP5AGuckcctQAqRhOWul+WVmtDseIAZ8RAZoxR9o87yI /v+X0VNB+C/kM8bDIT4NU7ShATSGtFypC5Wz0f2QEfKWFF4xkQkN96hdDDXbd/A3N/MLhG3TKubz 3sXEGD01MmgQ5DUSjiKOFZc3pi8aEJb0sAiYc7oWPoyZUiTADR7UhYYnNmyrvd3UsladlvwjD9r3 kTpWuPDNpOsdmcLj68DsNqO0bHbRgT8YbRaGypbNWSEiYLCkrnbkIeFP5f3vjvAmXKm0tW6doa71 zQcj/oq1EAp50srLyX70TgOOyx7gYlkK6PdLDO2WN4IA74y7yKoc7uPdP/aeWQ+6eqOL3RXUA8C7 ThKvL5qD6dLhdSOU9NCDqpFTxz2ur9hk9fyRbvtFw4ls8Twj5mVUScyRbUSq6qJRkE6IocA3XqmM zT+ie/Rl3xS2DzrChWQo423C8Lry4mARmrTIKe77fJ8hCaZP56wR4hbghjsXkgo3r5jHYwV4XKdB hEZ2x6QSy/VqBVNP+VqF7z8SyOTDejSO3W9IKveUeiPrXUoDEEuMThAhYoEJxMoBaAkhG7nCCv9a O2eMLnQKrmslDbG8rP3M+3DnVUaPkQ17eN8AGa2wHh/e99IiAqGSaqfR+7LGxREhJpjWYBlgU8b5 MNSHlTKi8CEAmk9kR4Ah4ZX5qxoQftPozvRHQ2TuYzpnewyj57KfVwFzfeOLv6KWxJvFwHitw0sN tGJzCQo29nmzrwtdPliQ3sc7mD0cffhRn7LO7DyGlYwERU51Vc2YecKEs9Z9x64s8dC7Jnq2AC8o lVZA7L+J2yJKVu5kdIe3ut5Lk2DYJM9ZtKWFnUgvmnBZ3b+K/A1WPYDp5SoWKcaF6Cq8MHRNNxUZ gvY/IYS1Kr9xW9TcD6rl/dZsw4iWHEvZp98oXGy+tSyor9ukv/NtGWeaT/BIaaXWj4m5ll8Upssr jR1vbJMvO5Gb/reK2rHftripfXKyze1ic8gYBo/ZkSLHi+tYfCPnoDD/WsYzbncJaQjyMDuVhvHS KAxi9XfmHmflSomrMR0UH34IJoqWiYRj56YpuMqKNTUnpiHS9IP/zTS8h//C9SKmvh0xcnzlbWmZ /bQWJp/dBIiA6F3pF8v0F34uQJKGhQeGKBxp3SS/g4ezbRxssBpRSeVgEFs3bh66ZevYqKk/V0cw GRsQ05V3UTw+OFkSJFtazWif71vwI0N1aFbWss5B/L9YQzYIWooVf33gyzHS1v1GnEtuEp9Lox84 CWfVehvh07gtVrEL/ITkUqDE8PinUskm7Fagg3yyYj5VUSMyYknTn9SyyoKNTShua3f9MGDOaA5l xgkhJLtKTRznoQ41ObmhKT2GjiWexgCEGJ9cE8IXqEEQkl/K5P24oesPcvaH8TWh+bD19EVsKabU hTRUIq0+s++/XZn9+X8gbm0Sh4956RsWdfWYxW5yuE2Mdq+YLgPWxAdjmjk6D1nC6MOR8kvDYous 1/VLLVLtJfvuucSZHwfT7UylgXFQHW8H55+aRMkpp/z4bLFugfzRRej8g1fsVqzweSHhJGXqqBix Kly3NGeDFcVvVHxzXOa/S+YrRcFibq5lyLgMEwD7dwhssaUPQ5gUnJlG4C9bWfJzt69PQmMDFExa VXu1QwageBoD32cNvqVxhXu7V0QImaSuYZ1EHOL9WEnW+F72kSGpVeHWH6/4X7keAgS/IjKNDN0z SCv74kVvOfbF79jlupMKWZhZ9ACtfa3UvoFnXKtNPPJ4uuX6+CQpAtS5tUxDinfU8jiYDu+zwnnF fgzl2MprTwqxOzcrhrQsiQ53OFapzOgy9BPCP4bfnEmv1Uh7PQWA2vhbcR1IoMImwXB0zxUVx9xT P2lElS8JpYw/6vrWgzOkIYBYBNbaGcI0BTw6t8Um1BPinphvy6l+x0c17tqaEk22Z9Ft2/ORxr6q Z7Kq7il5iRzjpXakl2PLchoNDEJhL/GuUGjjdzAng+KDpeGK8cAZfNYFIc6x/sFJWKw/cT8oyrQd pIRkTPWEc078xaGpbB8gzQZPkP7t6lepkK8PFL3twdAJ3dvul5WNmmGU0gUtS03C8vmIjFDMFVJw CqKBmwYzEN8+77mpcUn8JC9GIzMv0olNqeMbveOIyxp874KX+NUSkT+2+Z+eXI/3lJcJ/eBPueGD 2GLVFT6RnaZny1tkS6kgw+H9g7EzEAVS3wAGSeIHF6dIYwldWU6vly7i2Flqox/FrKLU9ElopfGx hdvpM6QyhJBy/jlYahnibM23615bhHcdlgt0GqTAsJd3yNsGqXCFzS1slKwo8edtAY48VhOdYaH5 FTUhPbA4DbbKHQ8mnFCz8tBWwuoqf/ztxOy54T63Ib8JVnbwmIPOntyBqahNk4CJ4MwVypO7g8ld qatggGPQHGdNo4B9+7RMhJRAC2Fdk9Qdz9sNCQIvCoxOqxdN4tNaBX9dNpPvWVF0bM7eXAXDlFv8 P2dY2CLeuOlHRCvc8YpXaN0wB174ZsHQ5cU94Xz4DXPIF7Lcnj1MBLiqDU4L85dG1ATldBmSBq0G dNRnBsVYZmNdbua8hp/c1yvgTE6G7tS6BHm6duXnopPdjfffdedzDjNvuHHFyL3xeOkFL/xT51St JXWCeFEPwMjjR5BRrfzwWIdjORferj644DyO41SiBHRqdViVMDB6c5Cuzp8w9EpwQKLrGl8zFdgQ VyXPgNacusWKNluRDbDx5vM0XXKheXJNMYD2uuuRVIu4llN75ZYu5+d0eajQOIwjBpC9JPmUtmtg TAJAKroOd4JQ7+9ECmZ/8aery2IqPqrM3RdF/YJG5c05NADDUzvG1z94NumKrmuJYfcnEzs/ARDp YrgvGGwAfj7EL+jSCtP2GU4uB2RTCcRe8HMShxy/IYmQ6M+JZCOqw036X8WXtiLkRzg7n1nQbq4Z gMCwQ40hgRMkpZ4YGjRKGQqHOXb8fK7OUj7gR97wAmgE5B9l9yKXlryWD+JiILJVcikIANIZcX6E Iaouxucnzjwod/B9rq2l0RiDe0FhAa+ctQQ039BzzaXj83edu+Iy5Nct2dzobK5YRLOpRbJ4890Z kf0wti3hYwHY1/XTvRuaWZzL0DeO1/KboavDaBXTC3caQRTbkDz+zF0DY5JSmWqjfi/iI8AeOEao FRe/wjdhKEcAUe3rdwKVC37KR/bRuL8uwflmJtsK//GiSe1i+j4T/ztz+Hh4RUdxXN5zuiP5q1IB /Lw29nlE0AvwtvzP+9df6TmHM+3bPonEBryEWqiC7Ugm8geQ/hrJVYLBU63yKUh+8E3IX0vkh+t+ ZmuZTMYxLkFiti4dmj4JmwIQdxmHouNbNLJKnIq8jwuRovir5y92v/b9TAU2ywqKEaBA9w1xKkhk ITgogkOTszjvDFbnvgvCROmG6FwbjUPH1ci6VPyInaMgaA6+g7U7SJTNRSn/d/+Y18sji6uhj9k6 bn5yIkAxyXSE4dKyfkE8S+DXjm+7Fsk9BSkIZF2ULmnyS4qi28BGOThdnrAj48tO+ReMe9ucWMQ1 RMBwlgvlI2+FN2lww/vyNeXPoAqQiBYDJowzcFZmxBBIwUlHxeEIjg+hoWbAQcpYSI9n/8j5kTHL Zb+EuEaaq8u3EKoMaWZjF2Qbp0l0nROvBxa2foOfoAWOeZH9SGp9/DRvo4k7BlR0rCOXMhHH/Ku7 npLunkHUXul+QZBrIgqcbUt6iUpPQUZLTkdMiAY+FoxKyG5YhjMFrMsyjBb1EanfzYA4bD1Owp4v O88fgO11yv5bsWC4H8fkhsKZApfRotIBQOsCglKv99/Uw9lORdhXwXsLE9yOM22zYuWZFMczvJMv 1PPebobrg89LKsoMG5Ad+SgUNv+Q1oinUxwc882JLaM55tTt04STRvUZdLO0B3M3h9avy87XEJwM h1+9E9QOZ95nv7/BBnSLCLPArDVooVFKa3DRA1bsadelj5Tx4CblRxXuq9+V0kVwn+r6N/xWFy/4 XEHNpHJakek2izyGasMKhe1fNIQCbno2IDT3sDs6vhiOyi4UUQG0IJfIAFkeErz+azyNLMgNq/sB BGlMe85vvvs4PDeUq4GbEirKSeCnU6YnIfrEDJZAxOrjMImZiiyoTknMH3Kd5A24eELJ/ZXI9kki MIOxpNoIPJqGMt/VYsYVPxtbOWt5ggXff/YnDkfVqH3WJtSzhZM/B3HZ/zCdl8NLiX17P1xrGz5B 7HNWhelDLc1xYB04ESlB8JG373/5W1bUJ7PINJZjpmXC81PTL9U0MbxPNJogOFkcuvAtZMf3fhPH hcYMe/dIsEhWDpcg2w1XJHHMZJpFHnuT/lSu8ySll4jAbz4T7+zh8xTVqC1MYXZzyHZkN7ytxmKz M4DagK+Lz8meDRGLI0oGcb4fQxqYwaLDNkZcHhAelgj2uCAoAyHjijIOrJBfg5HhSwYvjG13fqhu AlImi2hZhIsFZBC8kdlnH1f14K8NqCXM/vOEFQBy5PnVTmcMlG4/zawLXDR6KD+HdRxiU+5MVNk5 Lpu46Ax1pOwbK4SiCWFj4LaZDTVplRZDTfpbQyV9Wr1sW7HxWLp0q+YXHm7sdIt/ZdpQWYFNZ2x7 vcWlhKH/lg/KBenQBidbkvdlRyifckkHgmF3uex0dqTQaczEgC7wxvTZAlzRSiUNtM/+/nvyQNZH EoszYSIXu/WjnPrgRF4nN5+4Ed+bu++3kmv0CaRiZcLiGfOSX2wKhvYmntzETtQy63YNPuWeZxPP nj/YLuibc51bBBnyDBg/C6Sk+gHDdfuhe2Fbx/Ys8vYRaLJjZVEus2PtNfyRWnyqkY5zCX0I8Wd6 XED2kHqS/5EupF3rGOTA/tNd3fhAvBJMmBnc6mai7OmnlhilIsXTIyVa1jLN4iG1SupWAUlIchvj 4WIfH2YSUK2pUbjNM5Ya5hECmwvVjk0tijmHOnZszcadrvXcJv1zV6xKEroDKn7HyUfmyULObT4X yc44CNqX4UpEuOPEnqpQ7Nz55E6x28gIXvbBIs19LRSXjagiKj468Hke0VSjVbRYdLqSpW7kcqeE S3u749AQWllonsH4LvNpYipGjfW4TeLblH8qcSYIjO0qVeU50iW+170bwuxQ6nyaQfHmoYvxa2av 5EZdYajYZPjjLJkFWdqV7iJontMhx1CQYjp5pdfUhTp9t+hlFddufRTQGi6tBLkDxpmDljJZA/oS aIADv0leGgwWY6kkYTJB6w+NE20Wm6NnYCLEnBmCoXJmNptCMmdZaR/VAoVip8B3I1GvfzBnT/FE FJHziodbYr+YABA6QqvAG+QR3gsQMESEgkoGy/qS61WCKwpVsevNdTw4rkhsd3UvTbHUTxo/0GUx VxitobnNV/aVOVwY7Y+0L70Gc7/MTieBqx2uNgPQ1Wq3qbDdy0iw5ho8kwZj+tHkjiacV6E5zh9y S8ls2/td2LieKRzNMfpt8eh1GPg5XjYTUhfdLGDVXKMlSRAOk732/1o2FNwdFw7yl9By1Uv6elkv x0DD+Xxf9c+543WBAuV/U8WqK0kGoSTfQZUkEZfwPIpASQdfFjBzu7LLhOoZSWrKCjOlzOp9YEIY Z5/dwFN+egG4Ib/3ay5OfBdsVZgBx0+oPsigMpxGHIRMSnHYJdyKr//pL1JXoKk68MShBrLfie99 JBTOWWu+uHJH5EUe20YHXm/mhnu5HFiubyKcEKUK+DEKVtgcdHVNkItLbrewRDEhBsTIOWqSpcfe vwUrWhvzDnSM8eIfBexlJuqPpdk+dAmIudjTlJ5Lb1SXj3Q3MmOKuL2k7g3gMrwRC5Iw+XL1sXjx 0azNDBbIbEnFxkEtPvao77rcZUGCLF2Ocbu66P390H65qsVdjtqQRDFdxLURuHC6yIgZccGIxl+5 z7k1uS/b5qLzN2c8aN5j0vaDXIHWZbMCq95/Bl5tmumK4IXpVdPatLHJyRSyHYqa5NWXKDobkHXj WVM0zjzxwjkB9EGEqEgDGtsOGoJTBKZDiZt27MhtdJNhCpVQAtnjgoWmp7I2Bz1cToJuf8hRdy9t BFlRCjWfbazh4Vf4j8AnaVGkmAFkAbKhfZplzynq+SuYwdpQDFFJ4mPpSQd3kjVktoQlUDtTvwMa lt9mxJHID5MIhgAuTecxvx2uFDbQYTS4LekmFfxauuCWQULrGrGToHy/QYTIjS4XyqjtYScAZsyn gZZeipxdsDtNnpDARGWmXvbLbpiSuBSixJ8pGV1sQX803CW42q8yROCCdjuZDCa1V8HrOeyaJ45U NV9mgZr/5ow6ICiobBjAblAE35pr9b9V7COK5OBIwVgixilzQoI9K4jseTuYGOoLxmUDPA6R/xtR DCz1tgM7ZrXMmZUfC7JZXrwR7ML9XsbvIGuwVmH4SNVI7RiD1fkkYtLfNLMIO8slx6gvIIQIBCM5 DpIRR/1HeKHPMLxn2MYwIT9Dgltine83kZhcdTDKl/DzrnYLw1AVfiMTVj5ePEhEIMUdTxkPvmHa mdy6P4MiBD9R11g8HZNmvUMwepQKajuiuZsquABJm80u87Wa8yrjtqiEZh8I34NqZkCAmDakGUFN 2nv+/8Vez9uiZSViZwvcK0o0Cd+qrKUDWX0sJ5yRsVOVUuJ0P8EDS4vSnZMuJVyQ9nCy51i/u0li QHxXYqL/mMe3+CDjYZQl5hqDHPVqL8HIr9EfhbwVG6zDiUninLs4OSsawTBFtbUZlg0ih1hh0C4f 4Tk2rEZy6w0+vPNU1gkFVZj7mgnU6pK/NFgOvZhmRr5/aGGkLDvc7NXK8mmC8eZ/MOwoQ1EQS8v2 z+qefmT0BWoz3vZHGAtm3qz7fUIXQrH2yzA1cQqSRINNrpAK1UiD4yC2Z/rzVeIH4BzSsGWZQs8X 6gaFnBmOYcJxrIsBl9EKNtrSC2rRlxStjsqJ84S/5iTXCSMnT5fQroe090DXcZIjtv+w7ssmbTvg TSWMRWutWATioJuCHtMmO3CmTqELUJovLpwG3pZO1p18caDYFX+l3GbA3spK8MXT9jNW6fxqdQlo zoOa8z3iKRxaC4ytfIq7HmWhoPT1JkZalu6q7wJn5h10gi0VxG+aiJbd2c+JSzn3rbd9n1nAn1uk wg9MtSguuqOvBVUdBWK3HeUYE5SR2NV0iEsiXPpMHF+tQf3vkUeqTn98/eWTxFwC0JplZmSOWhJv /yHPXjmuhkhlwKgWC4WYucWh/+i3Ye3wjTHhwU3ChN9PIu4LSXHR01VbgtrVn5R29vs9DCn+YJ/M 6vYcUPcKmgnT5+X/A15YiXqXhJkFzjAmC6gHRzfEMrc9ZNSTMkElFxdg5nQ41jfMr5OWK3Ds9Xxt 6h/IJCXw0EW5WfyUoBqW4Zypa2dWitVIUvo2SwppU1uWBi2GY7n5w8WJpzyeK/k6MQOIxGj+4xt7 ry8EHHobN/Wq6wLacy/eZWDQnC3bVPygdeGRCFBynWde59ctwAYWJ72dXV6w/LsfbuHveQ/FbUEf Nm7izXTOcKnOfFrD1I5aIEqadmLvEsH3vduLFVxf2BHz0loD1ArTSHzl1ZaYt+4OuGvv88VR9LzZ ikH13UVQUblQOjbUPke4V5zvDhT0JQDcxTxs6+deKXYmDYAEOzuKNCQgLKC2Z9BDUlE6yxYzjP7A tDFQje0gWSXNsMuv4zi2XYuReMGDT8HFQqScTXmgbCSGoWQUi6isH/V4Wk/NysiLnetHoZHBJSIH Gu84odQ1QQZSL0dmv3dPqauoTLVi0ELhdaJjiTVFKqvljs06nB9btrcXByDTpu+3LcskPLXr0ym1 IWA38ezSh8eg6O199uUacVPVY6l/lDO9WSbYXLs7xnIw3MF4xo/fSSjz9e2Mmvi4xv8guHkUAlRQ xYuzYyFIB8bSk5Rn6Wdx9O3248nxkkv4+FudUyzPVwlXEKXHnZa0v7Y4YG2ToYRefJnJUtYkBoD7 bsVUaI44FOG9bwsiBPxepTEweTlyrvdstPEa9e7MxkyLAT7HXuM1TimbS0SS9gfZ3FRGOiDk2sGv GF5UlpdD+EduWkGaTJvewDkoPR6bfxp5PPakXDhyCUOKJwGMNCnnzI6xWXzmWeX1OxLhmyExO9eH PI85ugXLBz/GTa/xIxJldo4nyU/+lYAqcXQ1r4X7LrvkXpqyjeIuIXrJIXrp9sriR8a/AqDXqMy0 RGM2Y+zj9hNKtl3rCcARFeM/94UNDgvgR8JxPGgeBJdda6Ak6KwOlWizawXN1YlWVF9Dv0mTZH/N 4bsGMctO631W0WD74iz3vwg7IP5jG7xjre50ZhrJ71b2z3gR+ZuBK0D6f3q3JH8TXlhbEUqvUdx9 Tg7+beM+pWNsGu+rJcooyQt9mSNdXv3CUT/i+We50dtv+G3AeJndpsj5MV9582ivP2SOc7qRXU0I JI/FuzU1bvEW4wRXEn12CWg+aL4xOkD9jkmx8j/RYKeHpYnQndETteyLBE3htmLCwd25LW3IQ62x /ZXBROOGHBdunTNt8/BF656v3M8f+jdvr3nYgsp4sCceMOugoU/lDlhol8GGMmUsIYdrwdc8X0iY EAhFXoKWqGlZ5ZgKnpcm2J4MCsjK8e8YbiiYqeZcIwJzzgNUg/WsOVzLxq4qH8i1DLvrp9qwiNzB x4X/oy2ojHtboSLkRghNgEedWzDaxfNOgW7uDoeWySKxt/YTFLiKtHWEtGxiQ3oQk/P3LDeH7uyn GwVGbV7y9V+Ox7P5y8fxt3GcVFRWRuSw3Cn4VwKCx1sEFldlzEPJUZcK9CCHPlSGdgH8jpdgP7eg od2VHJj37ZYk3DEXeeoh8pxscGCFlLcGp4lACLmxXa8RuibktOBjn8l3nV2C3FsK/0/49pGIiX6s oNj+MhmmS7KsZXcQgeJKbFq4Bgo9F2nvCqkAjA1A/YZlUv2mVTS2U5neaGK8bT4R1S8zurcoWapf Dfw1NoKfSFrr5257hP3LDnqNETriiXW9GussYhwAx4DXXXM3SKO4aIBQXkCavtIr2wRHTfMaLYg3 1p5r6Xssa2Z3kc791Zh7wsTYYdjTwZYofur4YKEPS8lLOH0S0Sl6BisIHS9JrYFu1zNTKBsXOP/d y1nFAstaiYd7wkW7nqYuyJTfzmQ/ove7nWpzp8m8j9XmDp7zoeDxTzlg/LhYmp5xd5B/MFdngPeF 5I6bIBBMwnewxgTPj9MRcDJ3Eoj9HKRcbTP13Z5lRH/zG6wQpv7EGJ5Xd0atzxywphQexmigmDbJ 4vZPugx987tksHq0bHxzeKsV4Ewd+fdciLrUjSvZi3AIYqrvDRMdDxGgPt6j0x5VZgS1n+ud6bG9 Y/ULUQ5SUuSHYOdW/a/peqUx+0qblWJDNfu5ALpLu+Y8XdFQ4+3cyU2sv0+HEkmOuf6PIG9mQwvS PG7kYnNpWb2CUcgbxjBeSxLrhWbu8Vx1jYHlKDRDYnRRpZrhTIO7Bur/I+XayUfBH8mrVaSZal8l ctMLsNR2H4VqCI+83u3aFabtWKuz0yiFdxq0IYxPcTjsNsrx5rgK1PaR6CgqQyKGfbZPlWKnjZyk 3ePShnzPC0qRnNHpvs+Q81VUSOLQuRJS1x4DmZ1R0SfaYGdGTzLfMewEH5a2bexbxDnQ/LSORpPz FWJHhnORFd0NttwOlBPWJ6mnIK9VA8lrvurlx/pv+ipwrfWVe/q1adaHq9cJ+xVy4DWXcry3rx9V WO9/FcuVjDIqBuKO++ViVr1fJQf6SLzR04GXQNp74LAoo/XZ0wwXBwYGZu8jwhNsJMYx9krLFet+ P5KQ5jnubRxeortQnUm+8Z3E4CmrnlKIewG91PAcKgYWD5us1rCdgcFfUGU04SwE45mJfxLG8CZ6 3HdEsPuY8x+Tn/nZwL7aJ0Bp78N0zVEzHfs7Fbe2QiYVEhVZ/CxUduGhvfc1IhgrB1zMIqbo1J0C P0S3XriZcKknNQZp6QV+xA9WKBsdl4/5/UlXbSYYbsXqBLBO+p70pnZuyNrWOqZpB5b2SC2Dn+14 H/KVYPRD/yvrNhmm15k7mh6RsdPnAp0Cjc1YLDLvtBepRdzU5xl8OvZudGECjkvHDj7scwC1zk0B zwTQIqxxF+UyYvSM+e3eJlGl2u+yN9iDKFUjqkpo6OdGBSUwcATL8CY7kizyUCqXfyAIWqDlcs0i Y9arHBsbQ1uf13CcPRvRmP6ETFt85/5I6gWH5aDKfe1NZrAkhp6R8P8RRD1zt9dNRItPpwvgm0k3 vlvV5uTXWUG8tSN9CBoeE+lRARkOooAWru2R8dTESF0j/rvI72tmjE6WaNxin7OOio2jWNtQsdFx ZQdyd0balQ6UL9Zkn782CufXO1pzsrjAl4Fdn8ipzVYjSLmyoqp82fVxhkb444Me3jo9iI3Fqrar XsJAOvx+ql5srCUrNnobWBTChraGophgkpaTYzlVoOKS2viwM/bDQldUUj+nlREl30D08VtPhW/H QKdVQ106ufFcnSXYbnLxmG4KpAFW6fvcyhHe2G6dr7+h7SUPRct2eYBwLfDfT+vuPYH7aaaADrh+ VzfPWoG35NcQmbnhLLuXb1Io7fm6aYN/UN2+0F0DZWeNRkcBY+o/AxZZwRmFBmalCGIkZf0j4Dv1 mHrtdn76S7mfyuJLnvA6wQZOc6NwlqZDgSPJ+3Xz+RjY8u4BJEPUKRDCugVh335/ZBYiaZvmR86p EalsqrWEUJeIKUBv1kotEIiWFR8F9WQ4OdVgRcMs+4ljmHb8hOvKsXu0wsg5PaoMaNyMNf4pHa4N a49pnaWLWAxwrlNw1qnFl6YGL5/Y889i4/Mlp2bfEd1xi9W+dtKpKIKc5gJYUy+f+lWkqWsHMdiu 5S7dXdCxwQhZyVMvoN8rtfVBrKqiJl2DeRqqZ7urj1QVOI3IS6SVy7E5rSnYohzsMSmUkzttECdA LNLeSB0TNf9ieRsommbMXD81FSFdUmCdmWlu4m+J1o02uKLGNvZq9EF386bHPR3c4e1vQCm9iVTu emo632Cjf1njKZQCSYsJQOokrrfpsVWHMHGB60EZKANsqjHXqMmYFcAJ9WmWttji2C57+ncY1HGu EQhV0e+PajmiVEiAoq7yLxGVaG9FLddB0YA+dWRbCtF8GZ0IMACrXveIUnlaVF9QAisCchfrxVhm D1RSsdwkGH14Q2uNTNIIRA3pR88Qpumk2Z2/PddEy3Al2Mk9Lm76t9Oyb7R/zvR67BURZxe+mnlb pvrNrHFqATKBqrqraoAyF5JHC5U+UIntSAtx4mkT3cEL85ZXn1ImT0T8tnwwUiAeoueiuOwmYoQf lzxtq1yiRdlmg326L7dG5gT/KktV56B7VqQtn2YDYbbyqDMJNYXVF+UZaE1Dh4eGsC0i5FGhKJJx YvjGonMeBJwtTNnXx0e4w8iXQ8y3I4ICMz1k64eijz3fhGhyzV2PZx0BbKd+B/pLtmYbeSMQ/uMb ZSjER03hoKr9uKGhFqR0Qdv+HTD2QJ2CxYTHOqQVz0fM2itwugMU1xqBM9sOxqIJs9DHhDo6Cnqv N0cFCPkc0bntHxBU3roFYP/JrU+cUSbFDBpK0jRB6L2uc56olZYj4gV2rD2Y+jssMMryJJGd8z6Y qWeRcWmWw0U0lp08dF3FZ3ZRPyeI/7REX5fhzX6KlQBxywd+W+rPV8mjsE6yHUyVOGGqf4h91bzA vTcuNtJKfaCd0fIn1MnbYFWgDFia75KkxHsQw8r363KtOaXeO6rlcnrrnFza13cubmbEmCo9K56p DtOAC0grFW3WR3m3xVx2KGEY+zF8PDuPV5zrkvvlx+Qq/wtswFUzteeXb/C9QgYmDL8jHEZRDBOq s6s7dFt/2VF2/WckeVjXCmXjPf9jI9f7HO0PfCbSzDJ9LaBHHDJvQL5mX/n2ojlLJp2GKCVRG6hs C6/Sy1l3bYirVDM9XOqUu+x7dSdwJ/IZ+oADK86BLoOsNVYl2u6REJGZeGr1gb70o+X6sp5rnyU6 xqO5iqGC1FDyrJ4v9aTpVx4XXfB+IXx4fGRESiodfzXOAyA2leQ4uq9DS2N3tSr4GxY7c19EcPNF gb+zF2XdvW37gnasZaEbc751XTebPIYKLrYdAV77EF+vW0V2qYMLoalrgTOIYhzDJW73VNcm+wxL +x9VNK0Ai/8uawOdc6e8VV6w+laIvs7ui4Reg+WzC0D2QAaeClPJBtw2k8ZRNj5ssn4lAbnbTf3K D94k2yt/1MxtSRfYCwqVxDnK1nqRSDqxwUE75jBKOepcUkFa3u4NJrQi3m2nLhQp1bRgsaoG/UFW F7OoKyKH9Ux6zUi5GokcTgO30n+pRclpk3OSLuqpp8V9LDzl98o4wkvD9aOwOhDCzsIfqE0SSUai 5AO8eYkWHmwxEDilMtpxNdHyz3AGx1Wb6/97DY/6DJjc2Qu9ir1zYuypzdPcfx8U7OGbzDbqVMXd 6e9bvaWdpiydxEG4nreyDknXpzE5plgH1OZ8MobmWo/PKwr95DgYX+wx9Vi2PchhqXoOeMY9pxHi K23t6D4rwXkBYHUvsAxNDx8ngjHJXLh7UKNlMBv7PNipy+9nwz/Ol5rzfMN3TfUDwCcekAXKSFeJ lWJPjtdVPhqoqA3yC5N3ZBdcJ9GNQi5MQFxHfS7mMrS4xCopgeICIFJHqw/7rMq2ueRLomcoh7ji z/6VbG4S6fshAytN0A6bYD4AZeu+E6uvrpO9FiodchfTmXfLf0IlUmv2gpFFRRh/idY56gjRq8vA QuLx8WprTlu9/CLX9/a/nPYM4hnGHI8ID/E1MFeTL7b3wZU2F/J91wzdUEtzkX1NhDED15wYurk9 uKIOgRJKern77UOMs1KtcKRyueK4Woh6FyAAed4uHhvwL2J8LhfJ1UCiwAQjQee3Ghvy7hHCZmKb IXGxgy43PoWvRdy/BPjVc5mGw1BDHubXVP2Cns7i0PBAZbrjQlvfE4UlFIxpoch48gVdr/MNnUDV 6RgXIwoyAIRdjN7hEvWIWZsFNBB8eeXyzvJ3jZIuz6KegRcgowPdHXLlBUm7mhPIbhYzdiDy6SmS 8dL4Hf7lShXfiN+nAehZDeM7aULnx/4cQ0LxQXfiaIBiv3wD3R0yGJubkVqGKgNj5kKzMH+qFJ17 t+r97fxLKL3BmmEu13SyUhAhcWxBmAb1/5AIWqtLQ6sYF5Pji9vHiB6249D8gy1C86QLO7KmhBFk WwNT5Lge8UXl574j6uSld/G31maxHhNqerak7H8m6ZnWFddvetQp5akvlFnRktBZ9hFDOFuHYRQQ 18Pv85NOmV0Jk+aJ6LLvdy+yN0jNUlYcmQTGWT2rYhM+dM6K8tTHptxRu4BKl9hWk8GJlLU3aJ6x wh+qQvf3iQtH5SzihDVUUu4RaK/M9wa/3QVK+SOewBvazR0u19kQULEFQjY5GSEdqOZDo7uJt/HV qw2sHquGk3XZiyPOem8ttwj8UQq/GS8noxzg43qiDJzRknfB3++ygryAj1Hq8cGzihB4ZDrLfTIH dzG4LYQCCO10FzM/MFolzgu/lP4NZV9osalJoKj+ia+GE4lF2VXFfGU8Qmue8Er/F4pFBGtR7sgE WYIQRckgZfdXkZMKjsIkCuy+4mWmc6lCI5q6xm3QmioGftJQu/xyzA1+zILr/fUfJvcqwbKjiIXO Jx1mZE46+Zf4xdzzMGE5tT+u0KJK2zWNkS91WDoxvKnxoCqfPI0P+D+Di+hE9KA1bilI96nj7K6R ZiNYxKKekDmDxDO2a10jISU+zewsBLrE2yp1mg6ry9GeZn2vJIs4xsJ+QV8JZhTCxOF+37O7aXtq Br2r+1CN72CLWKB2LnQ9z2fDlo5XoANUX8oG6wvBiVoYwhP90dOYCP8Eo6MeILnRUfi261Z5mRBj v17wgqmehrWX5eUCU/RaC7PJXqXZ17I3UD69PcWyVBKeoju2fb+/l+WvUlwDba5KDIrFgfbqEXdC nlM7VYeAHnW2fZYFtp19WpvjLz1fIJZcZf4JaMLgGmaspRl3U2/yH63gVTsGzTKX6UXFKrot6FBL I0xWpBMwfrfx+WkgUDGnht5B8lQQL7Elq7/s6KjatvxRofwrjt1E9xULuAVbxsCX99IPajC6kh3F CSk2kME3a21nXChcfjLMxIVuKzRgv5QlTRfEdhAv6bOWRj8/yFgE/5MLo4UuE1KJm0/jIUcE9eIb FJ/fm50CKtYJuu+tNAqwzSYh82/1LKjRjCl200x+v/tXfu/ZmxDNm2o2Ju2MVUWC8dY/P+QY8YBl I+lS55BY5AnRZjeH70kgWiTVkknWGW/Gl9JRUxCQWx2pR/r75/WLoVbGhsBKIpU+I1xjItyxx+At tqR1Uo+xceCGafjLpQY4TXQVkwQZPKjm+GUV6z76sOZ7unqIF16ZjeAOqRXW/1Kl6R+PW32hU2ai OotpOE1c4hSDKvV0qwtzU364cEGsJ4iWkWqb4Z0TixNU/EKJiETfXjNshgOasKyPGiWtToBgjwOs /eON0F4TNHNWrGihBeqlkHoERJ7l9zSsgTMGrGQtcBsSkPUQnzOFoMUsy5UkY0Ojlx7jAZc71OM1 LJyNBfEXwIt3M/37+PRr7JjABphNO+9KKp/+1tdmsGlK9pgSNM2hjVEIzThhQ2X3cZlCP7h8NnxK xi7bQLqULSa44r1YdNyjbBrXYQpz1DRvxiTR0IR4yiQN/HwZu1P/uFC/dLCdkLhMASWCM1v/Dge+ rd1E5voz6W0CtK0eT1ekno8tTG67/1AAICTFqwWefhHy81v1sv6jOg863BabevSlwv+XtFlS186A f594hff5ZbtLSZvkm7EmdGlXaIjNi/S0teSshlFk5zHzR2p6Refa+UQhG4WOuCDEQZeXGm4umaW8 lKZ1UJglajjo4O9J1q++aIArIwspDQlXpr+7d+sp+LQdWUrVR2GusO2HFJ1icdIOa/ObE2W5TSiy j8PwDRKhXCJf36kBEV1cedm+EJDzjFsxjMziVAB4ULWcZE69blUWn6I1TBxo1RGYeIq+BJMyVvTk 6+9jUFT0XbwgXf8VS6AWcxOL5VI7hRjPnO76i080MpMx1G2LTymSLZLgIEAFmI23F4f2Mu2icAuP il9FLM0y5iRY/i1mfusYjsZZvFcErOXktkWJX+EbnwE0KxxLKxYdWtdz6rjJly5oKkpqiulrLJBt 78NlBT+jZY21ivNonGbGspJcvWnA7jTERi+zUeniReXtEcD+I2uT6Ciuzx1eciCMzL0JEE1OtiyU 4+O1+IW76chnZ//VHncEmPnbnfkd0FgqyaulYYIcLEeh+IPuyM0EJ2N8Ff4de+TY7M2XpEaa/1jn eOQ23oRlKW5A0kQWjUx9SUfn0AZ7CKIahJ7a61Y/v+UOjsxH3ckazgxjQ3kUcb+XA7DBZqcxnntz y8p48CzlTcrTV4WEgm1oqOyEOC+QOyruZrasPEnbu+MJ7/lvirXmpz54k1X7zF3XZvSrIBinANK9 CQsjZm5IBMfnTndNSmGLmjUXj0gWRZPDntM4/qQf4jN8VnD9FTx0i7n5jk9M1xUIUIhAFEXrtMGk IYXo3k6y8v8ayh8Lr6JADp44bxzgHIwOm6fmiazopuoXxIXWBdT6UoACLKt22zf55aAHhzNX9DfB WttkgTAWf8htIhKxgtUycwg+X1wLKbGXBtDsYYF4DE4xpOHeD2tLLe3m16+rQt4HOQ5NUR7S9Ooy 4x2iTnuVWt1kLNjTqfJg5fR/B4gOZhHTX8++YnuX+Ih/lGBX18U/kvBiUX2ce5X615utKsWvx3+X sRDVwd4B6YTIksNgJs7BsQiUWO04d/Brudg6bzD2Tr7UF4lmKA92wcw6z4VmaaC5F8WYFH/0YOhz 3Qox5ji07zGr9/2TSSIgrat6UmHnbhOFv8Q+Glp4Se3VYE2eHbBdhSCkB2h5G1Vav3fsOQPSOddp +tTTZyYvYx43suw/I7nsv15sOMm8jlJO/JePqRfwzbWuxSFubhwF1RFRukpmHFg4lUKU21w+2EVS KskueBYibT0YrgmHcCTFDxwUVzMQ5TOUFnEpxnG8PcZJn7LI9rJi25vCSJve7lMm4q3NVY/hgeYA MmeE8pr5JAE9XqbLbQIsjoUJqIRlffnE2wWDQ09JL/FgiQG261+KtdSYhI1aY6XCTYTTxeCmQyEq /3OfAgg2WzU34X2UISiXATxTvyX6VW2+tiG0BhIHqtc+KgL9kKrNV0oSZqL0jPMawtLth6ilET7W JdjFZYMOE6v7jJ8G/41V+Z9oo+wy3c8kyYrv+5REL/1IQYXB3MVjLdAs/vrl1DTk3gE1cClubTx2 0yETYYNoAXMX/kixg7WnEd+MlofBbar4Lc+AUuggbBaTd8mcqLMEBkRuPMWboO7ftnDCl5xpnRwt 5AzalHfixaGad5iCoaLcI99vSBzMs40Lqlag6n85xR8dzIM7fTKqUPAYmC5GhsP7z8dDY1F0rEp5 YkRwUOZNvAxAvr/uOR8DRzhOhZSq+XnK0wSlz9X8MH9A3nrRIzVZCcyEUk7nMCgxM2/1s5HoSfLw akvVhGRV0HJZ31dcR/47Go2BaCqoaY2HvrPVXbMIarkqmuxbNkUfxEpe8h7J7vQgFrT3oKmK5y7k EP0C1Sfd28/Yb/vL2YOBhgc2qekCq6EAsy8UjRuJzF/ps9iJUXhWeE7zZpctn+GRdnkt4Dlt8eug jNcIjAH4oDHAOAK4AkQ+cY5Oqy09zcyHFh7NBrJjIjHF6mqukV19BO+rDv9OmPz5JH8JHFXzqItu 60kQnXlHe7ig6dS/Fv5txyMXJZ5sDw+AlkIfSl9EdjpTIGZaFXUxq4HQnBbFei6lTa6V+lID2Yh7 YBKJ6xKhkqlUhzmbb2u7MVf+aoPfhSI59ATigs12DLUIXMqwhvgqHQybZJfYilEuLgCfzFsUKW7C j8vuWp243g3JIiFdyPt09+F0W1Dqh9Zh8KnJ6DbltD7nsyd6g50+wnrzQhaRnzFsgKOLpkivYpP5 AsTydZnbj0jPp7yxKWN9QEB66whkAZBmu6AOCtB3Ta1PqaukZzXsID4JHzlYDar29Zq9+vPgA6bp O8QcIdSVy0RGL8H+UHJ2pE9RlxA0T9BtWczryDxc6XwPhGsGCzBqPKNARkvK5eVnK5F6Y0oe3/k3 h62k2euHDTVLT7fAlf/P7oZgE4WwkiMKp6Yje5HdlQaR4K9MAbV4UslZPHWkrQ0NrbUFj60VMLbL 2zqJunP71fMJGO28R/MSJP+FsJnNeobbEnTvvIrqKSsEjSQy2oTr5EKc1tAn5caodpr9BqYdqOJs wIxorI4sMjDSYnIJ0+WakvVpPx8UVY+hNXAmmbThpcAvxo/f1lcJWuTokC5pR8S6U6oOfc9iPJti xC9HYAQoMgQK3JXHgTcVP9dxsdUwvnLaRTu3RkwJzgWZQVWpYgL7bGnDoGVVKQJpeQRFy7LjTLGM ZPovqmm1Llzy1dAU9WpZY+JkycpzWHIBu89BH7gmDTZrIxrhv8cho3hvDsHzcnGJUV8Ii5O6lU/7 PoIKXnqZU8NIv5Hvr299CsrxCjhgHQXvgLzucU0+Hf4dYJVL5qPH4AxxCg2bF9eu5Z1AZ8lrENPx vxuB8pmabI4x/LwQpYTPo1UKRtZgpRns9NSzb33UaTGj6J4paXtZIHzT2KkGq/8A5TBPVnvq5t1S +ko5+66pz6ma+pOXIfi4mN02QZhyXCFBlbuW2t3Ta5rLl7SWu8O945YH21KoQIQGksrCzLyNJutP nqGv1OVDqnGqgHE1cwrUNHznmQEvDFn8YVN+6f6KlXpbQ0UgGxjH0HvkFGAr6oxV3k/q4dUsDerT Apy3DyH8TNMuS6rJmK6182gdi7WyFsD2nOi1bDcDdSmXmMGzcaOkVVYzcsQjUBxVmRIuJfOnNHNM 8KQbmDey5/B20s6Dk80Bm+C4HglplcP0/KUppzuWQjNAUjPk1VFALwe2nyQsCjZpBFh5hy1zePfx QN6DLQrYJUMOyX2b7ZEjZLu9l1LjfGfMIfzDmTQNaVjk8OtLtw2iHMR1JO1N/+dGd1vnCSj9ueCG ppLYQm2fEKMaM5kgSVMvjw3ygJIxnsAl69VvvbndiOko5Q34o00ZGRMkxP5r+y9R0l29Jm8DyJIw d3Rfh1BmCHltIFXeKa+2xFDTQV43x21js20+8uEB8ez2wdyuqTknOvo4xxx8+x7eGVm+XG+Osz+m j5GmihNXLf3dn6eANroERj7LTBA1cDgpxOHXcx4tTwWcpw9VeHzmMiRmfDQTzMjJdejQDYKtEUOQ 7KTPsn5h6y79XSfwjfg8VsbIYT0TzGP2rKbX3horMh5kB1tvbO8Gg4rt4QCL0uoulEDSeT+LycyF 8wsJ8ulJz7xAPpUM/1xwqxDBOismTjJ3nFyODW9bKQ3RmD8Gpx5uo8XtuH8mzpVmhzM0opY1ml3u 1uYH+6wsTJwXDbZd8Mqugzj4Op6MqALoWdkQV5xXA0Rki+zLIelp2b7B/4FcMyG5Wqs15Kof9Usg yR3oodK5drTPBcp/h+t6lA+0U4vNQuTbb0+sBsgz90Mui900cS4cN5k/5jUn+Ls1ygCsf4mWa8fk IEIOFoPVtTQb//gHiK2KYkpYghs/VN0gw8wNYvgFZzJNiupr8qti/dwW029cy4cKuUdrwiJWuvHY 5y/IN4pHUSYI/Ypfl0fgORS7Ab0g1oI8zjmXObzhrwG29Uth90ocyj88w3UdRqDTvSBKdQnjyAR+ 8c4FUov6OlJiyjg0us/pcIz5OzcA2Sd85l53Ble/z0g6b2dKMviXCP8FqAMWkVfM+S4YPU5Vys1H tuswuJEuPmM9ip0VjJd0xijSNbQeAemxbUBL0kfQHZX117oHIp+obRybHQU7o0h0Jls7Agoj4C4B nuKMv/gryPIlTWyu2HWobl89EylkIrI0+z7cx7XXs1uWXINo9l0CX0BEnZx4iuYEYaSA44LI6pwy blMu2v7Aw2I7IkeoO7RnD2j6dpXPpF9V4C37/ccotxofBfAOxvD2k0ztMtDxtXA5GJG57fmhCxa3 4TN3E965D6uq+U5deOjXB1jkC6lXvGEgjcyUy6KaA8AvmHc85xCTM9u4TcOTcz8cnlSHQ8AL+75j x0r7yAyjkAaVRG6R/MTkeHzm0bSVzwmo8GOx75C9i6kIZLbLRoAAtM7aZ+qNDaDZNy3u2wSCDnvD xtVi9iJmZgmR8f0Nw7kT3FjZEBxs7ZEM2b1lBjj94T8c/UIIemNSUaXCYKhqA5SGW32AxwArK+yL FcVNr473r5jdyc0bAPblR0rRV3BbqWwn/SaH+qujObMcxY1qevCkINUKP8nwjVzZEvrzCRRTnIIt 2SwULMQewoLxUUuudKEkD+dOpk4Wr9iTHeGqJoe5ban/60InL45gpNU2wcH9m3ShYp0S/X8ngrT7 sbtFDK1j73mva+s6hNmcX/Wn02abby+w1DSvHJyEr32lNA8eqT22LlnNa6eLTxBVbxQNOaqRNQXc e1KcADUgaMqyr/G5+UF5rfH6A1oe2Hf8iCmNFWv5ZtYR6l5mV6pNn5hPB8vfkVNIGMF1mPe0/VOl 9Tq9Pc12qwRQuurfCS3XYjZInfiKnO0ZdO//VmGfVuIg/+HMz+qtRc/ZcXx4BjwSkWVSIks1rl7A jATZZmBE5Cn9ZuZC4tSW8gKVzCCn6qQ+5ycfJ8JUt20pzH5PXJqk9qD1vOp+8qoeDt4pkk6/il+f qqYGBrBdAJluV0+o5YeYfJdmTxqWnUOX8jcoYO2Tnb5a5Pp+FO4I/zYnfu3sddJjLyPXQQUJyoRE B/tLh2lLdccXqWKCI3vaItW77cyvNRj7mUO71XpuZNIBhLU0wnKQ8fVvA+3H8jm2ki3iWlUEuKRO IijjFSjtiJuxYrrEXKKzl6f1SNmFw1sQUTcCN6Y+LWp0/XututUJLSlMYrp7s99jUEUjS1Zqz9mE 2VT7+Zdj0rXZdZ+/cnKLBSYqG2+EIGaq/hZBoWhB42Rx3QzJtkCSQqv6Bqg8OiICdruWoJSwsorc vJbfWT5+xBezdrDrxJiMTTwEEeAMTIUK3w6PAW09o+3IayZPFeT+6+bwloCktXNIe+7G8+DCf3zx lHuKVCe2YAqcHgL12lLI6J1cx8xi/j+B/Ynw7X0CQqgeHKwnS/qjf94npePwfocJ7dWXmbX/s6pa 02B6k3p6yN1hMWjnodyEtK7QphhaBG/gxocJ+VCl0OwoFtRCPZ4AzTxcX+CBmwgqnXvMucRAmPD+ 95UbWOjHC9kXUjbPKnPuhh0MbuIwPX8cVd/dC66DOmqwmPv+/n4Yd2T76D1B4CpNHYi15PztOCKh 5S16EBcDnfjA0jX/aqNW284vyPrHy7NdF2OY9Kc5veJvIOFW4oNMRsi+5pweRfcrVo025k9QoNEB yDQcDX1MpCSi6g0Y7j7ZeSwo/kU+/0JFq4XgjVg1juqnml22uMv+04EWJ7bPFY05FuManTwe7GRf uHjOLgGpzrVIhLKUULK5KyuZVlA7NzCgZrp6BbdzZEUO/BCeSbq4zU1n0FOTorSurAETARoki3F2 qV0m26xcKqA7QHrPExFNsSuSxR/VH6QcuXr7dpoMrVXNvY77QuC8r7X4hzysPF5KjgW28YE3DUjb NFfdZVMzp7QX6Zrc+HwguYuznSu7Y+xbdDdxmoHVqmnO34lwRHkqiXYrkjLd69OgzWenlkQk6a0F Rti5mzLU2IW16OA8OpRtCLhmQRzRlufLwUDbAzXgkVispXCcyXQBXtFu4paGFUyMPBh+Hz6hH0nj sL8A0Xj2A9Kp0ndpZNY8KqQtPws6FE86+YanEEu1MHpEPQREyNQSfcxtqnGC27xBUzU9YOKyDIRl EHWL6ITvk5EF7BZRRWMgb2TFhkUMGgAKx3C24r/iMXh8xCwJhANMyGZh/7hb0uIH7L0+6Ddumdrx EdEq4WOU30exoS28UvmCDvh31yDgZnvAsCkp/vwuyo5ccmhM1qDD/nTwue82pqa6+SxHVcOXjJql /MXTXCMJ0mX9dGTtWZSPmAFTvhyBpaU19TNWh2HimH/7veP6FG5+8YVBHrjJihZvEmIwZqLuWqef YadRjFR598NUsXVXzIgKb6dWbOQoiMmI9R7wCCVP7GDPeNZX+cH7eYUeUqEzMOJrE1Sv/074DbGV NaVTlEDXwLLLzpds43flW7yEYznx/ofN8WkHfTWT/8bOwDdLA5F21LQgXK2Rpf8s7Z0dwOwzqpMO 5nbznQXaOEXvL1P8hrlgEzvANsN9mqN5IPry69G4MRec9NOqr00/bn0c6hCCDgMhRv1khVQEaSY5 pe6BYfyh4EOTDlsj/w5xCJstvZxsRfibKhr7TMoyO/aAPWqbHeIEpMqSLNTMavlZQvWkXLE+1Hi8 dIN9r3oMlyZXkDLUco7D+jO6f+ou5tBMvDFRTcHn5e0nWK5HubxyVFfAZOgz42ZgnFnDyUZVnvhA sT+X7d7Xjz1ZxWeoPIzS5c86ZXC/C+CybdVw1D6qN7ROcwJf55tXbDoTL9Hh5PTLNBcbAngEEKVo pD7+vC80lprp/cxny5Wxd2gczkJQk+KuN7aop+aPghf7R4j0CipNCdMlmeT5V9WomfD7/x1kvtAy EWtZc52skZ/g7cTZgTty4wOw0fr4k/Sr3ilGswi5islgLUMmEZmW9MmIJsGAB7gw6mRxUNwyyEtR 0HYmnfdvTrJ9VRdE8HOM+x/coTPKH8d9h5tcrUOpDmyceZ3ho20L0B9bFGxMFYUQFf97binafNd4 lRvkYlau38ldK/Uuwe2P9HuJI+ZLO75iNR27YcWu/dqLCk554NvgsyAo0YdzCZI1H3TtseaGANBP cDbQ31FL3IT8PNuR6vYfkUKVzRDST1NIhN2GZlnX50YuhS86+Sx3Ynmaq9OaHWfwiWjlbF61AnKe eesK5MObDHsaM0Q4rVjK4ROmXSX12RywkmSy9CTg0GYCJk02pltAC6eNCARbeLozvDO0zS8l1/GN nWEQO5mQnziU8aeu7VeQYYxizasZMmxpmjBlHiOLtEVMzrr1+Hz5Vtd+bsViBwYPumIcdLa9eUGv oVSnu9KoKAGayANrJ1iCm/riLhptRLQxKZLNjgr4JLxPolRfUGXMxzQmYCSTulSBFquXY39MWwNz a6HqAp9K13Lb9GqdlKabdw7UjxQKWY1XoL68oYvxPQLNqX/2716YAsKnX+a7kZkduocWGGYiOZ1L dbDEhlURJg/gTjHvHf3WlpIfldtUxDNgo8z0lSIiUXnzh6UMRnIfsLbjvujKspLwH3eQFiyo0LoF HX0NZg6/AWTnGx0QdZqvfmQeJZxRqpZgrHS1ovPDivlhyLap8Bf/eGRLlD/TH2une7DVmrwnzu+S mGWp8PGZ5V3jRNdzMBNrWnl4hwZ18mHAM5bWkpNMBpg8O9uXz4gUkNmNfmFFFGWcHjvu1TlGKvvU XoiRcLi/HnE3usK9g5WuoziwwXplpsgMU584ZrNj+CmFtPDt9g3K4bu2JxUoFKQT76nAJt66VtAS d+0e6cZfgoonfEwqzZ7EBSf4XV8mWkcQKxOuncDURRe3f+0hmThuVLagepJtse/K36JkHefPBR18 Ves3SKTaM6imF63/iULeogz4hECeVgYPWJIkxxiapd4dVxUltqvLoQ2zWcGEqfzI1jR0RdF3xcJj wbFV/QnW9xG5ikvOGVEzPYOejrYKhdaBLJv7vPL+XkATD1+Iny3B7M6ufXPKyHkLYftCO+AQE10c 2IynCxRdih+52Gdz/+vF26gkCl/Tqcblt8USMf9kzAyWhxMDjsCA2J7zJEg7y8hCs25cozqUSz3t Z+mVb+OauTGqQvOX46AMLQR3TlnDC4YujQJ4c5kEpfr0MlN9bNw//rU1pQzjaoUGgGoPoTpnFG4R xzpK93KTwO4RGlkCT1o5zDjW0APgRFtV8RVI8Jz+35Tpa4QIu/jlCNzXAQ0pDTmI7nR1F0nqUvRk YiyRc4gAYjQ9S3oehCNgwjjI9ALxOJYHEi0d93zbZ4NG8iFLrCLI7EQqA5Gnsx4JSQ+UCZ0lCtNt 0jK+qjPRP3NdfsJnMzMlzXuMDhH7sWEo5fOxZYTMreQmr+Z+wGzWOmRqAAbVM5uYyGepVul8I0OD TE9tvR/djQiEnRp3JeG1a8Md4rbL5euLbLigItgWDI7CXUO+3okVoWmDzDDmoIlAuC0LUELTKqN8 iMf/tjuaagg3sU3nA5j3XMtesxcXFaXozz806tDR12+9/Azc/B8cfQPQoSXoZH81zhqoCv7+R4sZ K5rGU+QYlkR0E9vomSJdnSXsZa9tO9RV/paSIVUus85cbQ5rZaiyFdJu43Or2BWgLb2TfwW1pvBW cGCfB16rDb0f3u3G0Ai6Gq3lzA4/CnQVwAmA47oGgTYE/1yYY8cePWwrTaULh8NsnUR7n3NuRmvw bmf4WupwuTmtToMXZdCXpkJrAwiYnR4yrR0zZro5e5q+hGN4I7B6nYnAeuQ080N8gLEt4ZiHk3K/ cUymvsYYA9XVxwW5uVsa9tAPCA4wcRR395Q6Ijtmmj9qNnt4pCpR1CtNaNwcGsB/mg366LZATAv0 DQv4FFSc7iEZ2bagCbob1U8ic7LzPR7KakUgnnGqPHm5i9emQSZDn4H23iUMcsWnwhZTwwBpuxrg AFLl2WfZYj5UPKepmq7/AfeAP1h/o8iWSy3kjYUjFA8dvJ4LCo/Hc+ULa5o8l/PLoiXRjERh9rzJ jXEHRv6wPEmqbXXcCW7UcY61H29e5RdKpU+wQ+yeyNAgekKes7Q7a7s7Upl/efd5p8dBk0pXSYOY XZNkSczXQxj/l3ga32gnlN/RX9FCvl69dP82Rdd3LrrmUu4NVJii7nZpacmMwheVAGCEMIaqpLSX AmmnvRgu+hHnxwWxrXdh1cwKkbSni7ojZUYMnB7HurzgjUxsSqGFW/170As9oy/UxtK+F3Ftle4z z/ifOWDaNhbJf9pi/NIyKS4jHwukq9ZwMjUmptnC3zMjEOUsrdif7cTBYnz9WwuNVdwwsawc0Bl0 5OeYG+yp3HRxeN5jiiryKLy45C+bh4ER+RcHBk6rUA2uq2bkApWfi7AaS6P4Rambt5poJbQKPl2K j9mLUiWaFEfGoVj3OAvWGwc5JxDsTPiM9OLYrEzqrK4dISL7pl6QJt07BGC/lLTh/FcoWjsyNiHj 9Xd8SP+bDywXNxaYd2c2sLbLds/OezWVmgD1QNsDKvc5v2tzIq2uNoSVZCHZ4oW+PQ6IH8t2NkUs vVJOVvPYN0zTkuNWK5KbjyK+PtezbjCbwN909Wy4ygSckmS9TXlTagLe+rOjbZ+COf4841kCGMeA l31hnQ6fPPvqwymem8H66fKSSA7MHADXLkrld4QpzdLjEAhbsh8r/cIOQ9Sg1VgHfILkcSXNPFw8 F9mTXtpti/9Xx7TtqtHZoLpOrHSJ2SNSct9LvUuy3nPTKEUkpD9CmhkDtylChUHVPAavRhUCKOwr bbF32S2BHV6cvH7rV/HP++oBXPwcnxcYKAlEOAc8+6Gzx93wHIqMU5LSGPnQnoeObNnAVSQcdEPL wYyY2FwUw8RqMexW47pjhaV9xnqI8N4yt17+d6oIie/lBP9yh6XNfS6H8KEAVcJaLXaOaz+kcicN b9YHQOI4pJ7QJTV2NgkAbmuASWQuTfHB8/R5xfG22giz+eTXvxGiDt+VOKklk+mVBcGLkwcbQ3/5 HxzqwYVCI6tmvQfbAbU42Obl+vlOyG7y7Sp0XMt8BoJ+HN6OnMwF9/0A3qO4PuQ/EtIQ64C7lBIg NMQtlERbplvzgShFPWw/qkXqscbNBfaLYQJVJFtvmJrVatF7X1GNhKo2yzKg4bkyx+itxViYUX0k nGZlBdlfe871xKAoKksjqHsz1cnLSo4UhyeOaFJgbIP6eQJY/VqUV4lfS7V5x9rEDDxhDxO8p6OF DamOlEIRLJdkYztNX5zKzvgM+xDt+jpeIIlgPr5+ePCJ1bRjLdUewYoPlqYV6dlwCd2eoNu1gWe9 q3FI/LRmvbRVKHssz/OQRt4yb58/fHoPdJKaac2ptL0XX28e4qsPB3TlBNhkLCaCb4w2O5P8pKAK V7dCoTyGpbpSQerZLaiE6Q0t/3YW0Jhq0qDwvYkhr5KJCaKhSKB4CJnw49whuMiFMGz7zfStZQC4 QrqyAGHDBMvYIKTnGncBQ8+8zmSUNI/p08nR6Uk3cgeqCVjpkMmwx3sBIavJqpCZAVDPWx5/QXnM /rnn8cbjieYI+OuVq6Ix+SvNQqUf12lLY953PYIulgRZicvhAV0XvE7g/Ig4qPdnTbE0YoEAW6+y LLCEiwjdiHpD+t0diu9sH0nsWs68rIP8agN1ndUreFLcvYdTm4KiN0a6+5pM7+Ll3elrIh6AKJyr heTcr9EiMZm5tCBVr/0yz1edkWSluqGZpS0QK5sMbHWEq2JaCr1nwwyAqBIFywkr7uiGdGCt672X xOv282v1kA8xQA+I8dHeYDxSNZLGA1lMUDWoQOaXWncK8YM4U8EKJw6TyVx8egQ6v5nq+llulldj wofbtOBnzH9xluPPeu3QnvY8cxhEAn/hMec88xLt/fyePwHUUJNj1SkmKaEwP/DmVUGlIJ4wolH+ /sYXE7kbw8vkPZn24cAlow79npjT75pjl8LfZHAEE7+IY42/9NYLlgNljvZYaVSGws00ukpqq/gD kHq1yMb6Msy/RIyTwzxRmbsDNjegEIwlZYghN1o6Tt3bwkqKDHByb8CVfKvfTtxFZ2v/x7ng4799 pRfP+2FtJIqEFn7K03b0tJg7Dv2T+tacvm0VqicaG44/iPkcFpwz4rM6SPX/6kUQd7k2UW0VFopx y+rs+eaHx48hSEP30mB6tzejBZ/yGG88s4FXsvmSNzYezK9NisBUISHKMFqmgojMGBrjRpSqUsjZ Fyyl1wUojHLumPdPj/1YDQz5E0WwTS9/hZpDaNWY/yvs3RUEbq3cOqMdPtEY1Ff17+b4M5rSOlPf 4SdPX9laDVDZf3BNUwzklXc+HKW6kQevqNwNjbqzs/6XQ7gslZoKLzH5zjmCwpGZYcTE1lye+6tI YbPmncUbKvntQS8yYG9xYtHjZI9yd15PvFtp+c7lYcsRuMVnWhFPwyV7hquqI0kkEu8vjNuDEOVC 2Vt9DCU1dhmWthaUsxypGR4Wef+eRtaPpcw3WPzO1kFyw9accr8dQgJ6JB0Pa+C29bUevYlbhyqV 2WuCmDRNkSrYhKrH/KKwInBeNlt/mnaalkTLP8MmiV6Db/hG8vmW60o83lGS0LvMqrgOPogtzdcQ qCoFKWLl48A3lLHGi2lfZvlxavYwAxHuCQYKWUT0/dF/R6Ziz+mg5TsaTJihX80L4A1kHliiZ5sQ rxl52wtLTevi1lrJ6z0tcc3oNo2hfbZT6BC6w6bfBBU4OIClJwlB/Qs98r1jxdCoeIM1BhcScM8E i+KwFUn4Vn7K2Td5STyA05EUAz4KQBYcQChmChgB9gNsFezIVtJSuRTtx5MXic7cQVt5r5/XTQNh LvFPGU7pMblbvIEttVThQTtXTKMq86CKLHmXCXO3Z8KwEus64+pXTj3b4do/0XIDxVOuKn68hDYs 3jv0DJwghwIC/GfBqVe9zfdKKo7KRmGFaiiP606asT1bsyKn3mL1mGUQwIVIa3jlRTFA+12sUgDz Lb8PljFLNnOGGtDqjeJewniw3BnPdbi23c7GVzvh5Zlhy+rzaK5DUWjr10EI/CNkYqgPb8aMyNEh DMJnguT70XqWStZrKbVR9UfpMIRgCqsKKAbsYaozEeHr3vMXnu/T3U7KvrRN9pyV3RYjoHeKJlwu LQHJ39AWiDNlmxQoej07EOARnZ82QuST5yxPy7UqK9R8NYht8aD5GKWlxmynyT26gPGSnqx4l2GS dVKxp1tTxMhxaFD9d90Wjsso/rYYdU/9ZzSe1pYxXPfb3JnJXiNR2jIuoLtOEVbZ6v1pSyY4Zv9S LsxVicSzcGa/Uit57IDbEixEqb3CJlGgCTcUybt53Pdl3a96rkBO9beyrpa5eJlBWrfnZzLwaN1+ kGfsCgGEyARF3mBV9CbUhEueKcsPO0A2kOkuFBOCzHWqnSUeJQp99mLLmk7Mo2FpPw8YdLKUggZY HpDcN1hVhrDNbnKwKaxLwtu/9dJNwm8+PnX0TYd0pbDGlyDSmYAnL8N0ndkTi6y9v78DpNmeIq8r FwuD82THefdBjEntmTYkATalcJJlHcV6j2neJwB51SeHpQGSexYBHDkWT3wvzK60NJ8Nbp+MOHjR kLRLC2RGotByRm9oW5xzr7t39h2evxo3KeF0p5OmFv+z3NIrq7Pm9ahvMu/OC7PWkl8Lkjapi+PD ALgbcITJoIGJQ1KRBd/HFLYFgTOyyZzP7j2kabRgyJrylIeQG9CvfDEB7x2CkG4l4nhg1DVIbnDp qoxaxcQq3Dl7rS3vDdhkWypHvLEykODquDcF2Je3J72gCClJBo5jroFQ3sTJj6WyjOzWUZkgdlL2 FQ+gx5wliFK/7PNtP5xGGC4f5Vg2hRFrSiyf2JFQcl4ysM2+uURzdv957SaHh2cdE1m5r0K3x+tI RguXxe0343k3PKDXc0vJzjwjSTbkta4hrarpvT9UasoTmmnTmnJAktCBY+RWw1ivk5jzK8hCc7tA 4am6P1D7bwBg93vO2vBLruJx2LaXTBOsXe5flgGEVPOpo6S/VeTBrrD3aE+Ov/mZW5d00sHgFCMt 19U8fW/lfCwo8hJIBHrNWUsPpiOgfbIWDYqp0uNUkj9gEwx2Y08VGcBkmp5f/Q0Jk/9ueVNgxoCj QKTX3JKqGe2VNfwdScqxwSSH6haW9g6vfKtXT46dzPGKTkxPLPM/1akBja8RZIZRAlrWZJ8OSBbF lrIcvKCokzSR4kSUvF0Iec4w4DM56emm2779VTccrcwMMPCf77KboCF3MQgU96NdpWRMvVzhWxXV a+jCUGRFsvS71sCMiy556MFOc+7hc0g519xQisSzVQ/Wr7DZAQIHQ8w1be65jXenk0QKwx28G+oV YR07BGURA6szG4YdvY3q6weEWkW8e/XiWzsQnsWPBNUkn25AWipnnHRYts/VcdsKXU9u3wDTaDLp Hb4kaopuR+2FcmadTjc0XpqEOT3IY/YbfPw4wshVIkmbQIu5tzcqn6UpPZo1JGCtTFRg5VD3un1T jZPieZ4wp7cExo0HCNjhQ2V+5OGDwsGYR4szG9ojSEMyTTL/LJ4AEYQDX1bgjEgkDgipR2h81lVc evC+n36k4PQOI4XwyLc95Ea2W5LHWmCggKBlV96KctJws6g4wwcKwR19Diy0tjXzD+dK0kRWCWQ2 mORaiBIv0V6ccpFGqUB3HqvhBE7vOkPkLVf/NTjSCoqPhKNROANEKImqylUJqrNgm73dvTuhH7pd p4F+OvPRRAtoxHHXkvOvFVA3T6BBtcQxGLf1+gdGJo8ndtKmXNBnfCnFaEiOnmoTp+zGkaph8VMJ fwF7/+K7zmtygxl5PTthNLkjIaI8k1VKKcVokUZF9sRB5JUMlNz4WmsdJ0ONMFwEl++ZH+p2sgLg qEpSa2NSCHCUevvyZW0wnSXsbMROZ+qNtBo42byZEMIzKCEYGpiJnvve+B0og4o+tWgvyjNjyFWT rDTx6rVsWTQR/bJ3V5e9i7TuUqcve2uZICU3w+fX1jiCGINQttXAvDF8vBnSdYHIhAvGJ5u5swnq BjTLYHNSBkXSqERW+86uTGokZkAvKP/n1QZcOXb4KqrmgEyaHn0lFt3psDpsy6z4L7WSpcYz7wcN 8sHR6ORdRouOSEySuyxFDlMU2ye0MqTMue6H2+lFDPWacW4XHADzYaK+PlMSYZNf4OuUWv9Glqq0 T5I93p4BuMJ/5qF/FZag+jeWxNy20CTU3UagIT9xUrv/EjSe2SbtgFMhwfl55fQcJzif6mhZR68P 7WjoQ5Do8fK7z81v31BHdxPjKZIFMECH1wbJap0qyjIrSobf7TvXkzDPbzRtfJ3slca00msmEvbE Fx7Ni7ijGHF/+VoTx7G2OxePluhP6TFhcwgE1zSdVYVIPWlcAkToXzntXGGg0C9Cx0v7K6VaT7Le le6xadeokbzHvNXusvK13vzDo7bNGxWhql42PDHsdhA1Rvk+GAkwT+vmsah4uPQXwLMFMG9gMwbT h8DZZ4eJfWyJxSjhL3lSJUZ2xFqa2pDtoUV5JoMeoGvDaVI+LVrSdUvVi2FZKsbqp2SX8OVHK9vk KJpS0q2MInWdOf5fG1AkzAjM9BVs7GSTG/cfAbiywLfHoVb2Xt0B7G9JQ6pQXZURrQGXHDXzPxjQ 4FIivPvGn3xndOd21S0rXtFMjX9QOlDY3Xj+77doMHTDh8PQWF/FoGLyyGFE5p7Nzrro+fw/han7 Qe2AEwMxy6Iq+f6WM9LS0YBrc1/8axB8Q4gRi5+AOuiW8TWC495gY41TarMj+Crpf9RtyG1C8elb 765Ukwy6Hj+Z7ROUat5cvYTfshS1+K7+MhzIUX3+06284TwgdzkFKfP3qP3UakMglAP47c2w3bb7 2E9dp/KcEg91AzLqNtZEhT46RFZR7O9KIW5RYPNz80YWnXZtMzNPFxRNnpw/6xqFtdKqKc2ObVeI jZlSth1ktqLaaTKQBZPd4qmSIipBZak89U2mwmaufv12KdIUzIp/1BC2OdiPHPRqZMJRYoA4WcIE z85pi1fQB+OkLmtUmYmb1WfHIReGLH9XnBQjQ1BvE511gMMogCuMdtEqNFX8O/1myMZyENOv7fZf oNek1CJ1u4JpDhrzd43yTDDliA2/4nnbbTU9QLECU/WMDwKWUmAZCXPSeVq7ZIBPW5D30CRGO6WM e1/CMhsRMDJGyBEoEQ90labNaAAvGsLyPqS6b9rwyvLmOnkZjkIAqPOFaIY4C1pgJsjhYpcSfBBd aMZmx+vttVa6G6Efs8avAfDhtyOebPs5Jx6vPetJ/L31nQ57xAaso5HL9/sR5W6Sn+gOAAYErR+G ekjafHBFE6L7/3/n0YwxuFydvIxFYgCjCCiXLzYBbXbIGXq4t9CvoTBpUBfaSF3WvTOniyifxveO s7WMrcmoXgXSewD8jSj7eZqrWGk/0SIxnhAYgoyQO9RA7yHWmYl4lf04/CV+c8YXgnJqqHbJFMsr h6L155a5XWniU3wiwpdWBgcfYygU8OZhs9FAGZO8Y3AaAZau5KnhFvLM56sCdUkcqyjCIGppND6X ni/jY8uUTL8BpTq9fiwfXUOvs38frIzl4+aoud8WvAGJZwpEzjfve6C+1migB2WyHgOgfjbpqFZi cKFimGnkbuQROtpojaCcxpW2n2cS0REpKhxLir7X4kWOteVYYymQrNNgC83/miAuY8qsN7WKcndp AW0uXoTZovWQxKY2AtYVJ4Zh4QQyw/RWCsVFf8io0KXeUjJuSxJe16wQMz4JiJOn4IwmVC1J/oet TSfZ11U9BA52Vl7n1dMgbZdtEFi0gy+K2W/pnpmLOu3iQV2NDSSslTtuvAGv3OlexqEj0jfXmY0w /WkMY2vKmEWW0f/abCTJ2g79RJplr8nhGuhMJEWaXbizZPslEKD2t2dGYxI0C3fxTasjtcvLfV4N Z6KWBe2awVP5mq3DyE3kGd/BDnXCXZ6WmbpfFEUCwtfHixGsPfYtJupT5NXQwN9wVSY+kzCey1Po INF1580Pqmq/HxLcK31Z9uT7L6T7JtNAFEgfXb8edABwruqML1H216cFO0/pKFvY2SyjR4NdRNJo x+z5TCNeIMh8gpt2+bKg57fztOzX+l4mPj8cqxWF2P8jQMnGV54ou2vw+7RkmhQ1iRznB5xb7viP 9NnqBRif6D4tBa0lj9YoPCkshEebJBQgJ/RF4vwQCvlsKyC/K9mQ31bBFaEAKIbSGcRSdQyF6zgg aHWmv9GVG30K1LSVnY/w8k0eTX7YKukyfFXEswqh6Vyrpey3AYswfs4zFZTILZ+BqjNNy603eo7v USPOFO4gN7qMIuCKd2Ip+O0KhqYblo+RLO5qApIhXgQ7AOR9auv97pFKPmctrjfB4ormf0vxFLl5 qSSJj/lZMFYuJB+wKMLqdMGcrBzPTIU746l89gmNiVP0U65oR9TBBmoaZhqORIeUNf3Pj9nyWZOk 8cdY/NGUu02MLQIQJqwScmVTa3BzJrx2Nd+Qua4tbdsq8GOIhuedApEgB4s3G1j+JISwKAY5jgxW aUo99UdtMADXhKpi5aRSxFQEMKTzpPDx8u+hizOD7gK5qQq+DleatlkkR1/T1r9LXT2OPi9AikL0 ieFjBTUJQ3svzLAdeBJmzYq8TO6UvCGrb60/6rc7neNzNLG8K7izKeBg6gq6fqYzx/hO+K9oImln Lqu++NAWVNhAmKVlM1rcvuzXAvnrCxCJq4u9vYrovRuTMGIMx9+2lbveIbfZqyE4FwYJeCtgnKqC 8GyZ6wprHO4rISgi1coFW/gn1Jo40UAMR++grQZAagzcjL3s3FLCW5gkQjKxQEqq7uArBPrDcfO4 90jBhCUho1uikjEv1/cG+8LscszkVyKkGCPMvieENwagYKHoH3y5SlqgbEUCIB6nhRqPH8DsSdfX 4QWhRlss2tribUEJ7dO3EeS6oCNvOjx7FRWsxShIYohhGJ4XXL7iDm/ebtoTNFdx6SvrtwC4GCae A0PBd5t9+7h0f+yxiATWZvSLkW+laOwhKf5eiKQRD2RfBOFLQFXnJQDys1ezDaLDUex1cGcq24SJ F0Kqy42xKwPySjVb0+MQSc9Hyycr9nsNwihpVtNhMV6TyMwt9u+ENs4kf1PdSq/vZ0Md3TWoeMuV h8Z1ox8ljPqlJxfuLxbHkl0sDj57hW5ogV7/RQtoWSFP3KrpEyvSavFoFhJpc93XmR/h95S2eqV0 ihjjRiDd93lc3v5HhdcA78Qk8s8B+zZuIa6L+xxIjIXcENFbkp1ADVbKl6Me75TWCSYjfqjoVocI o1UWPcvGZZmOMjquVqMowDoL362XbLhDDcv+oxF/AsutYqvwvV3hDXuyMGvEQ84iqzbnLxjrQzKZ 4m8J8Nw1KQDoCFdGfKr6WK1cva+rAEY3820pwaSi2L5To01UoQAwCRFyS/jPoYJH2vNw3+/paA3+ 6VFI/SmX06zXz63P3iyJZ7jEHl3Vu6hFnyO63TzVy1UWPb9FBggDucyqMEG58sVtkCud3lkyt1LN 6cuSwo9CTK8b+FxEHb3S/fEZ7fk7G6KwIFBAp1+gTOdwMGPX1N+PI1sUhqqp03RRRwfQcQABQsMU H6StgdlPoOnJr9AIzITCy0UYfug7C0ruEFidCSILbpGiXi2A4aw0d5QY3iW9bnkv/mz2CUlLhpkx btg+7IYdUjh78/rJy/nT9dGnCSwF3LNOnfnHnrOvOKIY27gA9bE0p8iQN2iOj987yZJl7ea2mpue WkhKeU8Pgm1j97Enn0Mqr+2SbpCMnHT3faef1YxyA6XDkKY/q7p+Tc998K+OGtOrgyf4lffncthy 1FbH27bp7zDP6f75EuzUyBYUWSbqPX8wZWG2/GIvMZS6Wfy7mWF9pyLz5B3P5bjKQEoKtD8C5bRS fkq7hIHVLOWxJN1RBqsiTt1hhVnPXRKqOvj+p4wbf6E692J6C178fJgsKtwsaXIvjUO3y7faWKmZ xKyMIVzyEov87noK7ZGGCzGlkLXTGYaAqdamNop8aIJGKCrJ9Q1jxAYMGXKb+FUYSfuUO9Fys/Tv 9jBMteUMrEA8A3Dy7VjVSpqzP/6O+02LF2y6lhw7jp/5I3Xl3vw9uWIe30V/If1sj0h7Z1AiWP77 lehutFMyFFoR56fyApbEDWIirk9Tn22JmxeD5veDFZ3ywCW+jz8ErZ+jskunGMocENd3T0HTnrtN 4aBHH8Irk2zBV1ygdOTLtUW4xycVxfQg7C8CarJYkk5k4DYmp2zBP6DIL3ZTfi4E/886YEgXDJJA gy8GyJYUaq+IMdk5hgtgQ+qNEC9yKrKhpG7EjwX0Q5ji3w67L8/1GPBe2DVpb1rWch46bB2o/a46 1sJLdhgCBAa79bfnt4Rv+K5i1Fmgo6M+h6D4V00EKSI/DNA+WHSyPCnpyszcblPRC5IDeECzc6Y6 qanutUymp7XAcmgE7XSW0jB5e++AMXBFlBOxfeD5gzSRlzVnQKoretPFfxIauSPILtx3w86ZOpsj iEGTSu9vwy911V+WMS+wdxWe152yBSiaHMoSIsK8TpReHhFeHN1KFGLGtgBQEBMuE1IL7eetm2rU RZMo83enmGGbAhJc28wwrdNxiwW6iuFQOHOCUeXZzMGWfCC8gdwCUX8AzlsBJGk0Md5ukLeNvnlL 9YO16vhXhLrMNcCv84MRAgAK2XxMhfItyhYUFYIQbL/SjYOTSZv73Qs9br2o31jL8QFdk0ux7EVT lDBev8LNVocHzMTxcKJER/bMDQnNvBUe9wquI68ld4ZWXE9i5eW0DAurGNwoIp3omKzIvTnhm6TM 00rYZr0cc/cwRUBW6Fw3Pjwmyq2Fbshxoi4rlkTM9abQBMDQxW+qYOBKwhh93oGrq9pkkOtrF87c jYUk6UNC5/L6KC8bK5hfnFKhVmJV9pbxBa1+M7HHiPOHz4LabbClusfW8bTWzeX4SbTq53bbEozd fJhIYLt3r9dIYTPMVdFNVLTpDoJMJRQkSjnEhjWX1bzMOsphO3U976TswB0g29XvKjjvhZnTxdDa oL/dFD6Lgy6KXr1yGNo4yjQG1Yx92M5dloe3PxXBEQa4rJNqLG1rO+y2gNoLGdg8QwlE+cihgZ0h 3AtbOMSYxdK7l5erNeYUmWWYr5OgAW4IC8zOVJbAAvT0boOPddymf4xNzQ8BZfCvklqCF6Q/bsBG EZNQbeZ22Db1p1tQRmQN5HXJwLYpoGEzXOhsjh+HbVAWQTVf/flPHX9/5W0SjPItAkwkaaIdgWj6 QfvbG5zlViCc9cbSdAlE3ulOWFu8/oH4yI+B2RLb3+TmypP4YdZVg3JWmQINmQ9/IpIy1iKPQt2m nvGngvFJUWl+Z0fW1WCUalJ0q60ruNXjFm4mHqY/T5QO02SkFZ5dBJE6mqC54i3xyTCXeNRFTlWy DDFdzAfPV/8t2cm2nfh0CvXdN5Y8ayfp0Vy+FFuK3kUSwT62MNB+bcT0fyResCYT7l3IVu1yvKBc /C+HDUxLQpR/YMl4h2sp12VG0a1Ei+QL7CBgy1chKKm6UAN7K6dA6/1xZ5O+l/ku9qJFPXrKeX5y PwqxFRSEIhV62HTdM5R1dclTRlvlw8tuvJetKg58DVTPKobMJQ9SlS1DCoKhNjGj2tSkpHEVbIis Qzohd5Cecgd3EzTo8gqZ0ol5R5GShHKhid3nkwSvBegV1wmMTALqyEVVS8a4baUE3s2cwfjU0FRD 1JQZoK0+5YGI/KotSYKp+YHlIabGTF2mpT5icDfXXRVJmav7bQNH1Xp/fscvefqc+sD4aRl0DPL0 yl4kQKtETN9RtGaMkOgvtMVXfV/E/0cB99DQJooN2RpkKFiFkczoZspOvlHji3KvhfNjezaN4mIa q7AnPbA4nEmxYWR68k63Xk8dXaHF09I951DVdMpj/kfdm/QJYivXKShDfDfcCnx25dfU9vbs8sFl bgQ/Si/zqQ1P79yHQ7cxA90WzJpjF8vwdjKExrd71B/lvwb6xam0Q3aYNsppw9GfzYcFzWR7IGNn Ps/kjmUKU0L+9Jeip2Vyi3boX6JYaL3dXpUnIH3i0D5NtGz0KHkhZCMtbDJI9UiicnGj6hvUw8Ux X4lENRjs1WWgtpQEjiNoJqSJScK490BXZqBOtfZn8oC3X0dLLFiOmo/htfbATiAhBta3+hsQTZei osKOWV3MtE/Ov03yFyqqVAfhceX5j9w89Y+3KGYGfCjI7oSrRsuF05EUa9QsdSPrbjK1QifqZe/0 dtX4rB88r+Lcm2GRCLV/QnU7zbyzvZOtPIodFae9DqNtmJoS5Xi2URTmCU7Z9VyismqiO1iWHeS8 KTXgDQplah+MzXFNt8vbot4x6zsDXeGEQJ86FJGD+f8cEpQ/A4E8y8/GZLwjrTIyVRQR8FWLS3oe v2mVRqQuiK/Cz3nKkaM6b78FYfLZHyDlYppJfketwQNB5zoV7JrWjLV2iH/Jc8X5efIh/wFoLtYl SRrVX8OWbr4GbpAbCdBBBPNzxhUL5zNyVKBtVz/1j37XiX6AFmzumLHyL4GCXXndQhKeT0nzUNrd dt+8Egmpeebtb+oWubRPAF+DDkHaY8yWKpLXtfTb/JcbXZvkx+eMuRIk5KpobmAdieqez/8ScQOb v4wzigqxrHgKjKjmij52e9P7fSmDAdI3Y86NgQ8/ZVibLbt55gwzq2hIMT5E8YVNs1KJlP7Am5Gc 1kAsl+HPZtF5w3uj7uerGohgYR6ZUgpZ2tzEViPU8/xoklWcBNvojGwCY/2w/QknAkgvmR0VHhU/ 1ieKNsBJYpToRbhq+LUwEIOp2aVZMK+BqhTDyl9TEi3OszTQG3zwWgwVoUxTGn7SRQ9fOcEa81ng ZEMrNw6d/HHOwhepouS523j/R7y1mAQHALPCoOATDYFps5bLnHWzXqP37lPLyyKbsJTUF+GsOHd4 nI/Zocl618b2lWPjDlYFgcV3QOqhAFs0mhUYvOOoTEjiMATWErqk8AU2BXbYe4DNADJWPhiXHor2 oCnTEv27zOs7i27Wbk8K5DquhqAu7RQkn0QIpKVNUPXFDcGGmBbIOTUcXaXdaCtrTmw3f8uAsZ0x MtUJDhNh9XEebJGk8sGL7Y4I8We4Mx7B8jazLpJQW/Joe0DMvT90vP28N3TWmKVNWEBjU9Lybort WvGNMFPOnOoHJA/1Spu1zBh9pkXQRriEmjzyvuHFqd1E2KNRyRC2JsZD07nRFg+Md2Z9eA9ZMVsP /wSXEcgg1UODBlqtZt0PO3DPWNm+r7PUu0cnwyG9GFlP5xGwvSahpQhBUk1KOR+Z4ZDCj5s0uwDw B8Ap2EM/V9CwYK5gkRK+F3R90HxuoLZ9OuCht5i+zLQYw9GuYKXhmp4naIX80jNdNhpSudFv5GXA gE4avAdxPBX9uCzzN6Okh8mAHN1GufTy1jvPOtAPPvvNaHyP4649nLvRX/85QQVrbEVQxZapuYIX GxF5vlD5/IdSSrR6kns6iX/Xl2Y6/PsSTU3oBRjw8L+A8Zwrx3xGrmb5pWNHgkqQLNbE1nWMVPd6 hHIPHg8UVrmw0EkWs6tMLajZ+p+96Dt2fgYWglTqlgJfWyxOFVd0X54LUWtWENYrNNPcS5K+Egie UrZCHkxnj5Sjt/EXHR2HO+NeNjcoSW8Z9L427rQoXi9zx6Ynqmkfb7U3w+jYuxm91vcoLDY3+v6o jZCGk8j7BdDXIrtMcGnKDtsqQ135Nx8r3rNmt1rNeR/zov2x4+XUkC456X+lBllzO8gf6ASgnAuy uCPsnZCq/V3x6A/YU7lbqBY9VwjiSsJRxbbjUkFmhEzdELI5QkYoVfLeUxlNN3l1Cx8Ex6vvZ7Pe C7RANQZBfX/bRel609x9JtX5QoDn14aDwu0seQwb7Jo9eeylSeFfvT7nUgGQPg1dRInTXVVvNjjp QCvkc1wpLuQl3Sm1fdNqvZXmCwqS7JayutoLSn7rj4Vf7i5Somr9F0xvgtVXc11BBAG7F3ZCJZTZ DAUiIPxWDzzPIJEn/R9p0pUFZhghdPEaW0jKPRn5rrRUHBxseRv8h3+ZM1EVsciw6yASx4emGgoM /fOl5b8Wga8Aqxm0qNx/y0dDoa6+kUeqAvpEUkK4Gn0vPWS6qaVZfcurclK8nIo/Do+9XkB68iCI nvJIsEd+VHpW6sllKyJlZpcItYOkVhfxqJecFLWQlYeUqZqpw49zttcQkjEUn5gODhaI2RsrhD6x SW+STH06dq0g0apXzGuM7rtb3aGZgjXkiWDd9ER5jZsKE+fCz9+QbB6aJ0/W5Tt1x72pQmBTexJU 2GoeYbwzNbEH/FiVbxyr0ajb9mPdB8UGr0t1o/GytkUsQS8khCrx7Vej0ZcLqqqpw5YRpyRrbUWV /v4BVHi07DjMLRKnYW0ERSZXGA1ijhgeL23b6VuzCdSQMmOkN5oXhRT57K+0+NT7iCNcZlz4uAJH mJqlOKCOt4Q+58LFPuXgOiJZWmgUGUpN0WVEhplhQ0+W3FLtwRghkGFH9sLTjHGh4ts8GflPR/sI qVv1tAvHHLyxfF8JB0/Jkosg6xyapVTtau9lBiLeNXfSak3tAKWLowlsCYxSlHGQjF5mkJTqhQvu 4rXYcDxztAwWjulW2q0Tk0yyp6h09Cq5k01H1k4+2szlglye6wy5oOhj5Q2XXMS5VgHrFkZLJxDb +dzJtc491GWcm1Z+MXj9l6onfwOOHSckrSTQcuHrFmo2RNcad/sG7VkZ0gzZIUP76twSxljD0mdf Q+p5ADTqWG+TwyT9jcf016ZcejI/GNzzfGyX9zi0Gy+adBIn+G6ntlSh5PCujeo72S/6mxR/IhXm l+SuT32o8VCpuYBFWumCUCnFUSfgz9JDoFL0dnjbdwGnXHZS3oM5E3EfkQrAQ58tEPVDa+wunT+R kNMecJJYK/zRHI1mo4GMeGbmZxw1M6QV4dx5PgvEyByLMJOg0VUxi0PqtvjGPe0s7+ONqHs6CzrL dSP1TMAXBvS5ePbPRvoHrUZbTYUJ/sIxN34l7Kuzm7YD4TmSvYBD42TtFFdOglZrirvjFuYyFOQl fVepSNmJKAGMIJDhlYnoSvROwFnU+jJuwF07nbvhvOBifYQaUjBm7SHMpzLsBsdpIcVB0NrsGIIX +HD2DJUCAHDmTR/nmC7dAWQ4ubcbHtSJNxEYGrYAHiDFMXRCYvp0GPos8CuceTSdzF0yqWeGdt6t EhR1o2crfvLPwsl97fOb6VEphUGMsKcdyTq+HlDQ6Fjx0zkf3sUQFi88W4bXhd5AsK8N6LT1a1rn rTaIEgETSRBEuLjMLgn0aGnPca45BuA8g7+hOJrSi/YwPvypIXh0ese6AKgWNxhocUCix4llwRiq yBD/uJDuKeaqHLf58rmRxrXQ6jIadvUxeyXM70hWMJvLhubEFbv6u5NyhjhXmQdYRUVRktks2HIt Sq7/vWpDbGKRtYOH0OrWpc3Dcv6Oe4gu7ofWER9SrCFYe7Im7YnLWeCzTPfdV2ppFSVgAdydpJsA AuxSJfF1Dzcj+paQNveMyZfKeYmipOrr8UWmcuIWZzpTH9S8Kiewmm5TLSw5NjLQAebNoCM7sGYs iLoNICxo+4KW8DpL9m+gEYH97D6NfIzRrdOyB00BaLRHL6c6VBJTLWEOi+R0oUV39w8O/FBD598t n/pTIlBa8e76A1CuVKFTKYIIPbFJJoL2cuLzJAVuaX+DjU8OTvpJ68K91t409/Hy3lMiL0pF2hTl plhJLJ5V2ZeEk7ByJlSwEghddY2Sn8BMyEwPoLNlvvr74p08ZXXWKScGVWGd2tO8C9PkzFmjssfv NheDxAMuV+OyABc/zCNQE8isubk6KWZOoRD20FFzhUZ5/rud7t0QrScdD69d0S2tgFkX117UA/gY 3mlo/Xp0k0w/vIuj5ZmM13wKgPInQ5Gj2g6j5UZoamfiTbzXqPmpMCTtlxyE99YvCip+FbE5Gzs0 qigSCnquheAECtHpVXNlGpRaCUoIHZQpEPw/yqBqiEWC7B8pZpJl7uBEBA/MwTRaiqxFg6U1sj2b r3DhaigVnc9313vojTDv0cMAtCDHyYNJ0ck+3OVkFl2umiFLAUo0L5MANokrVn7gNaFZAbyKIs2I MBolJWLjvkJ6HXEccgmhUXqpIoXagZH8B3k/TMOIXsu8t6s095+WQL8/cdxIPYMw/g4oiUUQngLP jYyx9g+eQO+FVd9KtlALHVbVKPhhWUlriAmUF82HZ93ygRSzT4ImSAL1WMq/HwEinc3XUz7NTC+e +2DjbONKeoqglJjQ7yzy4KwHUJD6pKU2zcEmvIr47wNZNzIXbR81OW6SiegCfIFkc8AmXd/pKl4v 8c3WzLgpllVMKR70q4KOwPm22UeqlehhwPYmYVj5xjQmxdeHirzoGcMOWKQ2Up2y4BfOBlKu+YUS v90LMMNNCQl2IJLYxdD5x96mfu8ZXOrQWvEciBLORXc+iingzdYbkInAoR/7vPAd9HTfPpdpOOsp kG9m2X/6WHUcGLwWZB40o1lBFkfteMwVr2960VTyeS7Hhn9cW1vWQ+KksetEAu88ahbY5lT9aOVu UNbUuyOOCmi1bFEW9baIDu78UNip+8nQZDWTwChko9GQbl65mKvZ7GyorjXN1zJz+tRwS/vRVY0/ xdrcG2wimvd0yc/6KBpHviof+A04iqCENpY8uchSa8lC0uaflSeNE2YTQEVt7c/jADJd0AviozU9 Ysi1LIZnq1/oBtCVK3qWm+lW7jbF+id+6EfjDTYWvJGZ528Ijj6NdUQ1UCNEf9kEWOTfsCTmgNKd FFF2h/4lyiPUZZET+GPspjJQkWQmwLp63hRVCAbGbmPS8zr7opACAwx32UENJoMzD7A55hbll/Xi Js7HVM4vVJq3XCDraX/7gTEb4+j3fZRMn0XiaVFDyy53RDDXs9L79BcNUU2wl65ztBiTu8dGLS+7 ooEG490RAOIzDFScCvZh1hP5n+3wwW1fBDy2kdpWrmNmOSp1ZuOzZk7TRaZCKFpvLBFO+E1JCHEU c+bdHhb96JIvdm7qzankqdqBwd4gX7fwNRN2wjx8M8ujFrbElYLbfrhO3J6n/K6mQ0yHb2CDBwkR gGPclutaePf1F1jOyZMZK4/M9P1+k2X3rIa52XbM+Bi3PyW/Hy1VoRlPSyx3OhBAklQiXGQPCTaR /RtTOO9/aykP8qExWjewhHD6m+yosMwThc0PoWTehcZ14baMa/FX2be5fis0OucBtI9eZvEycXfy qKk4PCuUOq0ySQ9+OEX1xV7piskaOtCKAF+I6dcSq/ayWw5FD7sVXBE6u6zeNSzPzZMdZt6tgLmA gFM4JGix8PP0jO43WT2nQKq28o54J0zT52R3t2ueVFFRBmkPAk61zkmsuCrQMhmx8mtf0zLG/76c znWhEXKCeciPR3T5lB1lXe9rfwTxgDxpOwDUGhUCxGatYilnqQhooLkZFREskVp2o+07/Rm+nAMS EG6ejTgPNzAhtm0oZqYy1Qiuif3ZL9FWU5OqB6SR/hl0NqMaWkKZLtkc3OIiHUNK/lTscvw98Ni3 ztgMNlNrbX98uqZwdccZNssIep/UT22qpNObxLwiN4WuWBdYghyE3rpqmRp2hxqiYXMr6+68lnN+ vV8055nliBtiHCKfcmMH6e4pRXYWuk1m1rSpK/8/LDQ8eh97MBrCGGe4UhQaw10rgrHw+csHe8rJ YkR2UXxLQHR90VUo7b6kiMrEldRYQ6JzeAhF1NnA+72f9Y5/tUQBdCi46dXLFlJ3pfe4/l/pIzVg ilCUQjqGnWiRbfSB8C6gJBGF8Y+hsUDaLxA46aGUGhFFhj0/4WGkgZWCE7RUQ984HZVS9TfEMK2T RFBJ+0hRqhDGkO41pBCZG3HJUKnfl/fnE8t5XFnRcJFMYElLv++g6RdgVfE7UHJOkV2aelYbRYIQ eULttSN1rTZpwiNMXT1X+x2W+MyOM7ndD/o0ka7eS/9bK4awrkhHYnjoM23673Az4d4CntjeJxcG j9rcwl5onovO6w59iIxS2itU2DCChQjokX5dREqsUpUDrdlc/TwYj61slP16Wolp5AdgoScX1eaf OKIX7gD9/hdTDv3eslg+z1GxHwsqJsk17TZk9BepA7TRJ4UrxX4zHfQm61MENENvCtcmX+iOVlNJ PqmHw8qdO/wNXkkKyiJqpc45VaNEtDgG0EJOhGZ/3FoYvZb3gYG4VkPPqrwU7OTORT29OW+sBFr2 KiNq+w9Skhznwh/uby4ZD/6lvCVwJQ17q9pDzKQYozXvBAh+IFRCv/5Y3/l6HA26X9PMxB2s8UIg CO+Z/hf4s05WmA9s3CyuN5Hel8y1qeQsqYaU4lAe5OHLPDHftXXbli9Qd+0uJzRigUbb2n33gG2a 2UVjgfA25YaIS7GZpoWSn3kgWMyaF022XBBSYqEnS77YnZl2VryjHgLdb7hcx7SyeCayHRK+ggLg w8rukVwPds38rvhAK0cWd3Cde4GWF8mD/KnyJXL4qA7J5rJvu3IqLbvP6TTQ3vETDsKAlP6/ZraK pZqVuMDQjWC9IxjlBQBteN07jK2s4I1SGwJ5B/DxXeEHcPXoP7LUr4nad8xt2m3p8hUY40Q7JnJa EUfrXxKIMsZLn630nNe1vhs4UiTYF+t64UOyVq/dBFOKZiwtVI0Ef8cykM2PTP8A1nJRK7rD4Nvi +ME6q8xulopUYOQz+fR4RCvvli9nBzXjRhI1aZIFLmz6vVFJuq6jyje611MhTaG9cbbLK6Pa+c92 8DPEOmYJcIToJ/NI3jKBdJm6nj5mVx4ErhNkxQOlLkrZv7xr4TDGuz0Dw71genl23IMHtPjyec2J UbwT+7EGD7U1RM0FQgVjutWA2jyOvMi0amsgo+HE5ygzm8jVPpRCs7y3Y83ug7fpV9bi2eZQI9Lj 52PCGRnk9z+oPVdxRznSL2/nEUCpetntioeC6ugESz9Hknrp1h4Zf/RdeKyXiYwQZQ2OSDB5dCQj 070yZwu07VaXJwBUAFgKX8F+mM03jGMlXZY6zTS4YSKJVXTKVO+/71QmvgjLnfFEzcMojpAZMjxM l0zKQ6u37OudEhRwRdQEZZB1O0i9Htb37Ibnbsclq0sW22NUSMz+haP3nAkgx6BIuO2B73BAdibF V0dMNauCNYP8yAj/GkIRHTFM9fm3zqBNZDjHkzKR2hanfAJ2e/NMnWWBTCmBCyweblP/3CHtiqHZ TJkFjVqX3+R4CzbLYuf8/YIprzmumhoJVl46OaFg+GXo+Gc+bEx+B75BsFU+FNag5L1eKUnM+C2S ujQa52o2bfNMX49sYIOQxEr2KijmWwpacM0mGp77OyBvekB760sG7uw2SZ1/intpy0CBpQroNkUa JU5ydeFtHqzA74kYGINyREm1S0C+UPTcrbiSkcxTP4cviJq4ylefjOqwDXbRWJSxom36FtYE/qHv TMSM8e0tNJS3vm6yAwa8VhA8FDVfhAwK1yrzwHf58WT9x13F9G+aPjCS/O893gQ0MTFtdrR3LcI0 cjzIMn5C2cBB+vmKGPMRqQehOif6dUxJH9dPrpaT7+KIQ9p9OTPapiuampV9+NdjPRQolhV6IwBA gSQ65sWpMuX46ry/r9xMkcNqZbxjTUKUX7Xg72Kn96BD9F5E+1+B268cWyIJ5kx9BUxamJqP7fWR BRhH2gnX4HS1pCSIHjHV8R3ncwrVicel9dSiL8k7We/I+pTCORmB39LCkHohoRqmkJGMKCgcYbCx l+MIezEaQDy9WwYPAN/y/LGyH/jGEl3B3KZI0YuTZm0WzTrBZ30/AgGkbUKMXjpG0oWlyK5Ojy5p njesLz+7sV8oOJ2+rT1KYJTFKyd38NpS9OMq8Wh2llAZGn/Ekr5KRBoYQxY5aXeriTle7xJ+bOQN vsZkqaCzUSqlW39/5d3FSuJbjDaB2Adve7Cq0E17XJ2O4xtHoq2RZoJ7A/UbCgFn5ju8SwbAeAAm css6/f9lFFy9b9hs2kGhUl7TQP4z5jKxAI2MBd3nPKsdzP+8aGqkQfk+PYwEIDC+a+EjFNFYkkSm jzfyxOSG+ZlP7LHXWeWhdmGw5A0ubkUHLB+ZCzARJLuslMQ2HE87rnPqgBRSYYSLgLumjuVLHIW4 /lKb6/Yp9mfLFEVJ8ZeIfmZ0dOO1GrY85QCf/seDAwU6P2HteUR2XHD83+6CtoG48ORbUXDe5c5s Qg7Fp5OGfAJWaGFzYdabp73VQv1LvlJxRfvv3ZmEuVsdVPczzbE0L9EOXBWfb3qOLb0AV6Gmr7g+ XZo7m2U8fIAZHSiBB4VCkLTNt7uVPvVWtwsAOpTDWxk+G+8K6jNv1QSriZrJwDo3e6OUJxRwnXmB qdh7S8n5xDsFzBHt9KUHMm4ChZAGd2aQRCvkSBBw6I2Iem/h1ukAeOEVsqwaEmyDDYbQ7DWp1uyb 3MA0JZvmRUXnbYLJqosZ1tf/uCRQFxjOXrPBPe7pG8rwbrsNL/f3ZwP9N+dZ7+acQIsBc+PENCjh demA9n6FjAh2nTvOqudg19/ikXEhVXU6K3iark38JFl+ESY+UTwvfhaKlBYs4onsAxiBYjEvT9lB m8N/wy1cPdiAXUZBInFtp1OI4+v7EETs32iv1nMcF9B0eJsng6sToyPPdLkyh1X+Kk0giNc1P6aO RCJ4MI5VDD5c8RV3e00WK1Jzqzepex0PGDFjmRhDbmu4+p4q9l0aBbFnlMIpRwah0XxlvIlFdGET F4IcfaBNe1qHXqnZiatu+eHplMrvzT1DMWaeNRMRFj3WPu8cR59BIolVKzCFyim3ujqU+xHz7go0 M2KbPH8DFZaBM1LE9xAOj3mHJnYS1EoUKfDz82+S5wY8we+IaBUh0UWXqUATb42E9XsvBPZ9vCx3 /aIZsZjZKIQwJ0cef7/YFdcWNHvAGn7HfXDVFEieQydhf2tapEhiOduEx4LkPNEx4GrYffKHsaD6 K4kKewr4kmrKHJwsJawBkkTPZJ6+nIttgDloEhSnex5/1+nM998igFpZweZoiYlqKtA3CUdg2hg3 gdPgMWEqvE/pb/FvMo/AhSBdA5Gv/PP2kcZQX/GfbVNFKKA+p+etD84pm5p0jxrAnQDh5sa7NPie q1Ds/JR1S9fxO9iiyY8FQq1OXYK9gnUGtK8GDBErlIhyXRjHz6Ys6PCGig3pFKBhfgdOJXaxZ7Vh ArrIr+F1MQxOBwsW2lXRPSTqf9N+LWA21YGzXXiaf1CWueDW2203SHWftBFKzaVpor4nKRmHv0st Mriwk43rn7cEkeCYUZ2ZUVhnZlyFoEC8AlfXcfW0gR3APNlM9ETmp9Iljl8N0QkH/E+gw2fC3L7R 75CBc4ZgoBOvj1ApFPuAgdGr9qfF3lSnOg3exoYTkqW3UacU/GGT7XPHqcWyDwdVAn+voPflbUjQ UMjv/RsIqjXDLDfU2P7j7Ez9EoYD5MZaiiWa6tItP453/c6SMtYng9WPPY4l53FpEWwiAr7hWFCE lfUqjV0DpKMWAFj9WoK1GKHwIGsSKtNIgjk9r62XMC+Yty3pYhg3ztq7axaTanEHt0akKR9UU5x7 H+YgQA6RuQiRW8uT2to91oFO/xowEbuI92PvyFP+RT6KtisrcP/w+AYx9OeQ0cvG//VkkhHOkOKp mFd8Q2GKXvIY9ikmQnA6nUETF03G1ifZ4FY20+PHVfaooqDcNP623q74AFUCYv+4lw+H6BoFHB2P sp/TQ/LXiB+NjMzYKGatSPzdmp6UNEsVCPV3SC8sXlGTcvjVodCUd/y6suLhvR8ZA3d34fCmy8sQ a8Iis9Dx24twaigseV+42zTSi1U8hmeLNknq6uiZiU2oOP1qjy+3mqNTnhtfZKi/zIwS1xUfVsAR a+mI8cXfxrSdYqJhgzDcPEgKVJAaxN1fle3mAC1DLT1kY58Vtdac/yvziC2TMYd0hZa53ph4NCCw c9MGPnaDgBjoumprZqYwYdgRcSmCFMnWFInuoyFDf2mEfzjI/BjQTI+YULoRqjHNajNmXbxhAPmt Ji+vS95qC1bKZ5Crv/7uAq59Tsj4oWCm6PnrTHJhHkvJVqE1Bb/qinzgiueuGUtbL505tY4jUphC h8DDTPn2F+7aCEME8O/GYCz5HwPZ/9IeE//w7U2brMTq6eSH4yA0XzorOiZ44FBFnDehn+8e66ln sWkXx/+uREue+DdTMXpx3NbwcEKzpdcAgWKRpD1Ip3j2mK+oK+p0dcs3HotsyBYoiQ5ctKakxhDz eMwehVy0G97cTFcxlKx16WgSkliYudSbLdueAgHsa87o4zIvWJUHyYY4gBgRmQgkwJUmgPN3QAVA Cutf2zk26H4TgiUXtEkcZXeLwreaPGHiY7kfCl0j1McOkXvr9KBxtPrVxSAY1GFMc/VgWPTeDXv7 COUmIZb0PpkossjsnMIQnATaxtdgq1vjEFizYHkl5XvxXfHCL3CyrDq65pDu/2tqVaqUiFC/t1tz deynnAi1/AjHIPOsohi4oEZ526HDLeqeQ3QHYGFW4eWdYG8zo6iD1mBEmDmI5fnRizkQV0lH7+cI kQ2smeyMmOHtzewz67f9S9j5fgrHtu6D70+EkNoZ5FYMe6sx31NwBbdbhQzIs9rlWKUnUhk5iSm6 +7yuEjvre+++o+5jN/gKHtS3YEJU0Xsb3kd8zDraxDDJBcUKPr/cC741SertOg3EZRwufFygBQ/b kD3dLbdjWaeNRC+4mdLCbDFf00rcXI+MlFg3Xb6hrWE0tmjjxu3QK06zruI3O/j5NMD0kVbRA30P kdgflReqG0iA5mYHNF+XM6Ksvrzlq0P+n0SYJjNH66JlStT3mYAlApJU1uuX2luyZQT3k2zXpdAH EgwYH6nynQSHCdpqsOUfGjvq7TXN3Ms/Bi01FZzxySeia8kPD9rasPnNCGDT7BVWw+9MlFPbwhoY M2HHzRvmO9maSf4Oz6py1T7ZyzTIy4VfdhZXybEutGnp6mzJZR0gu3dv/HSf6+tAzipe+1MuEOxV HEQU8jtiSjgCoWWskVLx7rEaICPHyzsD57nDsLTKV/vDMIxOrqD3lAeTG6e+4+whShRPCIn2Own4 1J8VrlrNyqVh9Y/u6MmQMxxpca1m5KI9RkOy3wy6wUWcbxCoP6++yWZ+FOGPvIPKb609bso8v/qH nx7JwKox5SIVNflcoGU4ygi5/p1N+0MOuEpOLvjaxzTZpwcsfMosMIpbicPcjNpaqTyzG5qSfxcG AuyTKD9AkC4nZSSvt6GIOPe3fnNVEfJZbZUqEXuM6GDk6gZbzlH5w+/hcyKq+toKyLk/ppMsmb2O slGnOTxVWYzRMjch2SuZrxN7XEhV//FkEGVFG94JxYYEkJMYSnt0PTObHq85GTy1XAUpebAdANBG YDume2tsDssmOJqH9M0b01XMK/Wc7Lsx16KhONYThd5P6N/4noTR6/Jz4trTst8GMVuhMT9sO3B/ DIxqtAa+6TKdKEi0gHfmG41BA+Hp9gTBxAYE7geZkowRnRCOQejFMqL5eNVsCdyNGbg8R3G+DzN6 vEIF2ABVeCWXWLwTflyjvG9E2nsRBnf4i8a4tVsIVj4Ph9/kg+0uCFgkl2XrrxOodyqmaoW4csQJ pseGBKPpc2V+QdRKKQSAVIqZKXQkZVUk4/fZUHavhrJlBrzX/mEDhE6x7cyWL/pwWfRekeC0Hx8I MhjEQ7QZuXVlhV16DdsZmke3KUxGuVJYxJZ3Ht21TAGqGdMmj0vcue4WU+oxV36BSvTYRTQl5Cdg J87Ovtvq7x+G72pJUMdD6Ym9qdWHwb0jtA+JzyP1JfiJ4vIsAZf9seCikvCvMiKZ4ixsarWUDO7S HLUSSxAKh7BGpewPZGVim1mE1/qeBzuFqUcZNWMI3b0xxXgtsPuToLytKhabQ15icbQuAM7sW+QH yBdW7IFadHkTPNES2j3upQ/zomzcKwRBd6PbSGnmJfSDTJFryl/AlQqpuQIkp1qMOrInlP25bQlr JSOobsN3sOauBHzCy2TZkUDGYcHoMe7+lw5JE9VyXww4XvDLx4IYW336pejDjrpIu/SZoz2I7BWB Cs6kDqvPJNbi5xkdQW7M2R+P2eMhDu/DuBB6Mwa8PsrAt5oTQ5NuNJs0oU4feZKcbRxBzykBUyXz 8pVJdD/kr09rKWMnxxwzRD6BFgZqb7Dl9bzr/SEixXUzPJ9K3fnsQJYTdr7ZN2az1QrvWAkFNrHk SdfnuJv/h30qKpPQdtfTWAJXkdaYMI0WuX9IVfuFSbe5MASHa8DHLDUh8jTeLkCxzZ40vU4gUNco z1YWfE2RspQo3VUmfddicfs2yBkzPUDOF9AsX6XypTcDQrNzrh2i8tEdabTazJkA0WidXpV5cmhA Sts2UysxKhujwmeZOH5+yvZJ8Ja77f2o+BjElJlTVgAKv0QI3J4vnM0FadpzadgyeqsVDZPHGErM ebbE7OrqE7pD8qlhBaEZlPs9yvk/zQlDNn9FSdHe7wqy/UCJmrU3CeX8GRqgUWdtZklHTuXgCCFX 85BYuG1sdj3Xwuv0XLSmMZYepZ0TdU/CdNGktzT3x+xgnioNReUaScv0l3Nd/7iKctaxPCZSDyIq qVYq+zTf/LTnSheqpcgfqTx0GGWXE50LPUxrAOJDC3uHAXw0B/ybEJ3lwt5Mrotoi0VfZxSSUNuE OOMBOFHM0y3Hynxi+ZgPI80n/9X16kidsyTJh9sn+sGBRPNL+Li/nlyJzKSP6bt82z3FjJDZJmC2 aF5jsiLKQ6iGuRxVEdUG9L9/UiUgoEdzpNGkXg+Wlui7RcdoykOWU2F3zTh+sd0qqvJaKpDCX7wW f9rg6R31vNWh9JeFOroprNna50rHud9x57gqF9UicHmj/w/XhEoiyy+kOKHP3vh8V6ElnxoNOXAx xNhx12aOgguo60zax8pAMBWtRQ7Hvab4ov79hjzm5Fr9ofWRaY/0KBeqkbxO07X/jLLdAUg3sdE9 PltPXw7sFJdX22ZMX2gjCMWjewn5xMdxdDIG3UhwV1iLfRJQkGKXe3USfULIr7E0FtQyI/oQK7BK Dokei3karhEPUBWWwZ/PEzWrFkLcSsEStf4A0HqAxz+M/yuSzJeh7AtLCHo3hCiLqoZ/UyURjF3b xTNrIcdlHyXx+vzUhT7RW/7/5zPeWD2W5pK02iVOC/Z0lH2jT9pgxhnPiyxfJg3LWZNHySleXtQ5 h9Q/aVoTchL7MwjGTO3OvloSd1npvz5PWdtT+CVqRAkvOWhTSnFS4VIUmnHzp4lgraW4S3kDkX0T pcqxF1CKTApOSugOngzcV/8JrZ28pMaqiM9Npnt5zxXNkM6+zZQ1jw+e+PDqMZQa0XcQkviFT/e7 pmlruQgeT872jVLgkZNj1M+tx1Nspy769IxC+49aRc1gf/QeP6IAUcmo1izwG4DJPKFpINunaatF HZxBhN2ev7L4uaGOVPReAPiTmzR/0k3OK6gyspHFfWbhULqVB7ehwkGBQLK2ctI6GfwWpegfRrJD w/aKaHAfm7uMhFKcu/0gf/lQqpG7IpWoBQBB3W1ysN3ek/TDhmVT/ezdI4gcnRMhLizVhJVK6syB lnjmZXpRMAtyX5++jLphUsdm2bVkWVUql4vM+2zQdg4DLDz1FdKI0H4RW3leTA5SIbiyk+DWYVRR arrxJXb3p72fgh9AmH7Ggfe442LwSzjOnmwGUXpXBqbHL13LRttmQCKK5PTSyfsEmfJPaS8FCSND SdC9Wms8DUqjbz0wE5fHth0sde3EpIgj2j/duI57XlpDxyBmZuc/VAi5LJXDxNJsx7Fq7Cch54N3 sQyXxNNci49DbZrMu4MjQiiYjfU73zNqoEVtzanvi1D1esUy3i/9SMoH9S3+v73Q8rcM4W6kLKSR 7ZdcxWxpsrzQ0YHjMbrI3h1Wgu3LAES/BfJTK16H3SXlBKJpGzih9RiylPhyN8cFwGzX9JObyCXw ufAW6ndnsG+lPfxxO5TaN7gELNZAAbN2cMmUPwIzG+l2asThH2kpcgnlUWMjYmUaa0qvIGHddqQS 5h84Le6aHntp5wB/2z97kFcLIGjUkvvhhI8mgKTaYUu04lyCulW5EA02muzDDZAEuXaJvb3+h6pk uAYlsg5LZBbw3BK/ND1qDzrz3ngY1z8cz/1DBVbnx8DW2dbeM0FUmM38fP2wd5Kh2tFF2oreblBr y5AbXtBh9NcyHudOMk+ymSf3e11gw3jONENknRlLCXdTikcg4pv82ikeSUyTAI3RafIFWeSTxrOQ vIZrdXEVqZonKkB+rKCmkAt5EFpe2mFsuuiDmEb0bAHaQGYjLHuUhx3A8aJVS9+Lub5GG4P2x6Yr YVquKh+SCx8UOczrbDch4jxgFg0AO4AF8iunolgWjz6pRBkGGFA03ktNuQM+CSgydP7Cw6jFGSYy 5PUwtvNs0aK3iESJZ+1NoQMGCr42XbKlvcl7aTL80gKNcfJPYgG9AGeEf9OnZkR+FxgWAC1s2tez vwc2GpMfH6rVjEbAKSd6yftPXx43SvVF9CbtzB2TqaOa5pBMg1K+j+bRwyrZmN7zQjWbPg7vuCXR Is5kRBA2TOt5DSZNKTwy2yBC/fZGr1Ps2UXdLwNVQqcOSbDE5hOJ53ZKgzk0H4RILC3GfUrA1YMH WKYOyRczSSrQ41ArzqWGywOLJunIOa8Cvc++MwvWiwnf7SLG+ZVYwDK7v5rWU6mNPFPkpchNknL7 qbP6a+8xvgyLtS/X5ivWW2mmK2X/51QUcBYuwjlZCwnhei5cm+hIN87/JHVwBqKA+TEZJKFTgFMf yifNa8EoePhaTBbVENM3qrcWBnmXAJw6h5O4CJ05LFkGpnEumQazVjy61DyFK2/c9yYdJg8x7swO XTPWQmiHkiAAepIQwnn8TSr+DrFq0wr9wljtXe9BUR7yrKvlkg25901yvouX0bNSmnkIMmx8ClM8 nrp+buERaHGjqMgyTmgiq1SSz3kPaIw7xn2NwhacVrWGOY1iY6+/7D8dMSnq7asx86RWApyYD7Mi jcfvqIJmEiRmgNQKLjd1azM8ibgYPKjvru5K8gE+h2C4HU0e2YXB6MyhPO7l+RU07YSe8J/2Hggm oeZvj+Y1AGBVsndQIrTMn1GVLk5f9otkLluDWud28Wfd6OkX6ruVbvzpMCURWlmE/yINDvoJuRLS qtgqK7tK26zyOIUvpcxEEY6kwhWdOksZi/tc22Q+AdNyRBpuxThzNpP5KhS4Dv8qx0HhwEd5JOVF SRMPjbfI2ZpVm1SuOaVBBCvXJaThZf/kE1wjp9tuXzNd9JJid+9+4GJWFnrvspkbq5ob+U/VXodm DJVE5DyGPy+hsFzJixspMLcDGx4W4UZ4WKKQMgWB5XrL/e1MZP7nM2n2TrgTMZod6Py93iC5KowO hdxcg59eDoqEJym6r7g0SvhRU1xq8Bg7yaq/lgQmu76VGnnhT1iIaH5WtzXoleuRJmnpV/sE2BOA ush9BJlCsbEJTMqGvaM7O0QFi9vEmZFWNCMfo2Ez062iz2mJ8oFact7tcKxQPle4TlfdL6PsTfEf bBFBvTYtANAksmFR/NOWQGaigezCfnv3mlzobyRiUVx0TMz4NkTbGkWtdVdmjJtL3NdGC/buQ7Rv LKycMddm9u8LiXpvGAguS8QhByf9Oiz987UKIAOiaJ2g8trqsSC6K9ORA2xgMnxFbkVHdjnY5QR4 samHMcgqFPKODKVSW41hFHLVDfqugW7qVMmPq5yMY5htq3POKW/UN2C7d7Im/13bV1V0gUfHrsxw MQerQbT16Z64EE4jJZfxAxsy89HxZoeqjBS9sT9bHpSD+0p39dxKnKvn8BEDYzzv0ySOYCMUv1ma PSZ9XdmlzmHrxqdscQiG9E2I/J4FQDKyhU4wUP2CMlMg6NomqhT8kdaI58u71TxQpWBRNdWkysgi hpzUEmCJQ97mjq6lIBmLAkOjmgCI8EamBrVAT0yms8+FBNBVI9QXFJOe8vLG9SE12qFp2O6st5Ib qFiTedCGBnxPtQVaE3eTsHLJ82NvI9n0yVtoyPDucM+pAn2pPaPl5qvRfaVahLbPxvIIcnAZ08Jy Z5YUe0FoeWHHhlJ/PTgR+BFxAI4zO1mCAltgjtabPROxxZTN010/n/nyAzEhu0su4oRWIFF6uvHx iIdaktm/FsPHcdT4W05SHAMoceiPb863otwHWF3Ns/u0VbFC6+o8WEI4A6/oyMm6wQquIWUExwa/ j9OGumTzF2C3nNMpSTNligcfyku59YKxs4EhwHnKdhHxKHGS2xEnXx8TdWgigy/3K0fhRhJvq6ra iF8gSWFA0kLTB+7OhB2wWxG7byIhdcqqd1y2n6blo4LGfME+4gYKOKEyRBGBDv8sGu6IZMDJZb1w LNRLTjzoFAJzqqBYSkomBc9qF1QjLbagLrosqN+S6M637Num9wIwrSDwh8f5wK+2u6fmMjkRk92Q yt3z6yDiUY7RtrHClXwPek8mOdx+Yml0k8QqENKUfGLSav9NfjAkSV45IQG1N60be/FVdqZWD2sw +NeCws4eTVFnA1V3ZFtKexJ8nzcsZjaFQCyEaROYiDkqrrTWnq8N1mqbS3iLJlwJ5nyuJjZ6lo57 5ev9CCy923N5i2D1O3S1HPIHjitcKAi90awZMfEad91KD9Lm0Q+LXsrIE1tecX5VcdwTitV/BEgw aa6u8Zd3BZu+pXD49JQvt3bhYabFqkjpBHPuUmyAd89bmHK7oXNhPtasJRHW+GtHHzBlYKy75u/R W+P5v/ynH5Dm59sJTq5N6bVzmtQ9t/GqfOsnlOWObf12DrtwOO8RliKYlyFWyRtYe3Bae+udLFsv UGN6GlMsI9/wVsNbFSm9dl+qR+rkW6R8IGCN98RDgPBQhCQJunjU7SEFen0meo24qaYfu7ZLCjUC QzfPjZb8JoP5WbXUK7jgbSu79ig935WOEpRGW1ixtiwXHpKfRPrkPgRxOMUvLs3nEPzon95hS1HH vc+b2ugSuzHH0ZpCrpxzRPOllls/x4tqCBP+Gm5vap/lovx0XjXPpigfFohKqUfbuNTaMysBuL0v kAa91W+9/F7+bU42ZHRMtwsjI+M7AMLyu/L3jptVHDd2oQnDxRn7npOmuYPxFclqRnZBV1B+z5pZ awdB247/QMOYsMmdaVKnuppeGNDtxnACl2aZzcidxzM3JUIT5d+S9K/FeBrk8HzYNUrhAs+Pl49Y LvGC6fwTYnvDrX0f2pIIhFRTiYSKrENVnkVaeRbeVVeKIHExdJpxa61mWWoHjdaHwgjNAhs+GUtI 5vgHe+zUiYTNluMd5Echim5IG8wz1gDfRkOe7h0c21UYE1xkLz3dFLLcjoT/CIVFdabriQBAbibd Ay65gg0EPc4bE4qENOHx7SMFVtzAepakyp3pwjT6zHpyEADE07fqcfs864dk5OcVL123P9jGSaEi mvq+XP1ErL0eJt3IS2Y0oEaJBz7xQcPv/sdA1UbBUu9PUBJxFtrVAAtcWe8H06BPTZW6f1Ry2fAV 9V0CTVTnxszwV4pvioYNa3Zolm12/j/7ZMgDxbmxy1rCWn67izwl3DKSLzmg3kzCBx7G86M4EICt 5O09EhYM2f6k5+kqRUfZGYzQDLIw09IvdyJXnwzCPVaAPv60/owrnV+3w8THVkniy6nSPggX6vSO 7ONPkfuu0p62t71unniM4JM0ORDfBp+jtQo3rLG2Dv/XMp8Sm2aBOnuKIem3qpg+bHZ3+/Om6d3m GNyZQTPzvbb9HpByE/FqyMy+2fzDP8Zp7gb2t93t/SziUHO7Wkt0Ut82scioTnjx3ikTe9FVNEYB 3L+78g2CElJstwrFfQCsJUjjQd22yjTUEKmDOSxK/AsqJSd2weEsRBePsaN3q7khnbFHeFD3sMI3 /heZlCbVYBHnLdQKtOee0PWCxk1hQl0L2zEZQdfY3d2h+wwTJ06Kco4c6rMYJfJS+0lfPGttdV0/ JXYewr3xdEBS9gyWdR+7UboMCV+St+wMysE642DK7WNsx+5nMfu5fAb/WoB0kdosFchDfenEJgdN eeJ8tswHTlLntiuWv3NpIYDFnIi8vIQ9z/eEmNk74Ewf+Ohlk6iBWhYyeUiwR7uDJ0o2FoBvMbzV D9TFaSg8shqhZYOjrwkbqN/OGFgbA7w5NvSscMoXmZrn08ANWisfAHPau2R7CAlPFjIrF2CWJcjW WpjrX0wuhM9R2ZsBBoGbeuetQjWh4D+asNTt2ygRtUonlFeNCUE2xh84/bzcpX6Ma1M2eLimUN1Z px7BDLATo1jdoQsZtJFkF0ysf8POfL/XTlUfuh9MFdjuob2XzSLr1dCIrSLehHqjQeyvCNy/YiKY 3HLB6VyOAnyll7LjRUj29aflhLTuLpWrKsy2aiz2xX08uqdxTXyXjgtii+m0IbvW5kiieS/1FDJv cDXLmmULpPEn92gpPLuFJOKDboQvCtoZ9wq/Zh/rW1eKFMtTCeOVLB3/tC9OLMyO/t36vYPG7iH6 nuicIUsf/IXuBkcqdhw19537Jy2MIY+cIj+ZcF6gqtiJ05sld/74Xa52VzyTdPkCvA/tqPyPFccE zEF0IbtZ3OnFjWXf9NZDk34SRZLaVQun2zLrAoPd0GnUUlwiqKa4J71uHO4pNDcpNQpjW2Z1KlJV ZQNKhpaFvb+J2de0sb59EPbjuxg7Ao+FOJi+F5uLkl05ZVpumUL0bIDB7ewdBe7C6ZeHsmaPBS9W ybOZGrbMuaJ5fTtoKI48VVZBU58t00bXF7lSQugP3Vjco1Z7kdy5FJx59w/AE553opHjrROaSmtR mauxs9FjzbGlKgqnDcr1fbhWfhihYrHPh3tJHsaCJQVGn5aag4kO9T4PlSlqsKGHCAxm81yquf06 h87dEZqBA67rF1EuX0zoNbSsqE2dsTZok6SmI3NSGnV4QfQY+hCk78UDoos9clm1YSXOJILCQAl1 JUa0HyTJTUWT7WQ07OZtXeRzQbtnycE84iIlYyWeIP/u2e1v5aFnDw50+owjc6JvxFbNBalxnb4q VQ/7Hdahzs6iukYN2UxfRPF5Pjer4OQwbP5PxnTK8ODpn3Eqqyw3UksMH8l1BlD93LU8ZfilWvmw OKGpo05/hpsm674vJcfqYRqCh3hTmqUy6kX2+gKRDe78m1yWQJ+ro+k+983RMpVkVGWVLwbqdj1T v6oJ0JOaxOpJJ33NAJRSvXfyAFbOKz9LWXXha0iO89qVKuh4IrzFR/5qUKwnKHNHNpqUTa+nn7m+ wO9S5yI8JNTD8laT+m7Dvhg5AIwvfNufjSvs63gg93XAjcBdF7KDvIZhqVGLptxKua4hSRq1ztwR Qe/dAjFB7PDtYgpMB1+Pa1cV7FUme8r2Dk9QmkWgz6XnSbkzIFXpH2DuA3X+NtKj73PJm05rTsrR FpRNJOg7L4ZeQNm9+7xLCE/GtKpxkbk2AVAV5upfwPt9z7aaNRmNigv12KwSpu5wcx1P1hGiL8KD 6SnBFmxR2ZU/Lpd6exUretHO1UHllsFA9DjZGeT5QtBSIckssIV+Q0Fq6mQEACb10zTv48TqWTrF N/DWB55Th6tp/zjdaiGzWhrqfshf+wL6vxsWTy/v015Gg6okStRUpc3U+J2gzCnPtzxZLnC2z1XS UP1D9DyDj7BPimOd3Hqn3GYYFUw3Wm+mfgzMd4XhBLTcVadHdXp4Svc5UIci0XOR3f6K3wo07L28 uDTFzwJ34hawXqMlkAaI2LSYwRwa0MPmRknmh+9E+vP/NlS4kl5JSbYNYTm41SwHKkNiZBDQL6ff uSXiW9DyysdhmdbckrnSAUJszBuZ2Icuq/m29v/DQ9f75yRpvSbgmw2/S7TZoC58QAfVWWGp51Kb VOTP+g49I+6/n3r7MgrF1YU1i1VwZUPZ1ODcoWFTRdolidbcD8SkpXP5WVOen8oYqG2UWwHH0co0 SJm6ACsyMskdVI2syLZ+m+puWbKcrhKdZISmnd/U9++ml5nNeQFN/YW3bqE+rMGhPzVMz16GOHB0 Kj4Jyf+pKfuGPKq5HQlwTvDqSmfQ9+P9371GI5ZQqWJR1fgnWuLzD6yGgdHXnXhlFSaev6UBYdTG F7P0WnfoJ9dqHGoEnyLTfeoodCgt1b+4fS2ZQlolmFn77z/9qR9F5oa8zEkBdoZV6ryrXEiK3DdQ i+zqjcnuWbk0Nm+q8zLOXEsOVh7FWruAfZeKHvd82HJDSaJnFKDwAUJrlzScFW3tAVapWNu1gHqZ EKfXIUNxGcLI+CNdZCKVq/1CqEW493k9H7Rk5/HN5a1niTPgz/9zTomltPNObFbiGDDmLZDJBiON nqevMipSf1ZhoDwgDpyYYW63hyr7f0bWOcHIFTyeIvZhW0MnHMmSYANVC/pfO+0yxhkV+BNAJTAw 7E7WJBhDGKrlxwOO2cqr473hf6CAJ/O0CmMKhFVm5jkd/bJCBtPetiKNoXP9fI7gsmMgwzty/zQG GC0jQ/odA/sXb9UPCsAoCyv9v079/7Lm/f+tv+TIvNfYmHE88dOg6aMtXum9455Wc+9X1AcHUQdl lnQLLZjHAct/8NL1lU94RpkQHAi/lAO08m1lrxRjsaTLSLezNB1JANdNVtk5wg6k/z0EjjDfrIWL fDdK0/4ItbZFnCA4GmJr2bmEMujMwE/5EqVxyY27kct5QL5O/MwpMJcCfclPrmBxh6ByiM37s0xa Cax/3wCluOAGP3I4SyqGtvK4E1HusvqTXmeTB4CVdnlVYs2BIA53sxRuf/UX9V+SpNRpacQZoDX1 bQH8i9xtSE4rqmZZD5OKS6qmi4pLX8EX+UftlBFiFPtZx4b+70qD/omDcPKAHitG8JNxNP/WKr3g vJuqRVi8wecLKWSBBaoptRVkU1m083c74NT+0pEdwuU85nr1PhRpCvJu5134iP9g99l7bX574M7p 4JLDK8gzYDrZnv9dyKzdWZ4LpyWnT40li5Z/uQuzhAmCLKV4GrZf7vxDjrkwez01XvBsaghQDuah bZwVdWdix60Y376M/csUCH9woSRtRRD8yUwGQ0ib0QV8r8nwAFymG0ihf8TDkEIyjgYN2ypWUJ2p ryA8EnmT2IAehiuB1wPD0+xxqgZf9JqqsPvRDpo6X3DIcNwqGzlzpj9dIYnB0SnAoNjeYo95mZjd lTvSyEgiTL0ulKorsmSqLB89Yj1c8F19TIWHByEzhTw5ow9aAAYFsb4hu2aH/57oko+L64tvGxJR tbR7dmrB9Eawd59lG9ijNhEqTJH4lrCImkmpvlm0Q3TAl6TAYuOAMNW9Yu7cFuqx3p3HIIvEnhLp yUWyC1e3EN6AaC/U0b94K70mOGOd0J1qm5hHu+P0anc4BiB7HwfiwG2H7tWEue9QfmpPlJLR1ycE AiEsk9X8WqUFhHmbEzIJi/J7RPJSUMAw7XqlxPWDMfn1MbHVWSs/cw4KiJxp+RDAz1toLyWpiPDY fqkUodE5Bv9fvL10YpRqlyag9p9xFJBPdEE0B0Y0lNkKXZ5EjFkt5yNHVP4RGGmoMy5xjZmKHo2z flUGQxFSPxprEvsG4MsY1ti64h/ZSBGo9EU90tmSHyz9zUXviNbJLeUydTcr2w+mnzZYMyfr+QlO lXZSlGL4rClaOIH+PlYPjJThQGA3YneTtIt84GTrTFhbtvSsFgUhJ9mnzHteJnFi0uVNyg57K5ut U9oT4vpUJ65awrtBTQLS/ptGboVzOtODqt5HjCrXPL8Y3EJQCagzdReOl/BFfORdO+psRk1vWbNJ ThTckRrcyUJLtzy3OzbE0W56c3aRwaEt67VBEOjnQq731XkuCS2uhgoTgorL5X2G2jYpiPHV3z33 uypeQzAX5Nd76MPolHG02BM1Ue9zqX07il/IxrjXZa4I4E1zAPChYz9DHxKNAnpOEY1ZQ+lfN+0F g2bC4sAb5St6ZTtKBuhL50BOQeIBmxsFQYH196E9N6R2q4fq8Sxizz+fvegPyFqrwHMsK0DFKOZn BAq4tB+Shj7wm+RTnzyawR6JE5IewbXUmPFFJUXJUxYBT3JRkiCJQSl2ywpdk4u+Mp8n9Ret2y2q M5EJAfFg5WJG2tBm8sex6Y7YQs4doJb6xpcl0ojcT+EuDct/w1yCzAMoe9fORmfw73erCW4DAbvM IigWwTyD8JHRIfLUGYpHWKAwU8ZOwdq0SV+NQFZrjzY6q9Lhog89hnPcXDpMKs10FiiqP7jy9tLh pJir4VPdoBx6zcMSjAp38f4SpooA5bfRPiciaagPPGfMh+H27dCPtNkUOG1tymylDwjQqLSl1AHV opEW4kNXdteiNqCpYjXa81Ph1COZ6VTRuuXT6T/6sIf1gtMkKj3bGWCORHbSl/cbtB5IuL2Q1U7A /RQSsq+M5ksBJr+sCoI8tglRNbwfV2KJm3c4vGCze2KOcidkfEmBFXEssk6l4sJb8eq3wvbslwNS eAyL5uGp2wp6bVwoZBz9ZAxymbGrEdigvM3oWnB4Th36YMwFnfNac2D8enNJoGtC0q1vBleJCkkr wd5xuVJ8MiupIkCvTcpQYqOYAABSu5OfL3yoH5HHpAnGAqNwS6MLzCteX3AfsX3nnsYiTpjkxPJe vwiYfL246axjWdic4bsTVNAvEvacIFxpZvAXFHq4x4rWTnTXFIz3L1fez/gY6PfUqcEt3Nyk+2Yw LzwxPbTpNrAhV/5c5/kQDDFk1g7ZPLInW8UxmmUdbHy4dL/kR27jMtpM8Jpx0oH2WJzz2DhVMkCc fZj1b4P1r84ziHBKsn4zFUOTj53ApMlYrf3FhJ7Z0Wz0hoV4bPIXpyG7fT1drAv5kMjeITd5QfXo E0IY8hlU19wD4tCAhW1tlCPX93NmjTlucnglSUC7ui/OysZfO/rUxcOFqhWLYRmVYhaeBqCSAFlK y9iTYZCBnpKdpXsNOw0r6AZTmb93YRahdw== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_1/blk_mem_gen_v8_2/hdl/blk_mem_gen_mux.vhd
11
97172
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CQirmkq/BKqR+F2Yg4UkWTIdFrTRgyk4k2iLzfwHOmDbkUM55Mewqizh4+Lf+dmwwhALeC71UJDA 8mCAPTmMHA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BYObDmDa8ddFsyZLooUzpHL8ns08oRugCcZI2k8wJ7bPNu9wkzUe6gLxEl5Rus5mNXhYLj63VAJ7 Iv4x/x3ytUfhu3Rr/6uxmrwyULLvv11XEvyVGCHx4t+Dw8cVgkM3usRkRQjUSA971GtmeHD/8MvS cZY9jYskPE1Jpp2ln4o= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Kh2guWzq3vblhcxdfJUid0wZnG6MC3o3a3YO1P6t3Zu5fbaVoJKIAXW4U451VmelQdzOKVHousSk 45IlwBxf/RYbtIg9YdXFrqworoOKKYA8Ps20E3y76/ejy57L44f0vm/NoFaa8+RGMVOrMaXWkAX/ 5m2QReWMg9vAFlHZfrIsQnJM7q9vDbH/9XlzT7azdJd5gljApTrMFtiNcALEiKPoDWNj9DKTR/5M z6fXEbBnQi7svJI++6ajKLfscdCdmkML0xv5aJaja/A6sBU3ZyweO65mSDcAEiF4/LGSrOI+kROs k5jflROeFMl/1IvGNyU4OxK3jsBOPTmAsiyzeQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ybTxbKW8ZHek5yeUP9rGjX5z9pX54PPpKlEu/sk4QGiMGrMi9n6exyltQw3382l1i1u3uPUdj71P S8JZfrL7/T6Wx0syH1SqEmm7l5ELtT4AmtRRmr7PjBfr1/vMkuv09pkrXQw9kL/r54fCF2RBbGri s7+5RYH/ioAS6hXm/iY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Lzavdu/+bN8Q3cXw7+sJQn/P0yOfwv/fFtLcbHiKvjYFgahsXpekRbm3lL0aoljDYfGXg0j67Y/J CJh77b2zEDew+52ugEfOsJOLiiRpJwaOJF4CPdnGFr+y2s/iVHaTGQEUZijIRl8qTdOe32Iiq02f mP6aA/zLN+yrK+T1T2VdR0v0N4rZ0JrKgq8LJ8s0nyhEoYbHdLwsd/ZM0u7jNcGRN3tz50VSRBLJ ZurOPxU3vkWwEns7DOtGOqOqjnvGsm8xpTXRyN4dwUahlB3pl6We1goIcvF2Q5RgulgpDiLlxbfg MhfQJZhd0vcYcyGscC4+SmhXhqUJfuRf0w9ZTw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 70192) `protect data_block qv8DX7tqszlAnrMMFQvAyjuEgPBHuTi1RS9pXGi1unSWEAcUzGPG/WVKdzHgqjKAr4iiVKlxHzJn h8Uxlbrjn+yPwpUG1q4DV7dD+pBir0bwDUlYU4hNcnWZt1dZroU0hyvG2tTVvowG7er1i335kdAM PjbFZs7EWvRnDRRHx10w+3OfT2mqX1VhIcqEuQlp1137UhpooiehRLpFMH7ZRgQkcKoYfaXEQqnQ IUIXqzwshM8EyYFu7vhzl1dcgwuBz+zJe4xXjRIPP9p9zD97KGqUIWMtW/u06XQyn+DAXiIbYAdv XPH/V+4cn/tM/L7n0Ruy5LdSgVYz3ETR+ap4RaoKiSQpBmJoz0pgsipPeX7eL9TvLzJgtPY5Niz+ 8nt4dro50xmSfQMtGbi/bUVN+NWuzzkbmvFpQyxcU4pfVzKJBWQEQ4es9NxRaNZODhL7BApt+sUj czkU7A2LmTxFvMiPFim5VCGFpYdUQJBlpII2ImAkiLermQhLeaHPwH1VBLHpVsxtxPvSBRj5Y9jO vfOVbCifZHc3XQD3lc3bV/kEjZesUd4r3CH6oE+yNkwiudE3Z75ECvlQ2EGSJ4IvvgF2yw4hA53J q6jNtwH0pfRSBcufz9ufnLkTLsY9qgu+l98leEH4Noovj8s04hWWzIPuvXsRdxh4UTh7nEfavqlY QXRlge0hBwyvwDJEfzCVOn8dOP25B3XPE+0TNyIKLihcradJ8osDIWgzeL2oyjRHGfPnKw404eRS fQbWGeZwuPbgfczaJzrf+v873pnVMpfXyG/z+TKaocSXUiEBcfrZuZyKkj0J7YihI33TZvqwzMUX hXuqooGTfL7AQOzU4c01ykL+jWv3UsYIzz8xk9wXcSkFYg0SlTLdzexkb1Ypt9X29I1jRESw+M1J KT9KIaCOkOF8pfXXRn4opxDfHzPGfULR52vhI8+zlzfz2SIfq13mb54DWslnpuZIe1wxuanmAOuw fcmIaX6SusFhACmBKKFxr1PRBF2Ca5nUZ1wjxlSJFQAD+c3i4wa2MoHCP1pZ774TTJjBbXqJow5V vreoe1Z1sgbxATUO1nQ7aTTBq51ls01rdfRy1hsLm6No8bLNmWbClpOf+gK0y6zR4nakbzHOLWru q7Jv9X0B0ce1Zs8JqTlpOecN0wITXPAe/1/B5bRdGkGDRq/eVk4QrJI8Tn0W+L1uGvdnSHhekusI n7CEo9hk4crKkMi53eVKWYCCdVdKNZHuCUXBokxxzOY9fgVeZKIYeHuMvOcQ0kviYtlLOzLUuxmR cORyjkxsOhH2+3PFMh1VbFjOfMBdkE0j/67ExLxaeZ02t6L2lVR/nvLy40KN63zEAJ16PmE/BoTz f6LbSRwbBKkBUypyPOABcN+42vvOfCVMtUv/FA0UqLOpHMPxG+iRl+BTRG+yiuLEI/hgTv7tmizV UBLNa7VRY9rdJPIKHGhnFxxHN7L2CqGAuwFC2MPoycBgVbINDKlUZgWQyj9lwgYqfHygkDloWk3d vKXE6IYI7Fnbz2m9gzzfGqnldHVsulU1KxW4EJJrhr4m+ZO3ci+6FePdx8fwgN8IBklvfk6Y1PT2 SYLrGF4jJhuW3AWmQqbvbtv8K/v5hE70yCl87GoudH2SIwz7tdnPmeiVYpfP1WEPEtUtb1/fZHbq umg7we4eCW4YAmysVv9F7LqczqzwlR2nq6+PA4VbEPKoLaA9yXkMKigsoGJX92JYTzSGR35jML+K wahZviu0eYtZgPsEW6fn9xVUjmvyeqmfMoHaD9C3hWdyaah5l+g4+HT30Rpr0c94NGaDvFZ1XQEo 8uuf+0Pi7EYgRC/XJ5a97O+Qv9g3udlHTFosjhaVhduZCsjeY9RUSkFRW7NZ77gptGZqnW2bCU4R F6W08Rc01f5CyV6PXM+u8X/peNmhnqkN0JHsaTHJpu2tAD4PgcOzhI748SrJHqQqjd/uXZU9Rm+8 6YmaTDIok5CBVRHhh+fRVLR8igOUDbHytW6IaYhc6tc6CcyQu0r+JuVMvg4hTZ/AGcLAP1JR/DpI OnWxPakZ1/dO6eF3kLz/+J7KOCn7HYzxvoW0uwDEvPgn726O17G8PQXYZm69kGtutGk99OXoqTnQ uoshur4TIqz5CYrAyfe6ogV4pmw41x6hkyvEju1Y5B8EUTWnHZ5XmkHPFE0WOXVuCy2ZKAu/dn3J Q6u6ZjW+RbLdpOA79PWVInmWHWgRK6LSsKzVCkBxcgjQOFAqrhhBbbHRddE6TCfFKRxq+vbDyy4P iZ+dhhrIxL45Yhtxkzg7LcJRLWels0ALko/8S+HkVUkkVAlW/rVaeA8/H3TNyf9FVhjPxvThO97e 690xZJk44juOtiYybhm+rV+C1YYNCHRsGij9d8y3Zv7GPAuxou8oC98bt7zPOUeU5BvoBwaSVBOK DhIvgr/6YoB1DaZ2VzsJGFL2yvcMPpXzjo3Y26v9xtIA2KS8Y1Mn5LXI0hNxO3dpKRIa3R6sTJrc En4161UNxJzOvOyAHVRVA1RJEx5cXeFilNdj0VaIwprMZRsZDNS94lPrKzmiSu1QljIWMKWEk6DW YsPcvGrmi0EKbMBfgPfYb5sARuMn8vFg0caLhz5kfpaZpcutCVOD6svxbkzPHoJ4iOg+HvpdUf+W IRgJTmV36pNr6MX5OsLZRSjSIOCSSZToz+5aosRiQdN9FnkXRirVqnI3rwqMDuW6ra6InxSmdK6L 38uAocR9xHhHmHhmeb5+EqrYx0ClkYZumZb1A9thCjYCNKLEnSSmul6XwkEOu/8TjhvrMO+6Tkg1 U6mCxjbqdbXbtLhKnfpF8Wk1AFLLDBpPsZ9xHCzfsYGV0vq/C1keKbrNwULgUK2G9s5sf0KVqtzC 4OmkXK2+IZsalfXpGK70+uobN3HBM3Xb8FoF3ZLu3wwI1rIzJt/biYSd2nx0uLEMQRC1Y2hzz9kZ Df6Z2bvh80IRUGTFrHGLLFwSGq5/iqWQbaxyyLoeLuuEPm3x2OdzTMTaH0f7fLjeSrdCIIAZzuP7 /YDza82g0+PA1fVvzs+Cod4YjIeXIOM0iIlvEwamWjf+Arnt0Ve4IfEm8rcp3r9+U2ldugZMayR+ CmBYLWV0VeZhORVSNr7gfgnJPWI3mEI3Gr0YqAGSUhBjhYxKflFWVRWxvwpCDXWPvEQ38BOU9q7b feADo3ReahuGOKrHdyp11JoYl7BQdwSaq1is0SB6qNybvv58Cu+yFVDLMsI8T69WVG0yRics+2K7 f44nUZThSK2fbPqAHtLcwHlpooMygWo66fMMPXbjnvoO6FFpKlsZeBcG5BXkIAQGG9pOcNucko1C qtun73E67yLuH3WqeBPyTZ65w/we7wxY2oRglWmnuMkxkOMx+dfPAB5rDBZarucamHmKRyicC/5r fo6VX6MKPxxV5G7KVJApAGbpu313uEG0dzIgiJnKtd6yucun3mrNcvn+Kq4AhOoKqema9ux7Wl55 iDMQlm5EFJP/tIprau0QfaeSvGEMTLEiUFvzaM5eLy7j66QqTgmPyAfCyuRb9HFoF09JNIfH7nJN j28EglvIwixYRjufkjSJ24MdbAKV3D54rQ2whcRQaZbrrrmyzYnnCfY+Z8Wt+vKeaL1glDGugAQX CE+QbnEKrqbZRlrtIMn8iD7HnsDztHDAEJY1MZM9rXrjA+vlUWmsyMZ0ekZBVcRI+jgj+JCkF9FV LfjOezdmdEyyzNsCq/YmerRPzRxNZht6zKBAKy/bJkToiqA1HWBMnMNYimquXZ49dMqWq7rn3oEK AkfGwVDLEXYWb52umf1M82KFKqO1VG6e5CSiBmqYp/9lnTfZRuMQpqL5PUcsyIYZ+QsjystZOTQv XMr+h0KVzMWPxUh+jizKjmTPAXgRNfywkvYP1ohkh+wOyTTRv15WBENWkarUHMTndS7waIo6trO6 Ud/KaBiGy2o06UvqFqIXmWhhNiDVdxSFiRoPhV/5wxLNNnEr7sufb+jpZRHFxmBcd5Bf2WSnAh9s p4/z/GaDo+bHPl78nZDXQWEUp4qP4i9TPVW1qHMy7Q77VZB8meowo/LYGJtSbpcCd96NHhrWZh2F rR5N5kGj35vAfQ8VObyO9ntKXme/RE+vvTrVn5ywVue+0jeplQ5YAR96RYBGFCbIy+pnh5RrWeCf Q2yMOyw9HreV4eAEGK5KMNENKuSItNcYD5jbRIYy40PEZArNXedTtcXoqj/RliKD85rahr+KwH+3 Z8177wTleHXMhVkeD/uPHfgABdhsYtdeKewCQLzkupbozJ5US/BInf7r21H8pQ2X8YklS1sb5dDv UiivCNwPdyfHLOw375Gs6VaKUNgDiRr8BI27CQhpJP6odfxn9DNTGyFfcKvsTvE0Kec67FAX0F1W kpOiKXJgtK2d++1oJIr35VObu35/yFWyoLPbU7UvWZ8P2Uum6ZhujUZFTR1mK25XrwDZXviOrcfI mMa40BHwoYC6gB1rPB636iB4dOvhDR8qtCFDEIodEDGLMzHFu2DZ/UIVG2AN5It8o6luXqrxv86T bnN+F2oUq2+M75TIECsSfSloaASf0fz5HNvWww0mkYhEXwtqc2Nyr0NSCQ+cigSwcAfsElm/iIE8 YuTzLMGj36kaMKqvHNF73NIx2THSfKNGsY19BxZZYyW21SyLedKhmP11tqXz8Un21cIWOQ+wzoXS a2fdJb9tNYUZ5g/febSs8L/8VYvpvREhaL6mNTxmSpQSki0Xr8JmeB6FTYSVzMIrawY5x+yWcT+A djfCn/6yLqfogCq3jxvfMgauQKlqrEPGPPTpU9p5BSDCQOdl+GMbxF+FhQG6QiGVZWNZyefIOsO0 BtAz9h57dEl1kTXF2hDT7CzJdz7wcRDV/AZEae60HElg3ydM9KNJtLpg5YG0BdKRWOK49rMKcvm/ id8qvQa/jHv5Vvad1kbXT5uw1DS3U/dSyxNIjO92uXIf87VixJ3lbmDxn+vzHE2I/Q6o3XUQmB2v me3L4tTzOJyPmakDA9JrO2dvN1VpUDvkVPiyivbWdblPbTgEg8X9a00wYseEj+ucKtt6F5xidprU dlNTs9FKvrt3aMbjjSKVIV3SBauK3D6z6R+XO5u1fPjKgaAfZajsfdUoDr5V2oF5SC8AH+hJbVf4 WLjXE/oCRyhSKCiUfSa0BbWr02lPXD31OjqmXD06rf9QBj58i838xFyEMRNexaDEj4bYsS6o3w5P Y/X1g/XqU9z2hqNS8OT2Cst9jiU7+wltDb7oxCNO3fyXkzV4cgtJplgSqCOVAgCqnHqTfhNhjxgL gdjfOOKTBRWlxLesNmAnWPhgCADnG1wWQjUn3cWIIK4kROfEAsiOfb9M4v/++8OtIa2SPpMaP+B2 Y+tt3fVALgFC2LlkPOAMTHq8lgBdP2Sy0ZedigEWR0dooeMJTwHBgvaF0HydfwvxTYGyPXxugclI oCKDljBWd0WMpIdcNZPhQ4lAJncet649qlFT5eIDVfRXL0x42A4bYgDUetRt44em1tiQNram+vot UKu6OMM5WrauEtKj/JiB1QrGowreNyJgiBLpsPF17jB2zFyfa7rg7gnLSqZHPTTUmJUCCyGu8UMA gQhALOFZ6BXuzTcon728E1uFQh0PwZX+v4oBy9iP87SYXDGEZXFfxoppEUSjTrDHwAZwwbF/JRMU nC+Hv1LkKZPEcRIurV3nxS83WuOBkvQUhHWM27jSNfzGoRkgJGR9ATVJT0FRCQE+Ir2wp6saFEWy FGn8vvEmPUz95zP35RRib0AiLN2QbgfWPQWXRBgNRRdgFIduR6ybL+axuR0tF1vnF0mk0zHzSjDF TqrN8R3Xg4PSULdcqkvSRldOftiOReLNLkg7GjUE+ZTv3mvhTgKleotb5epgAv1w4fiWm4vwTiwA kqDzA0leCha3fVsNZGjWmyRA10xng73QADPzLUS0wN/6UP/ONXIGKgmVOzmhFkaIY/KbkOk38l8r pMPY2t9JO5vegVlWwpEYLHQDS09T6jNgpmPDRNLuyqRnOFOnZNaCP4GMN9N30Ipo4Z6+mqMEWEgk ji7TNXrRyZcnwkYUW/IHFGcZ0LRIGI9QnHbZOS9Hgn6Km5EsksMkKfdlPnTC3WIOIuDA6vm4guza upVrClGWFBT5TgrCZqggdHBd1T3xy0qa6cg38NHK6ddNBDKxvGubl0cBI+fMFwXkjHnNG4zUIrXe bM8cd4VcLIA0aGiWN1Kf6UhiKkXR53+FfyFrN36kGUHbfHcL0sXT/DVccuNZZg5oBInjzdROKsrK eldt7S8JFKUis1MRZG2oz1bL1Za9Im0YGP9Ysw3vp3k5/iJJ0TDy0NcrkbwuLf2TfG50NUKXb129 RFApJXDELmhrtO3TCO9gUcQ46pnEWn6hIEfL8g2rumy/CrdmDZvqHz7MrkvUYFVUJ7Ekxn9mxFLc esPouePBQ5/2FlhLoG8o7R/URMIsAb8c9v2xYwzjhej9rrxQLhcKqOoKiOuhzpfG04WCW4JqS5/t CG9aWSH0juyloYIrCJN63hIoivrpuDgMTBlqJHzmx1il0yqVJbDOSiwkC58MVAVdNT4VaAeHFHtW 4WRydsm6WLBaViJROzksFMhw7Cr/fSPVUmrCpZZETvf3XSkyyMi7g9InwCLFKPEd4DU218FLA1Hy sOgedNUatDGceEPkd2CzLxAc86P5qTUxlJfLiitdxAtASYyppgbd+ODD93TfeHtVLf1elOr5qO3I gbVYSZylGQqqD9QDDXEVzD8mHxBkfYJEmLOqkNvUMt5CvXt6CaSG8zhvM7YBjOESp2V2G7zpCzUV bCc3Nw0b/0QyHvwPX5Og2FqLiMUddVkkR3ETDs9cW3BihkcDAwEOzY1hZRvNZeOWq86BK9Xodnrv zAAYDiqXvXD9SlHxAb+rGQ9wIA+A2cy0e8HWk71SjX5PjM/8hJYacDhPrs7tZbiWXRTN6+lSYQvN /97yXFf6/pqKP0fCNZdquL4SMgO1Q8paK0pRb/b/e5qX5WZcEq+5e5SFLX78oEcObd+FjuKBhQ+d fRFrQ44/2hzpQ+aiLbEXhu8k3uZO3EL95bN2MLj7u2HyybdF+V0uZ3MnyJ4YybweovqCpW04R5bH MGVs+7uyaHTbRnRhK97uxESR9Uyms7KDHkKBhKLDM7ibu9b6uFAuvGleCt8gFQAT8lBEk/6fkFYa XN3vlz4DHRCF5agCLGvPVNskhBrYDK1bgaZgNtkEAqxMRwKvHvsescRiKkjDMRRoaxhQhRfZQoRu R2jONlN50r8mu+1Mo6m+5yVOQnwD+ETWkHFRQiyJqj0cSqMHB9RRdhoN+KbFH7w+uiH7xRFGSsJj voy0BZy4UlN+fuVjf0568erCsgua2rK8OwZVFFgZVbqqff0UynbVudfdl7RA+Y0AJPJGUH7GkiiZ nsZF5rywEVAJr7aP/qpVkMnzdVNMzn0rIiokV9puU0Qn1OLamiCdVuQ189I+Bt9DyV/BgWTikoX8 3ztqk0Rm8d3Ia8g90E3jsdiz6qfWiiSRLjQLN2/IeNh9g//p683GfoI93Mu0I0GMJOVupRYgde3A 1lk3PZfX4q4JHvpXSEXfRuc97HHvZNwoL26g0384uZUJBP+s1PWCApOYqzx+8A3XLAZ4Lac0Ve2e hICQGigqJnkLBbQywuc3dw/J9DIhgM+U6KKTTUxVRTmiNdjJ9MXsfRYO4jxHNgaM4m99D3hFl8Do 3ggQkryaOOOYuea9abt5DziS8OJUQdCvWmUk72B87qKcKaSGkAntLS/FOkoRsJIkvj2vwHW0HSaN qCfOYKLugNOJroUkmEPPOAGraNyeiR88SKhs7KVNlqZTabZe2OHWZpHd/VkA9VD1TFj8U5ed2dX+ 406mF2PHApW/sGi2ds5qMutBvxKBk+E9Xk4q0TbpLYoZ/TZYdoDPD6UwVIpE1xGWtUnLPxJBy1+T 8NTTqeZuoIc8kjjFmRoOWcDl5l+YpWR4TTg5kXfYmp5dNLg7nv+2uDmvyYhR142BHgVryMqX3ar8 VZecfaBgYOprfBI5L6Nv0OkkrWQYsgJagu5wOY6qpUyVrXdphJR7inLM63x7Co+bAEckz6kxnY5N XyiIJkmDaRKatYu5yPIe383kVWmt2V2SQ3R34tQlKJk8SYiOLtLRZ0gd+ZDqOreu1gTU5f3CBH9A jVJuyqeixzsh0qUT9kWqt587XyofYKBz5n/Y65GtsKL8RiuouHmxrAYmiGzxf2ulN3VckDwYxlTU cmVYATye7WqBGgu81N3iJ7/S8VLMVIeHFngat6keWCLmQ4zEgsuF6CsUG65TILcLkkPDKH7trEUj Or322UNBm4Ztfn0z3Ld+S9G4bt7FuvSYuCoLuweoaU9qwOp2tpkDqOC/38JCVtDombJT9DR4MY9i T1o5X8i1U1zh6R29Y+U/2gykGyCf7rT4spHaV+ykejC7UTzPP2EXoW1lJsk1/wD4whAghB7xjYfn xDihjonkVKOr6QiQhwHXFD6ZDGj7ZFAm5nvqCEQUO7PZ5taoAcMCJfiZJkXQVMqIJHjlcC7lbHbh rvfGsd0WMjZFm+PKhSFEQOgqsJubsTDHWDdF0tC5yXTTchS9q0hboGuZjyVpNpkM6w1WakUPKK/M GqBaF2U4jnd3t2glOS9PtGrLRqwq4fD/x2pxPxGFDuwbsjNXmIiJarlobFjLCiTNdlNkRJzXPNzo ClrFmGggIvPJJoQtDZ950KM5YLJIR4+y7Eg7RCFEvQNig24/9szBdFWVhulC82LPQJoXdIVZg59R wXTvn0yQ6sedmCMs4CcQ8Z3lcANS+mLo9XdRYoVmNrHc5GYtHUK6bt0vV4hN+bPDbvRTYV+yQCbS PbwF0oG8oYje9qB7nWezSnNX+0bdNvtC5IBG3RDG9AdRqAIO4kKXMeSvQlR1ZFypnJzkOoWDbqVi wTaZM6747cYG+wRA3CYp4u63tKbdO9fPkWuS6J+Me974gN5QUTxUsDKs4mBCoW0J6NjTh7JO57H8 6Guoj99uyo+k9BZg15c3AgurQLzIQVS83N1R3NnXkMKeVcxdP9epf6zTW9nE3xRbq0CJZRQwIwNE ry5UGoYjQxWrAu7GDKPq+PXz7eCVgyxyxN7Recfh3DSDbmb7dSq5iKwBY5coPKnNIi73qiePT3Y1 5G2sTVobPdcU8xOGPhxR8ROzs5HHurcJkGrqaA6ftK1RZwuE95dSebK6GggIwuyN2RWewZifIS88 PUdTW4IKCZreYoManDhK28jXrfhxgdbCZ+HMc9bcKNynIYJTF2z15sFQh47kDFAxpLRUGGoZ4oVq +2496oY4yOxhm0uL3E7/2OfoJ4pIityl9PSBjH/yna8efxqqBxs1fyp933AuSspo8IdmF2TnQnjS kzaVLpBDBiLKiNP2GWgaKM8B8A2y26zvXTc9VN7sA6bGeGpi3U84enyIBiOHuawnUuqj//S3m68e U07Pm6wvikeO7TMoHUrBzuUSOKH9cY1G+zuaFq5SEXsMKqV3O/KIRrIZlDG0uDyT4UQYyOIzHbUB 9u+ZPiVxxvB0nPZimP8mpmEZHINH+NTjezpdOjZraVsos2Lt/+eAabvfo57GewJf8nJ2yl2NcxDp Tr6wOoreKpcS6QOzPad9b5il2ahpBHOaEY3t6S63gvDqnXlwbSbXWXLZ2mIFXxaMeyNMYCn+K9RN /7aYc7WdlnLRUYV7zZAIq7sIOVVThlou/VoUx3lbH+PIT59SsFWLW6Z6lZL0i8uvGGDpibK9gra3 82bgPSA5VFnk/5Gg7gzHOvCiYswa9rGymgP8Fga8MBuM+CiTcwIhSLxLPGilXqiK5uo305eLXz1t i8rSyF4lVX1sPTl+tds/aHJiaoyu5bXbTsxsFRCwV93m+iv7BSnXBh8UTiI1tvFSij3K7af2sjYX 4jYKHHlTk5aPqRYXHs2nAVTEP/oqvydbn3pyLN8NTfM0rmp3g44nTmdTI+uEjNCnbM5qkRNSNUqh laNBChHkfyjNoq2uhAAp1iWrbkHsRigDWynW9tUsiYdpzNx/sKAIim+pPl1NjLHMAeDETQRL4B72 TrNDAZaFzDQXoCSGEpTAhFis3/ML8TN0ciZAQXrC/bpu1k+9fyxeroRzNRmQOMzy8zxZSwTJaRLg DYXwIA8jozdImI48gl9n5S9JLugjvAXhEmPZkNLVFW+te58S0M6dzOqdcsqkPAnC+rHiqJTq2z3J mCUiVdHwOWIRe/Ncv6QW8EAkkvcyJ416b9EuKRLN6nnbKP3sSOTSvYVRovDAnH4+IPAXj5/uYH1t ZqQHAQkaCXdN3oAWxRH6hmJCBa7VDZn6mEz3ArzXY5BKavGQrs9s5d/9yGoNUzXkLadRgo2vh6y7 gaJ78TeSU5V1CkUvTTNO9z4VSpDCQV/USL70OTDkVR9EYTWY428KfuUGqGx5L1bVeScIixfwOTyi WrkPsj6HpHN9bXGtKPWrkdkcjaI6txStalUvrJ7Fh0sxmbyaY8bfqphfbttblLmqfsZ2ABHtGALb VWIN9RbVkzH7utRdocPvnE5JkAdhL2Giicgg5yWxg51Wz9J3sYZUyUd+gQYMRyM1EZS3cx6bbEhq 3rjI3Ku3fF4F0XQy8XLZyfc0RvN3dsp6FZnfRxOgnv2bm1mwwYlWxqSufQeVzNSmB2pX38z2vzKl VEUhqEZdBMKYCgcDGDOwwOEceANsNyypxEEJaFlThFQR3b9GFNqYd9Qk1fCebYuG+zhQv3vG4skk A4CsxCSnQ8IyLi+gEgHABocgP9zItptuZcVKlgfYtHcNC2D9j0ktnKpiLiScZGEHU/wyf2q6PtXm FOnxoZgB9m7c/qeP8X0heHAg0zNFSl/nrBWC1K8hm1FdCEtBjT/BTY2hhOcR0yUqrxr7DFxvJfNY DiZjiJ+tJAmSupaKOLfXMxhrf1ULhPMzEycZaA74hYSK55DjcF6wZeO7PpzF+a3IbkZPAVTrHVcQ 3JLWbgeW4TctGs185jtdT9mfM8hEDJfbuWyUWH0fwci5J9FxXVSe/4Bi022ia+x0A5xxguImiR+5 LcGE6f8rB+i0QIWP5JrbDVzyEVEWgHLjq4ij2WmGWCMbRpm6zSk1Mddx+xU3XBR9c03iBRMkTFMp 90fGJ55EeBu38wxyN8rvuRRgxZGOWZS8q+JddacoLTcE+W50gRGOTC6GuJAjVxL1HJ/a8MC5qY1D 7EURRkqj2BU3f4puCrVcNk39b9Y/dLekhU7gmp8LMLAUMsejiQVR30G8+se0kF7YRiafpAGCMBVG wtn8iETqoyZ5/2Mlv6ZwO07BxG8ymCdaahN9b/Z6+QfNOYTtk5C3qdqLAsxCr7LYOxPEaNTsUS47 NBEHGaRyT/KF9yHuq7a7egKrC5KmCYgFhP4CwHwV5idda+Jgsn+Rngvh6DWmqvqr26FhQs6zL8Y3 WymBsR41EfnaINbSnH6KP47IWROGFs6Z9ibTCsnr0G6HW0ENCEjP1VzEU9FCR4GAqUgJ8M5ldOxL UuL1oJuPS5ijHR+NpQXFNTykilHJ/OLkzQrIs7+vlv+gceDHj423xamKo/ZWpOTPEa0TUzj4Jy+a R5P6NlwiIq0ZRdz9Nb70QABGFlw6Hmt0OJNYVuZhUuEb+5fVQb5yLlokRyJJpXfuDZOQ2A62sUUo 4hhGqBkxocw31mBtz6iZsQ9LJSUeHQkcE7UUs0rnKRao6Q40mBD12y4FD62N2DgEt4rvE/429fyh 5W2tQQzS0GB0OVBNOwxvc+cLCsMuJlE2Fw4hI7TJsC74VqoSCsfQmE+OZO8+Y0wEPPeYtvHjtu97 MO75CHOkYcihkPQ5amb2GC4ipdqsX3CWTyRUcIwibxF8nzWmUarQaHoFGLvEpT3ULPtq5U3JY9WE R6Mqbs952RKkZLbbd4ybAH2JRYzU/GCCloX99SCSc5Rbou+XuyytSkTH3WVlZ1AiWDL/osrc2hV3 6VU6gb8H3MNwpkd/yX4rEv/3qtdKZCKnfzI0QKdVEmef1AyMP0we4+QMSJOC67zfIOdh2bvq8Z+S TGfr+vYtoqbewy9gnD7+ECnsOjZiXCB61ZnFAdMoXzmhr31EWZb9ediiTC5Ff739vA/cB6nnRPqL XPnVEbdhP73pxfPLG/BC6c2u8p6MY0EAW0QbM+eIYxjxtpbECrPCCBOoXBsbP/dNLBK9ryItabMb NRT5tlLHcRa1iT/tnpEwtLyf1u72yVmHvjWAg7m8+H90fW4ZQM5SMKWZD/D+dVLur6kkUiuIDW0W G7UUGYKO15ZmmVjLblVG2WrB0XW3tHu5iqPbUGRqutGJfbbHgyKKU74XsBPHvITOCWEN7aCH2VsC Hhmeoo/GKTfwp4OGP4fZatyD0t0eBI8Imz81m1mYul/sNx8Kn6wpIhx9LEIydPOMS71xPXwolpVb neHJzcqsqqR5U1XYltdnusnlM2CiSQMK1f8g26Zb3uaHzMEy2YOwL6Gko29zDFvGyUjyNyrAY5xZ POAId9lO74PaA1+wQIFCtODa3D2YqZuKbyDGen+OBjriL74X1A7NqQhIJms9FW4SbgJnxpWOqNio R5KkbR/kPZzYqiIi4JM6axgU8QqfyejvNbr5tZh/no0ZHXaLzJlL6YUMy9HUjfBylYbJILGPycGM GSG0fJvzNQZYGCsyex5HE8iPUROvaD4Jp3nlcymTYN99tKgsm9jfZV2DOXiCCOCT2Ldc9wFqKwTh nPvtYYwMvwyTApB0y/KVyje7mf5RxPHM73AePsW7GyV+3Gd7MQJFa2uDjWLL5RoRWYCgBNyr7+/x 7hSrVggrkO6vZ5/nLi3pY4JUsGviuHZPaJzfwBD8K0tFKFLPB9JGn+mLwKoP216KuRH5mcrPmkNA GPc/A6l49MMJ4+nwTNY+K5r9A2t49BlNXWsghl395Rgd6WOk5Q8h5/wzIN2OruY3xUyLkIEog3dh uMhoIGBCCl2N/meK/EvCvsKEeTqQ4Yfzbdt+vYpR06bMTi2E2+D5tRT6rTb85EKdMP2detihcymR l1lCUBCJ4CInHQ7q7dElsU7u0uzZJXkxsoehCOwDFMpKkU+2B7Pg6bsU+ihqr+FAlMc793r7fqtm hTQVr9YxATyqCfysAEvQlxXiyKUDgld6kzY7XOfYfqVUEVz640E4kKQdiEUtv+F595BPpq/oxCNt ojeAPCvia0CmAhI5Xn9TwSxM8um6DbXXoorTUhScaT0nGPq9s+6FZN4mcRvJ8Owro3X49o2VtWb0 t3ipNCTldM/+eCm96IJQQsPmkyJexDixKfMWeBGJyGCUGUaZVB6v3yNi6UXSEoQKfUUeFAh4St/j Br5lpBptjthb5iDGe1/K2rrcdSdKElyFpKJBOkwb2lbJ2Jp9t95pgwPZc4gsTdp4zdhlFD3E5bk2 fCV45n5tl074n0J880o1VtC5IQ4VBq07j6vUlyU0mTJxXoNVByWSyk7LAkwMI7Ac4fZGcV6qRY1C 1y/Z8nIIfT/NwCQIbJxkg0nkgx+oyXplhQtwDAqLtCxBcppp4XEJLh27C1SCHpFGH4V1SNrFbMu2 AJyVjaD4EIWbgrae6P81CDdoeteBg9npc7GVtAHVlrKIgN8WI5UmjbEl27tBo+m/FdmZGqZxVR8L ZbA9Wa9sEYljd2/BTKpoTohfLWqvKaOnmRkZCuuq+jvrA9SvP3PKik1xhZYr1KgTz1P/kTROqZW9 ykWcVfr/xlJy49SPZG1XKYqomKY7CV2969E4BDXYEMeo5BTN69nvIzXHSv4RjsqnKgcKybIb/fXg 4RAy8Xf0qPWwZ9wfyPtAcxVJSTpk3GueBZRohYpyNXeE78Vz1uONaMIO5fnaeKXKjOhbMxs/a13V 5WndvrfyS4SK/gbCFcISvsrsyePz653zQA7HVl05dv6Paek5v3OFmEPfDdYLWaNGSJPz0lSBnH6A nWtHZ08Eij+VNRxOwiUCX3mzxbMhDDgvNWSI6W/nBGLKplDitdjjGqllfDjOf9z0n4BRHbvR80n5 GNd3vtCct3FG5EZT+F6aohk7cTz2so33VFwHJUZIPITNlYfuTvn26RPQDkggqsZrEXaZQ9Ri/xOG B6GP+e7XcPGLxLJKGYoL/X1eVdQxc5ztgSBd9SdjWq0Xp+MoeOtrPqEpwAiNfgnlJMGebskCG+CU TMq5dRvlNIthMnPseAhGt59WMgdy+Vkd0dEQNA/kZxaX3xQOe8600z871+0QxPnPclVbqguDh27n rCBjpJQTHNO4IoshYKKzuS6aO6OAt8+GEe4H1YG6bahnQUJAAGc5eCBEHG//NOcnv71/W7dW0w5o r5umX15WEKafoKPecpeu+NoRPL0Vo8uUSNq3CGJRrLL9PltMlxcsGipMdI4uM1/k8phh7M0Nz5GF 1GcHe19PRJI6k0TBtFCMEuy2k8bR1IKWUOIdsNdtPKyVDoYx/JLfWrZnyUw0pGRf18iMyu0QTKVX nbWYdlxfGnK26G2X3g3jY0NCL1RXKLQZdZZi6hf5mz8Pjfhpybrd48cBnSDv7R4AkP/wqTqj+4+l X9jM9A/TJj1Hllfl1kC+ebwEjuqBsbqxGNovCblUpnHgSBB3PrBWjRxQyBjK0LXpCkoZNTE2WvXf 74brT+u2wcUG0f88ErRGYIAAVSIB4tL+XmCITUuMzV9qMte0qCua7JADmhA2Z8LMdfPQDl6yPvsx lS0nfHU/rsCds1/yPwZvz31T5E9z3H5p6Jqo2ZWr7mUqqHwQrSHXtwRJedVDy1TgXUm4fkxWjLeg M8/Ky+kWoT3HvWOsQGBVGYhxH+HtRzflEayIvfk5TCfBvzSoK/hg3PetFabUUWxvhgHp3Tgw0dyE dqf9MaXwejy+/VrbVQhPxfcBP6ZLQ7E4QskGGmoH+c6e4ooWjxL4HCRM9w8Wqp4RNnVX+IJKdkJb zBHbNCpa2CjGUnoiagQnzG51IXUsVPlxXnXVQYRFyhTwAwXpAIfR/cG+GHRSHDzohZunLc25kQVE c9AszhFx015ZI3zIBejRiinQlFP9Vlw8+eOJA3sRLm8fBA7vHv1iq6sJzgfqVj/GY4+xGfg8168U f72Yq36ssN2Hx17rt9yelArEeotihT1x3VIAG6OSMEaUsQ8VcjD0qFTCtCBYD8WGDAXYWgxQZ07J k0hZAkr4LlVFW/sbp6BKdn9dZHPZXbv6tAb3wNld2SlE1ZJ6CHEgxsBt8a1nP0NdCnqx28Yf5aPm 3l4RkYHX6mG4ANbfqjBcFMLe1ZGxyPCZtxUd19bS5iffogaAvsdeSvYpMvcEjqRsM4XxXvrGMj2M kt9PgVsXH2Bx93EamrVcjXJBXNcLmPeMU3+82mvSGsg20mIeZkJGps/n5XnwFHdFl4uRrsOkJfnP esehPLEX7jzYA2KvANDRDF7c/Va/pl7wKiJkVJyMEK/O/6/TWIzLRpNkPb/ce848YheUxm/bzRAL 8o+eT+3ssPXvnCtQhwM4Yijrf+B9k53ZrpEPQ1rX5GVCkMNRoB0HfYx4Z8Mh9P/xAE9TPQhR5S6+ NDxRE8cmNM4UibioX/jDW+fLQnAr1xkBJr1T+LSod3IafxhrWX1s6fBvL6WkTn15CLlI5kCKhaaf QckNw9m7ZoA2bStVgbO1b7WCKTg4PByJ/PHdIFLMxWHnt4M8IYP0N/XaspJmCcE+rAlyRa20BjbA L2TvfCBL2+6HLBUl8HxfyYqhfOb6ERY/mxY5oFBe3QWVL8hjoBmWw/esSHV2hnTVPEgyR0Inhhvs 18NffxMmOC/GFRevAlOTFOiIoRuK5Na4AbEEqFpXnOD6HnIIbkp8vnCtJz6kJTwLxNV1AB0ZF35b k2hEsad8U0uLMzts4W6HTUiYF2M8487klMa5VcONNLKgaSsaoY5fIp/5LEwlKeb6CxeIdJLnR6wo IImwWLysSv7K9lomlrNEA23TQ3v0R2GDRBSzqAbH16COgIOCr2wUWrPcHfY7GzhJFJzY5/FuNrZN etzbVVDAHOplpKib9eXwI3GJO3bT8QBS6/Ii/Ypsg92ul69FbUer7qC0wceEwcglKsbfwk/rj04J mx/l/n4tTDC1yprEp4u+3V97yGzoLZ91E/UoGDm6ZWH+s3a6AIyyksV2oszpv+obOaTM0TqpMsQq f0aVP+bmH4q2aBVazo2bldmQxOZkPAsRDdT1I0vEpnymofRIKXuNkav4DbkEl87anOWXAUs+BNPq ZFgA3iDAV/lMEMJipPzqoKxQEwjAWbD1vhCNj8DM/ixvSPtWKjQW7jAPa9K7PcMTD0cVcL4GzFis ouczRXZR6600UiruGIX48kasI7fMAPKi/S5MW8A2rsDIwAB9ikMR/4MxQkJjNSeWtRzjxSQphWJC eij5aUruokAzalRo76Cfv36k5Fg2rs7VP4bPA22kUqSHH/t8ZqWQo9Wie2sdVgrRNxXfT6ME+3L2 vvWahefFa5vUyHcxH7nuoJ9i0uSoe0kA3qedfsYv7caYsdNvA35INQGyjM4UH44M7ullD33WCYT4 Spck6KkGWNkVCJIbjKQWVFdx3uhJCuQZgtHgLZKo0A38vEEnLEFwlAMhrghT1tETgrwjcxE2Bn16 Zk6peMRSokkWwEuznUtxKMtCYPQ4EOL5D/62Ii6KX8UPvYf6B8zr6x3sVJueQwUDGF64nvCGBPlu cphLo73QGFR0JBhkfQ37BpiwLHBujP8Nm5RbRyO3BGCSzOJvgnL70Y1tOHwZrrryTHPAK9UrYfQh nbOm7WOQWG5a0zrBkYuP6RSXS5X41tl0bY9I9utVq1R/xydn8vp4AyntsjhWG2oiWqtCs83rGIuW 7ErWYQOY85so0iDxvUElCEYxTPcFdj76mKjR2a5cw1fNPMCSKyQnpaPvgh/Dp6MfCRTKluETfEhP hsVNTbiYpJgdwi+VaaIw//OkwqRMrY+bKI+edg5WJtE2Gm4WiKFFOye3QfS8V/nVNouLKtkLUtvh lwYwcAKjr3Gg3Z1d4vsCkQni05gkDDx432bitTuzSZcpcT89ezufpg0bixPaOvaXRJ+1lcwpi5Pi JojZYJyt6IHYq9UNja5VfaV6JQdVK4Jig/xiYJO7PcwECSz0PzXGKqbpg/K+3nouMpj575jXO26d y+rSSL6AaZGKHWeVzogSXWpkC+qUHkaOvt8ygU5iJmhtuNSyUV6jhyOSEiMEj4D/lFXDcteibSZj TgJLWkUQmjvcX9ikZA3Tb8svg6vnbxGt7Qvsz1Yfx9tBdwjDqkHSvQAEgKiC9GPq4SxmKw7LM0Rg TBQYodMM58r6M0lJYeKgGwcTk9olff1qnd68Ffm3YhCw7at7jWMHq1z+wlE8/86f02giQ/PcTjxJ no2612IgkpvbSeB0vzZCExiJjXKGA24j+nQxiGgyCBLIBHT0nGtdLeFCDwl+1m+1XvdwHxqdXjfw 3hy7leSVOevVqbn8YFdOTCdJj9r9XnmpRIqOyDjAM9lfoW9K04zs2TJnfQBZ/yg+IAKB/WB1gRmo HcerJvNkIlgriiFKKOzobjvC9MOzCF4n6atvA2W4D5SPPnyx6e5mUBr5qLctvDHE498/pt7eNFNm 2Ot3K1Yurlgl+kW7/On04jsMYuok/nP4kkV3vJbhGCy1D05CJ00PVEHAg0WqHCaiD0OfzOtNyKWQ r3P0TNdmc0u3bGaR4GweL1zRL54niymt10CGAWKMXuTGXHV2kWHQYXjRglRs8BjT8lO2NzxEJ2GV 3E0COVp07LDReJy9zYc3gc4by0oMKDnQ5x0OabuRRQI2BB9nh/Aj7++LSHsQ+m0V8j9sylKSXsh/ NEfDqRLW3nHwT6BQyV9yHPlIlbBq02OWkRlbtJShP+DxVYfWMcu+APd+Ojh8lA7Lc/AFo4dlz8J2 oCscXzkfwDp7U1z5U2jDrMVlvt6V+rKaNv6Mvjc8zVV2d4E8admWSNC5RPZdRH0VrPnQEA0WZ5Qa YbUsLIofLqOuTp+5bIlVcdcRBFjkB3pU9rNEj+7wpjKwSxjbMY6dXSzGNlFlrevu+WiEmIwI6KaD PcuKxdV0BXNJCYea91LPJm8vl+a7tl6pKFIHUaCn8Hf7gDmeJlovzeZB/Gb2icm8j+MU292QbEZa ABL/LZBmyJzEi4xbCTvvvACy13HbCekHeeHg5TsKEe1a6F1108VqnV34G3z5/0LuCyEPJqMoArkB Tk0fJteOy3R5N71Y/uU/O6KOJ7awpa7teTDWD/I5kosPACk0d9spk8w1cHAAlZCHHz3HF/WXF0OF lSzG0YIFyG/EkoyVIMB+IXDpxkFfuTeqsGrSuA7Lj5dygNoNuwaEe9epg5ARFXURONFrMr8yUSHo MgjFtWlYqnYL0gZK3G2iLvSW/2WDRvlTlCT2fh9zmw7mAlHw5sO2tJdM7baqHm3kyb3YTFwymSBO D/QckjSSPDu+92BimJOoD9lMsnDICP13/xLuwFdvk6ksOwFlw3XyjXv8pz2ul7ZV7yFlkkQCUAyv +jnHU32QZyc02ViXTAztFREusCt2pfaRYbV/cUehl7rbPd/DuQ7nnRxMk3mzigWdQ3piioSFYOan CVHlTm7ynH17/CGvIYaDBncN0pJ4bIxuZC3naY5kYr+tAPXG8xNb1vCDLrlW4dKrTcZwi6bNNYUV T4+NfJLDrXLpI2O/ZFceQfAUzaQxCYxNR752u5GwLELWB5xdx8xi/di2JY7fjpcXno7XUQG0xb1e VfU6ELFdEdsBzctBw//+wOnB5BndfHPZmKtiKP7iUl5bWTA2lrhTeiOYqp3RXCKEr7ADI3yDjMNj 2Uu14j8OQ5K1Pik0ppVd/jDW+TkpqKGiECgMStgdABiMfPB3CPhzGdy/OBqFsDhRu6D9i+HiN73Z uIwR/RzG3kwDSWM64hRE3y4wEH2dkNsOoLNwI14zKMlx5IbL1DfYL4mFpbBu3urWXchAL2y7/RxL hVO39A8AOADhrN+DcXM8TGnSdo/JSkvEEO83ZuJ7nNWJakjj+nDHi16bt7754y4q53tRxfNK7PDL TJzLsHjO9+UvlsDy1BnnqtmYB8cvWWHZXdQDK4zP+YGvZFOAz5T65zesDzNpJlZzRDc0SVBxXsAD nMPZpkbQRiyUIY/vExVQmYf+3UemrEp9sEMjRRRDJjyML46HQBMYgJpmU8i4rkx2pNhO/vufxQL7 0zyS2PKn9UiLYyMN/tyKbwjQqgx103RuXo+26MtIboGcFQjzMOITMyQ/6e0VElyc72dehtTAqNsh aRf2ICj4RM1aECyB+sbqBvfVRhzI9FXuT7Ihqy3Wqxy8CYWbSPtCox+q+MOS3OCB73W8bUUM0Wtw CCMM0SmfHDcUWB0YpOwYBMpOT6nn25hiVNbWsOjrlddNoRNRDYdQEt5KIKvJg35RPKBu+ZHG6aGG xmOwlg47QhskdQY3hyPW8B5zMx2hhL+zRkZGmET+LQCE0hlsbO2Y3WKm32ThrqOQw6jTbMSOATBK GzYXYTy7rjc1lvgcbwKbFm7weTknAHo4DGBkG93euMDbiXIEXfo204895kTykJlXJTJP0qAiKtdE 5RpQvbbdvbYrzAr+aUD4AADq1OYImGlpk1lN+ZhBvf817qdHll95hLk33eeCnrM6ggmXOeZxW5hZ QUW8/7YaLR0Rz9pugG0SS8MmI5pHk+KLBrZx9z7LujLc9qwwbQx1d4PBraVsmkPLXFOAAZFxdpHk ANSE9mKA+NDNUlYbMnrQpqYfKOgG3w78Kz/7ZKL52YIsNWK0P379aBBs0eNkxLM94IFbe6vFdJJR BbReQdBLBAJiT6STgkrQ2PHXRLyvvH4S6QV3Ykb9IOftaRiBsZCTScYvLv7SIpeCc4LlMQT8Ij1V tPh8KxR7iZpW6F2kLI95ukEEpsSGvbZjTikig0xm1fHYILdMI0D+V9+0+JkH7yHlBjXbLc9PG9R8 wrRDoPOhlmIyV09jbeeyS2y9asuNmqzW6EzUPnRztzMqBEaTtRD1GVfrORNkTEbYWaQPpb4ab8Dm ublzDy4F2I5SQAVd6TgPWRe66hNVXAzwoQGOGLx4XBPCF9RS1m1q7lWNcXDfnxeD9X5DFglIpdTM 27KmecfW+eHaSx/sx+j4o2Map/hpJyGsiwqL2FL1psqygLVBpfN5g5ZbmHjAtOmvx+Xz/TP4Au0k oo9KhHkiUKGq5dsyWuc6mSQU1kMP/f0Pp8GrBpXHGYdsn9LwEovXLC59bgDTLcrEcij/weFyFEpJ vVExP6h6qmTK22PrNs+jpT4ewzsKeM6jZMXRglJ1apqPNQjVtZ0M7yrp6RePl+aav9eWTRqNjmnX Xnx44pkP1utqeQwnQfsw4pN0Ci+QXokWLwBOw+fx7/4zgAshiQkBHFvd408+0TwQaVXjrc4bn4Mb SAbSYS0icPMYlSRQjMF2KERilc4xCcUaxnKvA2K663UkSD4RMEoSP7V98Q3ORr0zNrqoW9vLKJsg aIA4aXRTgF+KtwxviSt1S84sKBS+UyNwvNBEXM6LrtXKmwpjrWcGX8lmC7fSrnHqTLe5gK2I4Vt7 rdKcROIP/7eDRsks0gQ5DDxn5OeBHofbKUnme7JZREYjhfo0EMcFrkjQySv477FbvqO+Bgp85fsB x3FlWYhSBDTcFtFxo0vfC4veAj85SmGg1S2HiyAXpcPjdeWtRcDwo480b6HHsS51ljVs2MbOoIjR FnSvVqF7XIvWMvV9hUH0JDfQvObxT7m/ryYxLvLGbIuU/1LuFYM6pUoOJQx6Q+woAUIjYn6HOojs SWmgD3L+5zUaQA05hUkejTUqJUA8yDni7lbaa2jMdprC0Le7+5Lk9gcmTw0NY2Ru950Y67z+V0rX N524PohJ9qEMM9z6ibQ3DLPxUia0Ftiee0bxJ5ek2s6fvkJgezbqFQenfhcW+7fztgN7yri14csa Qc2gy0jZSHJPEU/eL6D4sTtQ1H9ItqGT7UpTK/aMFHTfI3MM1Vle7WtZ/TN+5Hzy3NnRw2nlGSOx NY3D4cwCTAP6kbsNwS6mjMDGDeDh8E19yPN3TYN/XdIgUZ4LS2xY8vtBFbqlptw7TxJbNVAA+Wpo q6+WOE2PLGlkZr2wuhJX0dX/aFZT736iWawrPA6J6J0Lh+V3piG1OAaLO3rAlsjPdhwAh9iMvsNl i0SzGs+NQ8KGpxiA9x0r8Ejk5bccLFIXu7PfmGxacMwCPERDnx9vX9YwQj3yUNSLwFg2SmNO3AnS I7cRNjbGcJ50j2pppUr+kpfdy62GjjO6EbrG3rH+6gJZNFntGZXPYYu6662PCcauQEvZAoZaD0nl ASb5UvaIfqcMCXHOnqb96xQjbgL33Tep7Y7z1kUcA6+jPruuwOXgo34X9cIiDXLLxfge7tyjaG3j 6H+2ozqwmPzEs5bqJ7G4qGrbngGbA9FPt18Tvcs8UYmdaRGIW6HBvkhqqsGTQlQo4mEo8PzAqKse mHO7e1PW8kxFw1JHmcebgsbL/qDT3xsa41SW9k6odnDc7NSTfM/ctX9ItnXbQnah/PO6JI8sb+fl p738M1aILDmSL9n7CGeZDETqP8Mfz7U+04Z5k5OoamLLiCUKYAgDA9cmc0aT1jjpGRIrUiylW1K2 /0UkZ5Sv2SI9BDtGJtKn73EykvbYZ7cGK1bZPUNlECH0dNd7vnN/azqTeAadjrnvvszyoXIqU2vv pVjOBH7y3sHgr9w8WhsfjbzMUtXDURhN2jUKrJ/yxCn/VfxJNeY8uu+SsuNQnVbjMhUmmC/Rbq5v OcZR9/5d00nHd4C6l8ZpT4dnIDt1P6YRj0MSz93QdKa2HEOkobmaHtwtnisGdGKSBBf+KtLFT9v/ bnbWxEbAyJqBURLJnA82P7hId6OEzZ6fI5RMjvoNqJDu4zad7JeRGNSL5ihIz0JjAB8ZxgKq3Epn Gk+q4WBVj1HH8pEg7eLC3iiAP2I6CtCMtBej2pWOyWAan9GEWURSHSD9PFrMbl2sN9h4FEjD1nIR 4T9ExpOAgOIsbAjyT+yO+d7eN2zFX/4tNoibWSAYXTMl9QybASpbuLBCtBb7/4c6Hhxd1COIBcQN J6FGN1h+DtcIfz7IaAJRCHLA9s/q0UJitSr+2f6ao+pwmpdzZcHulIGc38vGUyrBkLKyC7UBw3Nc Zr1q/mmXN9IU79asS+ESjvTjHKs32p8j92hbJr7BBAII13N+nJJ/JD7+tHIBzUlIEanqcwbJvGxE 6sItbytM/o5KnpnsmcHNEgQZEinl6GOmrBrswBB+zkreWd7Be9Q8M69tM0F4IqdGdTIii50J1FQZ LP9kzOiM9bBNt+SmDabXezUV9bKzxhZC59gEVtXcI5hXCF8m46+hMwfO3i32oNEfy+Ql8VNVQz4P /GLF6wbMqQBgtXsCaRTMJQGQ3wkBNnMceQiGe1/YklvtFGDB+Xwk+H4oqkH5KkMjma+FQYkCKL5E 9R80AAXWz3KQYj64AwoQetmqj7SgTiDlJKOS1x/FKQY8q5EWT1IM0RiKPNOWMA8Sxo95QNEPXNTw AAscYHB0+Z5L9wNMT3gb5BRCw1XxrgiRUY+gAtmvxpChS7GQZYFcswvCO8W8R5e5xXbumPlso6Ey mI3y/n/yeo6t0Wn9isfISi32ft/bh4S8gyRqaPL01EG2qvIcvmPnnNvQF1FUEVhne86Qkh3zGgBL BgDBWWfTon32Qq4CyEDgQ6HO4n6mX8u4IkMgXt2KP7Eh033f15ApKrY4emw/meUJjx+6wBYRiRme pqvJ66SrJ+kyFlbbw4Kr6fAuaWOxkH16zjR+WB27hPdpQHhA37ZAtIIM6gprmWqKHQrwK+Jog+fH D+WqEtNrvYwfU+5xO/p1eZvS2SvvCDpvfM9PF+xUrTHK60KaWo+FP/Ok/2wBxIR49zmrWxCeEgA+ 738vSbn8ZScTtKmYa4txHl6P0WC54/sy03X9AEt95IkK6qrVDSOtr8FPhAgqT5v5PneYIyilhXvJ ryTYC/DJcOK4+S8njaZ1uJUGt7HYFshU3I5pee8BhIds+H1iGSTzbfUZgUCf/SC9uDTQx5U20wVA HJ+eQYodQ7VMesQjpcmxCypz73x5oMTxCvsoIv6KtYCsmsZ2JuCadVP4GK9/OPfTuh2zDUMt4srh P5CD02vNn8F8J4xMVKhnAACGCbSdiH+E3JZJEpszY9Hp985uyZI5tN/Tl0C5AQp91zz+jp3opPLo FTjbuadzDJjWXlZG8t8h4eKztIxotzrJRDDtw8emMPgR+ByxbzCQ8yGznZbY9TZmV+YpSbgINngv fRT5NpWW81KO5yirWIv3PqkU4/6VXug2Qv5i2wMSLPQPWzwDZS8kC1gvl/RCqRGi/J1qGflXdml/ AKysA4RBL4TFM5XcAtRmxJjpo5/PglYumXxXZMaRBaf3t3wWeBKE/p4kdxWNEEA34bDk/MsdSGyc q8HOUMCxG8shUfWVzNVJYyUfe7LehOZ7T/157NFNEmyx2fGT7gnaYpiVBGg3XikYaHy/ixjkWMUW lytJKTLWIgm4Hi0X8dOGyp2xojiF9MfcRb6kD5qfj4qCMKzN3xACNLFikUmEVTICLjM71icBH7oP tNbeCYdp+7liqQrG+7M5TwMTkEKA2yY1bVZ/5LfXoBSVR0juTrKotLX/d++FUiESndBVzQ6vBCau auS5zAO6j6T1Z8d0KadNFgblrabZegXHI4w/Z13dfyjbuMYP3VoninhK95KGsgaE3uWOl+jx3x// vP91+8MbXZGnn3pU87DmwcdFWJNNLyLgdSd0wT8vZgQsUAoBt4s3xbucenjfa/F52NUc1I7i3WxB LVw2sLjzitLjBDKJN+xtZr/83sWt/+R+xY9EIxDcQN5qwa+RqjJDpVESWrlW/PMbtdkB7Pd13nvG VdZJGXTtcKluO2sNz6c6AXTmYJ8DYREMoHDtDzEiOgILebUNUOMFHhLR7rtJ5jqarKyZ8fih+64j K3+4g62Rl4N3TlodRx9smByuOK2Or4Zh2iGkx0+AhyobYrmtOgsA2i/ZG8d4kALKL/TwYT9X5YsY wf3oeKvT+DMohVUkC/psPScGCMx5310cD7KXtwsfe0w37mik/iJeQZ3lYqITIt251LJe+8KWYl/U OQ9Uu2df3lDlFt6J893RL4vr5td+x1Imt3Hf8lKTdapjaLEDycxP2tumWRAn599XRLouvTCFijUw hK0nPSy/hKIrKLuMJlL/SLa8jW4OV+ma0+DEJqfHzYioAQXnOpkmDfOJ1cVLt8GupjqzNlexECOs V8yDDo6uJo3P9SzdZR6G0zHNUEVmx1CKS0MVoVCZpIt1OU9k+MYIsATPOqqTJ0qoWuvOt7aHCAkp ic92PLLGwes2NA8xdTDjBOCJpJMzl9EH1eKOHJ7fyi8wl1tT6qSMA9U/JEzcUpK08nuV8hS+6Hnr cKs6DV85IAWBtrARX/48kwDp//hEMd1jmTVt5eBC4W2flOqeVq1REOcQOUG7yLd6EQ11nesLtKgP sei2CW0ts72DKdUWNzvaPbT2iFVy5QpUFufRcoxGNT/ogGoEVv1BNExmo0ypD/+YyYrCT31A2Wnd unjXbJhWz8AD8UwfpbCNjps+7t/dnA4gmndZBRcY56Hy7qg8ceKX7BElkvqqoNdQfU5i0rMIZGqE FjChWD7W6PblOMHTCv3CTOROROH2p61tOHpCTmkK44wCAtmtpc4VoOQ45xCWLcf3YK1YRNsAbChF rm8nCK67ho23qfVAJ/LYC/I7ud1cVCBqstAJQGfKEW4z+QtIy/YWMfZkEUre95QZ0XulWwHsC9k2 eaN+3BxG1LrbpDbs3gb9NPvFEf5538JbdjqKwbVbML3Sd6iJ37los/zqCj8TEwmg7wbWcQfRftvi f1DWOlc+W6xDRAXO+IqYZuiD7SQ7LFxY6Nf3eispJVtTfWVKbJLVLn3zDa/qZB/C9OfxkQw0v9br 7Pq21HnEq83haAELJg01pX6gNANBHtEaY+xnhPlnY229tLfDwTV0XMNPMHhLsrTc1LUr0Rfb9VOc 1YPREuntV+Tz3odnFne8A7pXEVOO6fverletZ1D2bJMnHf6jtZGcrDRXWYQcrwWZ64+ZWtAJjUef XBOtLAKZCFJICdMKXaH1Zh0Qh1jpmK9MdRWI5WHlQ/AaVYBVDdmgBkCyTf8BpnBnWm7wpNb5GdvS WvxnMKUjS1v2s37Cg2rGvx94jXbfhfeleHxkYt+eKUQOslqb2jdZScU+DWzHtL3zJXuC7eaYF0gS h1i/hhq29tFw6+80R25K9JUD7rHl1XGFQET67u2sZ5EYwziYs/t5Ugf/BiAle0gwMlIQyyju3wjB 5detMl+kLqcO/zPWdiE4fgFERsmx7jgjvyb2VCFDvlz7FzoXasutccQ5BUPtN5JpZ/ySpJjIEGz5 ecTNeWrgJZmBNCl3JFRDA/4e9EQkBg5Bs+issN+pgKnjd7r9rjd0+OjDOnfKYcFF6AvRwLl3XUl4 CNK6dhdyknMiOjZI7XDuD15kcZD5xojiWbauU5+bCETmMrl3xPXEWbbOj3dpWzPlvdT1Oi6Wl60T HbpUiapF+NXEoZKQHLHNNsuxa5xvTZ/PgKOIVIkOG55gySviaHlOrdfw4ciH/+Wb0JzSrUtAr452 HT3WHApFHvzz75QIi4E8Cg2eOY3788jEKL7+WV8xXowqsySetd65yvHE/dyyqBeooWTVaSIPc/qW MTc4SsLRU9c3XfnIXoKZUhM1whqjhpRhTO3gExKs4N1EoK8bB9bB9r3xpKEgdUk7EBf9u3TEK89S SE0wmyhO5nivJeqAi6nXPnA+MfBlu7cN6ELbhlJrx9Mj7ZX4vL4InXeH05GpSRTBgqvKBPJk4UFa GmwxdRYNXqgF5YUcvmrZ113BkdfMebfoGdmNxLqGTgPv9Yn1mPheGsfe4MBf/Shh8f+2anleGl19 DMqlKP122AMTyxg89sM2Hgi14C8anIC/oHs52zrL2JKK5rOE7WxawMJ3TTTgkCsHlenD8WxZ51bI X2OZy9LVrYQINJMCs0Rajze+2RrjKYhXwEm4Ibm2QStxI2XY8JzQeNZcAMM5B+jaOECb49ry6geW oJzxA8kge9B6xEG88pdzXHyph29X6xginxniyKHJPRIUS6N0U4UO8LGBvs6PKDOGg4j67Dp2pasz iBZ7AHk8FhFOb1LicTlzO2ioYgh4SlwiYjCW6P1tRNNK/G65tzWK/eIo0zMlWaVQJziM+wouleTz pu1QwIns7UpmCegG0UtEi18mvBf84qMG7uGfeAxDjpz9NodDGUg2UUCTfDtchJ+OP9NKC9G8H2wf IosRu1s4rVu5GcHvpTIX8lD7cwkY2DRGm8MchQZS5zPYF95D16whDYcN4ZSOIBQtB5cxtCrorEx/ Ge7xQrGBFxHpCcsBYatHeFpqkjSrMALpXhuIneFFQ8V2M6nWQwySwm21EsD6AJS8F/B4RPs0X1Sb eGblKs/Kzujb0Hmq5crejGrydmoxg/M+PqpkmRzUF1zjlmvsomLSxwaxnJ5pxqUgGgUDwMj1SsR2 yszVl7blqkp/wWCRngJb2ovnqSsa2sKNSnuB+69nL3idIrRmahMz98lI1n6fOKpst5pomgBaCR4G CwnmIJiTVcdDmnxE8Bcvtw7x4v0mYV+n4n1/UmpFLdEKVlfaRNipRh0Aeve5eroQiKgxFhi0WMjb Tk/aKoCS5F1AKPkUWCFBkx2FuWbuj//sTS/wEkwPIiNUiiYUGBOiatoZRo9f0m3NT7OJrez8KH8R QRzQiGptsGTwv31jEbrt8oaomQSTY+/8+8nf4D7Q4T7xNLzDN3fnCVLFmH6R1LrZ60jZOaUmXa/I 1NtYQ65guHPlyuV8N/d3XrtUpD7ILWu5N4JG+pjy4GIk2RByjAPQN96NAgVY8Xi03bPO+gh+Yfns klOR8hnPRMIegyzxP3x641hS7kJMOjCknf76pr3K/2uUveOzh/2w7fxcYDYxVHzn/Q4KfQRZGIEp fN7HZJMZ/CPWJOYECLj3czOfam/5NpqQe4XeXQWYYYq9elPlZD5mYVLqXtYt58MIiR8mSES0RrQc SW4bP02ehr69mywfpqBg9NXqll0Aa2tzPSbmiU4qjSjcsPCGM7Hd/s4kBCOJJOtglEHwmmCh+c84 eZuQ430/oSoG9KohK0cMTf57R2kZF264XKU2tkSaW9hBfFTMUBhfS8BkKP6ewmDJKjMPWXse8PzX fxMFyMXAYrPi2U8aHcd4BNFHlmsJCDvGqD+YodiwWesyv+1ApgP5/ngjOueAhOgBzMnsYZdHT4wP 477uiJKBYJIpig4Je+mdU/cboJJyB7C2zCVr6+mAUwz8aysEF7LU8IDCWt45Irh86CtRIPep5/T6 qjoPze0Nj1xhtrWi8s4ExsR6hfcxA+VVVXxAPAc22/IhVjmVYMEIc39fPb8RY9L0RxXKWFLlgbhS smhseL6mdmuUuO4FUhNrTVkrnPrZtyyU6QQ32/126Tn1RYiGvKCaGJ6wkDnxDINVwQkB4Y6v41jV 1yIYAuX0Iup4FKyfziCpj1X9YXM2T+gQoseUxRfE3ZmryomQW9zTvsJjpwiv+AInZpuplrtWrj3K Zw4GlgJHcBY3eRJ/tlultKGncC8VW3dvl72VWTSHIqJzzY3+phwlXA6iVJK6ifGITFYtsNAlflx0 bGc9R7nkcIJNWgY+nY0QsIz2382Axkfe8quB5F8WN0Dmri4rNZ6BrGWL4BFrjr1r1okYNH11vgJ6 o5RpJkfnMta1e7AAwmDLwnPZMe/puAVKAPMZNL5wkF5Dy3l2BsLIftB9xAg3efdsZoSKfHoAiIK6 PkepKLTOiA7XL+XGSGw74TNvmt3TpSeaqQm++DSd9Li6NVA6CT3PbgK1fhUewA47Smlwo73AwW2t +k8ddVZG/gP9ZelXVPMSfQqHSdjV2qG+FkClqCYi/d0pVtjCazXES+uu8yEwH9529F+uIF0E1o3X zwX1+YaA5yQZQXowftJhEiRrWABXvIkH7VFUzdyN0/gJjxH4iWw90gSiMZ46J+dQSv7bfSkm9Lqo G6dKYF3sz5Wm0WpJeXAI2tXWndmFWRa+FTTGo/6fLZXd76237InF44RJFDTQTO/90B7S8pJyD7+E 1pxRZpS+9Z39U1hg0nfpCiCtV+TX2lUh6AYv58OyotHQhlWqEVB2wm2w8GN8IykH+3DxJ653T2wa 2kO2noTWgoL19t4pFSGKHrScLaBa2DbysrbJocExr6vdh/oHlJ/1oTkBLNQjZe1fKnvcliXUemBa ULKqetqtRqptPLB2r6VUzI/P7js5POJUXzNYvUSMIhS0aP3/GH2ZVFLKUPGJLij3rHnpXHfidhVC US5AXSNoeqHGNyQ1inP7KCtnc3Z7Exrjy/hxJrytb/F+Qw3urS0oBGOv1uZYi9CmgmNGFKWT5VVC lYgaorn3WkWL1XwHmEs26cEDzDu1E7SJ/sW11ke/gE+2eOx6lj2PpOz3mWXGUXozXGcjeo9bFOBT Ho5/8tO5BRjFRyKpXlyCgGDteeGpU0ZWQO+AhIk6cD6UFv9aVYmblwOWLTwT7Ue3ZmZJyo1TIR4s nD5MiE0hGEsgvzuzPuUF12mc33tCF9jupg8H5OhpzlWWJdzsciZcVdB8qLQ3QFgTYfe2yiK7Qr0Q bSOx2c5JlE4JggS6P6aX/RnADKeafcI8RoxbEzl3aUO2GlxYs1BWq0o5w/vDGUhrnZF4aBQ4p4xt DkZBmV9XjX1I2WLmXsBfeK4qzJKSgbe4vUBLogCaTJYC2568e+lD2AjEvaFsGWr2h2nu7yJ5DSGR K4JGFWcwu5tcHVnd5nCU9rBFc9LqJ5+wcfhfz8IaR88ksxeGfP6W0PO9+QJVxszMCudbgdBfkm78 8Bb5zhJRgyQmA4kQc9ySHmDi+exDbX3nNm27HaIoFdxckikH8I0TEQ6MNrcQsjlMQ5q8PrKtwPqK lf6RLiUye3zkbDgrmgsqOuCgdVsrtky1kFcMFl+gR675XWpjyFBiQlBbFSEgdjNtc3EHf3eyjHxo bdsZSTp4LMvE+PraWjMX+/hT94Pj3LiqjJcVIk2zbVQ6m+QyirZGcc66z2f7NeH+aiq3z9zjhK7A DVsWDtlql4OESatPXLkEzA6nTraftE4hLPxjORwKmDKzPc3U/aHg39viorYrVf7Y4gKBWMQ2vd1K yIHp4Qj6wzuZoDhBeNGZxr4rlAUu1vvwPspC+2pE09fmtS2ayY3dMEL91/WT7Bu3/Lw7gbciojmr eCM+S3vO07xa4KkPZVdds9LahT/OX5VwCeFbNxHGy0N2n5wAM0FKrxqtb49B+G5+rG86unzw+Oud T9P0KKGGz83EB8YVId6V8HyIvjcNvVM67aLwTLnlrcBt2Ieu2NWLnDdTnfPqn7aG0JeUYYvWVWqm 4omnU5o4Xk+00WgR5EEqSrGxRBOx37E9Dsfx/tb49bo1oT2R5WTbNhMOKikAXqvxj+HxfZ1DCGLC PhsGyBBczBh2kI8rLs073iQvc/9OEwhucGQGr4GC+xUvV25oujqxnlZvCKpflJRQYTn9QsXElPrL ZYAXzkcc4PP8rWZxxH1M2IB2OQ2AF7k5DESm7GObOuA+Emq4VUab6WINBb5WNqDsubYr0CjgRVra KOEt6IbDNXqIYv6hvcIrCkPvUhb/K4WLNxr04RsEC7e54ZXVJ8Rckb0YCHq9yjbbT5GeHXamCXYP lCmiMjsCbRFFd6RqTqqaUbuy7Mqefjo6ZRK/Xz9E05F1PveBkcnDB9EzeLQriTAGPy7zzzYk4x68 RUp7dwEC3jOjPGLBmwU4c98sc/67RYcT4SZu1TecJ6RL6gRneqbcTXbrkcnK8nplSm+iTr7yWkFf SsP47yyYwo2SsXiCzdCteCl0TS53CFrLyAS2fq8IZ4oAEeKU6/HsZmfFwzffyIvWKzZ9MS5q4aiW MOrjf6BOvzHmhhCvWvTigiwMqsYUVtHrF2MAaHYmSudc5+EQG6qaKG41bLfZIG/c1V37OMZBqOi7 ebUmDNXhsUOtbihLMXpb7JpifNQRkKzMuHGab2SHHId9vc+UyocDFq43xQDkP1Occ5wZbmbCzazh s/4aDJ/AATZSssUEmp+N84vtyYk6ZETLSG8f/xikGj/VBt7eClVdooEv8//q4RPUQwLo2bxsGu4c 3YOpQ73ZvJi73HycKE4vOdSLt1rMxkyYLws9FrndUQzLQK977lArASWR1yjkwyCvdLTaYZd2VWMB 5OAo1p8VumhSJlHqN97Zc7JXEcYWmQJOsO2wJXtjJnaFLBjcmAOzorF+f70e6QRNAfR4QHGZZb67 Mv9h5ElNzRKUWrxjtPgCNUfo6ZTqLGicvQLaOS8zcpxUYlfrlWUQZOFlxtGnVnuL+GmVru/cmGh0 dZXEE00J2AJdp2+2pXvNwbfIYxputeKhE87aU+MKwoXPkF/c3nz45gMvb19G+QxlW9+qWltGM8x0 JQYCyYriVom5sGWohnrhYbFtnZKGkZaYX582NjQZEveueNEs41op2j0kdgvMFgzWSLVvLhJoDDrR EKx+xP97cU6bMBe3ae065OOs9xlDe6Xs94v/NTieWD2DTmcZBgkLL/I6ObybI5Hvmt1L5iAixzcv 1UVykrzgT2Qtu8OT3Q0FgNwi7SavsebQ0PacCDeyZhY73hJJ2hdf7qYgr6fyvB3UpZ8OaP6P7nXF e4rxVWOXX7q+gt2yIu1tvzi1LXgEaY2jEnl8OGfhUIJJLJ4io9a46OtH+azOk2pU5gzD5ZWCw8Qe Y2oONIEe1Ah8qjzPVsnRr8hjZG7us8Ve4O6WfU/PLl+nHRKOP4HwgnctePiGPyQrt2f8Kks+kZ2L 5UpNHzJ6yw0R8iu/PWhepgZPsAjPiImPPpO71SlLeLhaNE0I2iE2BbyNjVPJlAEFDMcIZbZuI0wC cVH/JpnmLK/dnAlj4jsKz61GQdj85Oh4E3j/ymEg/WqrQ+igC5EW17ZaMFEXL2CoK8ducWEZ5YF5 cjGWv9aO0p6Yx3Ycs2XUTX9H/mNcNs/koa12vDTg2hDIaez26E/SaBw7vWeQDCffwLH27j82OjUs xVvzP4nq7idXdfINazS7Rz3ajdTP37TVLCql5AU3dcqLr+IR+kaCPDuMUktYShoalJL8M1daruVT nne2Y0zZWI3nVGjufI+tAqBVmO0aL/y/Y+yaoBhKkDctTKGj9xixtkBw7B2yEVb7OSD0VlgHREva qb0yQC2Y3uEHyAMvbCVx6Ye4lE723ivSBsaBVesnqePyeOYFiDDzyX/07U7lFJttQKjeGxtB85ZC +fjjgu81k+Fn+SUth3rCUouduXleSOg93//dpwmBSoMxFmzbW0jvc/8K/znju6DRZGbT9ovyeZIU 69hNGZ2UQEDv7Ocq5n9LfkY0nbLbt8OqOZZlsgcTr/RgQXWW3uoA/iZ8lGoa3MU3S52mEMrvb18/ 3n1wYjJV8yKnY5miIy9/hDI3s/pieCAAJL0Cj1iwewqhTOfAuihlD/dCSjePyC3QnAtbyp9yowRR z/ujB/iQy8tDYWnLvAj1dVp7c2kLq9vHLeZ9pAItbP/W6HeC5TqqgqZatnBRc2YyrENDYwZk17GW PGAGU9IC+aVRRknzQL1hmuVxPHbDRv/z0Lavcr7bijhUVMTmU87fj9Ozg0ADdDhxlJQ6iKEkVLna qQILnu+wnksOEBvQySgygyrJ4apMz9BPwL5BBcraLBipXl+CiKwpQz364kfmtB+iaVuiM44BqVKP I/9vsNchBKl/DHmc6oRvMycY++djPeVMRVf3B/YCP4G9lOBW4I0BvniRaMwNjcHcxdkpX/2tLYJH drXVA5bnus/ZsM9aPjGbDQ0FGFRNSIx0HkXdYkN0RNCMAvJKlgd6giqkv5ffG/Pp11YOfIjlDg2g RJZqKdGMuNDd982enDkgNCx9cDGjrGXvgfHcNHFEaFFSuoUgrHksy4KRED1r5Otiw1GtVnucjbyC IBFL6ynYV7FxknZyl1ecMGFCkUokN30qwr1p1u2cjm4iIGrIa5xk/gRn3zM2IftRC0y1oR0uV+QD 1TR8+w2L/ySYnOwVEsn22OQiU1PvOfaf8iqDKq1qSC6eLIKRSIfrTMSeHXAmvKN5FWXUfUlRLQbx yl7DqV9YaZCxM2T9pEJhBoGnD35zW9OV0K4WvaVhXQT9sLKiJWuPT5EscI7n2O7WWlLBcAyMQBGO LrfofOSZF0etNab+iCJ58vX1/VAEGAuGhLpC7C6dCruZIN6ariK2ajV5OTZhD1uGOQpFVBMH5k7P rBRZ3YlH6HCHinshKDZIiwx6Kgq+kqfdxI4SZdaq19Ss7MWcJmWmEZDxUNJluoZP5+9niGPmjrHK 2Pc9G2WIXK2YmRyMGJd3EWLJxPh/U3dMxgvSj5HskgvpSQx6w2FYH7LWDJrt4Z6aLjyx4iPVhQAQ KgDDIzu695p9xUghQuR85ivraqiI/sALM+qvBSw3fYYsUjdeojSPzXtYMK9wMxXD0q+YL8xPVzPy KALEnU3VL5YhfKg8XWSZZ8JqM8PdxPRCfEqP+BVhwFnO+Hpcp0Yt9m7dFbKcdpn/KiE978+G2Vu6 /nLrynWRasEyYQz9F3COCdzTmnjxzMfRhO97bmNYerEoiTvqbYgL6pko/wG5QmCJauU/9nlsKiy+ tWWtx/WmNC+CInVd22lQgkuM0ftlwVGwG0zRjlAVD2wNpCLyGe4B+NNKHEHx2vVtBo409d/f556Q PCmjuguG1kNZZb45GUxOTc05/eIh/pw/O4zI0PK3iuOIA4DuTo++sPIC47UB284UvU5AbawvX7m+ 0hvreQtnCf0D2wt489q8ddDl6U9TCozDxzY6bVMfDb1gU9yJOYIPBB/Ww4uTtiEvA6SXfUcLJInG dIQZBut3WDuwiDR7D7lUoyzRjAwe5JrHr2wNCjb8FBkcXlVwKGJNy3f/jryXnjmOluuVNfzBkAws MgwyrQwIdi9lMrhUDJqiC4rMThnLIwh8qdQnH08/tAmT+B9SGPnsjyoUA5Zg379QeI4GlHSRKJbH N4knToFZqvwhgYMy4L+l59Gu5Jc745zEyriSosaxyym/q8gMNP059pf2nbHtH/15tqNWV71HOyxg VUPYhU3w1N3ZvFJlwepeA7i/6AegqqSlsgU96NzMQ/eRtfFp5tn3CUsE/igCZ7pGeBkX4abvjoI1 71xvZk4AocQIu4Ygl7PjpVloM/mDxHRfS14Y0rtgdsP+QLTzVMtCUa3nMoX7jw8RGully5A5K/Ft ILNDpJXscUw4ELSfbPIxRQQxoQspe4ui2GwdJ51RYId4kcYI3A9nkWOQ2sG6XgHLbFrkLTRM5gnR 5mgvREki0OO0LmNbzEXlts6Yx0sa7KvlBwxQeoAE5ADTuHHXnVV8lfM7sVl5v3RzguMAfC6p4LVp ulWLj6Hi1EbhUglHhot7KY0QPK0uby2nQrXI3p0LnOpNmoonYWxLpv8kOKLYOSEtMwKIa1ajwRPV iXUEAWBg2N6UytSkV7/uCkRDI/ZSi7ScUqakATUJpVaAJePUiQmx78fjehL2QwcZtO2UlGteqawp iHcNEPn4gUDCOgnbXNSDKhFWkeatf+k0iyaHer2NmfFBjRWFeaqG8bITdHaJlJe/Ky+6smaKgOT/ tRuTZa8nEo/uhrpB8fA7y6VeCVnE4dzISedQYjzT4ZDwO1hF/hKwJCkdU0DuvKHwJq8DHarZZkfw vXUu+xkX4RsVbVVt7aoeNLRhfHmsTiFJG+AaU/vrmUz0R1kPSj5u0zHwRABDGgE4XYKa+FTJ0lhC Nr7jeIRc+t1keh9G9e32ThQ9f1vDHJ5w1zK+5A8frxkeNTjHV4aWlaAh56iDxv1QLo1vEe22d64w Ca6AlbjLui1yM27MC/WQQlPipoNHBHQ4oqUWLL1rq/m3HrQEnGjEDapHs0V68vO7Mknn7JgPow6q kxXDETrOd6nztMbJrXBkLgnoBWAeQORrkr2DMPX2VhageZdomTqZNyq+ADqbeC+MRDn+mvF1aoFS vFEhyg8EOeLRIQNASUzyVWegVlijYSBFnZTcl3ijhIdoOxHFqmqeA/rtmNCey+ITDOOmsJPCgj+R ZNLYKsvL8uy7R3AHS07eQdJUAxHLU0F22tRUoSoib6RcStbWpH4NdY7ThQqfJ8JHTDSknFspI9CX nuM+WZFAYu5GMHAfFMyzDnYKApF2CSoBGwyfHVTX2wYrnAHE+31fGL8toxAwcWQFq9MAwLgoB6og S3xfy+63k5Ab3jWKoKQf4YUBqHbnZLtvxCLYO5CbFWjbp7ze2MZH1ZnKpbfdCAvZ4Hx9xgwnEU4u zgnMYZMcg/hKCYFanEC93Ls4u6EhqNuGEbjChwr9NeEvAJk+3NlfUeso3JkF1DNWwec0pbOxcjUk 5s8yDL/vlvSlMAvGYy2FxKpMUd85FzAf6D9lTDjlJgqlrV0X8E98dvYFWD6iyHVonnsgUNKfYlQu 91wRa37jXPt9gUI4k5PrqMBqFxeaSlQWOLfn+t6g/+r8LLjddfsgLA8ieerTip8KMmX7CnA8tkEv nL531icj/hAm/fS35OCEUmIJaXrh6L3eeutMJhWF8xPWL+8ml4/27z9hyr7AN7LJL+sT7YW9jVwS 1cOhToM3YaPQmtoBVz0TA1IeGRW7z/gdtntmV0qnCh0awKp51QwfeBcb7+8JHbXlB0xp0iMgtIao yBaZ5az+5hOhZ9JRSyGOJdk4sLj1hgTbj5E9b4U6lqnqkBv3J3+dw17xho7XaGAbFn308et9zkOC Ji0dFGbYLatfJZnQUlYKE/rR5abKM7jC8s00Rr/xIgC+FLi8CwwFgOYU2HVBiS3NJOlxuy89vY8X 0pFWh3//SvhLGD/4wkpo3e5zwnNqiqWmvly0josS1JzZmMefBEr+oJSMjSmqStlHbkpCNPLJWFFI fKUQYY9b18xZbQXOr1earHTABfQIC6dTuIoXG4qPYzyA0xuvPl/5jf6HN327jNEZyGLupFwJoe92 vUS6ZKVOwEmf+jpkKVK7PmC9y9OyWEYl+YFxvdFdnbkG0N6HyFi8YRvrYeKGg4t7EZPQBpxLlWV4 6IzLT7h0teDVu8seiiprNsn7R6/IcS0/oiswkiEYX+3Hf0Qifq56hKCjyTDmonpyj5fVxtvTcBgB CkDmhB5rTORFEFxT22fIodPeXWPYd90Zn815wtp2q9xyP1MmmJX0TQNW+vcMLaTPTTi3SXztiBgu d6giqw8vVywVrIKf9HpvDPrx/lCFXhhaAG9ZKUPvW+6dpNHZrvc5ssfNY6HEr7ISRtXEPhYxlzSH RM+5E5NyfjtwFx3SYleseOkKgpq1M10vdUqF/ICHReQDPljduLrMiqRKgQXEh3sfY8A1EiLSERFJ P7H9pvVneEVmLcHSRGGaHnsHUsZ4M1qdFT+P27Ijx9su41BmkTZzY3wZYdbcFYhYXcmVAN5hICA4 yKWUs3T80ztI47G5+QipJq9AZ80FcyJ4P7Yz0zVH74PRa78V7BjnpFxfyX79KPmkZcOhX/jW4shI JwRXQ27wzGCmwGL6VykJ4logqnzWdKtAByivEn0dw/AtUNJG3C6/o8mx/4mBdi5zJWWRvNO8IWb4 TCiAGJcLwq+bQXvrydv43kQxgkH/73mGyFwGayJJVtIO6bbf4zL0mVdQZxXwItxS6HeG9/m1uYHj 5mz8/W1zng92xG9ruTegVyebAYc4sSEiFP5AGuckcctQAqRhOWul+WVmtDseIAZ8RAZoxR9o87yI /v+X0VNB+C/kM8bDIT4NU7ShATSGtFypC5Wz0f2QEfKWFF4xkQkN96hdDDXbd/A3N/MLhG3TKubz 3sXEGD01MmgQ5DUSjiKOFZc3pi8aEJb0sAiYc7oWPoyZUiTADR7UhYYnNmyrvd3UsladlvwjD9r3 kTpWuPDNpOsdmcLj68DsNqO0bHbRgT8YbRaGypbNWSEiYLCkrnbkIeFP5f3vjvAmXKm0tW6doa71 zQcj/oq1EAp50srLyX70TgOOyx7gYlkK6PdLDO2WN4IA74y7yKoc7uPdP/aeWQ+6eqOL3RXUA8C7 ThKvL5qD6dLhdSOU9NCDqpFTxz2ur9hk9fyRbvtFw4ls8Twj5mVUScyRbUSq6qJRkE6IocA3XqmM zT+ie/Rl3xS2DzrChWQo423C8Lry4mARmrTIKe77fJ8hCaZP56wR4hbghjsXkgo3r5jHYwV4XKdB hEZ2x6QSy/VqBVNP+VqF7z8SyOTDejSO3W9IKveUeiPrXUoDEEuMThAhYoEJxMoBaAkhG7nCCv9a O2eMLnQKrmslDbG8rP3M+3DnVUaPkQ17eN8AGa2wHh/e99IiAqGSaqfR+7LGxREhJpjWYBlgU8b5 MNSHlTKi8CEAmk9kR4Ah4ZX5qxoQftPozvRHQ2TuYzpnewyj57KfVwFzfeOLv6KWxJvFwHitw0sN tGJzCQo29nmzrwtdPliQ3sc7mD0cffhRn7LO7DyGlYwERU51Vc2YecKEs9Z9x64s8dC7Jnq2AC8o lVZA7L+J2yJKVu5kdIe3ut5Lk2DYJM9ZtKWFnUgvmnBZ3b+K/A1WPYDp5SoWKcaF6Cq8MHRNNxUZ gvY/IYS1Kr9xW9TcD6rl/dZsw4iWHEvZp98oXGy+tSyor9ukv/NtGWeaT/BIaaXWj4m5ll8Upssr jR1vbJMvO5Gb/reK2rHftripfXKyze1ic8gYBo/ZkSLHi+tYfCPnoDD/WsYzbncJaQjyMDuVhvHS KAxi9XfmHmflSomrMR0UH34IJoqWiYRj56YpuMqKNTUnpiHS9IP/zTS8h//C9SKmvh0xcnzlbWmZ /bQWJp/dBIiA6F3pF8v0F34uQJKGhQeGKBxp3SS/g4ezbRxssBpRSeVgEFs3bh66ZevYqKk/V0cw GRsQ05V3UTw+OFkSJFtazWif71vwI0N1aFbWss5B/L9YQzYIWooVf33gyzHS1v1GnEtuEp9Lox84 CWfVehvh07gtVrEL/ITkUqDE8PinUskm7Fagg3yyYj5VUSMyYknTn9SyyoKNTShua3f9MGDOaA5l xgkhJLtKTRznoQ41ObmhKT2GjiWexgCEGJ9cE8IXqEEQkl/K5P24oesPcvaH8TWh+bD19EVsKabU hTRUIq0+s++/XZn9+X8gbm0Sh4956RsWdfWYxW5yuE2Mdq+YLgPWxAdjmjk6D1nC6MOR8kvDYous 1/VLLVLtJfvuucSZHwfT7UylgXFQHW8H55+aRMkpp/z4bLFugfzRRej8g1fsVqzweSHhJGXqqBix Kly3NGeDFcVvVHxzXOa/S+YrRcFibq5lyLgMEwD7dwhssaUPQ5gUnJlG4C9bWfJzt69PQmMDFExa VXu1QwageBoD32cNvqVxhXu7V0QImaSuYZ1EHOL9WEnW+F72kSGpVeHWH6/4X7keAgS/IjKNDN0z SCv74kVvOfbF79jlupMKWZhZ9ACtfa3UvoFnXKtNPPJ4uuX6+CQpAtS5tUxDinfU8jiYDu+zwnnF fgzl2MprTwqxOzcrhrQsiQ53OFapzOgy9BPCP4bfnEmv1Uh7PQWA2vhbcR1IoMImwXB0zxUVx9xT P2lElS8JpYw/6vrWgzOkIYBYBNbaGcI0BTw6t8Um1BPinphvy6l+x0c17tqaEk22Z9Ft2/ORxr6q Z7Kq7il5iRzjpXakl2PLchoNDEJhL/GuUGjjdzAng+KDpeGK8cAZfNYFIc6x/sFJWKw/cT8oyrQd pIRkTPWEc078xaGpbB8gzQZPkP7t6lepkK8PFL3twdAJ3dvul5WNmmGU0gUtS03C8vmIjFDMFVJw CqKBmwYzEN8+77mpcUn8JC9GIzMv0olNqeMbveOIyxp874KX+NUSkT+2+Z+eXI/3lJcJ/eBPueGD 2GLVFT6RnaZny1tkS6kgw+H9g7EzEAVS3wAGSeIHF6dIYwldWU6vly7i2Flqox/FrKLU9ElopfGx hdvpM6QyhJBy/jlYahnibM23615bhHcdlgt0GqTAsJd3yNsGqXCFzS1slKwo8edtAY48VhOdYaH5 FTUhPbA4DbbKHQ8mnFCz8tBWwuoqf/ztxOy54T63Ib8JVnbwmIPOntyBqahNk4CJ4MwVypO7g8ld qatggGPQHGdNo4B9+7RMhJRAC2Fdk9Qdz9sNCQIvCoxOqxdN4tNaBX9dNpPvWVF0bM7eXAXDlFv8 P2dY2CLeuOlHRCvc8YpXaN0wB174ZsHQ5cU94Xz4DXPIF7Lcnj1MBLiqDU4L85dG1ATldBmSBq0G dNRnBsVYZmNdbua8hp/c1yvgTE6G7tS6BHm6duXnopPdjfffdedzDjNvuHHFyL3xeOkFL/xT51St JXWCeFEPwMjjR5BRrfzwWIdjORferj644DyO41SiBHRqdViVMDB6c5Cuzp8w9EpwQKLrGl8zFdgQ VyXPgNacusWKNluRDbDx5vM0XXKheXJNMYD2uuuRVIu4llN75ZYu5+d0eajQOIwjBpC9JPmUtmtg TAJAKroOd4JQ7+9ECmZ/8aery2IqPqrM3RdF/YJG5c05NADDUzvG1z94NumKrmuJYfcnEzs/ARDp YrgvGGwAfj7EL+jSCtP2GU4uB2RTCcRe8HMShxy/IYmQ6M+JZCOqw036X8WXtiLkRzg7n1nQbq4Z gMCwQ40hgRMkpZ4YGjRKGQqHOXb8fK7OUj7gR97wAmgE5B9l9yKXlryWD+JiILJVcikIANIZcX6E Iaouxucnzjwod/B9rq2l0RiDe0FhAa+ctQQ039BzzaXj83edu+Iy5Nct2dzobK5YRLOpRbJ4890Z kf0wti3hYwHY1/XTvRuaWZzL0DeO1/KboavDaBXTC3caQRTbkDz+zF0DY5JSmWqjfi/iI8AeOEao FRe/wjdhKEcAUe3rdwKVC37KR/bRuL8uwflmJtsK//GiSe1i+j4T/ztz+Hh4RUdxXN5zuiP5q1IB /Lw29nlE0AvwtvzP+9df6TmHM+3bPonEBryEWqiC7Ugm8geQ/hrJVYLBU63yKUh+8E3IX0vkh+t+ ZmuZTMYxLkFiti4dmj4JmwIQdxmHouNbNLJKnIq8jwuRovir5y92v/b9TAU2ywqKEaBA9w1xKkhk ITgogkOTszjvDFbnvgvCROmG6FwbjUPH1ci6VPyInaMgaA6+g7U7SJTNRSn/d/+Y18sji6uhj9k6 bn5yIkAxyXSE4dKyfkE8S+DXjm+7Fsk9BSkIZF2ULmnyS4qi28BGOThdnrAj48tO+ReMe9ucWMQ1 RMBwlgvlI2+FN2lww/vyNeXPoAqQiBYDJowzcFZmxBBIwUlHxeEIjg+hoWbAQcpYSI9n/8j5kTHL Zb+EuEaaq8u3EKoMaWZjF2Qbp0l0nROvBxa2foOfoAWOeZH9SGp9/DRvo4k7BlR0rCOXMhHH/Ku7 npLunkHUXul+QZBrIgqcbUt6iUpPQUZLTkdMiAY+FoxKyG5YhjMFrMsyjBb1EanfzYA4bD1Owp4v O88fgO11yv5bsWC4H8fkhsKZApfRotIBQOsCglKv99/Uw9lORdhXwXsLE9yOM22zYuWZFMczvJMv 1PPebobrg89LKsoMG5Ad+SgUNv+Q1oinUxwc882JLaM55tTt04STRvUZdLO0B3M3h9avy87XEJwM h1+9E9QOZ95nv7/BBnSLCLPArDVooVFKa3DRA1bsadelj5Tx4CblRxXuq9+V0kVwn+r6N/xWFy/4 XEHNpHJakek2izyGasMKhe1fNIQCbno2IDT3sDs6vhiOyi4UUQG0IJfIAFkeErz+azyNLMgNq/sB BGlMe85vvvs4PDeUq4GbEirKSeCnU6YnIfrEDJZAxOrjMImZiiyoTknMH3Kd5A24eELJ/ZXI9kki MIOxpNoIPJqGMt/VYsYVPxtbOWt5ggXff/YnDkfVqH3WJtSzhZM/B3HZ/zCdl8NLiX17P1xrGz5B 7HNWhelDLc1xYB04ESlB8JG373/5W1bUJ7PINJZjpmXC81PTL9U0MbxPNJogOFkcuvAtZMf3fhPH hcYMe/dIsEhWDpcg2w1XJHHMZJpFHnuT/lSu8ySll4jAbz4T7+zh8xTVqC1MYXZzyHZkN7ytxmKz M4DagK+Lz8meDRGLI0oGcb4fQxqYwaLDNkZcHhAelgj2uCAoAyHjijIOrJBfg5HhSwYvjG13fqhu AlImi2hZhIsFZBC8kdlnH1f14K8NqCXM/vOEFQBy5PnVTmcMlG4/zawLXDR6KD+HdRxiU+5MVNk5 Lpu46Ax1pOwbK4SiCWFj4LaZDTVplRZDTfpbQyV9Wr1sW7HxWLp0q+YXHm7sdIt/ZdpQWYFNZ2x7 vcWlhKH/lg/KBenQBidbkvdlRyifckkHgmF3uex0dqTQaczEgC7wxvTZAlzRSiUNtM/+/nvyQNZH EoszYSIXu/WjnPrgRF4nN5+4Ed+bu++3kmv0CaRiZcLiGfOSX2wKhvYmntzETtQy63YNPuWeZxPP nj/YLuibc51bBBnyDBg/C6Sk+gHDdfuhe2Fbx/Ys8vYRaLJjZVEus2PtNfyRWnyqkY5zCX0I8Wd6 XED2kHqS/5EupF3rGOTA/tNd3fhAvBJMmBnc6mai7OmnlhilIsXTIyVa1jLN4iG1SupWAUlIchvj 4WIfH2YSUK2pUbjNM5Ya5hECmwvVjk0tijmHOnZszcadrvXcJv1zV6xKEroDKn7HyUfmyULObT4X yc44CNqX4UpEuOPEnqpQ7Nz55E6x28gIXvbBIs19LRSXjagiKj468Hke0VSjVbRYdLqSpW7kcqeE S3u749AQWllonsH4LvNpYipGjfW4TeLblH8qcSYIjO0qVeU50iW+170bwuxQ6nyaQfHmoYvxa2av 5EZdYajYZPjjLJkFWdqV7iJontMhx1CQYjp5pdfUhTp9t+hlFddufRTQGi6tBLkDxpmDljJZA/oS aIADv0leGgwWY6kkYTJB6w+NE20Wm6NnYCLEnBmCoXJmNptCMmdZaR/VAoVip8B3I1GvfzBnT/FE FJHziodbYr+YABA6QqvAG+QR3gsQMESEgkoGy/qS61WCKwpVsevNdTw4rkhsd3UvTbHUTxo/0GUx VxitobnNV/aVOVwY7Y+0L70Gc7/MTieBqx2uNgPQ1Wq3qbDdy0iw5ho8kwZj+tHkjiacV6E5zh9y S8ls2/td2LieKRzNMfpt8eh1GPg5XjYTUhfdLGDVXKMlSRAOk732/1o2FNwdFw7yl9By1Uv6elkv x0DD+Xxf9c+543WBAuV/U8WqK0kGoSTfQZUkEZfwPIpASQdfFjBzu7LLhOoZSWrKCjOlzOp9YEIY Z5/dwFN+egG4Ib/3ay5OfBdsVZgBx0+oPsigMpxGHIRMSnHYJdyKr//pL1JXoKk68MShBrLfie99 JBTOWWu+uHJH5EUe20YHXm/mhnu5HFiubyKcEKUK+DEKVtgcdHVNkItLbrewRDEhBsTIOWqSpcfe vwUrWhvzDnSM8eIfBexlJuqPpdk+dAmIudjTlJ5Lb1SXj3Q3MmOKuL2k7g3gMrwRC5Iw+XL1sXjx 0azNDBbIbEnFxkEtPvao77rcZUGCLF2Ocbu66P390H65qsVdjtqQRDFdxLURuHC6yIgZccGIxl+5 z7k1uS/b5qLzN2c8aN5j0vaDXIHWZbMCq95/Bl5tmumK4IXpVdPatLHJyRSyHYqa5NWXKDobkHXj WVM0zjzxwjkB9EGEqEgDGtsOGoJTBKZDiZt27MhtdJNhCpVQAtnjgoWmp7I2Bz1cToJuf8hRdy9t BFlRCjWfbazh4Vf4j8AnaVGkmAFkAbKhfZplzynq+SuYwdpQDFFJ4mPpSQd3kjVktoQlUDtTvwMa lt9mxJHID5MIhgAuTecxvx2uFDbQYTS4LekmFfxauuCWQULrGrGToHy/QYTIjS4XyqjtYScAZsyn gZZeipxdsDtNnpDARGWmXvbLbpiSuBSixJ8pGV1sQX803CW42q8yROCCdjuZDCa1V8HrOeyaJ45U NV9mgZr/5ow6ICiobBjAblAE35pr9b9V7COK5OBIwVgixilzQoI9K4jseTuYGOoLxmUDPA6R/xtR DCz1tgM7ZrXMmZUfC7JZXrwR7ML9XsbvIGuwVmH4SNVI7RiD1fkkYtLfNLMIO8slx6gvIIQIBCM5 DpIRR/1HeKHPMLxn2MYwIT9Dgltine83kZhcdTDKl/DzrnYLw1AVfiMTVj5ePEhEIMUdTxkPvmHa mdy6P4MiBD9R11g8HZNmvUMwepQKajuiuZsquABJm80u87Wa8yrjtqiEZh8I34NqZkCAmDakGUFN 2nv+/8Vez9uiZSViZwvcK0o0Cd+qrKUDWX0sJ5yRsVOVUuJ0P8EDS4vSnZMuJVyQ9nCy51i/u0li QHxXYqL/mMe3+CDjYZQl5hqDHPVqL8HIr9EfhbwVG6zDiUninLs4OSsawTBFtbUZlg0ih1hh0C4f 4Tk2rEZy6w0+vPNU1gkFVZj7mgnU6pK/NFgOvZhmRr5/aGGkLDvc7NXK8mmC8eZ/MOwoQ1EQS8v2 z+qefmT0BWoz3vZHGAtm3qz7fUIXQrH2yzA1cQqSRINNrpAK1UiD4yC2Z/rzVeIH4BzSsGWZQs8X 6gaFnBmOYcJxrIsBl9EKNtrSC2rRlxStjsqJ84S/5iTXCSMnT5fQroe090DXcZIjtv+w7ssmbTvg TSWMRWutWATioJuCHtMmO3CmTqELUJovLpwG3pZO1p18caDYFX+l3GbA3spK8MXT9jNW6fxqdQlo zoOa8z3iKRxaC4ytfIq7HmWhoPT1JkZalu6q7wJn5h10gi0VxG+aiJbd2c+JSzn3rbd9n1nAn1uk wg9MtSguuqOvBVUdBWK3HeUYE5SR2NV0iEsiXPpMHF+tQf3vkUeqTn98/eWTxFwC0JplZmSOWhJv /yHPXjmuhkhlwKgWC4WYucWh/+i3Ye3wjTHhwU3ChN9PIu4LSXHR01VbgtrVn5R29vs9DCn+YJ/M 6vYcUPcKmgnT5+X/A15YiXqXhJkFzjAmC6gHRzfEMrc9ZNSTMkElFxdg5nQ41jfMr5OWK3Ds9Xxt 6h/IJCXw0EW5WfyUoBqW4Zypa2dWitVIUvo2SwppU1uWBi2GY7n5w8WJpzyeK/k6MQOIxGj+4xt7 ry8EHHobN/Wq6wLacy/eZWDQnC3bVPygdeGRCFBynWde59ctwAYWJ72dXV6w/LsfbuHveQ/FbUEf Nm7izXTOcKnOfFrD1I5aIEqadmLvEsH3vduLFVxf2BHz0loD1ArTSHzl1ZaYt+4OuGvv88VR9LzZ ikH13UVQUblQOjbUPke4V5zvDhT0JQDcxTxs6+deKXYmDYAEOzuKNCQgLKC2Z9BDUlE6yxYzjP7A tDFQje0gWSXNsMuv4zi2XYuReMGDT8HFQqScTXmgbCSGoWQUi6isH/V4Wk/NysiLnetHoZHBJSIH Gu84odQ1QQZSL0dmv3dPqauoTLVi0ELhdaJjiTVFKqvljs06nB9btrcXByDTpu+3LcskPLXr0ym1 IWA38ezSh8eg6O199uUacVPVY6l/lDO9WSbYXLs7xnIw3MF4xo/fSSjz9e2Mmvi4xv8guHkUAlRQ xYuzYyFIB8bSk5Rn6Wdx9O3248nxkkv4+FudUyzPVwlXEKXHnZa0v7Y4YG2ToYRefJnJUtYkBoD7 bsVUaI44FOG9bwsiBPxepTEweTlyrvdstPEa9e7MxkyLAT7HXuM1TimbS0SS9gfZ3FRGOiDk2sGv GF5UlpdD+EduWkGaTJvewDkoPR6bfxp5PPakXDhyCUOKJwGMNCnnzI6xWXzmWeX1OxLhmyExO9eH PI85ugXLBz/GTa/xIxJldo4nyU/+lYAqcXQ1r4X7LrvkXpqyjeIuIXrJIXrp9sriR8a/AqDXqMy0 RGM2Y+zj9hNKtl3rCcARFeM/94UNDgvgR8JxPGgeBJdda6Ak6KwOlWizawXN1YlWVF9Dv0mTZH/N 4bsGMctO631W0WD74iz3vwg7IP5jG7xjre50ZhrJ71b2z3gR+ZuBK0D6f3q3JH8TXlhbEUqvUdx9 Tg7+beM+pWNsGu+rJcooyQt9mSNdXv3CUT/i+We50dtv+G3AeJndpsj5MV9582ivP2SOc7qRXU0I JI/FuzU1bvEW4wRXEn12CWg+aL4xOkD9jkmx8j/RYKeHpYnQndETteyLBE3htmLCwd25LW3IQ62x /ZXBROOGHBdunTNt8/BF656v3M8f+jdvr3nYgsp4sCceMOugoU/lDlhol8GGMmUsIYdrwdc8X0iY EAhFXoKWqGlZ5ZgKnpcm2J4MCsjK8e8YbiiYqeZcIwJzzgNUg/WsOVzLxq4qH8i1DLvrp9qwiNzB x4X/oy2ojHtboSLkRghNgEedWzDaxfNOgW7uDoeWySKxt/YTFLiKtHWEtGxiQ3oQk/P3LDeH7uyn GwVGbV7y9V+Ox7P5y8fxt3GcVFRWRuSw3Cn4VwKCx1sEFldlzEPJUZcK9CCHPlSGdgH8jpdgP7eg od2VHJj37ZYk3DEXeeoh8pxscGCFlLcGp4lACLmxXa8RuibktOBjn8l3nV2C3FsK/0/49pGIiX6s oNj+MhmmS7KsZXcQgeJKbFq4Bgo9F2nvCqkAjA1A/YZlUv2mVTS2U5neaGK8bT4R1S8zurcoWapf Dfw1NoKfSFrr5257hP3LDnqNETriiXW9GussYhwAx4DXXXM3SKO4aIBQXkCavtIr2wRHTfMaLYg3 1p5r6Xssa2Z3kc791Zh7wsTYYdjTwZYofur4YKEPS8lLOH0S0Sl6BisIHS9JrYFu1zNTKBsXOP/d y1nFAstaiYd7wkW7nqYuyJTfzmQ/ove7nWpzp8m8j9XmDp7zoeDxTzlg/LhYmp5xd5B/MFdngPeF 5I6bIBBMwnewxgTPj9MRcDJ3Eoj9HKRcbTP13Z5lRH/zG6wQpv7EGJ5Xd0atzxywphQexmigmDbJ 4vZPugx987tksHq0bHxzeKsV4Ewd+fdciLrUjSvZi3AIYqrvDRMdDxGgPt6j0x5VZgS1n+ud6bG9 Y/ULUQ5SUuSHYOdW/a/peqUx+0qblWJDNfu5ALpLu+Y8XdFQ4+3cyU2sv0+HEkmOuf6PIG9mQwvS PG7kYnNpWb2CUcgbxjBeSxLrhWbu8Vx1jYHlKDRDYnRRpZrhTIO7Bur/I+XayUfBH8mrVaSZal8l ctMLsNR2H4VqCI+83u3aFabtWKuz0yiFdxq0IYxPcTjsNsrx5rgK1PaR6CgqQyKGfbZPlWKnjZyk 3ePShnzPC0qRnNHpvs+Q81VUSOLQuRJS1x4DmZ1R0SfaYGdGTzLfMewEH5a2bexbxDnQ/LSORpPz FWJHhnORFd0NttwOlBPWJ6mnIK9VA8lrvurlx/pv+ipwrfWVe/q1adaHq9cJ+xVy4DWXcry3rx9V WO9/FcuVjDIqBuKO++ViVr1fJQf6SLzR04GXQNp74LAoo/XZ0wwXBwYGZu8jwhNsJMYx9krLFet+ P5KQ5jnubRxeortQnUm+8Z3E4CmrnlKIewG91PAcKgYWD5us1rCdgcFfUGU04SwE45mJfxLG8CZ6 3HdEsPuY8x+Tn/nZwL7aJ0Bp78N0zVEzHfs7Fbe2QiYVEhVZ/CxUduGhvfc1IhgrB1zMIqbo1J0C P0S3XriZcKknNQZp6QV+xA9WKBsdl4/5/UlXbSYYbsXqBLBO+p70pnZuyNrWOqZpB5b2SC2Dn+14 H/KVYPRD/yvrNhmm15k7mh6RsdPnAp0Cjc1YLDLvtBepRdzU5xl8OvZudGECjkvHDj7scwC1zk0B zwTQIqxxF+UyYvSM+e3eJlGl2u+yN9iDKFUjqkpo6OdGBSUwcATL8CY7kizyUCqXfyAIWqDlcs0i Y9arHBsbQ1uf13CcPRvRmP6ETFt85/5I6gWH5aDKfe1NZrAkhp6R8P8RRD1zt9dNRItPpwvgm0k3 vlvV5uTXWUG8tSN9CBoeE+lRARkOooAWru2R8dTESF0j/rvI72tmjE6WaNxin7OOio2jWNtQsdFx ZQdyd0balQ6UL9Zkn782CufXO1pzsrjAl4Fdn8ipzVYjSLmyoqp82fVxhkb444Me3jo9iI3Fqrar XsJAOvx+ql5srCUrNnobWBTChraGophgkpaTYzlVoOKS2viwM/bDQldUUj+nlREl30D08VtPhW/H QKdVQ106ufFcnSXYbnLxmG4KpAFW6fvcyhHe2G6dr7+h7SUPRct2eYBwLfDfT+vuPYH7aaaADrh+ VzfPWoG35NcQmbnhLLuXb1Io7fm6aYN/UN2+0F0DZWeNRkcBY+o/AxZZwRmFBmalCGIkZf0j4Dv1 mHrtdn76S7mfyuJLnvA6wQZOc6NwlqZDgSPJ+3Xz+RjY8u4BJEPUKRDCugVh335/ZBYiaZvmR86p EalsqrWEUJeIKUBv1kotEIiWFR8F9WQ4OdVgRcMs+4ljmHb8hOvKsXu0wsg5PaoMaNyMNf4pHa4N a49pnaWLWAxwrlNw1qnFl6YGL5/Y889i4/Mlp2bfEd1xi9W+dtKpKIKc5gJYUy+f+lWkqWsHMdiu 5S7dXdCxwQhZyVMvoN8rtfVBrKqiJl2DeRqqZ7urj1QVOI3IS6SVy7E5rSnYohzsMSmUkzttECdA LNLeSB0TNf9ieRsommbMXD81FSFdUmCdmWlu4m+J1o02uKLGNvZq9EF386bHPR3c4e1vQCm9iVTu emo632Cjf1njKZQCSYsJQOokrrfpsVWHMHGB60EZKANsqjHXqMmYFcAJ9WmWttji2C57+ncY1HGu EQhV0e+PajmiVEiAoq7yLxGVaG9FLddB0YA+dWRbCtF8GZ0IMACrXveIUnlaVF9QAisCchfrxVhm D1RSsdwkGH14Q2uNTNIIRA3pR88Qpumk2Z2/PddEy3Al2Mk9Lm76t9Oyb7R/zvR67BURZxe+mnlb pvrNrHFqATKBqrqraoAyF5JHC5U+UIntSAtx4mkT3cEL85ZXn1ImT0T8tnwwUiAeoueiuOwmYoQf lzxtq1yiRdlmg326L7dG5gT/KktV56B7VqQtn2YDYbbyqDMJNYXVF+UZaE1Dh4eGsC0i5FGhKJJx YvjGonMeBJwtTNnXx0e4w8iXQ8y3I4ICMz1k64eijz3fhGhyzV2PZx0BbKd+B/pLtmYbeSMQ/uMb ZSjER03hoKr9uKGhFqR0Qdv+HTD2QJ2CxYTHOqQVz0fM2itwugMU1xqBM9sOxqIJs9DHhDo6Cnqv N0cFCPkc0bntHxBU3roFYP/JrU+cUSbFDBpK0jRB6L2uc56olZYj4gV2rD2Y+jssMMryJJGd8z6Y qWeRcWmWw0U0lp08dF3FZ3ZRPyeI/7REX5fhzX6KlQBxywd+W+rPV8mjsE6yHUyVOGGqf4h91bzA vTcuNtJKfaCd0fIn1MnbYFWgDFia75KkxHsQw8r363KtOaXeO6rlcnrrnFza13cubmbEmCo9K56p DtOAC0grFW3WR3m3xVx2KGEY+zF8PDuPV5zrkvvlx+Qq/wtswFUzteeXb/C9QgYmDL8jHEZRDBOq s6s7dFt/2VF2/WckeVjXCmXjPf9jI9f7HO0PfCbSzDJ9LaBHHDJvQL5mX/n2ojlLJp2GKCVRG6hs C6/Sy1l3bYirVDM9XOqUu+x7dSdwJ/IZ+oADK86BLoOsNVYl2u6REJGZeGr1gb70o+X6sp5rnyU6 xqO5iqGC1FDyrJ4v9aTpVx4XXfB+IXx4fGRESiodfzXOAyA2leQ4uq9DS2N3tSr4GxY7c19EcPNF gb+zF2XdvW37gnasZaEbc751XTebPIYKLrYdAV77EF+vW0V2qYMLoalrgTOIYhzDJW73VNcm+wxL +x9VNK0Ai/8uawOdc6e8VV6w+laIvs7ui4Reg+WzC0D2QAaeClPJBtw2k8ZRNj5ssn4lAbnbTf3K D94k2yt/1MxtSRfYCwqVxDnK1nqRSDqxwUE75jBKOepcUkFa3u4NJrQi3m2nLhQp1bRgsaoG/UFW F7OoKyKH9Ux6zUi5GokcTgO30n+pRclpk3OSLuqpp8V9LDzl98o4wkvD9aOwOhDCzsIfqE0SSUai 5AO8eYkWHmwxEDilMtpxNdHyz3AGx1Wb6/97DY/6DJjc2Qu9ir1zYuypzdPcfx8U7OGbzDbqVMXd 6e9bvaWdpiydxEG4nreyDknXpzE5plgH1OZ8MobmWo/PKwr95DgYX+wx9Vi2PchhqXoOeMY9pxHi K23t6D4rwXkBYHUvsAxNDx8ngjHJXLh7UKNlMBv7PNipy+9nwz/Ol5rzfMN3TfUDwCcekAXKSFeJ lWJPjtdVPhqoqA3yC5N3ZBdcJ9GNQi5MQFxHfS7mMrS4xCopgeICIFJHqw/7rMq2ueRLomcoh7ji z/6VbG4S6fshAytN0A6bYD4AZeu+E6uvrpO9FiodchfTmXfLf0IlUmv2gpFFRRh/idY56gjRq8vA QuLx8WprTlu9/CLX9/a/nPYM4hnGHI8ID/E1MFeTL7b3wZU2F/J91wzdUEtzkX1NhDED15wYurk9 uKIOgRJKern77UOMs1KtcKRyueK4Woh6FyAAed4uHhvwL2J8LhfJ1UCiwAQjQee3Ghvy7hHCZmKb IXGxgy43PoWvRdy/BPjVc5mGw1BDHubXVP2Cns7i0PBAZbrjQlvfE4UlFIxpoch48gVdr/MNnUDV 6RgXIwoyAIRdjN7hEvWIWZsFNBB8eeXyzvJ3jZIuz6KegRcgowPdHXLlBUm7mhPIbhYzdiDy6SmS 8dL4Hf7lShXfiN+nAehZDeM7aULnx/4cQ0LxQXfiaIBiv3wD3R0yGJubkVqGKgNj5kKzMH+qFJ17 t+r97fxLKL3BmmEu13SyUhAhcWxBmAb1/5AIWqtLQ6sYF5Pji9vHiB6249D8gy1C86QLO7KmhBFk WwNT5Lge8UXl574j6uSld/G31maxHhNqerak7H8m6ZnWFddvetQp5akvlFnRktBZ9hFDOFuHYRQQ 18Pv85NOmV0Jk+aJ6LLvdy+yN0jNUlYcmQTGWT2rYhM+dM6K8tTHptxRu4BKl9hWk8GJlLU3aJ6x wh+qQvf3iQtH5SzihDVUUu4RaK/M9wa/3QVK+SOewBvazR0u19kQULEFQjY5GSEdqOZDo7uJt/HV qw2sHquGk3XZiyPOem8ttwj8UQq/GS8noxzg43qiDJzRknfB3++ygryAj1Hq8cGzihB4ZDrLfTIH dzG4LYQCCO10FzM/MFolzgu/lP4NZV9osalJoKj+ia+GE4lF2VXFfGU8Qmue8Er/F4pFBGtR7sgE WYIQRckgZfdXkZMKjsIkCuy+4mWmc6lCI5q6xm3QmioGftJQu/xyzA1+zILr/fUfJvcqwbKjiIXO Jx1mZE46+Zf4xdzzMGE5tT+u0KJK2zWNkS91WDoxvKnxoCqfPI0P+D+Di+hE9KA1bilI96nj7K6R ZiNYxKKekDmDxDO2a10jISU+zewsBLrE2yp1mg6ry9GeZn2vJIs4xsJ+QV8JZhTCxOF+37O7aXtq Br2r+1CN72CLWKB2LnQ9z2fDlo5XoANUX8oG6wvBiVoYwhP90dOYCP8Eo6MeILnRUfi261Z5mRBj v17wgqmehrWX5eUCU/RaC7PJXqXZ17I3UD69PcWyVBKeoju2fb+/l+WvUlwDba5KDIrFgfbqEXdC nlM7VYeAHnW2fZYFtp19WpvjLz1fIJZcZf4JaMLgGmaspRl3U2/yH63gVTsGzTKX6UXFKrot6FBL I0xWpBMwfrfx+WkgUDGnht5B8lQQL7Elq7/s6KjatvxRofwrjt1E9xULuAVbxsCX99IPajC6kh3F CSk2kME3a21nXChcfjLMxIVuKzRgv5QlTRfEdhAv6bOWRj8/yFgE/5MLo4UuE1KJm0/jIUcE9eIb FJ/fm50CKtYJuu+tNAqwzSYh82/1LKjRjCl200x+v/tXfu/ZmxDNm2o2Ju2MVUWC8dY/P+QY8YBl I+lS55BY5AnRZjeH70kgWiTVkknWGW/Gl9JRUxCQWx2pR/r75/WLoVbGhsBKIpU+I1xjItyxx+At tqR1Uo+xceCGafjLpQY4TXQVkwQZPKjm+GUV6z76sOZ7unqIF16ZjeAOqRXW/1Kl6R+PW32hU2ai OotpOE1c4hSDKvV0qwtzU364cEGsJ4iWkWqb4Z0TixNU/EKJiETfXjNshgOasKyPGiWtToBgjwOs /eON0F4TNHNWrGihBeqlkHoERJ7l9zSsgTMGrGQtcBsSkPUQnzOFoMUsy5UkY0Ojlx7jAZc71OM1 LJyNBfEXwIt3M/37+PRr7JjABphNO+9KKp/+1tdmsGlK9pgSNM2hjVEIzThhQ2X3cZlCP7h8NnxK xi7bQLqULSa44r1YdNyjbBrXYQpz1DRvxiTR0IR4yiQN/HwZu1P/uFC/dLCdkLhMASWCM1v/Dge+ rd1E5voz6W0CtK0eT1ekno8tTG67/1AAICTFqwWefhHy81v1sv6jOg863BabevSlwv+XtFlS186A f594hff5ZbtLSZvkm7EmdGlXaIjNi/S0teSshlFk5zHzR2p6Refa+UQhG4WOuCDEQZeXGm4umaW8 lKZ1UJglajjo4O9J1q++aIArIwspDQlXpr+7d+sp+LQdWUrVR2GusO2HFJ1icdIOa/ObE2W5TSiy j8PwDRKhXCJf36kBEV1cedm+EJDzjFsxjMziVAB4ULWcZE69blUWn6I1TBxo1RGYeIq+BJMyVvTk 6+9jUFT0XbwgXf8VS6AWcxOL5VI7hRjPnO76i080MpMx1G2LTymSLZLgIEAFmI23F4f2Mu2icAuP il9FLM0y5iRY/i1mfusYjsZZvFcErOXktkWJX+EbnwE0KxxLKxYdWtdz6rjJly5oKkpqiulrLJBt 78NlBT+jZY21ivNonGbGspJcvWnA7jTERi+zUeniReXtEcD+I2uT6Ciuzx1eciCMzL0JEE1OtiyU 4+O1+IW76chnZ//VHncEmPnbnfkd0FgqyaulYYIcLEeh+IPuyM0EJ2N8Ff4de+TY7M2XpEaa/1jn eOQ23oRlKW5A0kQWjUx9SUfn0AZ7CKIahJ7a61Y/v+UOjsxH3ckazgxjQ3kUcb+XA7DBZqcxnntz y8p48CzlTcrTV4WEgm1oqOyEOC+QOyruZrasPEnbu+MJ7/lvirXmpz54k1X7zF3XZvSrIBinANK9 CQsjZm5IBMfnTndNSmGLmjUXj0gWRZPDntM4/qQf4jN8VnD9FTx0i7n5jk9M1xUIUIhAFEXrtMGk IYXo3k6y8v8ayh8Lr6JADp44bxzgHIwOm6fmiazopuoXxIXWBdT6UoACLKt22zf55aAHhzNX9DfB WttkgTAWf8htIhKxgtUycwg+X1wLKbGXBtDsYYF4DE4xpOHeD2tLLe3m16+rQt4HOQ5NUR7S9Ooy 4x2iTnuVWt1kLNjTqfJg5fR/B4gOZhHTX8++YnuX+Ih/lGBX18U/kvBiUX2ce5X615utKsWvx3+X sRDVwd4B6YTIksNgJs7BsQiUWO04d/Brudg6bzD2Tr7UF4lmKA92wcw6z4VmaaC5F8WYFH/0YOhz 3Qox5ji07zGr9/2TSSIgrat6UmHnbhOFv8Q+Glp4Se3VYE2eHbBdhSCkB2h5G1Vav3fsOQPSOddp +tTTZyYvYx43suw/I7nsv15sOMm8jlJO/JePqRfwzbWuxSFubhwF1RFRukpmHFg4lUKU21w+2EVS KskueBYibT0YrgmHcCTFDxwUVzMQ5TOUFnEpxnG8PcZJn7LI9rJi25vCSJve7lMm4q3NVY/hgeYA MmeE8pr5JAE9XqbLbQIsjoUJqIRlffnE2wWDQ09JL/FgiQG261+KtdSYhI1aY6XCTYTTxeCmQyEq /3OfAgg2WzU34X2UISiXATxTvyX6VW2+tiG0BhIHqtc+KgL9kKrNV0oSZqL0jPMawtLth6ilET7W JdjFZYMOE6v7jJ8G/41V+Z9oo+wy3c8kyYrv+5REL/1IQYXB3MVjLdAs/vrl1DTk3gE1cClubTx2 0yETYYNoAXMX/kixg7WnEd+MlofBbar4Lc+AUuggbBaTd8mcqLMEBkRuPMWboO7ftnDCl5xpnRwt 5AzalHfixaGad5iCoaLcI99vSBzMs40Lqlag6n85xR8dzIM7fTKqUPAYmC5GhsP7z8dDY1F0rEp5 YkRwUOZNvAxAvr/uOR8DRzhOhZSq+XnK0wSlz9X8MH9A3nrRIzVZCcyEUk7nMCgxM2/1s5HoSfLw akvVhGRV0HJZ31dcR/47Go2BaCqoaY2HvrPVXbMIarkqmuxbNkUfxEpe8h7J7vQgFrT3oKmK5y7k EP0C1Sfd28/Yb/vL2YOBhgc2qekCq6EAsy8UjRuJzF/ps9iJUXhWeE7zZpctn+GRdnkt4Dlt8eug jNcIjAH4oDHAOAK4AkQ+cY5Oqy09zcyHFh7NBrJjIjHF6mqukV19BO+rDv9OmPz5JH8JHFXzqItu 60kQnXlHe7ig6dS/Fv5txyMXJZ5sDw+AlkIfSl9EdjpTIGZaFXUxq4HQnBbFei6lTa6V+lID2Yh7 YBKJ6xKhkqlUhzmbb2u7MVf+aoPfhSI59ATigs12DLUIXMqwhvgqHQybZJfYilEuLgCfzFsUKW7C j8vuWp243g3JIiFdyPt09+F0W1Dqh9Zh8KnJ6DbltD7nsyd6g50+wnrzQhaRnzFsgKOLpkivYpP5 AsTydZnbj0jPp7yxKWN9QEB66whkAZBmu6AOCtB3Ta1PqaukZzXsID4JHzlYDar29Zq9+vPgA6bp O8QcIdSVy0RGL8H+UHJ2pE9RlxA0T9BtWczryDxc6XwPhGsGCzBqPKNARkvK5eVnK5F6Y0oe3/k3 h62k2euHDTVLT7fAlf/P7oZgE4WwkiMKp6Yje5HdlQaR4K9MAbV4UslZPHWkrQ0NrbUFj60VMLbL 2zqJunP71fMJGO28R/MSJP+FsJnNeobbEnTvvIrqKSsEjSQy2oTr5EKc1tAn5caodpr9BqYdqOJs wIxorI4sMjDSYnIJ0+WakvVpPx8UVY+hNXAmmbThpcAvxo/f1lcJWuTokC5pR8S6U6oOfc9iPJti xC9HYAQoMgQK3JXHgTcVP9dxsdUwvnLaRTu3RkwJzgWZQVWpYgL7bGnDoGVVKQJpeQRFy7LjTLGM ZPovqmm1Llzy1dAU9WpZY+JkycpzWHIBu89BH7gmDTZrIxrhv8cho3hvDsHzcnGJUV8Ii5O6lU/7 PoIKXnqZU8NIv5Hvr299CsrxCjhgHQXvgLzucU0+Hf4dYJVL5qPH4AxxCg2bF9eu5Z1AZ8lrENPx vxuB8pmabI4x/LwQpYTPo1UKRtZgpRns9NSzb33UaTGj6J4paXtZIHzT2KkGq/8A5TBPVnvq5t1S +ko5+66pz6ma+pOXIfi4mN02QZhyXCFBlbuW2t3Ta5rLl7SWu8O945YH21KoQIQGksrCzLyNJutP nqGv1OVDqnGqgHE1cwrUNHznmQEvDFn8YVN+6f6KlXpbQ0UgGxjH0HvkFGAr6oxV3k/q4dUsDerT Apy3DyH8TNMuS6rJmK6182gdi7WyFsD2nOi1bDcDdSmXmMGzcaOkVVYzcsQjUBxVmRIuJfOnNHNM 8KQbmDey5/B20s6Dk80Bm+C4HglplcP0/KUppzuWQjNAUjPk1VFALwe2nyQsCjZpBFh5hy1zePfx QN6DLQrYJUMOyX2b7ZEjZLu9l1LjfGfMIfzDmTQNaVjk8OtLtw2iHMR1JO1N/+dGd1vnCSj9ueCG ppLYQm2fEKMaM5kgSVMvjw3ygJIxnsAl69VvvbndiOko5Q34o00ZGRMkxP5r+y9R0l29Jm8DyJIw d3Rfh1BmCHltIFXeKa+2xFDTQV43x21js20+8uEB8ez2wdyuqTknOvo4xxx8+x7eGVm+XG+Osz+m j5GmihNXLf3dn6eANroERj7LTBA1cDgpxOHXcx4tTwWcpw9VeHzmMiRmfDQTzMjJdejQDYKtEUOQ 7KTPsn5h6y79XSfwjfg8VsbIYT0TzGP2rKbX3horMh5kB1tvbO8Gg4rt4QCL0uoulEDSeT+LycyF 8wsJ8ulJz7xAPpUM/1xwqxDBOismTjJ3nFyODW9bKQ3RmD8Gpx5uo8XtuH8mzpVmhzM0opY1ml3u 1uYH+6wsTJwXDbZd8Mqugzj4Op6MqALoWdkQV5xXA0Rki+zLIelp2b7B/4FcMyG5Wqs15Kof9Usg yR3oodK5drTPBcp/h+t6lA+0U4vNQuTbb0+sBsgz90Mui900cS4cN5k/5jUn+Ls1ygCsf4mWa8fk IEIOFoPVtTQb//gHiK2KYkpYghs/VN0gw8wNYvgFZzJNiupr8qti/dwW029cy4cKuUdrwiJWuvHY 5y/IN4pHUSYI/Ypfl0fgORS7Ab0g1oI8zjmXObzhrwG29Uth90ocyj88w3UdRqDTvSBKdQnjyAR+ 8c4FUov6OlJiyjg0us/pcIz5OzcA2Sd85l53Ble/z0g6b2dKMviXCP8FqAMWkVfM+S4YPU5Vys1H tuswuJEuPmM9ip0VjJd0xijSNbQeAemxbUBL0kfQHZX117oHIp+obRybHQU7o0h0Jls7Agoj4C4B nuKMv/gryPIlTWyu2HWobl89EylkIrI0+z7cx7XXs1uWXINo9l0CX0BEnZx4iuYEYaSA44LI6pwy blMu2v7Aw2I7IkeoO7RnD2j6dpXPpF9V4C37/ccotxofBfAOxvD2k0ztMtDxtXA5GJG57fmhCxa3 4TN3E965D6uq+U5deOjXB1jkC6lXvGEgjcyUy6KaA8AvmHc85xCTM9u4TcOTcz8cnlSHQ8AL+75j x0r7yAyjkAaVRG6R/MTkeHzm0bSVzwmo8GOx75C9i6kIZLbLRoAAtM7aZ+qNDaDZNy3u2wSCDnvD xtVi9iJmZgmR8f0Nw7kT3FjZEBxs7ZEM2b1lBjj94T8c/UIIemNSUaXCYKhqA5SGW32AxwArK+yL FcVNr473r5jdyc0bAPblR0rRV3BbqWwn/SaH+qujObMcxY1qevCkINUKP8nwjVzZEvrzCRRTnIIt 2SwULMQewoLxUUuudKEkD+dOpk4Wr9iTHeGqJoe5ban/60InL45gpNU2wcH9m3ShYp0S/X8ngrT7 sbtFDK1j73mva+s6hNmcX/Wn02abby+w1DSvHJyEr32lNA8eqT22LlnNa6eLTxBVbxQNOaqRNQXc e1KcADUgaMqyr/G5+UF5rfH6A1oe2Hf8iCmNFWv5ZtYR6l5mV6pNn5hPB8vfkVNIGMF1mPe0/VOl 9Tq9Pc12qwRQuurfCS3XYjZInfiKnO0ZdO//VmGfVuIg/+HMz+qtRc/ZcXx4BjwSkWVSIks1rl7A jATZZmBE5Cn9ZuZC4tSW8gKVzCCn6qQ+5ycfJ8JUt20pzH5PXJqk9qD1vOp+8qoeDt4pkk6/il+f qqYGBrBdAJluV0+o5YeYfJdmTxqWnUOX8jcoYO2Tnb5a5Pp+FO4I/zYnfu3sddJjLyPXQQUJyoRE B/tLh2lLdccXqWKCI3vaItW77cyvNRj7mUO71XpuZNIBhLU0wnKQ8fVvA+3H8jm2ki3iWlUEuKRO IijjFSjtiJuxYrrEXKKzl6f1SNmFw1sQUTcCN6Y+LWp0/XututUJLSlMYrp7s99jUEUjS1Zqz9mE 2VT7+Zdj0rXZdZ+/cnKLBSYqG2+EIGaq/hZBoWhB42Rx3QzJtkCSQqv6Bqg8OiICdruWoJSwsorc vJbfWT5+xBezdrDrxJiMTTwEEeAMTIUK3w6PAW09o+3IayZPFeT+6+bwloCktXNIe+7G8+DCf3zx lHuKVCe2YAqcHgL12lLI6J1cx8xi/j+B/Ynw7X0CQqgeHKwnS/qjf94npePwfocJ7dWXmbX/s6pa 02B6k3p6yN1hMWjnodyEtK7QphhaBG/gxocJ+VCl0OwoFtRCPZ4AzTxcX+CBmwgqnXvMucRAmPD+ 95UbWOjHC9kXUjbPKnPuhh0MbuIwPX8cVd/dC66DOmqwmPv+/n4Yd2T76D1B4CpNHYi15PztOCKh 5S16EBcDnfjA0jX/aqNW284vyPrHy7NdF2OY9Kc5veJvIOFW4oNMRsi+5pweRfcrVo025k9QoNEB yDQcDX1MpCSi6g0Y7j7ZeSwo/kU+/0JFq4XgjVg1juqnml22uMv+04EWJ7bPFY05FuManTwe7GRf uHjOLgGpzrVIhLKUULK5KyuZVlA7NzCgZrp6BbdzZEUO/BCeSbq4zU1n0FOTorSurAETARoki3F2 qV0m26xcKqA7QHrPExFNsSuSxR/VH6QcuXr7dpoMrVXNvY77QuC8r7X4hzysPF5KjgW28YE3DUjb NFfdZVMzp7QX6Zrc+HwguYuznSu7Y+xbdDdxmoHVqmnO34lwRHkqiXYrkjLd69OgzWenlkQk6a0F Rti5mzLU2IW16OA8OpRtCLhmQRzRlufLwUDbAzXgkVispXCcyXQBXtFu4paGFUyMPBh+Hz6hH0nj sL8A0Xj2A9Kp0ndpZNY8KqQtPws6FE86+YanEEu1MHpEPQREyNQSfcxtqnGC27xBUzU9YOKyDIRl EHWL6ITvk5EF7BZRRWMgb2TFhkUMGgAKx3C24r/iMXh8xCwJhANMyGZh/7hb0uIH7L0+6Ddumdrx EdEq4WOU30exoS28UvmCDvh31yDgZnvAsCkp/vwuyo5ccmhM1qDD/nTwue82pqa6+SxHVcOXjJql /MXTXCMJ0mX9dGTtWZSPmAFTvhyBpaU19TNWh2HimH/7veP6FG5+8YVBHrjJihZvEmIwZqLuWqef YadRjFR598NUsXVXzIgKb6dWbOQoiMmI9R7wCCVP7GDPeNZX+cH7eYUeUqEzMOJrE1Sv/074DbGV NaVTlEDXwLLLzpds43flW7yEYznx/ofN8WkHfTWT/8bOwDdLA5F21LQgXK2Rpf8s7Z0dwOwzqpMO 5nbznQXaOEXvL1P8hrlgEzvANsN9mqN5IPry69G4MRec9NOqr00/bn0c6hCCDgMhRv1khVQEaSY5 pe6BYfyh4EOTDlsj/w5xCJstvZxsRfibKhr7TMoyO/aAPWqbHeIEpMqSLNTMavlZQvWkXLE+1Hi8 dIN9r3oMlyZXkDLUco7D+jO6f+ou5tBMvDFRTcHn5e0nWK5HubxyVFfAZOgz42ZgnFnDyUZVnvhA sT+X7d7Xjz1ZxWeoPIzS5c86ZXC/C+CybdVw1D6qN7ROcwJf55tXbDoTL9Hh5PTLNBcbAngEEKVo pD7+vC80lprp/cxny5Wxd2gczkJQk+KuN7aop+aPghf7R4j0CipNCdMlmeT5V9WomfD7/x1kvtAy EWtZc52skZ/g7cTZgTty4wOw0fr4k/Sr3ilGswi5islgLUMmEZmW9MmIJsGAB7gw6mRxUNwyyEtR 0HYmnfdvTrJ9VRdE8HOM+x/coTPKH8d9h5tcrUOpDmyceZ3ho20L0B9bFGxMFYUQFf97binafNd4 lRvkYlau38ldK/Uuwe2P9HuJI+ZLO75iNR27YcWu/dqLCk554NvgsyAo0YdzCZI1H3TtseaGANBP cDbQ31FL3IT8PNuR6vYfkUKVzRDST1NIhN2GZlnX50YuhS86+Sx3Ynmaq9OaHWfwiWjlbF61AnKe eesK5MObDHsaM0Q4rVjK4ROmXSX12RywkmSy9CTg0GYCJk02pltAC6eNCARbeLozvDO0zS8l1/GN nWEQO5mQnziU8aeu7VeQYYxizasZMmxpmjBlHiOLtEVMzrr1+Hz5Vtd+bsViBwYPumIcdLa9eUGv oVSnu9KoKAGayANrJ1iCm/riLhptRLQxKZLNjgr4JLxPolRfUGXMxzQmYCSTulSBFquXY39MWwNz a6HqAp9K13Lb9GqdlKabdw7UjxQKWY1XoL68oYvxPQLNqX/2716YAsKnX+a7kZkduocWGGYiOZ1L dbDEhlURJg/gTjHvHf3WlpIfldtUxDNgo8z0lSIiUXnzh6UMRnIfsLbjvujKspLwH3eQFiyo0LoF HX0NZg6/AWTnGx0QdZqvfmQeJZxRqpZgrHS1ovPDivlhyLap8Bf/eGRLlD/TH2une7DVmrwnzu+S mGWp8PGZ5V3jRNdzMBNrWnl4hwZ18mHAM5bWkpNMBpg8O9uXz4gUkNmNfmFFFGWcHjvu1TlGKvvU XoiRcLi/HnE3usK9g5WuoziwwXplpsgMU584ZrNj+CmFtPDt9g3K4bu2JxUoFKQT76nAJt66VtAS d+0e6cZfgoonfEwqzZ7EBSf4XV8mWkcQKxOuncDURRe3f+0hmThuVLagepJtse/K36JkHefPBR18 Ves3SKTaM6imF63/iULeogz4hECeVgYPWJIkxxiapd4dVxUltqvLoQ2zWcGEqfzI1jR0RdF3xcJj wbFV/QnW9xG5ikvOGVEzPYOejrYKhdaBLJv7vPL+XkATD1+Iny3B7M6ufXPKyHkLYftCO+AQE10c 2IynCxRdih+52Gdz/+vF26gkCl/Tqcblt8USMf9kzAyWhxMDjsCA2J7zJEg7y8hCs25cozqUSz3t Z+mVb+OauTGqQvOX46AMLQR3TlnDC4YujQJ4c5kEpfr0MlN9bNw//rU1pQzjaoUGgGoPoTpnFG4R xzpK93KTwO4RGlkCT1o5zDjW0APgRFtV8RVI8Jz+35Tpa4QIu/jlCNzXAQ0pDTmI7nR1F0nqUvRk YiyRc4gAYjQ9S3oehCNgwjjI9ALxOJYHEi0d93zbZ4NG8iFLrCLI7EQqA5Gnsx4JSQ+UCZ0lCtNt 0jK+qjPRP3NdfsJnMzMlzXuMDhH7sWEo5fOxZYTMreQmr+Z+wGzWOmRqAAbVM5uYyGepVul8I0OD TE9tvR/djQiEnRp3JeG1a8Md4rbL5euLbLigItgWDI7CXUO+3okVoWmDzDDmoIlAuC0LUELTKqN8 iMf/tjuaagg3sU3nA5j3XMtesxcXFaXozz806tDR12+9/Azc/B8cfQPQoSXoZH81zhqoCv7+R4sZ K5rGU+QYlkR0E9vomSJdnSXsZa9tO9RV/paSIVUus85cbQ5rZaiyFdJu43Or2BWgLb2TfwW1pvBW cGCfB16rDb0f3u3G0Ai6Gq3lzA4/CnQVwAmA47oGgTYE/1yYY8cePWwrTaULh8NsnUR7n3NuRmvw bmf4WupwuTmtToMXZdCXpkJrAwiYnR4yrR0zZro5e5q+hGN4I7B6nYnAeuQ080N8gLEt4ZiHk3K/ cUymvsYYA9XVxwW5uVsa9tAPCA4wcRR395Q6Ijtmmj9qNnt4pCpR1CtNaNwcGsB/mg366LZATAv0 DQv4FFSc7iEZ2bagCbob1U8ic7LzPR7KakUgnnGqPHm5i9emQSZDn4H23iUMcsWnwhZTwwBpuxrg AFLl2WfZYj5UPKepmq7/AfeAP1h/o8iWSy3kjYUjFA8dvJ4LCo/Hc+ULa5o8l/PLoiXRjERh9rzJ jXEHRv6wPEmqbXXcCW7UcY61H29e5RdKpU+wQ+yeyNAgekKes7Q7a7s7Upl/efd5p8dBk0pXSYOY XZNkSczXQxj/l3ga32gnlN/RX9FCvl69dP82Rdd3LrrmUu4NVJii7nZpacmMwheVAGCEMIaqpLSX AmmnvRgu+hHnxwWxrXdh1cwKkbSni7ojZUYMnB7HurzgjUxsSqGFW/170As9oy/UxtK+F3Ftle4z z/ifOWDaNhbJf9pi/NIyKS4jHwukq9ZwMjUmptnC3zMjEOUsrdif7cTBYnz9WwuNVdwwsawc0Bl0 5OeYG+yp3HRxeN5jiiryKLy45C+bh4ER+RcHBk6rUA2uq2bkApWfi7AaS6P4Rambt5poJbQKPl2K j9mLUiWaFEfGoVj3OAvWGwc5JxDsTPiM9OLYrEzqrK4dISL7pl6QJt07BGC/lLTh/FcoWjsyNiHj 9Xd8SP+bDywXNxaYd2c2sLbLds/OezWVmgD1QNsDKvc5v2tzIq2uNoSVZCHZ4oW+PQ6IH8t2NkUs vVJOVvPYN0zTkuNWK5KbjyK+PtezbjCbwN909Wy4ygSckmS9TXlTagLe+rOjbZ+COf4841kCGMeA l31hnQ6fPPvqwymem8H66fKSSA7MHADXLkrld4QpzdLjEAhbsh8r/cIOQ9Sg1VgHfILkcSXNPFw8 F9mTXtpti/9Xx7TtqtHZoLpOrHSJ2SNSct9LvUuy3nPTKEUkpD9CmhkDtylChUHVPAavRhUCKOwr bbF32S2BHV6cvH7rV/HP++oBXPwcnxcYKAlEOAc8+6Gzx93wHIqMU5LSGPnQnoeObNnAVSQcdEPL wYyY2FwUw8RqMexW47pjhaV9xnqI8N4yt17+d6oIie/lBP9yh6XNfS6H8KEAVcJaLXaOaz+kcicN b9YHQOI4pJ7QJTV2NgkAbmuASWQuTfHB8/R5xfG22giz+eTXvxGiDt+VOKklk+mVBcGLkwcbQ3/5 HxzqwYVCI6tmvQfbAbU42Obl+vlOyG7y7Sp0XMt8BoJ+HN6OnMwF9/0A3qO4PuQ/EtIQ64C7lBIg NMQtlERbplvzgShFPWw/qkXqscbNBfaLYQJVJFtvmJrVatF7X1GNhKo2yzKg4bkyx+itxViYUX0k nGZlBdlfe871xKAoKksjqHsz1cnLSo4UhyeOaFJgbIP6eQJY/VqUV4lfS7V5x9rEDDxhDxO8p6OF DamOlEIRLJdkYztNX5zKzvgM+xDt+jpeIIlgPr5+ePCJ1bRjLdUewYoPlqYV6dlwCd2eoNu1gWe9 q3FI/LRmvbRVKHssz/OQRt4yb58/fHoPdJKaac2ptL0XX28e4qsPB3TlBNhkLCaCb4w2O5P8pKAK V7dCoTyGpbpSQerZLaiE6Q0t/3YW0Jhq0qDwvYkhr5KJCaKhSKB4CJnw49whuMiFMGz7zfStZQC4 QrqyAGHDBMvYIKTnGncBQ8+8zmSUNI/p08nR6Uk3cgeqCVjpkMmwx3sBIavJqpCZAVDPWx5/QXnM /rnn8cbjieYI+OuVq6Ix+SvNQqUf12lLY953PYIulgRZicvhAV0XvE7g/Ig4qPdnTbE0YoEAW6+y LLCEiwjdiHpD+t0diu9sH0nsWs68rIP8agN1ndUreFLcvYdTm4KiN0a6+5pM7+Ll3elrIh6AKJyr heTcr9EiMZm5tCBVr/0yz1edkWSluqGZpS0QK5sMbHWEq2JaCr1nwwyAqBIFywkr7uiGdGCt672X xOv282v1kA8xQA+I8dHeYDxSNZLGA1lMUDWoQOaXWncK8YM4U8EKJw6TyVx8egQ6v5nq+llulldj wofbtOBnzH9xluPPeu3QnvY8cxhEAn/hMec88xLt/fyePwHUUJNj1SkmKaEwP/DmVUGlIJ4wolH+ /sYXE7kbw8vkPZn24cAlow79npjT75pjl8LfZHAEE7+IY42/9NYLlgNljvZYaVSGws00ukpqq/gD kHq1yMb6Msy/RIyTwzxRmbsDNjegEIwlZYghN1o6Tt3bwkqKDHByb8CVfKvfTtxFZ2v/x7ng4799 pRfP+2FtJIqEFn7K03b0tJg7Dv2T+tacvm0VqicaG44/iPkcFpwz4rM6SPX/6kUQd7k2UW0VFopx y+rs+eaHx48hSEP30mB6tzejBZ/yGG88s4FXsvmSNzYezK9NisBUISHKMFqmgojMGBrjRpSqUsjZ Fyyl1wUojHLumPdPj/1YDQz5E0WwTS9/hZpDaNWY/yvs3RUEbq3cOqMdPtEY1Ff17+b4M5rSOlPf 4SdPX9laDVDZf3BNUwzklXc+HKW6kQevqNwNjbqzs/6XQ7gslZoKLzH5zjmCwpGZYcTE1lye+6tI YbPmncUbKvntQS8yYG9xYtHjZI9yd15PvFtp+c7lYcsRuMVnWhFPwyV7hquqI0kkEu8vjNuDEOVC 2Vt9DCU1dhmWthaUsxypGR4Wef+eRtaPpcw3WPzO1kFyw9accr8dQgJ6JB0Pa+C29bUevYlbhyqV 2WuCmDRNkSrYhKrH/KKwInBeNlt/mnaalkTLP8MmiV6Db/hG8vmW60o83lGS0LvMqrgOPogtzdcQ qCoFKWLl48A3lLHGi2lfZvlxavYwAxHuCQYKWUT0/dF/R6Ziz+mg5TsaTJihX80L4A1kHliiZ5sQ rxl52wtLTevi1lrJ6z0tcc3oNo2hfbZT6BC6w6bfBBU4OIClJwlB/Qs98r1jxdCoeIM1BhcScM8E i+KwFUn4Vn7K2Td5STyA05EUAz4KQBYcQChmChgB9gNsFezIVtJSuRTtx5MXic7cQVt5r5/XTQNh LvFPGU7pMblbvIEttVThQTtXTKMq86CKLHmXCXO3Z8KwEus64+pXTj3b4do/0XIDxVOuKn68hDYs 3jv0DJwghwIC/GfBqVe9zfdKKo7KRmGFaiiP606asT1bsyKn3mL1mGUQwIVIa3jlRTFA+12sUgDz Lb8PljFLNnOGGtDqjeJewniw3BnPdbi23c7GVzvh5Zlhy+rzaK5DUWjr10EI/CNkYqgPb8aMyNEh DMJnguT70XqWStZrKbVR9UfpMIRgCqsKKAbsYaozEeHr3vMXnu/T3U7KvrRN9pyV3RYjoHeKJlwu LQHJ39AWiDNlmxQoej07EOARnZ82QuST5yxPy7UqK9R8NYht8aD5GKWlxmynyT26gPGSnqx4l2GS dVKxp1tTxMhxaFD9d90Wjsso/rYYdU/9ZzSe1pYxXPfb3JnJXiNR2jIuoLtOEVbZ6v1pSyY4Zv9S LsxVicSzcGa/Uit57IDbEixEqb3CJlGgCTcUybt53Pdl3a96rkBO9beyrpa5eJlBWrfnZzLwaN1+ kGfsCgGEyARF3mBV9CbUhEueKcsPO0A2kOkuFBOCzHWqnSUeJQp99mLLmk7Mo2FpPw8YdLKUggZY HpDcN1hVhrDNbnKwKaxLwtu/9dJNwm8+PnX0TYd0pbDGlyDSmYAnL8N0ndkTi6y9v78DpNmeIq8r FwuD82THefdBjEntmTYkATalcJJlHcV6j2neJwB51SeHpQGSexYBHDkWT3wvzK60NJ8Nbp+MOHjR kLRLC2RGotByRm9oW5xzr7t39h2evxo3KeF0p5OmFv+z3NIrq7Pm9ahvMu/OC7PWkl8Lkjapi+PD ALgbcITJoIGJQ1KRBd/HFLYFgTOyyZzP7j2kabRgyJrylIeQG9CvfDEB7x2CkG4l4nhg1DVIbnDp qoxaxcQq3Dl7rS3vDdhkWypHvLEykODquDcF2Je3J72gCClJBo5jroFQ3sTJj6WyjOzWUZkgdlL2 FQ+gx5wliFK/7PNtP5xGGC4f5Vg2hRFrSiyf2JFQcl4ysM2+uURzdv957SaHh2cdE1m5r0K3x+tI RguXxe0343k3PKDXc0vJzjwjSTbkta4hrarpvT9UasoTmmnTmnJAktCBY+RWw1ivk5jzK8hCc7tA 4am6P1D7bwBg93vO2vBLruJx2LaXTBOsXe5flgGEVPOpo6S/VeTBrrD3aE+Ov/mZW5d00sHgFCMt 19U8fW/lfCwo8hJIBHrNWUsPpiOgfbIWDYqp0uNUkj9gEwx2Y08VGcBkmp5f/Q0Jk/9ueVNgxoCj QKTX3JKqGe2VNfwdScqxwSSH6haW9g6vfKtXT46dzPGKTkxPLPM/1akBja8RZIZRAlrWZJ8OSBbF lrIcvKCokzSR4kSUvF0Iec4w4DM56emm2779VTccrcwMMPCf77KboCF3MQgU96NdpWRMvVzhWxXV a+jCUGRFsvS71sCMiy556MFOc+7hc0g519xQisSzVQ/Wr7DZAQIHQ8w1be65jXenk0QKwx28G+oV YR07BGURA6szG4YdvY3q6weEWkW8e/XiWzsQnsWPBNUkn25AWipnnHRYts/VcdsKXU9u3wDTaDLp Hb4kaopuR+2FcmadTjc0XpqEOT3IY/YbfPw4wshVIkmbQIu5tzcqn6UpPZo1JGCtTFRg5VD3un1T jZPieZ4wp7cExo0HCNjhQ2V+5OGDwsGYR4szG9ojSEMyTTL/LJ4AEYQDX1bgjEgkDgipR2h81lVc evC+n36k4PQOI4XwyLc95Ea2W5LHWmCggKBlV96KctJws6g4wwcKwR19Diy0tjXzD+dK0kRWCWQ2 mORaiBIv0V6ccpFGqUB3HqvhBE7vOkPkLVf/NTjSCoqPhKNROANEKImqylUJqrNgm73dvTuhH7pd p4F+OvPRRAtoxHHXkvOvFVA3T6BBtcQxGLf1+gdGJo8ndtKmXNBnfCnFaEiOnmoTp+zGkaph8VMJ fwF7/+K7zmtygxl5PTthNLkjIaI8k1VKKcVokUZF9sRB5JUMlNz4WmsdJ0ONMFwEl++ZH+p2sgLg qEpSa2NSCHCUevvyZW0wnSXsbMROZ+qNtBo42byZEMIzKCEYGpiJnvve+B0og4o+tWgvyjNjyFWT rDTx6rVsWTQR/bJ3V5e9i7TuUqcve2uZICU3w+fX1jiCGINQttXAvDF8vBnSdYHIhAvGJ5u5swnq BjTLYHNSBkXSqERW+86uTGokZkAvKP/n1QZcOXb4KqrmgEyaHn0lFt3psDpsy6z4L7WSpcYz7wcN 8sHR6ORdRouOSEySuyxFDlMU2ye0MqTMue6H2+lFDPWacW4XHADzYaK+PlMSYZNf4OuUWv9Glqq0 T5I93p4BuMJ/5qF/FZag+jeWxNy20CTU3UagIT9xUrv/EjSe2SbtgFMhwfl55fQcJzif6mhZR68P 7WjoQ5Do8fK7z81v31BHdxPjKZIFMECH1wbJap0qyjIrSobf7TvXkzDPbzRtfJ3slca00msmEvbE Fx7Ni7ijGHF/+VoTx7G2OxePluhP6TFhcwgE1zSdVYVIPWlcAkToXzntXGGg0C9Cx0v7K6VaT7Le le6xadeokbzHvNXusvK13vzDo7bNGxWhql42PDHsdhA1Rvk+GAkwT+vmsah4uPQXwLMFMG9gMwbT h8DZZ4eJfWyJxSjhL3lSJUZ2xFqa2pDtoUV5JoMeoGvDaVI+LVrSdUvVi2FZKsbqp2SX8OVHK9vk KJpS0q2MInWdOf5fG1AkzAjM9BVs7GSTG/cfAbiywLfHoVb2Xt0B7G9JQ6pQXZURrQGXHDXzPxjQ 4FIivPvGn3xndOd21S0rXtFMjX9QOlDY3Xj+77doMHTDh8PQWF/FoGLyyGFE5p7Nzrro+fw/han7 Qe2AEwMxy6Iq+f6WM9LS0YBrc1/8axB8Q4gRi5+AOuiW8TWC495gY41TarMj+Crpf9RtyG1C8elb 765Ukwy6Hj+Z7ROUat5cvYTfshS1+K7+MhzIUX3+06284TwgdzkFKfP3qP3UakMglAP47c2w3bb7 2E9dp/KcEg91AzLqNtZEhT46RFZR7O9KIW5RYPNz80YWnXZtMzNPFxRNnpw/6xqFtdKqKc2ObVeI jZlSth1ktqLaaTKQBZPd4qmSIipBZak89U2mwmaufv12KdIUzIp/1BC2OdiPHPRqZMJRYoA4WcIE z85pi1fQB+OkLmtUmYmb1WfHIReGLH9XnBQjQ1BvE511gMMogCuMdtEqNFX8O/1myMZyENOv7fZf oNek1CJ1u4JpDhrzd43yTDDliA2/4nnbbTU9QLECU/WMDwKWUmAZCXPSeVq7ZIBPW5D30CRGO6WM e1/CMhsRMDJGyBEoEQ90labNaAAvGsLyPqS6b9rwyvLmOnkZjkIAqPOFaIY4C1pgJsjhYpcSfBBd aMZmx+vttVa6G6Efs8avAfDhtyOebPs5Jx6vPetJ/L31nQ57xAaso5HL9/sR5W6Sn+gOAAYErR+G ekjafHBFE6L7/3/n0YwxuFydvIxFYgCjCCiXLzYBbXbIGXq4t9CvoTBpUBfaSF3WvTOniyifxveO s7WMrcmoXgXSewD8jSj7eZqrWGk/0SIxnhAYgoyQO9RA7yHWmYl4lf04/CV+c8YXgnJqqHbJFMsr h6L155a5XWniU3wiwpdWBgcfYygU8OZhs9FAGZO8Y3AaAZau5KnhFvLM56sCdUkcqyjCIGppND6X ni/jY8uUTL8BpTq9fiwfXUOvs38frIzl4+aoud8WvAGJZwpEzjfve6C+1migB2WyHgOgfjbpqFZi cKFimGnkbuQROtpojaCcxpW2n2cS0REpKhxLir7X4kWOteVYYymQrNNgC83/miAuY8qsN7WKcndp AW0uXoTZovWQxKY2AtYVJ4Zh4QQyw/RWCsVFf8io0KXeUjJuSxJe16wQMz4JiJOn4IwmVC1J/oet TSfZ11U9BA52Vl7n1dMgbZdtEFi0gy+K2W/pnpmLOu3iQV2NDSSslTtuvAGv3OlexqEj0jfXmY0w /WkMY2vKmEWW0f/abCTJ2g79RJplr8nhGuhMJEWaXbizZPslEKD2t2dGYxI0C3fxTasjtcvLfV4N Z6KWBe2awVP5mq3DyE3kGd/BDnXCXZ6WmbpfFEUCwtfHixGsPfYtJupT5NXQwN9wVSY+kzCey1Po INF1580Pqmq/HxLcK31Z9uT7L6T7JtNAFEgfXb8edABwruqML1H216cFO0/pKFvY2SyjR4NdRNJo x+z5TCNeIMh8gpt2+bKg57fztOzX+l4mPj8cqxWF2P8jQMnGV54ou2vw+7RkmhQ1iRznB5xb7viP 9NnqBRif6D4tBa0lj9YoPCkshEebJBQgJ/RF4vwQCvlsKyC/K9mQ31bBFaEAKIbSGcRSdQyF6zgg aHWmv9GVG30K1LSVnY/w8k0eTX7YKukyfFXEswqh6Vyrpey3AYswfs4zFZTILZ+BqjNNy603eo7v USPOFO4gN7qMIuCKd2Ip+O0KhqYblo+RLO5qApIhXgQ7AOR9auv97pFKPmctrjfB4ormf0vxFLl5 qSSJj/lZMFYuJB+wKMLqdMGcrBzPTIU746l89gmNiVP0U65oR9TBBmoaZhqORIeUNf3Pj9nyWZOk 8cdY/NGUu02MLQIQJqwScmVTa3BzJrx2Nd+Qua4tbdsq8GOIhuedApEgB4s3G1j+JISwKAY5jgxW aUo99UdtMADXhKpi5aRSxFQEMKTzpPDx8u+hizOD7gK5qQq+DleatlkkR1/T1r9LXT2OPi9AikL0 ieFjBTUJQ3svzLAdeBJmzYq8TO6UvCGrb60/6rc7neNzNLG8K7izKeBg6gq6fqYzx/hO+K9oImln Lqu++NAWVNhAmKVlM1rcvuzXAvnrCxCJq4u9vYrovRuTMGIMx9+2lbveIbfZqyE4FwYJeCtgnKqC 8GyZ6wprHO4rISgi1coFW/gn1Jo40UAMR++grQZAagzcjL3s3FLCW5gkQjKxQEqq7uArBPrDcfO4 90jBhCUho1uikjEv1/cG+8LscszkVyKkGCPMvieENwagYKHoH3y5SlqgbEUCIB6nhRqPH8DsSdfX 4QWhRlss2tribUEJ7dO3EeS6oCNvOjx7FRWsxShIYohhGJ4XXL7iDm/ebtoTNFdx6SvrtwC4GCae A0PBd5t9+7h0f+yxiATWZvSLkW+laOwhKf5eiKQRD2RfBOFLQFXnJQDys1ezDaLDUex1cGcq24SJ F0Kqy42xKwPySjVb0+MQSc9Hyycr9nsNwihpVtNhMV6TyMwt9u+ENs4kf1PdSq/vZ0Md3TWoeMuV h8Z1ox8ljPqlJxfuLxbHkl0sDj57hW5ogV7/RQtoWSFP3KrpEyvSavFoFhJpc93XmR/h95S2eqV0 ihjjRiDd93lc3v5HhdcA78Qk8s8B+zZuIa6L+xxIjIXcENFbkp1ADVbKl6Me75TWCSYjfqjoVocI o1UWPcvGZZmOMjquVqMowDoL362XbLhDDcv+oxF/AsutYqvwvV3hDXuyMGvEQ84iqzbnLxjrQzKZ 4m8J8Nw1KQDoCFdGfKr6WK1cva+rAEY3820pwaSi2L5To01UoQAwCRFyS/jPoYJH2vNw3+/paA3+ 6VFI/SmX06zXz63P3iyJZ7jEHl3Vu6hFnyO63TzVy1UWPb9FBggDucyqMEG58sVtkCud3lkyt1LN 6cuSwo9CTK8b+FxEHb3S/fEZ7fk7G6KwIFBAp1+gTOdwMGPX1N+PI1sUhqqp03RRRwfQcQABQsMU H6StgdlPoOnJr9AIzITCy0UYfug7C0ruEFidCSILbpGiXi2A4aw0d5QY3iW9bnkv/mz2CUlLhpkx btg+7IYdUjh78/rJy/nT9dGnCSwF3LNOnfnHnrOvOKIY27gA9bE0p8iQN2iOj987yZJl7ea2mpue WkhKeU8Pgm1j97Enn0Mqr+2SbpCMnHT3faef1YxyA6XDkKY/q7p+Tc998K+OGtOrgyf4lffncthy 1FbH27bp7zDP6f75EuzUyBYUWSbqPX8wZWG2/GIvMZS6Wfy7mWF9pyLz5B3P5bjKQEoKtD8C5bRS fkq7hIHVLOWxJN1RBqsiTt1hhVnPXRKqOvj+p4wbf6E692J6C178fJgsKtwsaXIvjUO3y7faWKmZ xKyMIVzyEov87noK7ZGGCzGlkLXTGYaAqdamNop8aIJGKCrJ9Q1jxAYMGXKb+FUYSfuUO9Fys/Tv 9jBMteUMrEA8A3Dy7VjVSpqzP/6O+02LF2y6lhw7jp/5I3Xl3vw9uWIe30V/If1sj0h7Z1AiWP77 lehutFMyFFoR56fyApbEDWIirk9Tn22JmxeD5veDFZ3ywCW+jz8ErZ+jskunGMocENd3T0HTnrtN 4aBHH8Irk2zBV1ygdOTLtUW4xycVxfQg7C8CarJYkk5k4DYmp2zBP6DIL3ZTfi4E/886YEgXDJJA gy8GyJYUaq+IMdk5hgtgQ+qNEC9yKrKhpG7EjwX0Q5ji3w67L8/1GPBe2DVpb1rWch46bB2o/a46 1sJLdhgCBAa79bfnt4Rv+K5i1Fmgo6M+h6D4V00EKSI/DNA+WHSyPCnpyszcblPRC5IDeECzc6Y6 qanutUymp7XAcmgE7XSW0jB5e++AMXBFlBOxfeD5gzSRlzVnQKoretPFfxIauSPILtx3w86ZOpsj iEGTSu9vwy911V+WMS+wdxWe152yBSiaHMoSIsK8TpReHhFeHN1KFGLGtgBQEBMuE1IL7eetm2rU RZMo83enmGGbAhJc28wwrdNxiwW6iuFQOHOCUeXZzMGWfCC8gdwCUX8AzlsBJGk0Md5ukLeNvnlL 9YO16vhXhLrMNcCv84MRAgAK2XxMhfItyhYUFYIQbL/SjYOTSZv73Qs9br2o31jL8QFdk0ux7EVT lDBev8LNVocHzMTxcKJER/bMDQnNvBUe9wquI68ld4ZWXE9i5eW0DAurGNwoIp3omKzIvTnhm6TM 00rYZr0cc/cwRUBW6Fw3Pjwmyq2Fbshxoi4rlkTM9abQBMDQxW+qYOBKwhh93oGrq9pkkOtrF87c jYUk6UNC5/L6KC8bK5hfnFKhVmJV9pbxBa1+M7HHiPOHz4LabbClusfW8bTWzeX4SbTq53bbEozd fJhIYLt3r9dIYTPMVdFNVLTpDoJMJRQkSjnEhjWX1bzMOsphO3U976TswB0g29XvKjjvhZnTxdDa oL/dFD6Lgy6KXr1yGNo4yjQG1Yx92M5dloe3PxXBEQa4rJNqLG1rO+y2gNoLGdg8QwlE+cihgZ0h 3AtbOMSYxdK7l5erNeYUmWWYr5OgAW4IC8zOVJbAAvT0boOPddymf4xNzQ8BZfCvklqCF6Q/bsBG EZNQbeZ22Db1p1tQRmQN5HXJwLYpoGEzXOhsjh+HbVAWQTVf/flPHX9/5W0SjPItAkwkaaIdgWj6 QfvbG5zlViCc9cbSdAlE3ulOWFu8/oH4yI+B2RLb3+TmypP4YdZVg3JWmQINmQ9/IpIy1iKPQt2m nvGngvFJUWl+Z0fW1WCUalJ0q60ruNXjFm4mHqY/T5QO02SkFZ5dBJE6mqC54i3xyTCXeNRFTlWy DDFdzAfPV/8t2cm2nfh0CvXdN5Y8ayfp0Vy+FFuK3kUSwT62MNB+bcT0fyResCYT7l3IVu1yvKBc /C+HDUxLQpR/YMl4h2sp12VG0a1Ei+QL7CBgy1chKKm6UAN7K6dA6/1xZ5O+l/ku9qJFPXrKeX5y PwqxFRSEIhV62HTdM5R1dclTRlvlw8tuvJetKg58DVTPKobMJQ9SlS1DCoKhNjGj2tSkpHEVbIis Qzohd5Cecgd3EzTo8gqZ0ol5R5GShHKhid3nkwSvBegV1wmMTALqyEVVS8a4baUE3s2cwfjU0FRD 1JQZoK0+5YGI/KotSYKp+YHlIabGTF2mpT5icDfXXRVJmav7bQNH1Xp/fscvefqc+sD4aRl0DPL0 yl4kQKtETN9RtGaMkOgvtMVXfV/E/0cB99DQJooN2RpkKFiFkczoZspOvlHji3KvhfNjezaN4mIa q7AnPbA4nEmxYWR68k63Xk8dXaHF09I951DVdMpj/kfdm/QJYivXKShDfDfcCnx25dfU9vbs8sFl bgQ/Si/zqQ1P79yHQ7cxA90WzJpjF8vwdjKExrd71B/lvwb6xam0Q3aYNsppw9GfzYcFzWR7IGNn Ps/kjmUKU0L+9Jeip2Vyi3boX6JYaL3dXpUnIH3i0D5NtGz0KHkhZCMtbDJI9UiicnGj6hvUw8Ux X4lENRjs1WWgtpQEjiNoJqSJScK490BXZqBOtfZn8oC3X0dLLFiOmo/htfbATiAhBta3+hsQTZei osKOWV3MtE/Ov03yFyqqVAfhceX5j9w89Y+3KGYGfCjI7oSrRsuF05EUa9QsdSPrbjK1QifqZe/0 dtX4rB88r+Lcm2GRCLV/QnU7zbyzvZOtPIodFae9DqNtmJoS5Xi2URTmCU7Z9VyismqiO1iWHeS8 KTXgDQplah+MzXFNt8vbot4x6zsDXeGEQJ86FJGD+f8cEpQ/A4E8y8/GZLwjrTIyVRQR8FWLS3oe v2mVRqQuiK/Cz3nKkaM6b78FYfLZHyDlYppJfketwQNB5zoV7JrWjLV2iH/Jc8X5efIh/wFoLtYl SRrVX8OWbr4GbpAbCdBBBPNzxhUL5zNyVKBtVz/1j37XiX6AFmzumLHyL4GCXXndQhKeT0nzUNrd dt+8Egmpeebtb+oWubRPAF+DDkHaY8yWKpLXtfTb/JcbXZvkx+eMuRIk5KpobmAdieqez/8ScQOb v4wzigqxrHgKjKjmij52e9P7fSmDAdI3Y86NgQ8/ZVibLbt55gwzq2hIMT5E8YVNs1KJlP7Am5Gc 1kAsl+HPZtF5w3uj7uerGohgYR6ZUgpZ2tzEViPU8/xoklWcBNvojGwCY/2w/QknAkgvmR0VHhU/ 1ieKNsBJYpToRbhq+LUwEIOp2aVZMK+BqhTDyl9TEi3OszTQG3zwWgwVoUxTGn7SRQ9fOcEa81ng ZEMrNw6d/HHOwhepouS523j/R7y1mAQHALPCoOATDYFps5bLnHWzXqP37lPLyyKbsJTUF+GsOHd4 nI/Zocl618b2lWPjDlYFgcV3QOqhAFs0mhUYvOOoTEjiMATWErqk8AU2BXbYe4DNADJWPhiXHor2 oCnTEv27zOs7i27Wbk8K5DquhqAu7RQkn0QIpKVNUPXFDcGGmBbIOTUcXaXdaCtrTmw3f8uAsZ0x MtUJDhNh9XEebJGk8sGL7Y4I8We4Mx7B8jazLpJQW/Joe0DMvT90vP28N3TWmKVNWEBjU9Lybort WvGNMFPOnOoHJA/1Spu1zBh9pkXQRriEmjzyvuHFqd1E2KNRyRC2JsZD07nRFg+Md2Z9eA9ZMVsP /wSXEcgg1UODBlqtZt0PO3DPWNm+r7PUu0cnwyG9GFlP5xGwvSahpQhBUk1KOR+Z4ZDCj5s0uwDw B8Ap2EM/V9CwYK5gkRK+F3R90HxuoLZ9OuCht5i+zLQYw9GuYKXhmp4naIX80jNdNhpSudFv5GXA gE4avAdxPBX9uCzzN6Okh8mAHN1GufTy1jvPOtAPPvvNaHyP4649nLvRX/85QQVrbEVQxZapuYIX GxF5vlD5/IdSSrR6kns6iX/Xl2Y6/PsSTU3oBRjw8L+A8Zwrx3xGrmb5pWNHgkqQLNbE1nWMVPd6 hHIPHg8UVrmw0EkWs6tMLajZ+p+96Dt2fgYWglTqlgJfWyxOFVd0X54LUWtWENYrNNPcS5K+Egie UrZCHkxnj5Sjt/EXHR2HO+NeNjcoSW8Z9L427rQoXi9zx6Ynqmkfb7U3w+jYuxm91vcoLDY3+v6o jZCGk8j7BdDXIrtMcGnKDtsqQ135Nx8r3rNmt1rNeR/zov2x4+XUkC456X+lBllzO8gf6ASgnAuy uCPsnZCq/V3x6A/YU7lbqBY9VwjiSsJRxbbjUkFmhEzdELI5QkYoVfLeUxlNN3l1Cx8Ex6vvZ7Pe C7RANQZBfX/bRel609x9JtX5QoDn14aDwu0seQwb7Jo9eeylSeFfvT7nUgGQPg1dRInTXVVvNjjp QCvkc1wpLuQl3Sm1fdNqvZXmCwqS7JayutoLSn7rj4Vf7i5Somr9F0xvgtVXc11BBAG7F3ZCJZTZ DAUiIPxWDzzPIJEn/R9p0pUFZhghdPEaW0jKPRn5rrRUHBxseRv8h3+ZM1EVsciw6yASx4emGgoM /fOl5b8Wga8Aqxm0qNx/y0dDoa6+kUeqAvpEUkK4Gn0vPWS6qaVZfcurclK8nIo/Do+9XkB68iCI nvJIsEd+VHpW6sllKyJlZpcItYOkVhfxqJecFLWQlYeUqZqpw49zttcQkjEUn5gODhaI2RsrhD6x SW+STH06dq0g0apXzGuM7rtb3aGZgjXkiWDd9ER5jZsKE+fCz9+QbB6aJ0/W5Tt1x72pQmBTexJU 2GoeYbwzNbEH/FiVbxyr0ajb9mPdB8UGr0t1o/GytkUsQS8khCrx7Vej0ZcLqqqpw5YRpyRrbUWV /v4BVHi07DjMLRKnYW0ERSZXGA1ijhgeL23b6VuzCdSQMmOkN5oXhRT57K+0+NT7iCNcZlz4uAJH mJqlOKCOt4Q+58LFPuXgOiJZWmgUGUpN0WVEhplhQ0+W3FLtwRghkGFH9sLTjHGh4ts8GflPR/sI qVv1tAvHHLyxfF8JB0/Jkosg6xyapVTtau9lBiLeNXfSak3tAKWLowlsCYxSlHGQjF5mkJTqhQvu 4rXYcDxztAwWjulW2q0Tk0yyp6h09Cq5k01H1k4+2szlglye6wy5oOhj5Q2XXMS5VgHrFkZLJxDb +dzJtc491GWcm1Z+MXj9l6onfwOOHSckrSTQcuHrFmo2RNcad/sG7VkZ0gzZIUP76twSxljD0mdf Q+p5ADTqWG+TwyT9jcf016ZcejI/GNzzfGyX9zi0Gy+adBIn+G6ntlSh5PCujeo72S/6mxR/IhXm l+SuT32o8VCpuYBFWumCUCnFUSfgz9JDoFL0dnjbdwGnXHZS3oM5E3EfkQrAQ58tEPVDa+wunT+R kNMecJJYK/zRHI1mo4GMeGbmZxw1M6QV4dx5PgvEyByLMJOg0VUxi0PqtvjGPe0s7+ONqHs6CzrL dSP1TMAXBvS5ePbPRvoHrUZbTYUJ/sIxN34l7Kuzm7YD4TmSvYBD42TtFFdOglZrirvjFuYyFOQl fVepSNmJKAGMIJDhlYnoSvROwFnU+jJuwF07nbvhvOBifYQaUjBm7SHMpzLsBsdpIcVB0NrsGIIX +HD2DJUCAHDmTR/nmC7dAWQ4ubcbHtSJNxEYGrYAHiDFMXRCYvp0GPos8CuceTSdzF0yqWeGdt6t EhR1o2crfvLPwsl97fOb6VEphUGMsKcdyTq+HlDQ6Fjx0zkf3sUQFi88W4bXhd5AsK8N6LT1a1rn rTaIEgETSRBEuLjMLgn0aGnPca45BuA8g7+hOJrSi/YwPvypIXh0ese6AKgWNxhocUCix4llwRiq yBD/uJDuKeaqHLf58rmRxrXQ6jIadvUxeyXM70hWMJvLhubEFbv6u5NyhjhXmQdYRUVRktks2HIt Sq7/vWpDbGKRtYOH0OrWpc3Dcv6Oe4gu7ofWER9SrCFYe7Im7YnLWeCzTPfdV2ppFSVgAdydpJsA AuxSJfF1Dzcj+paQNveMyZfKeYmipOrr8UWmcuIWZzpTH9S8Kiewmm5TLSw5NjLQAebNoCM7sGYs iLoNICxo+4KW8DpL9m+gEYH97D6NfIzRrdOyB00BaLRHL6c6VBJTLWEOi+R0oUV39w8O/FBD598t n/pTIlBa8e76A1CuVKFTKYIIPbFJJoL2cuLzJAVuaX+DjU8OTvpJ68K91t409/Hy3lMiL0pF2hTl plhJLJ5V2ZeEk7ByJlSwEghddY2Sn8BMyEwPoLNlvvr74p08ZXXWKScGVWGd2tO8C9PkzFmjssfv NheDxAMuV+OyABc/zCNQE8isubk6KWZOoRD20FFzhUZ5/rud7t0QrScdD69d0S2tgFkX117UA/gY 3mlo/Xp0k0w/vIuj5ZmM13wKgPInQ5Gj2g6j5UZoamfiTbzXqPmpMCTtlxyE99YvCip+FbE5Gzs0 qigSCnquheAECtHpVXNlGpRaCUoIHZQpEPw/yqBqiEWC7B8pZpJl7uBEBA/MwTRaiqxFg6U1sj2b r3DhaigVnc9313vojTDv0cMAtCDHyYNJ0ck+3OVkFl2umiFLAUo0L5MANokrVn7gNaFZAbyKIs2I MBolJWLjvkJ6HXEccgmhUXqpIoXagZH8B3k/TMOIXsu8t6s095+WQL8/cdxIPYMw/g4oiUUQngLP jYyx9g+eQO+FVd9KtlALHVbVKPhhWUlriAmUF82HZ93ygRSzT4ImSAL1WMq/HwEinc3XUz7NTC+e +2DjbONKeoqglJjQ7yzy4KwHUJD6pKU2zcEmvIr47wNZNzIXbR81OW6SiegCfIFkc8AmXd/pKl4v 8c3WzLgpllVMKR70q4KOwPm22UeqlehhwPYmYVj5xjQmxdeHirzoGcMOWKQ2Up2y4BfOBlKu+YUS v90LMMNNCQl2IJLYxdD5x96mfu8ZXOrQWvEciBLORXc+iingzdYbkInAoR/7vPAd9HTfPpdpOOsp kG9m2X/6WHUcGLwWZB40o1lBFkfteMwVr2960VTyeS7Hhn9cW1vWQ+KksetEAu88ahbY5lT9aOVu UNbUuyOOCmi1bFEW9baIDu78UNip+8nQZDWTwChko9GQbl65mKvZ7GyorjXN1zJz+tRwS/vRVY0/ xdrcG2wimvd0yc/6KBpHviof+A04iqCENpY8uchSa8lC0uaflSeNE2YTQEVt7c/jADJd0AviozU9 Ysi1LIZnq1/oBtCVK3qWm+lW7jbF+id+6EfjDTYWvJGZ528Ijj6NdUQ1UCNEf9kEWOTfsCTmgNKd FFF2h/4lyiPUZZET+GPspjJQkWQmwLp63hRVCAbGbmPS8zr7opACAwx32UENJoMzD7A55hbll/Xi Js7HVM4vVJq3XCDraX/7gTEb4+j3fZRMn0XiaVFDyy53RDDXs9L79BcNUU2wl65ztBiTu8dGLS+7 ooEG490RAOIzDFScCvZh1hP5n+3wwW1fBDy2kdpWrmNmOSp1ZuOzZk7TRaZCKFpvLBFO+E1JCHEU c+bdHhb96JIvdm7qzankqdqBwd4gX7fwNRN2wjx8M8ujFrbElYLbfrhO3J6n/K6mQ0yHb2CDBwkR gGPclutaePf1F1jOyZMZK4/M9P1+k2X3rIa52XbM+Bi3PyW/Hy1VoRlPSyx3OhBAklQiXGQPCTaR /RtTOO9/aykP8qExWjewhHD6m+yosMwThc0PoWTehcZ14baMa/FX2be5fis0OucBtI9eZvEycXfy qKk4PCuUOq0ySQ9+OEX1xV7piskaOtCKAF+I6dcSq/ayWw5FD7sVXBE6u6zeNSzPzZMdZt6tgLmA gFM4JGix8PP0jO43WT2nQKq28o54J0zT52R3t2ueVFFRBmkPAk61zkmsuCrQMhmx8mtf0zLG/76c znWhEXKCeciPR3T5lB1lXe9rfwTxgDxpOwDUGhUCxGatYilnqQhooLkZFREskVp2o+07/Rm+nAMS EG6ejTgPNzAhtm0oZqYy1Qiuif3ZL9FWU5OqB6SR/hl0NqMaWkKZLtkc3OIiHUNK/lTscvw98Ni3 ztgMNlNrbX98uqZwdccZNssIep/UT22qpNObxLwiN4WuWBdYghyE3rpqmRp2hxqiYXMr6+68lnN+ vV8055nliBtiHCKfcmMH6e4pRXYWuk1m1rSpK/8/LDQ8eh97MBrCGGe4UhQaw10rgrHw+csHe8rJ YkR2UXxLQHR90VUo7b6kiMrEldRYQ6JzeAhF1NnA+72f9Y5/tUQBdCi46dXLFlJ3pfe4/l/pIzVg ilCUQjqGnWiRbfSB8C6gJBGF8Y+hsUDaLxA46aGUGhFFhj0/4WGkgZWCE7RUQ984HZVS9TfEMK2T RFBJ+0hRqhDGkO41pBCZG3HJUKnfl/fnE8t5XFnRcJFMYElLv++g6RdgVfE7UHJOkV2aelYbRYIQ eULttSN1rTZpwiNMXT1X+x2W+MyOM7ndD/o0ka7eS/9bK4awrkhHYnjoM23673Az4d4CntjeJxcG j9rcwl5onovO6w59iIxS2itU2DCChQjokX5dREqsUpUDrdlc/TwYj61slP16Wolp5AdgoScX1eaf OKIX7gD9/hdTDv3eslg+z1GxHwsqJsk17TZk9BepA7TRJ4UrxX4zHfQm61MENENvCtcmX+iOVlNJ PqmHw8qdO/wNXkkKyiJqpc45VaNEtDgG0EJOhGZ/3FoYvZb3gYG4VkPPqrwU7OTORT29OW+sBFr2 KiNq+w9Skhznwh/uby4ZD/6lvCVwJQ17q9pDzKQYozXvBAh+IFRCv/5Y3/l6HA26X9PMxB2s8UIg CO+Z/hf4s05WmA9s3CyuN5Hel8y1qeQsqYaU4lAe5OHLPDHftXXbli9Qd+0uJzRigUbb2n33gG2a 2UVjgfA25YaIS7GZpoWSn3kgWMyaF022XBBSYqEnS77YnZl2VryjHgLdb7hcx7SyeCayHRK+ggLg w8rukVwPds38rvhAK0cWd3Cde4GWF8mD/KnyJXL4qA7J5rJvu3IqLbvP6TTQ3vETDsKAlP6/ZraK pZqVuMDQjWC9IxjlBQBteN07jK2s4I1SGwJ5B/DxXeEHcPXoP7LUr4nad8xt2m3p8hUY40Q7JnJa EUfrXxKIMsZLn630nNe1vhs4UiTYF+t64UOyVq/dBFOKZiwtVI0Ef8cykM2PTP8A1nJRK7rD4Nvi +ME6q8xulopUYOQz+fR4RCvvli9nBzXjRhI1aZIFLmz6vVFJuq6jyje611MhTaG9cbbLK6Pa+c92 8DPEOmYJcIToJ/NI3jKBdJm6nj5mVx4ErhNkxQOlLkrZv7xr4TDGuz0Dw71genl23IMHtPjyec2J UbwT+7EGD7U1RM0FQgVjutWA2jyOvMi0amsgo+HE5ygzm8jVPpRCs7y3Y83ug7fpV9bi2eZQI9Lj 52PCGRnk9z+oPVdxRznSL2/nEUCpetntioeC6ugESz9Hknrp1h4Zf/RdeKyXiYwQZQ2OSDB5dCQj 070yZwu07VaXJwBUAFgKX8F+mM03jGMlXZY6zTS4YSKJVXTKVO+/71QmvgjLnfFEzcMojpAZMjxM l0zKQ6u37OudEhRwRdQEZZB1O0i9Htb37Ibnbsclq0sW22NUSMz+haP3nAkgx6BIuO2B73BAdibF V0dMNauCNYP8yAj/GkIRHTFM9fm3zqBNZDjHkzKR2hanfAJ2e/NMnWWBTCmBCyweblP/3CHtiqHZ TJkFjVqX3+R4CzbLYuf8/YIprzmumhoJVl46OaFg+GXo+Gc+bEx+B75BsFU+FNag5L1eKUnM+C2S ujQa52o2bfNMX49sYIOQxEr2KijmWwpacM0mGp77OyBvekB760sG7uw2SZ1/intpy0CBpQroNkUa JU5ydeFtHqzA74kYGINyREm1S0C+UPTcrbiSkcxTP4cviJq4ylefjOqwDXbRWJSxom36FtYE/qHv TMSM8e0tNJS3vm6yAwa8VhA8FDVfhAwK1yrzwHf58WT9x13F9G+aPjCS/O893gQ0MTFtdrR3LcI0 cjzIMn5C2cBB+vmKGPMRqQehOif6dUxJH9dPrpaT7+KIQ9p9OTPapiuampV9+NdjPRQolhV6IwBA gSQ65sWpMuX46ry/r9xMkcNqZbxjTUKUX7Xg72Kn96BD9F5E+1+B268cWyIJ5kx9BUxamJqP7fWR BRhH2gnX4HS1pCSIHjHV8R3ncwrVicel9dSiL8k7We/I+pTCORmB39LCkHohoRqmkJGMKCgcYbCx l+MIezEaQDy9WwYPAN/y/LGyH/jGEl3B3KZI0YuTZm0WzTrBZ30/AgGkbUKMXjpG0oWlyK5Ojy5p njesLz+7sV8oOJ2+rT1KYJTFKyd38NpS9OMq8Wh2llAZGn/Ekr5KRBoYQxY5aXeriTle7xJ+bOQN vsZkqaCzUSqlW39/5d3FSuJbjDaB2Adve7Cq0E17XJ2O4xtHoq2RZoJ7A/UbCgFn5ju8SwbAeAAm css6/f9lFFy9b9hs2kGhUl7TQP4z5jKxAI2MBd3nPKsdzP+8aGqkQfk+PYwEIDC+a+EjFNFYkkSm jzfyxOSG+ZlP7LHXWeWhdmGw5A0ubkUHLB+ZCzARJLuslMQ2HE87rnPqgBRSYYSLgLumjuVLHIW4 /lKb6/Yp9mfLFEVJ8ZeIfmZ0dOO1GrY85QCf/seDAwU6P2HteUR2XHD83+6CtoG48ORbUXDe5c5s Qg7Fp5OGfAJWaGFzYdabp73VQv1LvlJxRfvv3ZmEuVsdVPczzbE0L9EOXBWfb3qOLb0AV6Gmr7g+ XZo7m2U8fIAZHSiBB4VCkLTNt7uVPvVWtwsAOpTDWxk+G+8K6jNv1QSriZrJwDo3e6OUJxRwnXmB qdh7S8n5xDsFzBHt9KUHMm4ChZAGd2aQRCvkSBBw6I2Iem/h1ukAeOEVsqwaEmyDDYbQ7DWp1uyb 3MA0JZvmRUXnbYLJqosZ1tf/uCRQFxjOXrPBPe7pG8rwbrsNL/f3ZwP9N+dZ7+acQIsBc+PENCjh demA9n6FjAh2nTvOqudg19/ikXEhVXU6K3iark38JFl+ESY+UTwvfhaKlBYs4onsAxiBYjEvT9lB m8N/wy1cPdiAXUZBInFtp1OI4+v7EETs32iv1nMcF9B0eJsng6sToyPPdLkyh1X+Kk0giNc1P6aO RCJ4MI5VDD5c8RV3e00WK1Jzqzepex0PGDFjmRhDbmu4+p4q9l0aBbFnlMIpRwah0XxlvIlFdGET F4IcfaBNe1qHXqnZiatu+eHplMrvzT1DMWaeNRMRFj3WPu8cR59BIolVKzCFyim3ujqU+xHz7go0 M2KbPH8DFZaBM1LE9xAOj3mHJnYS1EoUKfDz82+S5wY8we+IaBUh0UWXqUATb42E9XsvBPZ9vCx3 /aIZsZjZKIQwJ0cef7/YFdcWNHvAGn7HfXDVFEieQydhf2tapEhiOduEx4LkPNEx4GrYffKHsaD6 K4kKewr4kmrKHJwsJawBkkTPZJ6+nIttgDloEhSnex5/1+nM998igFpZweZoiYlqKtA3CUdg2hg3 gdPgMWEqvE/pb/FvMo/AhSBdA5Gv/PP2kcZQX/GfbVNFKKA+p+etD84pm5p0jxrAnQDh5sa7NPie q1Ds/JR1S9fxO9iiyY8FQq1OXYK9gnUGtK8GDBErlIhyXRjHz6Ys6PCGig3pFKBhfgdOJXaxZ7Vh ArrIr+F1MQxOBwsW2lXRPSTqf9N+LWA21YGzXXiaf1CWueDW2203SHWftBFKzaVpor4nKRmHv0st Mriwk43rn7cEkeCYUZ2ZUVhnZlyFoEC8AlfXcfW0gR3APNlM9ETmp9Iljl8N0QkH/E+gw2fC3L7R 75CBc4ZgoBOvj1ApFPuAgdGr9qfF3lSnOg3exoYTkqW3UacU/GGT7XPHqcWyDwdVAn+voPflbUjQ UMjv/RsIqjXDLDfU2P7j7Ez9EoYD5MZaiiWa6tItP453/c6SMtYng9WPPY4l53FpEWwiAr7hWFCE lfUqjV0DpKMWAFj9WoK1GKHwIGsSKtNIgjk9r62XMC+Yty3pYhg3ztq7axaTanEHt0akKR9UU5x7 H+YgQA6RuQiRW8uT2to91oFO/xowEbuI92PvyFP+RT6KtisrcP/w+AYx9OeQ0cvG//VkkhHOkOKp mFd8Q2GKXvIY9ikmQnA6nUETF03G1ifZ4FY20+PHVfaooqDcNP623q74AFUCYv+4lw+H6BoFHB2P sp/TQ/LXiB+NjMzYKGatSPzdmp6UNEsVCPV3SC8sXlGTcvjVodCUd/y6suLhvR8ZA3d34fCmy8sQ a8Iis9Dx24twaigseV+42zTSi1U8hmeLNknq6uiZiU2oOP1qjy+3mqNTnhtfZKi/zIwS1xUfVsAR a+mI8cXfxrSdYqJhgzDcPEgKVJAaxN1fle3mAC1DLT1kY58Vtdac/yvziC2TMYd0hZa53ph4NCCw c9MGPnaDgBjoumprZqYwYdgRcSmCFMnWFInuoyFDf2mEfzjI/BjQTI+YULoRqjHNajNmXbxhAPmt Ji+vS95qC1bKZ5Crv/7uAq59Tsj4oWCm6PnrTHJhHkvJVqE1Bb/qinzgiueuGUtbL505tY4jUphC h8DDTPn2F+7aCEME8O/GYCz5HwPZ/9IeE//w7U2brMTq6eSH4yA0XzorOiZ44FBFnDehn+8e66ln sWkXx/+uREue+DdTMXpx3NbwcEKzpdcAgWKRpD1Ip3j2mK+oK+p0dcs3HotsyBYoiQ5ctKakxhDz eMwehVy0G97cTFcxlKx16WgSkliYudSbLdueAgHsa87o4zIvWJUHyYY4gBgRmQgkwJUmgPN3QAVA Cutf2zk26H4TgiUXtEkcZXeLwreaPGHiY7kfCl0j1McOkXvr9KBxtPrVxSAY1GFMc/VgWPTeDXv7 COUmIZb0PpkossjsnMIQnATaxtdgq1vjEFizYHkl5XvxXfHCL3CyrDq65pDu/2tqVaqUiFC/t1tz deynnAi1/AjHIPOsohi4oEZ526HDLeqeQ3QHYGFW4eWdYG8zo6iD1mBEmDmI5fnRizkQV0lH7+cI kQ2smeyMmOHtzewz67f9S9j5fgrHtu6D70+EkNoZ5FYMe6sx31NwBbdbhQzIs9rlWKUnUhk5iSm6 +7yuEjvre+++o+5jN/gKHtS3YEJU0Xsb3kd8zDraxDDJBcUKPr/cC741SertOg3EZRwufFygBQ/b kD3dLbdjWaeNRC+4mdLCbDFf00rcXI+MlFg3Xb6hrWE0tmjjxu3QK06zruI3O/j5NMD0kVbRA30P kdgflReqG0iA5mYHNF+XM6Ksvrzlq0P+n0SYJjNH66JlStT3mYAlApJU1uuX2luyZQT3k2zXpdAH EgwYH6nynQSHCdpqsOUfGjvq7TXN3Ms/Bi01FZzxySeia8kPD9rasPnNCGDT7BVWw+9MlFPbwhoY M2HHzRvmO9maSf4Oz6py1T7ZyzTIy4VfdhZXybEutGnp6mzJZR0gu3dv/HSf6+tAzipe+1MuEOxV HEQU8jtiSjgCoWWskVLx7rEaICPHyzsD57nDsLTKV/vDMIxOrqD3lAeTG6e+4+whShRPCIn2Own4 1J8VrlrNyqVh9Y/u6MmQMxxpca1m5KI9RkOy3wy6wUWcbxCoP6++yWZ+FOGPvIPKb609bso8v/qH nx7JwKox5SIVNflcoGU4ygi5/p1N+0MOuEpOLvjaxzTZpwcsfMosMIpbicPcjNpaqTyzG5qSfxcG AuyTKD9AkC4nZSSvt6GIOPe3fnNVEfJZbZUqEXuM6GDk6gZbzlH5w+/hcyKq+toKyLk/ppMsmb2O slGnOTxVWYzRMjch2SuZrxN7XEhV//FkEGVFG94JxYYEkJMYSnt0PTObHq85GTy1XAUpebAdANBG YDume2tsDssmOJqH9M0b01XMK/Wc7Lsx16KhONYThd5P6N/4noTR6/Jz4trTst8GMVuhMT9sO3B/ DIxqtAa+6TKdKEi0gHfmG41BA+Hp9gTBxAYE7geZkowRnRCOQejFMqL5eNVsCdyNGbg8R3G+DzN6 vEIF2ABVeCWXWLwTflyjvG9E2nsRBnf4i8a4tVsIVj4Ph9/kg+0uCFgkl2XrrxOodyqmaoW4csQJ pseGBKPpc2V+QdRKKQSAVIqZKXQkZVUk4/fZUHavhrJlBrzX/mEDhE6x7cyWL/pwWfRekeC0Hx8I MhjEQ7QZuXVlhV16DdsZmke3KUxGuVJYxJZ3Ht21TAGqGdMmj0vcue4WU+oxV36BSvTYRTQl5Cdg J87Ovtvq7x+G72pJUMdD6Ym9qdWHwb0jtA+JzyP1JfiJ4vIsAZf9seCikvCvMiKZ4ixsarWUDO7S HLUSSxAKh7BGpewPZGVim1mE1/qeBzuFqUcZNWMI3b0xxXgtsPuToLytKhabQ15icbQuAM7sW+QH yBdW7IFadHkTPNES2j3upQ/zomzcKwRBd6PbSGnmJfSDTJFryl/AlQqpuQIkp1qMOrInlP25bQlr JSOobsN3sOauBHzCy2TZkUDGYcHoMe7+lw5JE9VyXww4XvDLx4IYW336pejDjrpIu/SZoz2I7BWB Cs6kDqvPJNbi5xkdQW7M2R+P2eMhDu/DuBB6Mwa8PsrAt5oTQ5NuNJs0oU4feZKcbRxBzykBUyXz 8pVJdD/kr09rKWMnxxwzRD6BFgZqb7Dl9bzr/SEixXUzPJ9K3fnsQJYTdr7ZN2az1QrvWAkFNrHk SdfnuJv/h30qKpPQdtfTWAJXkdaYMI0WuX9IVfuFSbe5MASHa8DHLDUh8jTeLkCxzZ40vU4gUNco z1YWfE2RspQo3VUmfddicfs2yBkzPUDOF9AsX6XypTcDQrNzrh2i8tEdabTazJkA0WidXpV5cmhA Sts2UysxKhujwmeZOH5+yvZJ8Ja77f2o+BjElJlTVgAKv0QI3J4vnM0FadpzadgyeqsVDZPHGErM ebbE7OrqE7pD8qlhBaEZlPs9yvk/zQlDNn9FSdHe7wqy/UCJmrU3CeX8GRqgUWdtZklHTuXgCCFX 85BYuG1sdj3Xwuv0XLSmMZYepZ0TdU/CdNGktzT3x+xgnioNReUaScv0l3Nd/7iKctaxPCZSDyIq qVYq+zTf/LTnSheqpcgfqTx0GGWXE50LPUxrAOJDC3uHAXw0B/ybEJ3lwt5Mrotoi0VfZxSSUNuE OOMBOFHM0y3Hynxi+ZgPI80n/9X16kidsyTJh9sn+sGBRPNL+Li/nlyJzKSP6bt82z3FjJDZJmC2 aF5jsiLKQ6iGuRxVEdUG9L9/UiUgoEdzpNGkXg+Wlui7RcdoykOWU2F3zTh+sd0qqvJaKpDCX7wW f9rg6R31vNWh9JeFOroprNna50rHud9x57gqF9UicHmj/w/XhEoiyy+kOKHP3vh8V6ElnxoNOXAx xNhx12aOgguo60zax8pAMBWtRQ7Hvab4ov79hjzm5Fr9ofWRaY/0KBeqkbxO07X/jLLdAUg3sdE9 PltPXw7sFJdX22ZMX2gjCMWjewn5xMdxdDIG3UhwV1iLfRJQkGKXe3USfULIr7E0FtQyI/oQK7BK Dokei3karhEPUBWWwZ/PEzWrFkLcSsEStf4A0HqAxz+M/yuSzJeh7AtLCHo3hCiLqoZ/UyURjF3b xTNrIcdlHyXx+vzUhT7RW/7/5zPeWD2W5pK02iVOC/Z0lH2jT9pgxhnPiyxfJg3LWZNHySleXtQ5 h9Q/aVoTchL7MwjGTO3OvloSd1npvz5PWdtT+CVqRAkvOWhTSnFS4VIUmnHzp4lgraW4S3kDkX0T pcqxF1CKTApOSugOngzcV/8JrZ28pMaqiM9Npnt5zxXNkM6+zZQ1jw+e+PDqMZQa0XcQkviFT/e7 pmlruQgeT872jVLgkZNj1M+tx1Nspy769IxC+49aRc1gf/QeP6IAUcmo1izwG4DJPKFpINunaatF HZxBhN2ev7L4uaGOVPReAPiTmzR/0k3OK6gyspHFfWbhULqVB7ehwkGBQLK2ctI6GfwWpegfRrJD w/aKaHAfm7uMhFKcu/0gf/lQqpG7IpWoBQBB3W1ysN3ek/TDhmVT/ezdI4gcnRMhLizVhJVK6syB lnjmZXpRMAtyX5++jLphUsdm2bVkWVUql4vM+2zQdg4DLDz1FdKI0H4RW3leTA5SIbiyk+DWYVRR arrxJXb3p72fgh9AmH7Ggfe442LwSzjOnmwGUXpXBqbHL13LRttmQCKK5PTSyfsEmfJPaS8FCSND SdC9Wms8DUqjbz0wE5fHth0sde3EpIgj2j/duI57XlpDxyBmZuc/VAi5LJXDxNJsx7Fq7Cch54N3 sQyXxNNci49DbZrMu4MjQiiYjfU73zNqoEVtzanvi1D1esUy3i/9SMoH9S3+v73Q8rcM4W6kLKSR 7ZdcxWxpsrzQ0YHjMbrI3h1Wgu3LAES/BfJTK16H3SXlBKJpGzih9RiylPhyN8cFwGzX9JObyCXw ufAW6ndnsG+lPfxxO5TaN7gELNZAAbN2cMmUPwIzG+l2asThH2kpcgnlUWMjYmUaa0qvIGHddqQS 5h84Le6aHntp5wB/2z97kFcLIGjUkvvhhI8mgKTaYUu04lyCulW5EA02muzDDZAEuXaJvb3+h6pk uAYlsg5LZBbw3BK/ND1qDzrz3ngY1z8cz/1DBVbnx8DW2dbeM0FUmM38fP2wd5Kh2tFF2oreblBr y5AbXtBh9NcyHudOMk+ymSf3e11gw3jONENknRlLCXdTikcg4pv82ikeSUyTAI3RafIFWeSTxrOQ vIZrdXEVqZonKkB+rKCmkAt5EFpe2mFsuuiDmEb0bAHaQGYjLHuUhx3A8aJVS9+Lub5GG4P2x6Yr YVquKh+SCx8UOczrbDch4jxgFg0AO4AF8iunolgWjz6pRBkGGFA03ktNuQM+CSgydP7Cw6jFGSYy 5PUwtvNs0aK3iESJZ+1NoQMGCr42XbKlvcl7aTL80gKNcfJPYgG9AGeEf9OnZkR+FxgWAC1s2tez vwc2GpMfH6rVjEbAKSd6yftPXx43SvVF9CbtzB2TqaOa5pBMg1K+j+bRwyrZmN7zQjWbPg7vuCXR Is5kRBA2TOt5DSZNKTwy2yBC/fZGr1Ps2UXdLwNVQqcOSbDE5hOJ53ZKgzk0H4RILC3GfUrA1YMH WKYOyRczSSrQ41ArzqWGywOLJunIOa8Cvc++MwvWiwnf7SLG+ZVYwDK7v5rWU6mNPFPkpchNknL7 qbP6a+8xvgyLtS/X5ivWW2mmK2X/51QUcBYuwjlZCwnhei5cm+hIN87/JHVwBqKA+TEZJKFTgFMf yifNa8EoePhaTBbVENM3qrcWBnmXAJw6h5O4CJ05LFkGpnEumQazVjy61DyFK2/c9yYdJg8x7swO XTPWQmiHkiAAepIQwnn8TSr+DrFq0wr9wljtXe9BUR7yrKvlkg25901yvouX0bNSmnkIMmx8ClM8 nrp+buERaHGjqMgyTmgiq1SSz3kPaIw7xn2NwhacVrWGOY1iY6+/7D8dMSnq7asx86RWApyYD7Mi jcfvqIJmEiRmgNQKLjd1azM8ibgYPKjvru5K8gE+h2C4HU0e2YXB6MyhPO7l+RU07YSe8J/2Hggm oeZvj+Y1AGBVsndQIrTMn1GVLk5f9otkLluDWud28Wfd6OkX6ruVbvzpMCURWlmE/yINDvoJuRLS qtgqK7tK26zyOIUvpcxEEY6kwhWdOksZi/tc22Q+AdNyRBpuxThzNpP5KhS4Dv8qx0HhwEd5JOVF SRMPjbfI2ZpVm1SuOaVBBCvXJaThZf/kE1wjp9tuXzNd9JJid+9+4GJWFnrvspkbq5ob+U/VXodm DJVE5DyGPy+hsFzJixspMLcDGx4W4UZ4WKKQMgWB5XrL/e1MZP7nM2n2TrgTMZod6Py93iC5KowO hdxcg59eDoqEJym6r7g0SvhRU1xq8Bg7yaq/lgQmu76VGnnhT1iIaH5WtzXoleuRJmnpV/sE2BOA ush9BJlCsbEJTMqGvaM7O0QFi9vEmZFWNCMfo2Ez062iz2mJ8oFact7tcKxQPle4TlfdL6PsTfEf bBFBvTYtANAksmFR/NOWQGaigezCfnv3mlzobyRiUVx0TMz4NkTbGkWtdVdmjJtL3NdGC/buQ7Rv LKycMddm9u8LiXpvGAguS8QhByf9Oiz987UKIAOiaJ2g8trqsSC6K9ORA2xgMnxFbkVHdjnY5QR4 samHMcgqFPKODKVSW41hFHLVDfqugW7qVMmPq5yMY5htq3POKW/UN2C7d7Im/13bV1V0gUfHrsxw MQerQbT16Z64EE4jJZfxAxsy89HxZoeqjBS9sT9bHpSD+0p39dxKnKvn8BEDYzzv0ySOYCMUv1ma PSZ9XdmlzmHrxqdscQiG9E2I/J4FQDKyhU4wUP2CMlMg6NomqhT8kdaI58u71TxQpWBRNdWkysgi hpzUEmCJQ97mjq6lIBmLAkOjmgCI8EamBrVAT0yms8+FBNBVI9QXFJOe8vLG9SE12qFp2O6st5Ib qFiTedCGBnxPtQVaE3eTsHLJ82NvI9n0yVtoyPDucM+pAn2pPaPl5qvRfaVahLbPxvIIcnAZ08Jy Z5YUe0FoeWHHhlJ/PTgR+BFxAI4zO1mCAltgjtabPROxxZTN010/n/nyAzEhu0su4oRWIFF6uvHx iIdaktm/FsPHcdT4W05SHAMoceiPb863otwHWF3Ns/u0VbFC6+o8WEI4A6/oyMm6wQquIWUExwa/ j9OGumTzF2C3nNMpSTNligcfyku59YKxs4EhwHnKdhHxKHGS2xEnXx8TdWgigy/3K0fhRhJvq6ra iF8gSWFA0kLTB+7OhB2wWxG7byIhdcqqd1y2n6blo4LGfME+4gYKOKEyRBGBDv8sGu6IZMDJZb1w LNRLTjzoFAJzqqBYSkomBc9qF1QjLbagLrosqN+S6M637Num9wIwrSDwh8f5wK+2u6fmMjkRk92Q yt3z6yDiUY7RtrHClXwPek8mOdx+Yml0k8QqENKUfGLSav9NfjAkSV45IQG1N60be/FVdqZWD2sw +NeCws4eTVFnA1V3ZFtKexJ8nzcsZjaFQCyEaROYiDkqrrTWnq8N1mqbS3iLJlwJ5nyuJjZ6lo57 5ev9CCy923N5i2D1O3S1HPIHjitcKAi90awZMfEad91KD9Lm0Q+LXsrIE1tecX5VcdwTitV/BEgw aa6u8Zd3BZu+pXD49JQvt3bhYabFqkjpBHPuUmyAd89bmHK7oXNhPtasJRHW+GtHHzBlYKy75u/R W+P5v/ynH5Dm59sJTq5N6bVzmtQ9t/GqfOsnlOWObf12DrtwOO8RliKYlyFWyRtYe3Bae+udLFsv UGN6GlMsI9/wVsNbFSm9dl+qR+rkW6R8IGCN98RDgPBQhCQJunjU7SEFen0meo24qaYfu7ZLCjUC QzfPjZb8JoP5WbXUK7jgbSu79ig935WOEpRGW1ixtiwXHpKfRPrkPgRxOMUvLs3nEPzon95hS1HH vc+b2ugSuzHH0ZpCrpxzRPOllls/x4tqCBP+Gm5vap/lovx0XjXPpigfFohKqUfbuNTaMysBuL0v kAa91W+9/F7+bU42ZHRMtwsjI+M7AMLyu/L3jptVHDd2oQnDxRn7npOmuYPxFclqRnZBV1B+z5pZ awdB247/QMOYsMmdaVKnuppeGNDtxnACl2aZzcidxzM3JUIT5d+S9K/FeBrk8HzYNUrhAs+Pl49Y LvGC6fwTYnvDrX0f2pIIhFRTiYSKrENVnkVaeRbeVVeKIHExdJpxa61mWWoHjdaHwgjNAhs+GUtI 5vgHe+zUiYTNluMd5Echim5IG8wz1gDfRkOe7h0c21UYE1xkLz3dFLLcjoT/CIVFdabriQBAbibd Ay65gg0EPc4bE4qENOHx7SMFVtzAepakyp3pwjT6zHpyEADE07fqcfs864dk5OcVL123P9jGSaEi mvq+XP1ErL0eJt3IS2Y0oEaJBz7xQcPv/sdA1UbBUu9PUBJxFtrVAAtcWe8H06BPTZW6f1Ry2fAV 9V0CTVTnxszwV4pvioYNa3Zolm12/j/7ZMgDxbmxy1rCWn67izwl3DKSLzmg3kzCBx7G86M4EICt 5O09EhYM2f6k5+kqRUfZGYzQDLIw09IvdyJXnwzCPVaAPv60/owrnV+3w8THVkniy6nSPggX6vSO 7ONPkfuu0p62t71unniM4JM0ORDfBp+jtQo3rLG2Dv/XMp8Sm2aBOnuKIem3qpg+bHZ3+/Om6d3m GNyZQTPzvbb9HpByE/FqyMy+2fzDP8Zp7gb2t93t/SziUHO7Wkt0Ut82scioTnjx3ikTe9FVNEYB 3L+78g2CElJstwrFfQCsJUjjQd22yjTUEKmDOSxK/AsqJSd2weEsRBePsaN3q7khnbFHeFD3sMI3 /heZlCbVYBHnLdQKtOee0PWCxk1hQl0L2zEZQdfY3d2h+wwTJ06Kco4c6rMYJfJS+0lfPGttdV0/ JXYewr3xdEBS9gyWdR+7UboMCV+St+wMysE642DK7WNsx+5nMfu5fAb/WoB0kdosFchDfenEJgdN eeJ8tswHTlLntiuWv3NpIYDFnIi8vIQ9z/eEmNk74Ewf+Ohlk6iBWhYyeUiwR7uDJ0o2FoBvMbzV D9TFaSg8shqhZYOjrwkbqN/OGFgbA7w5NvSscMoXmZrn08ANWisfAHPau2R7CAlPFjIrF2CWJcjW WpjrX0wuhM9R2ZsBBoGbeuetQjWh4D+asNTt2ygRtUonlFeNCUE2xh84/bzcpX6Ma1M2eLimUN1Z px7BDLATo1jdoQsZtJFkF0ysf8POfL/XTlUfuh9MFdjuob2XzSLr1dCIrSLehHqjQeyvCNy/YiKY 3HLB6VyOAnyll7LjRUj29aflhLTuLpWrKsy2aiz2xX08uqdxTXyXjgtii+m0IbvW5kiieS/1FDJv cDXLmmULpPEn92gpPLuFJOKDboQvCtoZ9wq/Zh/rW1eKFMtTCeOVLB3/tC9OLMyO/t36vYPG7iH6 nuicIUsf/IXuBkcqdhw19537Jy2MIY+cIj+ZcF6gqtiJ05sld/74Xa52VzyTdPkCvA/tqPyPFccE zEF0IbtZ3OnFjWXf9NZDk34SRZLaVQun2zLrAoPd0GnUUlwiqKa4J71uHO4pNDcpNQpjW2Z1KlJV ZQNKhpaFvb+J2de0sb59EPbjuxg7Ao+FOJi+F5uLkl05ZVpumUL0bIDB7ewdBe7C6ZeHsmaPBS9W ybOZGrbMuaJ5fTtoKI48VVZBU58t00bXF7lSQugP3Vjco1Z7kdy5FJx59w/AE553opHjrROaSmtR mauxs9FjzbGlKgqnDcr1fbhWfhihYrHPh3tJHsaCJQVGn5aag4kO9T4PlSlqsKGHCAxm81yquf06 h87dEZqBA67rF1EuX0zoNbSsqE2dsTZok6SmI3NSGnV4QfQY+hCk78UDoos9clm1YSXOJILCQAl1 JUa0HyTJTUWT7WQ07OZtXeRzQbtnycE84iIlYyWeIP/u2e1v5aFnDw50+owjc6JvxFbNBalxnb4q VQ/7Hdahzs6iukYN2UxfRPF5Pjer4OQwbP5PxnTK8ODpn3Eqqyw3UksMH8l1BlD93LU8ZfilWvmw OKGpo05/hpsm674vJcfqYRqCh3hTmqUy6kX2+gKRDe78m1yWQJ+ro+k+983RMpVkVGWVLwbqdj1T v6oJ0JOaxOpJJ33NAJRSvXfyAFbOKz9LWXXha0iO89qVKuh4IrzFR/5qUKwnKHNHNpqUTa+nn7m+ wO9S5yI8JNTD8laT+m7Dvhg5AIwvfNufjSvs63gg93XAjcBdF7KDvIZhqVGLptxKua4hSRq1ztwR Qe/dAjFB7PDtYgpMB1+Pa1cV7FUme8r2Dk9QmkWgz6XnSbkzIFXpH2DuA3X+NtKj73PJm05rTsrR FpRNJOg7L4ZeQNm9+7xLCE/GtKpxkbk2AVAV5upfwPt9z7aaNRmNigv12KwSpu5wcx1P1hGiL8KD 6SnBFmxR2ZU/Lpd6exUretHO1UHllsFA9DjZGeT5QtBSIckssIV+Q0Fq6mQEACb10zTv48TqWTrF N/DWB55Th6tp/zjdaiGzWhrqfshf+wL6vxsWTy/v015Gg6okStRUpc3U+J2gzCnPtzxZLnC2z1XS UP1D9DyDj7BPimOd3Hqn3GYYFUw3Wm+mfgzMd4XhBLTcVadHdXp4Svc5UIci0XOR3f6K3wo07L28 uDTFzwJ34hawXqMlkAaI2LSYwRwa0MPmRknmh+9E+vP/NlS4kl5JSbYNYTm41SwHKkNiZBDQL6ff uSXiW9DyysdhmdbckrnSAUJszBuZ2Icuq/m29v/DQ9f75yRpvSbgmw2/S7TZoC58QAfVWWGp51Kb VOTP+g49I+6/n3r7MgrF1YU1i1VwZUPZ1ODcoWFTRdolidbcD8SkpXP5WVOen8oYqG2UWwHH0co0 SJm6ACsyMskdVI2syLZ+m+puWbKcrhKdZISmnd/U9++ml5nNeQFN/YW3bqE+rMGhPzVMz16GOHB0 Kj4Jyf+pKfuGPKq5HQlwTvDqSmfQ9+P9371GI5ZQqWJR1fgnWuLzD6yGgdHXnXhlFSaev6UBYdTG F7P0WnfoJ9dqHGoEnyLTfeoodCgt1b+4fS2ZQlolmFn77z/9qR9F5oa8zEkBdoZV6ryrXEiK3DdQ i+zqjcnuWbk0Nm+q8zLOXEsOVh7FWruAfZeKHvd82HJDSaJnFKDwAUJrlzScFW3tAVapWNu1gHqZ EKfXIUNxGcLI+CNdZCKVq/1CqEW493k9H7Rk5/HN5a1niTPgz/9zTomltPNObFbiGDDmLZDJBiON nqevMipSf1ZhoDwgDpyYYW63hyr7f0bWOcHIFTyeIvZhW0MnHMmSYANVC/pfO+0yxhkV+BNAJTAw 7E7WJBhDGKrlxwOO2cqr473hf6CAJ/O0CmMKhFVm5jkd/bJCBtPetiKNoXP9fI7gsmMgwzty/zQG GC0jQ/odA/sXb9UPCsAoCyv9v079/7Lm/f+tv+TIvNfYmHE88dOg6aMtXum9455Wc+9X1AcHUQdl lnQLLZjHAct/8NL1lU94RpkQHAi/lAO08m1lrxRjsaTLSLezNB1JANdNVtk5wg6k/z0EjjDfrIWL fDdK0/4ItbZFnCA4GmJr2bmEMujMwE/5EqVxyY27kct5QL5O/MwpMJcCfclPrmBxh6ByiM37s0xa Cax/3wCluOAGP3I4SyqGtvK4E1HusvqTXmeTB4CVdnlVYs2BIA53sxRuf/UX9V+SpNRpacQZoDX1 bQH8i9xtSE4rqmZZD5OKS6qmi4pLX8EX+UftlBFiFPtZx4b+70qD/omDcPKAHitG8JNxNP/WKr3g vJuqRVi8wecLKWSBBaoptRVkU1m083c74NT+0pEdwuU85nr1PhRpCvJu5134iP9g99l7bX574M7p 4JLDK8gzYDrZnv9dyKzdWZ4LpyWnT40li5Z/uQuzhAmCLKV4GrZf7vxDjrkwez01XvBsaghQDuah bZwVdWdix60Y376M/csUCH9woSRtRRD8yUwGQ0ib0QV8r8nwAFymG0ihf8TDkEIyjgYN2ypWUJ2p ryA8EnmT2IAehiuB1wPD0+xxqgZf9JqqsPvRDpo6X3DIcNwqGzlzpj9dIYnB0SnAoNjeYo95mZjd lTvSyEgiTL0ulKorsmSqLB89Yj1c8F19TIWHByEzhTw5ow9aAAYFsb4hu2aH/57oko+L64tvGxJR tbR7dmrB9Eawd59lG9ijNhEqTJH4lrCImkmpvlm0Q3TAl6TAYuOAMNW9Yu7cFuqx3p3HIIvEnhLp yUWyC1e3EN6AaC/U0b94K70mOGOd0J1qm5hHu+P0anc4BiB7HwfiwG2H7tWEue9QfmpPlJLR1ycE AiEsk9X8WqUFhHmbEzIJi/J7RPJSUMAw7XqlxPWDMfn1MbHVWSs/cw4KiJxp+RDAz1toLyWpiPDY fqkUodE5Bv9fvL10YpRqlyag9p9xFJBPdEE0B0Y0lNkKXZ5EjFkt5yNHVP4RGGmoMy5xjZmKHo2z flUGQxFSPxprEvsG4MsY1ti64h/ZSBGo9EU90tmSHyz9zUXviNbJLeUydTcr2w+mnzZYMyfr+QlO lXZSlGL4rClaOIH+PlYPjJThQGA3YneTtIt84GTrTFhbtvSsFgUhJ9mnzHteJnFi0uVNyg57K5ut U9oT4vpUJ65awrtBTQLS/ptGboVzOtODqt5HjCrXPL8Y3EJQCagzdReOl/BFfORdO+psRk1vWbNJ ThTckRrcyUJLtzy3OzbE0W56c3aRwaEt67VBEOjnQq731XkuCS2uhgoTgorL5X2G2jYpiPHV3z33 uypeQzAX5Nd76MPolHG02BM1Ue9zqX07il/IxrjXZa4I4E1zAPChYz9DHxKNAnpOEY1ZQ+lfN+0F g2bC4sAb5St6ZTtKBuhL50BOQeIBmxsFQYH196E9N6R2q4fq8Sxizz+fvegPyFqrwHMsK0DFKOZn BAq4tB+Shj7wm+RTnzyawR6JE5IewbXUmPFFJUXJUxYBT3JRkiCJQSl2ywpdk4u+Mp8n9Ret2y2q M5EJAfFg5WJG2tBm8sex6Y7YQs4doJb6xpcl0ojcT+EuDct/w1yCzAMoe9fORmfw73erCW4DAbvM IigWwTyD8JHRIfLUGYpHWKAwU8ZOwdq0SV+NQFZrjzY6q9Lhog89hnPcXDpMKs10FiiqP7jy9tLh pJir4VPdoBx6zcMSjAp38f4SpooA5bfRPiciaagPPGfMh+H27dCPtNkUOG1tymylDwjQqLSl1AHV opEW4kNXdteiNqCpYjXa81Ph1COZ6VTRuuXT6T/6sIf1gtMkKj3bGWCORHbSl/cbtB5IuL2Q1U7A /RQSsq+M5ksBJr+sCoI8tglRNbwfV2KJm3c4vGCze2KOcidkfEmBFXEssk6l4sJb8eq3wvbslwNS eAyL5uGp2wp6bVwoZBz9ZAxymbGrEdigvM3oWnB4Th36YMwFnfNac2D8enNJoGtC0q1vBleJCkkr wd5xuVJ8MiupIkCvTcpQYqOYAABSu5OfL3yoH5HHpAnGAqNwS6MLzCteX3AfsX3nnsYiTpjkxPJe vwiYfL246axjWdic4bsTVNAvEvacIFxpZvAXFHq4x4rWTnTXFIz3L1fez/gY6PfUqcEt3Nyk+2Yw LzwxPbTpNrAhV/5c5/kQDDFk1g7ZPLInW8UxmmUdbHy4dL/kR27jMtpM8Jpx0oH2WJzz2DhVMkCc fZj1b4P1r84ziHBKsn4zFUOTj53ApMlYrf3FhJ7Z0Wz0hoV4bPIXpyG7fT1drAv5kMjeITd5QfXo E0IY8hlU19wD4tCAhW1tlCPX93NmjTlucnglSUC7ui/OysZfO/rUxcOFqhWLYRmVYhaeBqCSAFlK y9iTYZCBnpKdpXsNOw0r6AZTmb93YRahdw== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/blk_mem_gen_v8_2/hdl/blk_mem_axi_read_fsm.vhd
11
83900
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mjgAOmmqpUt1V+Rhx55PcsZFO50ANSJzUkq4iFT2ky0C1WINgCoS9aiI2Aoor0/FtBYKfc1lhyAC A2yQaY0u8g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gjwaSbcrNPL1CjiRK1olSBjrq4xEV61J1xGlt5XKQmKd32CsZVNRVqoIdIOQw5EEQ7uMTa7bpCef /RRLmGjz/2hgGrgTwg5h2PJWHguc12Zs6C59vtsbmoplQOrftqG88iqVPmgqwLN+DTNOr5arrhn+ WjYZEBGst63L9iu3Khk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q5P6x1wYO7e2baBau0ec4MDS3ryR2YzQRaQhrf9aLeN+93m5ew7UeblmK6P8em0XD3V/frlrdjJr vuNgfu7erA60Vrjdo6kExSAievijk93kh7MPyG1C7uDY1IKWawOPN0SnpTgnKNqgJWNP76YGs9dE TN9mEgH2ADzwBzmsnM6NspqqEoZYVlXfQg9prMYa7vubVhbFy8YrYeUJcl/tvgZJjPwfOXGv+Ce9 6Lw3eVnbpPe/UcdW9doBauijqG3ME0OMECmmQVx3tDp7z92dYCehkqsqs9ChFA/rMqv7yoEeo0Tq fYW2vFa8NzvhoAAn3MGMFPC05Hn9sO45pG9MOw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PhhRJ5ByvCD/sp7jeb/K7V07j0XnHI1QE90gfVDZlyBNRsnsijVbOgPrfQFzzQckBnxQRDgodqBT UyEHG3baIJtqnx8S8FzCB+ms7FHJzH8qYiVcrBJbki9Cwu1coa+dV+gcYK/TykBXao/zKErqGGQe o5y2fe4hLUiI94eeeb4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TAojQYz3bVMMZK9Nx5h3lda3DWpTQmZ9NPXuNw/InYDrG2TbGUzW6Wq6wdSILd3J4wAwg/M3zRal 0Okl56IhevBfwHBHR9xLcke5Q+fEjahGlIEiyHBXondVA3F5GQ343Sl6RxPF8lzUTfgXL7wJx8A2 SjgajF3hMQRocRSQ/AaGLTSAnfM8jJN9MMLQuNU2wvBRfbi8VZAqaKMgXz46LlEZJBasUpbtUHwL r58zjjKIDHwYMrOB5SRbeeIszHIzYGbbXwuaGXoSgqoXST3hebuwdA7H0X6lL5AHQpXSqppzPZ6p S9LM5cjn5dm06YUsC+fTFEUGkdx92p4gSMtILg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60368) `protect data_block hqWVuul9hWaPcg+Bmj71a5uWJgAz7ISsZeFhHHprOy3ttyKtQmt0NlGaWvBOwbbDSBGw/XDieEHj cNaDEJid4luXvGZX7cDOVMGF2PDScXXbv3NVRiXO3prdT39xvWq1L7nDe11NLwMQ4rtE0WbjzrkX QFacVNCry5HL6dz9TmcXk2aMvdB1kw2XUsFRy9W+VORpFO98vA4NZzMn/7zY5nHbBPhwWUUVDI6g Zt9xeN2Mi4dsuCQSuGaKaGh3r0IZLwrehg/kYTqRNuBdAdIKnUzAj0HwNAL9gTtcQwdn72hTDkIc vnGjFV61w6NUnj58Nz3c6clXOLZELvVW4y63Gw6zzIA7oBwpUMNobEANKn9rohFNkU0eXMIVKITe vVXOTUOtcutuH/TeOTw3xhpBqicjAFt5YknGat9Sq+C91utsqoBRLHWo7L7cO7HHQdN9Uc8b/GXY J/qjW0L5P927N9mAWNQt/ESywLjjKiCX9QVT8DU7gA5M5jVop1LZErBldRgI8sQ0KEcS9ep67WCl wotHX8M/VKQOyJxEFHXEbq6YaHkM4MejHzoZQWYIqSRDbhou2Vz4Q+xrR8p9ax0kP4Au18WrwA10 hI4gA73RNxy4N1bGAGCkfwc15tNBVBOLsA+rYsH373AhbwBSJpzYIg1VUXFWV+UJ1fC3NaAZypqu kRPFSbNPX3ZkwSLkH8adP42D12I54rgjXE0eh/F3pA4s4tPo9s6x7QAV47oJjz6wKHPRiF/JKCuQ SOynBPmqvS5Z8JgHaSV2YwUbiYQvLb/m9Riz6SiNHVn/KbYGB9Kbu6FVQKbx4sWJyalzh2WRtrnf g2krP+ZQviYrIQvjDDGAxRFOJYqAJZrKP/wxuZ8SJVl79/HUQvJXAxZumYruZSCJI7bFrJMFEuec 7b8V4R1ISCgHdqFVqv9jnsNpRkZLL40Xk7yY9KmBSWF22a7nzOBOuBFjspq9wlnZGgOCKk+Boyfq I1gMz4w+kiq4pl7YGDKEJsiWA3SR9wjghNQ45JcernzBNmHKVPxj1xRBA70LX5tZeO8AVvVZp/Ob Bx/T2OJZiNtLsyYYRzFxWvDm0Oow7l57blWAamPDx/507eMgHf8pgOp2UIByj6L+BwJu21mc91q7 Ftq5Kn59AFNw6ICKqJUBQcEThHxk9psVd67r8BqFA0kAUS/B1O1e643LW8r14TK7Ur49AGsLdqt4 +EW4lVxn1M01ATOKLYCH1+hM6QxowHgbYheBS+CZNZK30UtWyQrCe/ndOuU8LkePy93ASw3Eyflc 5MtKcKYw69CQyyN3e7qrCbZQkRnxulaFDlFNXeFqBHhTXBme966xVYhTf8Xe7ZscN9kMnuv+9Bcx dmtYPLZhBQpBDZuZvu5GYj5xdnPU9UxAAuotziioooiHhj50HSulrsid5xFglblYUhyU8EgFsL0+ JScmOpcR85k2sQFycVoNDB8gogZISZk/svoT7DqcHeGeRYP2NcAXTsJo9qYylTUHGPdJFWoPlHQH uuqM2qrJbFSrBHdWxuMUAZ4T/kEc5q55meNZBIhtl5pEobdndn+AfhRkcoyWEefDbf7OZvfwzdTH wnipocvdvGFAXufIDO3sFU0U8IhRrhRJx5ALGhlDk8MScUNgNtb3WrmOugDOo2p/LtbHzXCaOAzT QSHQ4VC78cT882hjYhIQWoAGv8qJE0Gk6UwWMKR+ncEkCghkXcV4b9zLTTunqdZdbjYF+Z0lmH4G oGqePgdQOZcsnAwhS/9IZqDP3io706B6/kHBxRgtb6xaymC0U/86Vh2Mk+uMCK9Hd2fPF+adEBqa +cpZy9YGyIxA6FIOX/49p9zb6Rfd0NVXlMlRLCzZEsgXzFbdEzkVZsgslDRWNL461AZqd+qaN43Q OuOpebmWZTYCUDBPMpQzg9mdA/dfFZ2m8DJvx8BEXVi6XFR703bURrvvH3CSSJsilGRnCWc97pFo Z2T6rPb1PSHwbZZL/svKGTtLhu4Flqi7ss3C2UfcUrqts8ZzJ8/oqM8cL4ujkK0HOIfzhlOh6ovW 9oB/DWPpHm179AcKqZ/F3Lv7ThBiDXzI+3w6+Gng+Zt8liHBWjJMJ5y9ZITMf0B/c+7gJxKUu9CP /FnLacn/Uj2ohzdqwgZoFE2a89E/D/p2MfZ7P24/CwZqRxLpk/H4bkOewStrzOB2VeZL35rCUZL0 2Xomd0v1jPQtDD+nfTmKUgWUQ0sh51+2OLCG+USKjq1Lf+LHiSYM+TItM13lggi3sJxYNz4hbhwk Vz50xvzSY6iQ48smhE162LaT0nv7Pnanc0BGotseTGHW/uxx+N90OhZc4XOJc2TMuTC5mhfwjhBK smJz2/WhqFRIJGvq4BkMAu7wCEBCXzNLzOXA7dRw98by2lBoc1Nc5E3aen/W/qBM0fYxGbM1zRhs tsjMDd6xYaTCs/8wbUUhEkc+F053gO72hYPrjrYNNwRz/hY+erPvRSM2TYWDuo3oN1DeqVmnkK9n zWKEeClVgUq6k6Iixi7JyqZh+A8yLSc1NOemWdwahaLZ5mRCu1u7TRbPJVv0BTLIzT+fwj27X7Ag b06VBTyeUc+IY3NuIRrh137n2R3EpSJOF0/uiZMQQIp7J/61h8jPk53tkcfHYvycVbGtm0bl4Oxw MHm9fSFFUgSZJEkWKXlP/lmAZxh5fFWuXPf5oIzzMV9v0q9nXF7Y1DAuXqY2QnbpemVjW6bZM9kR ypMp2YPA3pB+trwIL/VD+onqYgEzzVYi8qmqCe76OBgIMfWUwQX0hQ8WaWfCG48+4hUEneHU/laJ tyrzdj3451EiUZbiZaFAWZLUGxZ00xtljEaa1d726Aq1RCl0CgY+zxh3KvFxnzPwdKm9m9JebIIm 09uZOhGOLXqd65dFfmH4JeyIgwJNSaKYgge94g2vSYikEQTbNPK1Q9/HsbetNJE+L6el/YnI3xi+ Nm3+/Xl+YKHPQ6uEkNhbc2eUgGYMuOVK4MH9rD7yVDQqLkIifO9PYxIwvafdCOH1U9qYS9coxzAf KDgPSdWh/lMbttjbmg3nQPLgDf1R+XOqsbizO2u6HDgMWOVum6FxLjjb6cLTjIOmj8ou3VvYkWZD C+SYR8qU6C8rWzNtbMXsdnx5MujaVdWry6xBTA7qW0u1ccx1cdtEI/HXsxETU/aO0xYxVT1EDCdw 3f1b7zOjxSVsklUDdei2shBubc7kKMLMszD4NCtyEf56XCI5NXlGqYD5K92D/e2rBuVP2Ab9cLIw ZE8Crv8pBtb1E8gcouarxuejhd8WwtSEa+orb5CzdO7wealpl2sksDxUWH6LBtMn+xYOjxH1rLD9 Cm/SH5y/+mkbLL6LhUZKqei8eRI7cEpDfC4+IddRCZxEGPX1XZOt+keMMmNpkAxSlctkwNySCJfM WxDDK7iX0Pu2aBUginIgYi6kmtpuMzc55NjPVt3utkVds8o18BtRKq2X0N4Nz5ZqHdqSLEhLxCVA L5Te9Y+xv7Us/QiMvbYD+jAXTk7Ypcm7xKyfBvh8cokKt8ZaKtBMJ3oqNHvU+VgMN74pDa22zBKi Ly/woXDMdgPLFYQtg8xY5vyizwWfSLwJj0p3YBFjRa3fKYyRboa+fKNk63RpRq7JK0+Gf0k5mBlT 338bseMdkxzpoYdb2YrMqhwgdb7fEUZcx4llDqq90Fgdw0zR8TqlJWwZWaOhzPHERZg11qDV6NUl QF+g3PTM6ueXSHrzsXYcbc5a/mEVp8RpFEpNhqiZ1OehA1CHkjypowlJmMxe232hNDar2wsY9uB6 L+IkonEUyWcQgVjEG5yBeTQpInipglHo1U2AuxTAcoQJxWxcQzOdOBsiqgJezm74/8VPz6KeM9RF gC61fSc8hA7fcaBaJ7/eu3kZgc7GVgbBvYuq0GOxyoGIT4ACfs2g0uZ4UQ8uCrLQNkUAu6kN9F1U 1EfIA9xFP8hRxg68Maehe84Dg3VG5Oql99kz8l0XUKLg8PjZ5p3a7HeEkbUdCMLCHWVDfvB8p6VA XF+BIOj87diN4w71cmWYgBK1oUBznFXf9QMNwbsc6y23HVFnAFcPq2H3NjWiEOXE6IONbtVi7Q19 rTGS4S5bzPD4fR0eqOdsmoNEtBPk6KF6FqnfLjVpv9MGWtq3tmZVSb0uM64ZtZigo2jK52vxbG6n p1pZdoJGufr9Nr+N2qSqaaaaibcMdX+mCFV1E1yc6Coqk3CRp/wTmG2snoa8oHgxGuN+TgndtYvR wOYdKVWN+zfujAi0XW6iIjINt+edddiR5sKUgwSDaAybwoAqUf/xs3MRALnDxxAl9BjKBEexT1Q9 9r4x1BCrV8XX6ErsVm4TJwSuPDw1h5lxFRVZysp70GSF24Uf50T2jDJ3FdD2lNeYlerla/Z/PImI Z/t6gqU/6Pwa2NCqfPJ4qrTfh+D1rbNz1zW88EAMKLfxw+/kbBokIgRQ07WdS0sNr53dQPq3QBxu DZCscnf8Pvf98AIi/VzTxl39gv5seBkIpyCzb/RHPQXaJrf8lysx/LMGYBbmFS8JUUbimhvwuMUh 69cmVAzNUyBDm+xKUYX6Vjo1TGyeCBaHAvwdG3Zp8Yl8leAsDpWW2rim9DBBWs0NVknNrJscAKlS yajUp085rjrWHJxJJ5rR81Leh9m1PBc85Z98Xn9IxDjVlMlWfDy+KJaHPQqQ/LNcWWTg1t5wiBB2 zCrSBSqv7HUo1ZKQ2mnaUVRoorLir2zqJCpAo3889yRKaTCQiDeogZlh6itzQXuiF7Bi5pdIOgYT H5deZtGPT7Zol8feOlN9k+cTfFEvIgC9jhkCTrCYHHCK+oAGgPytOcNJYkBYeVk8zwlylFGnaLn2 RcrmijsPo/86hukXsUrij3DTL/hyIfZbojNSPeXMtmT7KPU8ceC35MAccqHzBl7O9MILeKeAuyLe /InwxRiXDzG1JlHFhfU7prlpYVw2osJ7/CQZ5j4tC2YktZjW9Jl6ftYh91AoqJjgH7qDgKknjr3w JDlYYUXKXig+JT2arc5/e1HOwoBu6gvynwIt8Ak3vTo1YBS8A5ZyBjEmXezixdNEe0/90zwG6plF ciaYGlUqqo+Wy4KgF4+z4s0F1r4xyRsZp22x5Nv/XpZOJrYlWHA6MyGZUwf+YA7gyzchwsV1+wbF 9kAAT+ug7d7f1vn9pCFCZz4H46sThsDc4jB/6sdfJ0+pCARbsEOAV3DtKXG9MyIno5mmqD9opT0e J+JmuRtC/mLrgNi+tOUoSFMiIG3PKB+Jh7z381HweqRcVRnlHkBQJouYs11cHG6c0TKsS8U4aTho slp3scTX3qco2wQKJa6VLnbNEtad9pm9aRHrEZd2FhV6kUQTKe7SxxQMY8C9irr5mH+nwIgvZkEX v+o4EdACVM/w1EaCgRP4QQoO/lqPGiFjL6vgQtf9sgHsftN4WTfbMmGEFijOx5EAi2Y98vxhsMmE unjFpPiTxq6fDc7WPZGKPt08tlQoYhLyC0ndoMzzJDi1thUo81CL4DzHUugm8Dldfgg1CfU0IeYm IFekTgKVCzsOwy0ZwLVN5Ad70cCZSyFkUwbSi4/OWMtveCA6+RctQQhtm5h9httep6Najgf6c3Lp 1R/so1xurCXbyeXH1lhzcYpPRT7lVO4PeKAj/jqUN6F0bnLH4DUkh3djkOf4DmOvJysL1P8AJ/yj k7UR3+6h0bizHgHCz0nsONZsNwHgqF49RuMjP6hTm+e+wzyu//oW3hhadbGIWs33jzdMOFgQklyB 8iA/ShHBmryCMRvo1Ko7fYUMnE37+MOKuLwIgjwyYbBnBe9izlhZK7E10uPSLrm6YmKLrSxtDHMz 7gaBK/PfayVaB6tk0PwckuES34Railpu7THex2Ys0suQdRF4ZjhSdYpaY7s4BiNMt6x0v3VqnnOV wf5PXoa8UN9CmwRdP/9doP5Co5xn4Sb85sBK7MsGXNwrk/8rign89ivZn+f5tzzhIcImiDALD4gv qKwMIU8KGSI11ka49y1mbbtnJBoWPCZoqb3hHCsZJpgdCBO5IJCV6G5N2DFDas04SYPx5sJue3zj FLPuWJpKb/EVSybfGGKgihJeJ8KjRlI/RYuZaLGttElFezj/HSR0sHlO2i65nUcx4Z3VUbJZzHJ9 1aCmdZATexEU4UEqDgvZbj0W/MZxu+zbUZM6lpePho4USkwLMbODlqJ8UtTMMlfrFvHeAHjtuzG8 Aw+N9bWHqSW0Ke7ugz/Kznj9lSo5oTEsxTsICTf965KueJaB+EXoVrcN6tq8FXjxuc37BNy6Rp9J TMqMhtlovzINdllyTMXp3JJBF5Im3l57VZ6CEx+pwEFg7n10cSdVEjbXiDvOIKkcYPThrnRDP4CL 3ucjS94/h+mxpdyiZdCX+BEnhtfac1fAFe9z0ImmQlsujlMzjFcGsmfLZRduuYdepZCkK4tcdcAQ 7rxCv7/jMzaUjjItHbtYnfG1Pc2bqKHz/5AKtjVcOgk0eq6oLmCPoX0gNBlk6quoasTlyxY9Rj/H GmxBLVnjAeuyHMAQdu1+s/dyfGwtub+wQU1DXQENe5DjvnNVpfYuj2sF8bAIOxXqLabuf0MfP9n5 yZaHqI3tjtLX12zupOMd4hZYAMBOj2SzrEKOWjnPqV/rs3goCdV0hcFmvgKN1S0udRYIxNjjtxIr fNEJha9D6JLzqxgHKTpH4Y9Mz8DeLDu+NRuj42Cd7EHFRAkFaSPQBgQjf0RDrNKDi7sVYX8c2zda JeRSWp1QfjCv0hZL9KQGG8O5J4iheiWvcHYD+9A9M7uwt89HU5tGFAGGrZNntpe2n8yGM/2a9c+d dbiwRha2gidXTyxopnAxx9GZRXiZ/hxzo7TNm1rQzxDTzWvYHwDs7AlW57jUGe04DnCqNP8V00U7 w8EcPJ1Wy2tI9iyhdWa6PeYt7D9lbWR1aDCexeeo1pZEI4HAk/fOBxHFGJer5geaNimTXtxbcJcS VGx2uJF09JkT39eR0MKwkOSJLr3e2KOJT3DC87HhL/TEZOshWMgJCBFQWsRT2pWaq7yVb4PcCjTf 8GhWSYzGAdmvzw4KiXq0jIKGZ1rnklKd7wF4DO+yrMjqgT6HXv+lOF5roveKTFa4vfOiFSB3NhwU AFKaOXrG0Gtelrk8dCDTdlUguudvnvv+/A+ysv/RRIQAzviVnAnP+fgdsnj+PD3ZkRk0XKGZD0zg srMaSMbUhOSqWNcAEIOaH8O7fJAAlBDAdj9LsnbLlAFA4OpwBKirfZgP6CYAxxCPsrESToS5GF8m ljH3wfUvoMWmFtLqbPi7LLXfjbC6n9H6YWWIyxT8Jc+1cdi8E7tl4MI6ynazrywHsdtjWOnenXn0 sCS7kZy6eGO66VDJ4kbYmk0tJPt6hIU5VScuhRpKdJr4M6qzlcR/2KdiZQLy/wsHReK1mYpGCL27 7JT8uggrWXu11U+fFrRVivP2P0cp1dDM0I6bgLOYdXsdUMQWNbm4n18z8be0GxZ90hxn7AlfkE2p 0G9iYGhCOtmI0mIrhbK4bxkKYc/2fR6DJB+llk2NQT61AxIuBxbhIblEK80qrrpKP3uleU96GW95 bdWott4iIqfOYV56UiiSObeljwFYDkmWlARfQREv+KhPo+ezPWs665rf2fYzbDLgq9hMDtiOw7Ml 4Hh2p8RwIAeAKFQhmI4jlUU+pLn4VwnzN6eRZwOp7BWZa+mur97alQtIzr3eop0CRsQdYmn8Dec6 NPbGSF6OoXEkLfWL8kUjeoR4hFyyRE2Q8VFvRJZb8zmB6nksELgaCcOMwiFc6ReOw25o9uRCoo4p 2bS3HA/ZGzTUaXtAxb8sGLtZjN9aJJpOrTEC3wykH3Jac7dPYjAtl/6yefi6yi6HNL7vv2MBG3Sr psV/dkIqQTOxJewTBHjUlPSsMeKPmEF9vBEX+FZl5rWlSf4KDHZ5bwizwb/OIu6Rs+aSk+XjHUDm 44gWXu+AX9mC+3GJ078bEIdDtiPsS0aNG92kEVHg/iasPRXd1dBHcZtS1RV/V3fTUCZyug4OgSfZ 4FvXLEkYR68JUqQ2pb1LVPbHTv7L679bltFkGIzmNN7wyUfqwJinII8iXTd+wLynBaQQ48EVznVc PW+ngfTh2mX18TO7rs0Tg/HsTXJ2kKShf3QcHGnFj8TfExZi1eqcII4VXbhjnw3pE1Edy1AHFWHR C1PRp97SVWkNZ73Ghauf/KljE9x264+LlmN1wW1M+eJkphMN1szZUZiVPpdmwkN4z4U3Fk6O0Y64 E6aQtngTCsgHw4DvMXDaf251ValGTA51hmXx5T/hAJwzejpbONVGY6z5xpN+AQisJSUCaMl+EOj6 oOPJQ1JRqJiJV3TMnEp415NdFuAi/X00S+GuZgVRUpR110A1xBoZ76uuNlbs1JkkR2nRXgZPgzR7 ei7/TwEo4vMzrxsJ/loZPBAgFl5BqmfSENxi1C/8ZfaAwUGykD7j8SSQYlQs6YecbgU0KUxr0w78 1kEuTpElqiHHHPaf79EGFqHt7uZdXTPavkMA4zRaSj7QJ6b2RS1X65LCOoWDdfVy5MXHdlhfB8Is /A9as4a5UPTv0yXGMItV+ch7uR+V/hHg1uF6YONO01je/Io9J7bQCx844zNHoK0b3eCvIA1QffcP mJqIaCUYc2qX5YviMS6LnI48rxAtGcNdTSC6lYoNQOj5rXwCPtLVmEy/k3NE5ECPDGEXcZHUO3iZ k8M3ntUJfku68BAdviHBsuiff6vK1ypT4KHTMzkC3lAVZn0NDlUb/gceVnI1YnTnDms4JfrzD2bi oYmCWOQsYrpA6lkfU6ncK7JhV//EGJRiAvXprKiON4aXG02ZUnZs70BxIiiTclY6HjqUzS2XeXsP CCLkKsaz75qXV+gc8tW5OTWAPLXqr6OJVAzRKJY4bAfD+SNPDtTllg4BXrJsRKuuDlGr8oFe7f3s mL8mYqrYq6wEKah/aLnablnWIjO325ML3IvnRgQ0uDY1OH4qrY9kCBTb0Pmz3MJR5bXLoikL5/w1 1aT65oC0qy6rY2zXTeD7v3mnUKONxY6gGygq7dPP8Mf79SOB0A0Aj+HkmPlIbtF+2IPSkMFHnxFy PwMABh5rHYRsHVFIJ/vgjjThVGOPWOKSDdI1XUW/qTFbYXwF61EsBK+3xOxePbpL3uFGmIvnm6ud 6xFpagu9DANFpAnaNJs8fzAXMgLXLytl9BdeLdsIrOKymUh3+fR3f5K3Q0IAim2YuvUMnwBOYqrE tUrNlG1VlrYV0z6TP9ycKuiEwjtBUlrcN2Qte4Ltya+IjDCGYD5k5cysbLHsddVMvxGv2zdwB7s2 JNkYuLVoyl0TwR8siqyfh9ppFVXQ22hQpHXjThSMnj9vC1ESn2esRvI+3VLQWkr7vTd3h8diPRrb 3PknZG+xHM/aHrNU06QaJ6i0lQe9vF8U2udEJc2C3p37XE1Rv96MHiHRwOJUa52Ml67ckf6hsM5g MIEGR4FYe+cS7uhzZN/YQhH8QhThGQjfBPu3pKkZ1IAi5xIKuxhbjkAxN0wTQYlrO/8qNIljNwTH qfswFgXkcGNoGe3hMO/VkboaL+NcHW1s1vPd7zAI0nYkKVl7L1tbtEqMm/d4kTcnJVJSTOPaKkna Q+a71JnICL3f/eqrbvO9mY56KnqN1lrmFBWnJ4+db/KnGcsXdtD6I6z1dHjIqHnTyrpcfrGT6oob x+Xzg5/JuU1wUZXprgiZ+xO9/LVntmKLmUrOWE47xO4aXIg4r7S9schkQew/AMEu31UXoo5HhxEM ZDTfoyuq2NP3+RONgH6ecEtzTmRJRyTXAtoi8zisp6mBCHjjcBrRH52tM3D+77qWCW071LDdbEoY AAXsDL2C+X9Ru+IMRCJlJXoqPX/hJyEEoSKJU1HxPTsBrCSA8PDXNO9LDEmkojBhDqGstMvouJsH EAQ7KHTV3HsBwUGvrsUnk92FXQRcorQSwS8fKdjGZlgpjdGVgpIFEiX8y1/zjhKqJewdhtCgIPNy Sdz8NlhTBdo39FC5fWCflj8U9VMCNBMwHUGUJfpPwSOST/yCZsn1p/49eQKuIugZXgaw9vvrH80M YbFpXfcDuNOMnuPIf4f+gB31pd+Yl7w15rU/7iAQWLq9NjKB+xa2E2KbZi6QbvGZp5ZoGj48Z2wJ wRnqF1Dut9uBgvyG5XAj4YGxTTgzMW5Dh0DvCkxLs0BfSUuVYxGR7y2eRg1kOvKZsAjHopIk4upr DtzD14HDvkcQsE7kdFFNB0pnlRyhUr46qj/aaEmYjbvGPyrMPYfuUwYWFFGySp8Tc4e2kz7zdJD2 +qDjFRQlxdt/FWtNEw1xh48dMqMyXj1MC3DbnHwaIgWi05FgNsM+ORAn/DJFxPK+EklioG33NVlE tTh/CWEXb5rFUSwcFsNDJp31wzSEHLMDMLAA/eqC1EAq+QijA5x5X2qTq7Zc7L7G721PNGmtys/O jQzJhcf7JYLSeHGXbgerKt3Qqa+vnyI6TAknGg8Jz1284SR1U6POQp2lC+PpzdOb8QDRS0PyyBX9 TELdxVLMJRjqrPso6Cx0Gp9y+Crju5POI+mjFhgsYAQUKsLESrszXjUfRJBDV8JV27tZVoZLn/0z 4sFzsY/w2NEB6JLx7zhRYT+51Qz6Da1t7qr6R4PsdVVmYXohwolHw0FKS+NU2BfYteFw1FVJn2Iz QhI5F7qk2VU7o8L1IKtFVo0l9rMctbrXW439ZzV815TIJBrOwpOrjjBv6EWw1OTjVLesgBGx5a3+ EIZVcfKR/YQD0S841wniDp3NqlJt4V6xjGzx9oYfptyqT5TGtQ2GyI/k1i8BIi5k8V00RgOxsQGU B5hj/H+a8/po1TJn7a7FJ91Ri/AtC7WzrAHKyoq42c4+tbBKyXLqT7IuMAMPFoR/VhH3S722oTYg ouIebz+WNmgFF92sa/VR9qdkpgXwsVxGT8CEGIuR2WEs/Esl8xJM29iXdYSykb96VZfiF+cfEysl zkkZ6JpfG590facOSQvBOaQsh7zJdYAfbdxcQs6AKYuGdClt+CtbzfgEYqCnnlsqsw9z76W2FNEy 4L+pGEOKgy3M89UDPiNFsfbAzlxKxRzwzDBvMTnjjBIBO5oDK45Dvh7ku+3uCgj5C2nmj0U4PZ4Q kTSqBtuar+UzTsUxBBvWnFZbvJX2ijr2/2d8rqB61arCBLoMVA2Y6NDJYhJMcOy2JNW1QRe9P6la EPohhZYPijNT6wDfqKtKpBfFA0oPtOZKqtr/aua/Cisfriy2YBziN8ahVB8jLRnemiom+i+m2cLF 3eifk6LJ8cyR2O2RqP8qLCG5YGe+CVHdOdhUI6/0r4XHTFzbi65e8qaYVCMdNtJKcOLVKD42qTt8 paxKF+NoC1tMadmC5GACF30AHZbo9ie26ty57+GVAlDp4HonFFXXlc9u1Q9o89J7/LVqvMLC/L64 Uv4s7+A4yLP3nOdLkfJxKBw9ONZgLwVWa+sHUaLGM0NxTa8T9n0CsHFnN1MC0O2VSIHgyjfmpAq/ tGDRBrAmXEv75zgEMjI5RoTW7Uhh4zm5FAOLkwYm1VsSKcY5o5zbr497sP1HbqxyEKJX84FlYMCf ZJ7RBodRnfa0MRffbcQWz+1DmcaZHkXCNMT+UA3oWTNiXXOW7t6l5fffB513qbXZv429OhVAm+me Zxit95OE/JG/Srlw88cmgLfqMg0YAwLbk/MlabvilvMxZVtM73hw8l9uq+AIaXwGUIO2pHZALhFO LQJqJyOzoxMXzB0ISkhnPyW8wTqA6Ecxrh5DFz0X/qyXs6W1cDTRgv/BWylCean0GHSLOTpxeXfb a4Ts6pWanlYogYJcQ0YxcF5bY0rqzrT9E3gTAZ6PeFHQs9+eNh60rmR9N/Vy7ZbH5Rtp2LoC8jEF ELVCxWzgyfgHplN+jasKo+fHQYf8Fylv3N0epZA4ktgOivaft8W/YrnDFd0YJs1Ha5gI+729KzSI e/f/TN0SADzgRRZiA+NtY/oN+Xe1BVsXsK+e+P+UwVMXaUc7bM6ZKb9jVe1Am7laqF2ARKMGUFW6 oF3hQBwlPurjIUA8QA69jGJvRTGz2KUa6d9ghnKuZVAxEGhepsXpx9eFH3TN92G+xY3r/B7R2nOu wnA6Lg71OgaA7wkqdFwxXFMaZgx6uoaBAD1XulCIvhJQtJOhBOwpZhLcGNVnPKS+TrgeohW4xYyu C4ai020eAIinc8OPineNmbHA07T2uOBpxQY65g/za18kf3uiygcSYWij6azrtgM1LTVZoc46bEi8 5dNPYIYc51t+6YoftY+vQ30863Do7WUsu1s4WYzn0VZkM7bnrAvL1LakhKiDUtu9AOszHySyFv7G 0SohAl+H+VcNTxTCucB/DfTXvBnDkRsOMpWo/EbOCbkGnmOwn+oDiFRVi3hw+utpZOdE9VoFuPbF SCppuAw1wMXl1x3DSFxK1KLIsWFy+Odcf58ROq00WsbtKas2phhDZ2tPjMJCnfLmxH4aYSfjniZ+ EVKtvs0bPFGBniVhrF02Lis7r2bcA3sA4OeHqNk15a92J1MvP4o3XxkYwxNpMbhvDfHFh3MENE9Y SVPL4pZWZ0UElGJq1AqQcyO+xewgOdgmKfPjaCxRjv61siI4xP75A0/5Q+X/iWGulJJ0j12vWZ9q v8BvkukmLFBb4BTEmd9/v7N3SaIYeb/1aT8BtobBA6jhPHvPv6ljZY/BS/WcGr0lCYX/XVceamTF ISmKwv+b6SmLOBgPxvZi6O7qhAyCab6sZZscpRaJ4MWg35ooTliAxtZJm7DogNczoHqzw//6NbFH wQMN8TyFbfkOTU9qr6pBOKNXoFXJT24zNkq5azn6ANKP/QUBXBEpG1anifMUz1DuEXnZh8xLbS52 TgOZL1VV1bANgPTSxZSZGP24Ags4shY5koaJWEZtrXi7YrmvWlSrR/82duEffOrvyB/rI1wG1W5e v9tdWCsKHILOw4fgki/++CHA4PdaL8UuTVMzw/nQHiISNcNBJGdX0s8tb1WYZsLHkExsPK93HjWk olLwqRg68JfO7UeAhqxmH9ITnOXyeYidOUTx9u+ayQ627tmPY3Wk4kP5wTIKCqR9ZWBitx0vjXgP 5hjGbZbvwM9Akh7gNk2XUE7WKfvKYD4eQdDt1Xd0z5VF5kl2zXHfY9p/s3asLhaB3jbTY1+sCFMM nCx2kQUDS0jYd1cMu2KSNdyws81Bk3uv3GuFwgE+QB5D19EZtkwlcK/JSTeF1k1CP7dGarvIqMbo y9hOwlgM2C/Sqwc9b+CaNYXSJUciLq82Fhy3c1/JfgsC/LuU6YV78EIkMM0i7L41osR1o4ABzXKr zDVHUfUPGZe2q6TuuKBhzUymgTN5OskPs5SWUsFTyojo5u6/D6ddnDLa2S1/ORvNjqnwgxMbb5iE AxVbrnWnNVSAMFb2xfafxGUQku56W3hC48vdB/NBx00HbiT87MxZQFbfT1jnHhrJY16lBj45bAkh /dXDdYG0eRkblkTEy95Pk2ell/419UBWxKpABQHvMua24J74vMNv+o7tILFsj5EsLNv+qA2hyWtS i4hFCE9+s1Dus3yvPu9YvRTtRXqZG1NTBNDx+Q2+pSJ+vU9q+/4eXP6SgcBBnVRkMYIqrgglIn4L WwYN/0Y18fXBbNFmb8z6bkBcbCKI2WdcXjAmlD9BjaeH8f2yfALZICYonqotRMDdlh80HM05O5Fa SEeCUYcT/zrK6vQAJTqZALMcCD79LdAjvjpjMhvTQwXWX+ynd/T3Gy9e15XtqVTdVFpGf+BnrCEN Uyo3ylV7e8Fz3ASZn77x8kXv9bd5DWFRuCTHCnqYHapcBzbgjPeuTd0lmwOGhDiBIvjY3rzMofyM Trs0tO9Z9YpXX+K3V00mU60W6KeTvmpUnmYGswMuLbnKLEIPT9wdzQWqandIJ817p1lLi1KqKR/7 fkE39SUkEjuZlCeoTpK7vbmqF7jAogGR81u9M4+xCmREl5FrV7etVZZfssaiaS0r0qd4sxrGCvu2 JEazfHheZnjTe2i3mDPytmeqO57YLWS2KYkegDIiXUBgYsXxsARcdchovY64p0SmQtV92rBmmmP5 beXs4cbaNa5hjo85yXfmvFXsBAZyGKv1XE6OP79MbqnscCanpK/yk25oNggvP7tKAo02CVL5ZKFY su+g1pYHVYq50Ab5t3p6U89DKoy3/6I6dgEp01F0Uqd5jgoOT6dtAaVFLGdKs5mHF3pvUojPSaEH 2Wl3C8R5To33MKH+c8q0E7oYrDFcEYc3NVXpUaO1hIOiHlIW2IqYbcRCmHnD2ooXhJKKXaI6DRo0 UYK8akrPJXPbB75Xk0T4X09k5DWE2JfRzNWXhX+jL7I3kbdY9PiUmjOCk/ZZcwE4+NrMdpBOnMhw aKCZi8VxwGPieK6Y9O6tHtWAeiWDs376MXITLMl85z7IMpmrwSEoIvs1v4ic/mDyeZ/I6q0o1xDh SYT3LxapZ5Ps7MHHFTq1jMheGzGzZK+MEcjGMawouV0Y9s27ZUtD4uS4VWpT2Ed4Q+2lClxFyloi r7AnPhHQOxO8y32q9Sz0gDG042CqDQGWmA5oACyJJPP3E9JLlg/V//f+X7lr2aRTRroCY9SrumH4 SX+2cIivG2XJvYoptCwp71EZMOCpn3ojx60KMrDXsWFbsIEHwvBo15W+h4OkLP9lQ2/IkwXpphmA ou5i26KdysVWHH50HdujLOqoycbMH4DddQXiMVXvvbI1NVBvV2wsBqaqi6qJI5GZJuMTLHJBHloA lbVaCqVA/o0MAzsO7Dsjoslu0gnWpB/P55kKd1zj2wKFMEWenOm7IREGJ2/3vE/ZVqE2LbL+UUIA r1FVKNfUbxJfSoJFKA8dlorDB0vb9I337oPMtPR1z79+3qsZHsJAyhT3s1+HRqot7Z4AEAC8aJ86 SfYITbPstpz/Pmc1AntUgUzAVRIWkXqkrx2vc80QSfkVdTpvepJAz24c4TdUKfPNF0n/o64li/Nf OZlPXrBJelendX8yoSs9SgWMlkRIgfUzTDQvBLrH6wLyxtmA1e2GCmvH8s1cDqJj0Af/HBhB7OEc IlKiJz19zNqGtp9uz22cUVoyFLC61MppFuBu38Sjs03ho3A858QoO8wKPqMmn1xrH/UA9+AqCceD pVWgbLYA3+o2JcHZ3sTtSzxuAccmcH5+hdIcx8u/DwIJ1/k0DXaZxapfxQyc+pQ5rKdXjsjC1aAY OketRhHABF3RXQEtp89EZ5Pygb0uQP8ELvQfk2oUqP2p7b+/A0e3eLGwaWV0YdVvTVb6+pvzagZ/ itHegA8xAwfq+nhN2OX8gC0LT1oKkI1xoyIjRfv2Hfm2WbuWs+p2wC0dEKuhw6w098Z2Kdje96K+ GTSCEtLA7FCM8chaVwqSaOQVUJJOSb3T982NLO8L3dh++goIWaLOY670GJT6F2j4iTXLZ0BnO4XG rnMifKEW4tBuD0+4fwOWSKbXEWsdeQW31c5NmEv/1lO6W62ECdqrn/OjKHiDDNs6YVxPp7e4cVWA XcLUM9cA5IAA/R5IoiKNf042mpFnmhA6mSk12g1OR2EDivK1bd9YZYALshE1+TB9qCUnp3tzMeZX inUEwrxxesfdgnGD/tSgsvBmyB4Hlc9zFjWEqlcmzVsSYhOPPbj8VLf6nQUE64kxHYcCoZ/frKz4 xI2FhbJ/jhj/pfpI763EkMYV/NlLld7um2XMpZ5bAentKtr5clYhKUyf87lH7xW3Sfc2m+vJKQmN NGlgMol+VmRg+nUEK5NnpAd5WBouUxz1b5nvlHIN0IJr7S3i0XE60OrD9ksoI6WFbZlgySDrmyMm SFpnx6SYYIg8w6zsY/MlCntWrRglUNkAciC8lH8Ib6vTq9tfuN3MEHpPetlE2NblYULFvi4j/Wrg E298gmUXCIigpVMOrUXRcvTbRLbKJDYoNKqwxw5X9slnWIz05uAOwAhwCe3f4PEpBu7tGttW+izL 4jVBeSESssh6lYTxK4/XmvamnDT/ULKMPe4SghsUftjbTJru3ao0k3avhBNKVtC30XulRZ7opvco sW26Fx+hcFuKSX1Zf43VMj+/jNjoiqQuX864SgZSEcWNDDBKvpcH3D3naQgcaKEJ8F4qK0xZjjNL mEfZgo3ZWOe/gVotQ+6MqCSsrOEKfkbrGkhj1/E/oD0N3HsXVJSJf0JY8MHAQshDX+v3jBlgjk7l kKOIo/csOzitOBEwiVFxe3933Az3zLrWFFLbrooleXPxPBaVVep7M1B+qbDLrP9qv8hwa3zt6c9a eXkbZ4Cl51yS+02o2neF5XcoKIOHCFnsV48tFEZ/XXHO+gfKHHnLfSX6U4nfB6eYdrALRPEtSsJK G4gE0Fad1MLB24Dw9kFkT5oPZgUEl4CcOyCU9NOICsz1VVdwwdngBa9bYYoMKiSzKlXXtD+Mc1Do QtzNBzF4KT0Yo7w0PdXwHFr218JGPKxtcWkqZu/Z0tJ01KswHHcIOZu4/ZexADJPQVrg9FyMwWuQ qjclgwSkcCe8GZYg/lKYuHYJ0zJEMSNwHQHRMANmRMuDt+rynhcSqdZrpdTbfUEoB5phZ3psDUWj VQ1/iPMnH9aZNAHs6pepDmnQCx+TLoXVjvTiAwcxulKfBAkdMQmeJqJgV4KfyV5hWUWSerQmPZHq FdwS7iZK5LA8gvQAWAhSOobdvr/bS0cldyysh5v4b9A4e/vZ9BqcdF04aA9pVTxGwbT1Ibft5Lmv JHwesPq0aTmHqpaAzHwl4DxFFL0RsqnxjvVk2oBQ+++wcRfEwsJHtOxucmHpVJPQ8GmHFvQsLswK ckzicKjnYFmEi7vCW5miC818BKwBmrqnC7nQxOpa5gvZNnINK8euJy/6O12NNGOQNms6JFHfOvqD V3dI/2v4jWGq94RMY1VgoS+3B3oNlDtGeabZkpDqmXM4kpGcgGUBB+lzYnu/2bKswWcvCi6XdT43 TcgQZWh4D4D003db/ImKTZiWxBsg+GV1ajn3OSh07t6FvFUfja3da4oB70xhhT/9b6rvIdmOyvFD bvg+CCWkG2doyg1RkubPlK3SvVpDzMO/Nib8qySgzwhu61cdzgiHEGyXXF6KwvyPXao75VrSe1f1 bZSFlgCL+2a+5pG8/9xBBQx34Num2Z91Pee0KLSvM91r8kaU2Z0XJYox0E9TETBDCoAQKwyN/OIv L2JPY87boyEfB2zvNqL8sBroNSQozgn1jGI/CNZpJFCM4O6aCwFsKDRXjvr9KwtiTYksu22nFnY3 Jqez5hnOb0lu92b/xJzkMHZNd2HfqwtRWdZnjkYMqL+gavmGf0SQbhrvqBbD91ghQraXIRrR2e8N 1FhCGKI/+jEwdx1A5k4/QkH3fwVUWzE9uEkHcsMrfkWANBUmrfWEo55Pe5gSJNBKdmjd0kyN4KSy 019gSaxTJ6HGev/ljka387c/++fHxqA25LVGlHswuI8YSIJfRw2iZi92Ftb6MC6CvdtSGcBlFfs/ 5YR8mAJNJeY3cIewlFrnYRec8/57j4JLtF/JHzKWySQP7FNIqp4jZczzHeZOGNszsdXLmJ2xvT3h dczjlRxRnj3g58mnUuBchDzks12Hoi7VuqrtmTLvTInz5ZWIF+4HOA74nwwRhCHty+SgS+PMyAqI wbcdnDMXjX8arKQzgUe1qczZDY6edf+KFUquBnwOGrcYtTVy2Wq2/DM08zgUwbAllJhmzv20euy1 EOW1abUnsB/FiZCVZ0sqrHLGK2ehDxM72VeIh/elpgCWRzO+2GZfJZA64OkYpXUevhq1boHFvdvF 1cT03UJiMd9ttlMFbXJGDQq6Ld2UMpaEnzhjzCzbo0zkASqbz0ZhFG0xS/78fugn8X7+VpTmqdcN bygJIIdgUp1i2/qW/D0jEvs9zh91qPGjyejSu+1Aumfk3U5waqCCML69DOgfcF44wATFP6X6VLAy EAuEqVAvY9IVi4ffHbUmo5K2eiqePBR/cO5U+AJC2acvO/tN3pt/BMJ0avaDVwdhRDygTKNYkQUG roH/8/JA6tt5UtRVanzsHhhEGxkhbXdXquYCkpundbtik3jmrWaBmezAyahPTGAAbaXdr8kMemsk YFEna1NvcaObSA1kX1mIEdeogXM4+9EZbAfDg4O/eGjm80FvTwmw+rBk4AlZWFHnY7Ilb174QmR4 KYZnBzZfByGSonmOUc/UCgL/bJxyonA9LUuyJNggxLvAjKl9oCK6XcklPMalU3zDsb7ev6kQjzUO hfMboXnrXBn7dmkc9fIYIHLFP0CO+gqv36ZLePGO87nTLchhr37vfZtD47SDimm0flWiibPoiUHn iXtaq+ELL7uUyyKa8S9j5mwRNLHAyI1iL7gHAOIdQ8qAqqOixpXeyg79CEPRxifyzYZve6aojewy CnuEqG3BpzTbUw4Ou/TmM5JwjqC8GfwTW1Kf62CwwXkelSJq+8wm/haQstMdUCSg8jbz8OgMqsQO G9ZZ5Vtvoo3dVhICBwGj5USNI6q+5OWtdz/azbc1Ccb/fL2hWeoHKc3fS3YPKl/ZzDYDSOUlh7Ll 8z3L7mmBPHWnK+kNqFDOYL83q1OffrBrUbF+NuE/FCnuIgPuC/TRdwscx5S/WK8DVf/o4ZI7Hn/i 4TDc6xt5pbfZgAhgrDRSFiTsn8VY9sd/hlFc1sEfbTEISMtphgFW6a4GkOAneVE5Bb9BA7L2lFG0 xrSYSMT689FGucNhBxP1xfdwsyhgqNCSB6E5b9sIcLhnzJ16Sh59H23q0PuIYzW1M2F/5k+FvVir fRkEBkexT2jl3c/peJzjxaF7bEArEoH68GCOZAzBSH9FdOHyunRo04oNcUwe6VJwy3r68fsvRnYC wWSGQZcbTKhFgVL6XWXDWdvCOE1hWQHH4RQM0RbZfzyIJXyt3Cjf5AyisjtyrPmvwaXEr7xyZfJm dBMW1f73DsIazFfccrOp8jQmh6zgX+QrnQ1R/B0mUeNJ0S0T5rZBzcXWU5HiRUjmVK+DUX2oyUa7 KbbSY13nbBPZA/l2dtVZYNx7RlLLAXJ5vm1c5z2tonI8mKkswMD6p15RX1NoSH6y1gJzJZew6+we GbwIOIJOToRCGutzJiQ/D/m5EjTnmlAgGEOrCGF+dHvI446sAPi3lwdkdoEzeSAefEM4URHnFWfj PsZYq4PSfsSnBpFYNYYZD+Dnqiwe2FNQ85jNL5X63mo6PkYGQx7ULLtxM6ROU1eWkIdU0EypM2+Y +DOFa6KsyjHpWbgalE0erCEifKHLhStbjUFNSoCrpKT8vgS5RCTCXGxCWpgPOTYiQwejBFSe6bEG 9wWoBxF4jecCagKZS3qwLwpOAgUynBs9crEmSbOZjsvXTu//Cfq/eXWQcY6slpStM2+4niOmeSI5 MgV/A08JW6mDDGWyQAQYHYtjfTDmWIIUKXzcoUoSwbWfXETI48oVzdQMYtw3YlQCu5mv0Cdl7wui bSWWLFYM0RjVZ9Dx8TK2M4CpOdo8ouPaB+05aUCpk4FucQtZo5bdYMn/YiPFHtwE3YKlYqp0psxV /TGHFi//Mp3P6D71eVCm9cjjvomsRqBdj80RfL0XLjmMix/gip7AcKl8/eU87ojzsWOv8Epb62wt b6X7FniGMWuMMxS6mdyIq1Qv2zRasyXb+QOi0kjrviB0VsL+fwS6/gFm9vxUXaQvvlL0cuBD4sj5 uOmYKWNXTjKXTt685qXt4camm/P+z5HhuSLKhlKVDomRwnt8sCJVj4XNRhAr8akorUCPcDnVVAZF 2+yAn0NOFPVMdUf2tKUTCXTMNPdL2ywj4Qsr6x8SgmBmNHSnvlHCtokxCmoHqo1LBkjZQ3arGFTO VErn+EYMi3xM8TXP//T2IY3MyRZTqNVrCy42gmsxNY0nsoItuOtEytvQ1RYKfMmWedUo6qBLvWGl vOSl2MYeQs2EdI/QKFN1Y3NmvsY2XfZHCAFansWDxHfrmg/El3Tm/zh98DVyOPa6MYnrc0avivOI uJBtpvxkC8PiNafo4Ys35fNjWvWV0+lZ4gIp8FfSJY6Zq6sUBN/JUrxVsy8AzPqPkVl0HL/xyMcW VMMRZEx/sBfsuuajP9hUWMwj7IFUGhH14QioE9VvKwoKR5bsIKcjnm7jP9PQ/WftSqQ+luPGMNIa edVcaZZ8H1gK6FyqqXd7+64MJpjAmoVpWmSsKXrI6QPw/dZvAYLMvxvH2/qO1x2xW6mIcHkrCrQ/ Le0KxY31iOQQvM7A7a8KhMHUp/mAJATsb+XL/cYiKoQNGONOvJB5NYYoWoSrk8aqevw3QIvsj9li GFf6ASQgyu0VpLKWmctxdoYM1GMBZziW2Fhe5QdVMYMCVflBP33YTkw/KkX51btfStq0r3SDoM0f mGQdcC4Ye2z9qvTp2IA4rUBnAqHhOzglCfOAjZ+4bqbADQm2HhxmT0FOncwrZQ34YKWNsloVyVFI uUegGDFTSPbMCpd97OTeWU2FZ8AXeGFX5Ko2udf2wIZl8BS9k58PSfONO3WeZ1YwUQZqSGAlCk4v 7/yoNksNlFG6u2sFk5CcsfZvL7ekWN450FmwtzEAygD03dBeaQk4QAYfmAkZSiiE03VZgvl620w9 XJ4D6YGvlmxJ5/O3RU1Jux9DTYik6QOhx5sz4DOO0b5ZVxRQeIj0hZVb5yvA7Z/3dtCB7ZmjDB+5 f+1AA4BN0HXHStFT3lgx6s4qkXeM6Hrk7fJKaT/EnY24h/dB/z/D/hxyLA9MbLDX++wLVwnbTTn2 7i9g1lHLoKeoOvvN/BQe6XIKrx8myjt6wRbN/hdnGaW622AkWmy6YL087zyogAklNF2A+dxAg93N YFiQvNAG/ukD0LXKvY1aKnKfTI5HlyuNQ16W5S7Azby3szma+RddedxgER0WGEjmgJYgs5x59k2r irytYkUU5m1pc04FxBn6xnzhppnELhVStIjuj+XT00326ToYWe+uSSx6TmbBmEf5hnxtTDBrU3sd Aohv/K1miY9FQAiaa9LoTpBvMhKUDlbVVqkL3H737joDVYBPJtXNVbvgYFkppSlw/CzPyMYXx9bb gdksAM1TgU/FKo6mWYOCGEICqC+B8Acwk6LynX/Tpz7QTnKTYYkP0XQC7vz1+9+xe2nLjmLMlnp9 P8GnP+/ojJwGuHqr4TxJMpdMr2L3mtouqU/Gcc3NUQa1o/0S7q/EfSvQtduZzw+/lbf/JzUaPMvG MZeD/phLpRe8hOLwW0MZ7X4OdqYYA9m6vqZjcAK/MJgIoRbgZcZOsuM7YK0n0Tf8qEtX9JObPY2J z70fp0qg3fRLZayUomUcD6NrjlezDVCfV3AOqs3AtubGY64EGYjknAjK2/TT8dKNS9Yp1QQ4xa8T D9ub/9MtETMZpbFI/Rm4x2Lfqyi1T+Lkj7858HR9xLzmcm3jIZtTyHRIe/Hfu0d88ouMNT/6Q1yV BBSPQfJv5GcIf0hG2PlSES9lFZVDNJSJNIYoruOKN+8Dc+TcrmbvjkXRVZnRohJKY87gpMQWFgcC 1GbT3D9xfxwucYmbVWAU/BwwP9imunoMI7V3IHfzDBmw+wktXnVif7kr6rI5XWkAw1yM+Qyv3jx2 I91dQNTKyDvnRWEiLWnyqLnpmsk16vppqPbPNuMFi5EMjb2FJQawt7ujbYveSmGJsOFti2R/mf+S Nksy13RXxMK3yIAudiXJIEw5xGJv7VKZ6HpqTkQaioAkXyEizbqTpXkw+XUcXrXshRtTXuMdNcvA hixLVzdJzjgOdgouePAou7/MUkqPyDah35HxYHYnKgtUW1TOsgWAQZ25oW/Scidupm8qIqUU+fWb 4j4WRew86XDHnfBto7f0gYu2yjYSrTGWBFwnHnuDsuPrgPb51EjYVLYSA7/OgU7YFWVAsyyTFdAc 8s3vdNTsIpSVFpHFHMtX4nV8Ga9yavFd+7YPx11RrjTleVJEN0XxnkAA0C9na2jg3ah4Nx2YMiTx 6wq14kNpBQ3/645I/Iyel+1mA5sin8UDiY3GRs4sxcfR9nHuFV3Gzp5to76fWbyGzU3jcB6mFi5F 6FvTXdFDvaBHvmDc7fGneIj2Knmd/2g8pM7HKmub8Y6snsJoCUAFBDd1EdGCmNjaoIJuwRfvXyXJ +zGsm4ryP9LNsqFMWbLIlB68SsTfr2JaNJvXEKeJut/aAkdyAYPg/uPpedl7NLfzzbH5ri+OdWm/ 8HfO9q+14KQgkWOiCxoqXrZvXgbfOFCBRq2M3tDhB2DRe3O72RQeYQMhzghW5ocrtsM0MexvSF52 m+27o4SSF7s/h4QYQsslY0pGsBQatMa++5QsygZzwdXxfshe0Te0i0FbFjdxv/jdQGkxYyVsQp7+ +zE7Nb5n+RymWwczMzk9ji7ZzDaFs/2BCfLNGu394krSkvEgs1lcuzjiV43hGZqOUB/2RME6i42H i9UNFmI6XMAmOb79rparUkb8LA3bCNj/GXJTqC/fcsJD6OBJTgjTMliJvS8WBOoVw+w1dMUi33wa QG+RfBSDchbSLQuljH+tUrW9+EyWTVmI4bffBohkOlB2t74eBM941KjHivbhkj+iuEGRU3K+MsiF pOZGsxA9MYpPvPajZ0u13yFUljuJjyFUbQKCorn93ZYvfpD7G0BRafGwJ1jHXAPxng1TjyzLjiOt lsE1lGzq8srvRRKPXgg7Q/XeO7vo9KSEH/kvYB30m4ggqOzI5DVSpqFfEXLF2TFiVxaM26CwsoUc hfB2cRbgGQrxCPDe7Pu5X7w+gC0pBikHdG/53wGvODvpCgSknDOzk7Fr+AUwIj1vnXiFh4S2bkq+ DVI2AYBjq9HkppswSi4+XsvxF7w+oni3LX/xBWXM1RGFzRniCp3/HpC+YHXiKtdW1E4t4mvrmzYO +TElxKfJr7v1syh66dMQyZdxDrepq5qvVGyj6nWJOASMnAMdn0qCrrgzGgBj1vSEmv7Z3rjZmARh PoJgN+BGmtmL6ra9bziAflo+mc1hAaRLRS4A2b3jrVKhgP3qpYS3NzfdQjMwmxF1sUepzoZDixjH eBnMDZtKyECWpuu6POerRg0057lb8qnLnxMEc6jCV1anoeNtlMSokRNec4f60yqUKtYhbKhBHqyr o3mQb0BRZ6rdXzRIc1AurchduQzoMvVgfgG8I/HyoaXScM8rQo44FgffSNHJhngdeWyasGkm8/5e XED4nGO71RN04WfCTDBJwLn5q2kSunf5XnhwSJpk/waaPBpX2jlCguiIUncFAn23CbYX28TT7wvW Z6m5pAXrGpXT+bfFwJGn9lPOSoUssOxI1WlKRz8ZbY0S/47pAGvBxkv24lVyqSAFR/yNDHE4uE81 LpxC3fbB515I3YilaVY9CPG+e7A3dWvOnaCzU8sZO1WrsgkaOYi3x9JYTgby39HXsZT/s0tGYWc+ qeqY4gc7Zt41OYB8OzA7y5ryPwdG1CTneJHxsHB7n4aNj/Lk+maTCvYpbYq6j3W+HMyDpMx5zWK/ LrfcYu5rVasPyxmq7Y33RXtp9moHwMXmwdJ5n5wTx99FIBlaBxq+5pO6wqBgHz9qh3YWKiVRirxM IRMCKnUsEbwa9nV8IUhYeuh5yTdHEfz2iUXoB8dHvfEVvTqM1FnDj9HhveQdAgOjbfoI3sLg2NvV meNLZM80dfXcfCpR/dmKJrFPHVy4OaQTbBPupWIchJw5D/nUU1vpyix64dDaNB4HHkfdJgSTM7yf RP0PfMHOiv0A48Fda2TzwCQ4JEsQ0qW+ylVL7T2u06/rguwikVgAuHQv8T5VWtoe03gKCTb1V0Hb USd11H6bB3en+qtiZMN/9SDU95ogceOxNb3Eor56n4o+OBliR2p+qkQO9AkOqhXIdgjFx7XNXESA 9gGMdfMioyesK4IjU2S4SAxVsx178O+zEpC7tcYmfGOh2Ycz/BhGcrxOJtFQTssAZWyjRAA1Hzb+ mKQJDvbbxcoj4+sxl0Zbf5kYfFGoT7M/BAs1wlVJtEm6Ra+/DjQyCiu4LP4/ys6RUwsvdBdv3FZ1 ZibYWfG56WgUidf7cnsJo3M9zK7mXYDzx3g3lS+Zqi3RgwuRmpPPryUtjAcG+FdWLIgLxfzFy4Vf X7ZUtF6iXBQTDGnlr9y4/vthDB9b96wlqDDZJX4s7/l3VbD2AgT139AKnvXEZMrxiqMi6n7CZAyZ e2N8U9vC6MFRFKnS7U8XCEHS2i6l2mVhUp1WNC18Kz9gND9A5unUTlghyPRZ+KJtTuhcYbSnGag3 HJdOVgNlXb/fQV+8KVQ1yn7r4T+LI7kwQwWKUcFAjsY7iUzW5sk3v5Gg5/pBI3Kf8WHqzlZjQ+aQ TyOWqB5JZAlpJtJPdIgWo0RAayHFbiN4kCBf7I6H/F7+0IojuJbMPUSfyPCe39W3huBgCQFu6Gl7 3rv4eteBsqFIzaF4XfzETRTR80+bu7DoGnUPF+5HNVeh5IX7Jw57jedGhMkXBOJxyMwb+DPu4ea5 RrxUX4KcUi0fcIgPX+BNz8IXMd1LG4tdV1D6Yja/7iCuYLbbO3UYML5l4OyfKqOc7Qm+7yo1udkK mIEqGdDbL3F/w2GDy1+3DaUOMSaHYhv1VLmUuWrYFnznbe7ea2y8Lo53XGyXCtPBX/tLpfR+upLg c48DvTrgoXEjoaquMalBxHTPfELR7hSM2Hp3n2XmcImRpoZls09UhVgnc1pNxBJpYtyuF4c5U8+0 rAGTMGAoQxUYJmiTojR/JhVX8P9YRKR6CW6lkCSt5EsKfzjtHV+3Cp5L86nB6yfp2LNJidBlawct 5BJoN9ZHAE6dNvCDmVzBn7Prt5u3/Ftkh1dgIkLqqnDIrLh/dNpthV7xa+yvEKucFZX4xk67tck8 va6jbFVlMBBIqwyqk09+NlmhGKNoowLxQASX+TifrG7/72gezEw+DHWRSCq6ewfxipyflQd0D14r KfjwoEx5N7D2h7FjHYkE8a7wxxBkUri+ZOsZGLV68q+S06Ed8gHXAYPjW7RjYmLy27lENOFOZb4e X/IGG8v9AZ8Ym0LKIBclaQR8NgplehvjW7J9jRp2pjqN7CNtUkmMqiecSzsSeVYG0qlQ9TUfolPh 5yUT9HlY2nQEDGWD7AAVl1JMm2VvGg9OEi5jo2UwVAEfIR2t6RGVRVyh6MffU/8/mOuAmQCHrgoX 3ox9L/ZDgNFVTzD3xtXPKhcFthO50LCMh1FBRANFuQ/d/GNY3cKs9CpSRUz2SnT2gaybKj3EbJEE ITADcqRPfBOx8ZXvaN6frn+rzpIZQJqtDiu+8HY9l5X5AgxvqIKuCsp7ylsAMsGnNKZm/h5FN/Gp 68vNdp2gNfyDifbEb3tPhDW/H43O8QIazqJfuQyKUhZc8YBfem+pYMDvvmn/dkZeZjtN7wxeNddM xUxEM7ropesS9KnfLuJdBiDTPDQjcmUpRCjL/nKEkXzeaQqaCLtLGfIPo1X+KbC9cvd2Npqddfxw qk+IFU2+sfHFpuh5shWO9hX+WtiIBv2f3zoxwUXYjBID7uaQ0Yqf1S8HpNrMFHp6oAtw02n0RLvV nsofpdaXVffH/b30xgd5tWTtsE7RknyaZXrdTNx8UV9xzJtIrn6qH1yu2WC1CIaS9ZO41VOwCH5h 6Uplby1kewmb7g4GjKLpJYf50k8Kn6DcVPrrU4o2DQtPVh9GBG+1+EwAlHn9Xn1wdKmbyV/ti2Gn 5vk+Lo+5/fvYCfi4d/wwP+SWWmbPO1rXYcGhPQ0vWO/CZYTL7ZskP7fY349t4yI/o/Pdcp1Z8sfK 3BrDGbQi4wL3jJWWzugvmb4uHVpVkKmY6srcVMA2DEwgzZfGzG3WHIjoutrbcjnaDDKRhg2uGz8Y FU5WqE4pGqBeeDGEE7IXPF2BWlROQNzfzr9p7n4Mmm9ZiQI6KjGNPWVaI4maj2FK1+uGWIOjwtos gyt0yb0mRcly0TyyMRZ8u+zaYKozkptxjdow5scrDFNFyLfp5V8MRpUQi3DrvYkoIb6FsPEKKUjd az48a+DWWwpO3ytmoZihQLbFG/R9uvyU4oHznsSqr1QFXg2FFp8RLGnbk6NDZtBHncL/RWrbMSCI vkv/KZOT/lFosgFadlqd1wRK+BYtKosaIwnMykcATpT6ZV6bcTzR7167nrSxNKeYS815VumcKj04 gdwo2Zbb1MtYc2+Q05dJqXRb1TFFmDuO5sX3uG296KNsskXxrO0V3NAwTAQ/7mzRf/1hC6+W7eOf MlUtFn+MAeQxUO6/6c4Z6Hh4GYSZ/XkiVfo0bMrDTDmLb2hRXIkl4cEKa1Jmnx2ChMKYQ/ug9RVQ EyN1OJNaI+W65Nao5b36e9W+suPwFnf2ToJ2iKAYcwt8zBNSEKRfQU2udk3pIoBOGFEj36fnBja4 4362F2z5SJz+wxWvuCiyPH9xdBLQpY7WACcBqncEtOmZIfS0P0baO379yyVABUZTzL4a5swZcO3M pL0YDph5uaNyN6jhnaoJGX/ATZj6zp9J79o3qgrL8rz6f2qHZLWkvPRMXLLEXA1srEG0fTtQ9XD0 25SKElC/5hkr5zHSKDLt6vZrVzYRVYhtvu6TgOrzysnamjy9mqiJGy2GFPucgqv4lMOCBy2zCdOS 7pBsWCWTrGxQRopvwPGhUP59MCfcIEcS1M6crwLbn/GjjntYIDxJS47cx/hbLy4nH7lYYEtkQHZP aRiN4XWYexWnHyFBZXU9e/L2ed35LcXKsrJFfAlqX0IJ0d0HJDmLSy+nWLaShNVBAblfdWbKcu5t RV2gUgNc6dEeBDPNf+Jb2PF2HTPqlLbwBph1e97Pj0QjhKb5tOX9oRFi3Wjz8B3znpXxv14pdxtv UR+akgKtcwamrfo558uIMro7mvi5PZZUdllbv0kooy8tB9Tm2BHWhNlzALxJN1JORyzYye/GzAmA KjUQtGJe37b2ERPxyVeUhfkBrU8RS+NkBlRThB70V68oCRluU/Mkq2nurTOBO4cSW3oGG4EJuO+W OuwiwFMI0dkM85CFDWvRfxSCLAb+L4CptJ7wstfwKUC6oeGLOvwZCTfnQcIexyzr2v8+mubNU8ZO jLJjt5Ix2346Vz6D+oWrE4rA1HDTAPR/el+RR6o4NHcY2EstJ3zKCsnSz3LvEl30PIPfcnsRXkBK TITn6NNc6drwnFCDnm1Hsp+zQWuFnQixgO7rhwtIlVOd8U3sJX77dh9e8wgoR8k8X+PnQRBjTUgW xZ+R5bZ7IwKGXOtIIztIcxzQWPn161hBOst3fXy6y77Ubdrd8eQhLQOa9q78aRmF9gsdaOppTE0C FzUv/x+7+ChhKTRXNgwaYs0lsJzzpfJyyytHvw+5UdDMMdnkp1s6Ps1gPdqqXcnAzMMJlVjztHU2 ILGFFN30CWrz6yqBcR4pGaClpVBX52XhdzcUzuaGBZQY5Xi1L5XzTU4FjqbIhS+vF1RPg0OTQbAE UZNtsyfpQR6PEtP/UqN0b2+2oAGAH8rsfa0t3ynTRcN110Omyezfc0MG6sIH3BU7uN1XDGS1Ymqi wKhylcX+PPrReCoJZHovNOaUfA+blw/X8SkVQJ0ws3usHVCcQ01sYwAWCOZ6gzoQc96mQe/kkGPj yKSBfJ1PK+vY4uvcbGBhmtQ5NGjZ6z7eelfPTRHwqGUt/MXgxS3eN9sYi314Gi3u7pjXNo1VfsAW k+CkWokjXPk+/YHUuttA3NMvQ7um0vHsPrnpcTI7bZ2s/6cmtiP5XnxZcAq99YdnZUnXordG+D/L yMgp3iBfOQ+Kh3in3WCIrmaZYk6AI/trAAvJO5/HoQLgNC0gCd/Cu5HPnPW7fzpw7ai7QdWyo8hX BG+q89U7hRo717dhr4YFLT8tJzEDtaluPjpF/FcZMxJyvrSscCIMp6Vwp2dJYA5L+mUNGQVdQj3u XZiHObJ11j4vdkWhQ98Dtpf2kFPe7NdoQ231KDRncj5Vys4T5Thyyk2LzgozJrWbFj5ImyngQutX uMVHdifE1PaKhlYWisps1+Tnwp+FSB49yLyZnJ13hHTlFZd7RO8Zdm8LdcIoavCl3YRniCbGW/Jl l7hOSbjDVqneiYv2XXtaGrduOAHC7451k7UI5j6Kx1gEy4zl3e8KrlvbJR/O+0TEl8SVkYw+JK/b /MbkJJGuTc9HkRbaCOvxsIAg7YLX1hkKO1kAL/YJMepW5u54noj/7GOFChXa4SG1nc8+sEB9LXzI 5mGE4fjjusBu7mkarTqXOehSFvxZWmUZ+4WYFTBGs7jOYeV0H9mAFRw/rmPw25p2IsnVZtTfvrHX ggCmYLCD8SylXe3H2POqr5QkD/YMplbBVdWa6DxzR3D+0QZKQCKOWGsPdjA+t0tgwKxC9raTZLU3 ChmmhFxc1yIRcCNEP+kjUqPs/t2OReq4iMKEGOZq2ktzK8bEpmDM5Uso+p0ZqeHXERgmlF8DFJb6 WBjbNWs8FaAxoeG6DKhFocgwXoNhOU0hDOwDi/3C4fIDO8NBpITG1FEcpmgaoU0SMm8hMwT/8PqN DMsxGwC3t7k0cSj1jwaZkeayXIk+4hmL7b1GUbV3GJoLrQDujlMOAmq3qbR/cktx5BcpjK6dbWB2 jiU6PgNrTfHJXytH27kvA0bEAcHjZkQSYk3G/cvEFyBp5XQfr0IwqjE3QzznA0+T7pugASo0lBkQ CQLwmiVXVWtkMNRIsf6+jlAUdiDUTgj+7eRVGkBYv1XhdflmZdejOz7w0Xq5SQEgfeD7sXOFs+EE nmVPX3gaZj445mRbPCnH26wuFM50eK/Rz+nSyDtD9NXlHA510EbE00PLNU4oo5+UsGZ9yY8/XbYm 8lzgN2YT92EwPUHagGJqBJbd0/pnr5SvKm/HXjgd1sKogm2qc++MeJgB/lV3OFOOvApXtU72qyyf d+sbodtZt7cSE60217cGSXo5mcRxKzrLvWYlwsVnzcFT73XBRw3RGu6KcEI1YqGSbluWXeI9K6qo QJ7MEqrfa1KpDtCRd4llPybKAFkksYu2SzcmR8IkI/o0CTbw6LsNauH+TdH026zES1Ck1V8mDclC 5sdyT1aOH5NxbTtk3B1xisRkni2skWnh8bRAD78IsMW3xIA0zyfw1MdZQbdux7nhLY8UasD3Rq6Z myg2hSgljGxtmIFK5YK2t5it0/YRjGx5oTBoAmiAzhOpgR+uQc3C1ZWi4Qf1jD+9LD/KvlMTBoZJ 12r+6QGzojSdjVRpOvbnpBAG827EDcss2m9uAFZy1vLPwcbINaBZCxhsu+lO69Dz6r5g/WgYpxuW 5hQi7qtXZ9jSENpgK/HDIH+j3HALTIUlfvKTxWxSLgztlRP0v/hl1ndZrf/Iv0hdAHnB91BS1Pd2 ASSnjcqaMwGIhYIiNj/+lAZgWu9K7CGFmJniDKVrvB45VBZBENquvguU+hLEiVCfQBznTY+OSE7+ 5CAyHEbTWXOQgKMr2TuLROiotQSvmfFCjo6Uiv4YpQ22zYh69Sp0wFXdiufmlRBQrMtQfsVFT9oS RaNFGLoLOrOvyzUWuK8TNSHDo0i2adQK4nILBLlpM2Qd+YKtiVtwoWDNP5BefklQuJnnC+NPU+m7 1bdZlzN8fcRK4dwHC3i4Jl+P0sUkcgIfwQxyqbGl8Fj4pS3N1WAPgRH7ZHh1d4WYMbOUAsJH8fyn MQ0nNqTjnR4x7rfR8POTvr2G8MN1JNlbe4edGkc9CYPiHxxD3CROFMoRBTbdVSzLGu8655bf8ZeU LNj6Ht0X6S78VS0Do5lOHcMjabQqzHG1RvFUW3/i22pfjhnkc47Zg3NenR7WwpKVOl5DBvhgBBE0 xCPDgGMmc7qxCjbseriZluNyy5vqlbb6Mc8uOYXtyRd2BHMxg8JwU1WFZCplummi6OCuD58dpHNu uJa/rGSVyiJp+PmxY4tYvpgturcwibu3nEoLHJmIe86Kwx8TjFm9Njtk1TuCyTsdRNJisVq8SBK2 ajgsyyoJ4DMLbxOhn7hP53dhI0y0lpSK76SWmBak13iDxOrqo1Cnz7jhd8U2Zm62mNNnq2pBwOpF 8YbGYV1RZ3BfHNX6SBI6GdSsdvMgPif7EKUABOPgnx06qmCFjpjt0y2AEKY/i85OfHg/mEDbE8+w Cynv5VnSUaAFucgB2iwPbrTAc85O0Bdpq4w8vHBTtnWk0IW8TDQtvULnfdBKpuUFA6SAGoY684w3 sI3bNWLrQBsKME1Y9clv5CVx+6aMNWVl7REqOXWlsOSx7Ltx4LvB6QewyC4O9M+/HqhpQLI+h19n a7D3f4X59VpVwa3aRQXfQxNv8BZQF2eDlvYllGtZFbX78kemXc8/XdguGLA3c9cAae4qF3u47gKU taRgrdIb615LItzmPcuWwpl5zCzx/+2wuhABmmluyzwvwPrVLlxE6NeJNuMlvSozbQGZJEXbfjNb PLL0IbQnp/BQExL4RVVglOhE+3XogX7TTIGlpwBbRPMjetApej/7SnGIyADaP+kAXKl8AKHuF22P GFqVh/S44epJmQfLbRWPYiJlQxEzQbr1W93Ka+E/EV1mvsBhVGS0/hxBdJ1GSVLTOBmvwU1boH0a GvnDpSE3wy1pJ0gIBmNhyGfoRHEUAl0AvYUcBiLx/Qw1Vd6Eu44qzJ5wMyliPhDuGD3ECH9f/Srr nD5SkTWPqOA9E1vmxJLg2/GoK+vcg4wreW38jk8/l1jDZEodc7fn08gOWreMVjSBv4DNtOLvGHYw qt3bTj2wSwJwaU/tqLMJfQQOWJEmRidUijQaKFP7zBzYf9PQgUan033vVnZPHaAqCeNngN85wY1H HrRDKzoio/oMz0bcaIoGNe7f4PKiJFMRXn/I+OnA/mC8DCLYVep3zPWGvLznrdaf3tc3rrNRNSJ+ a70tZxStrob0P9E0Ld+ksiXpQiYRikNsXRZUwVe291sSgC93rrSYNYuHcYpxArKDxCgBxrnBvnUi D1m78rj5AsPwSKYgWrD2FlNnVDkL7/gVayTJZXxM/bgRt7DVBlZ3/ymdxz5xhJT1DmqlWzbCpkDm M7ODXT7NM+6cBehF0SmhJkwC2srIEQLic+BsEsWKWuBxlBCwOpSjphEvm/8iYwcODYbygGZ8ip37 4H/Grlk1jJZNSeeqRgX23M74LZ0+NIwaMOxjHWmfEEwJe1/AZc64zVbsoUSMblx/LCB7l5TehQQk yfX0caazNks2TDA/amdRYdc994YNQSuE8dtm8A4PKRsKQ3I/9y+5i0NU16HDR/CxLbyA7OZesZFB vyQsZxed+za74B/1SmMtC8l/YUR/UPBj/eHtSIwMoH/u19oJm0Uil+2ln/sTduD+Q2Ky5lBHVzj3 tfc2e9MGasm+4OKQF1c1+JPjKbK6xjzkUxTbQB1Q4sih9Wx442/AcN1qUVMDENzzhyeMoyIR2IqU Q3NC/hWq587J7yKOcblWk3SOlHEODALnb8QcyvpZezYrSRVwCF1swL2IILoJYHYT3x/FZj0PS9w5 N0+sRBY0MRCrypHLwjdLs/iFHmSXRfk9hSroa20tIF/JaBrLrn+CZTH9SQWqkh7I2bjYdvohQDP1 fVV6i/7OqUXZqfmNTAUj2EgQJZQq8CQERBPBpCjC7xKQeGXNhbP8CsBbkOdVBRVJd1epT5l7heIo IJD5Lnfird337xnVF6+kpXE2AmO5ciPIjVmQ5XmOq6HPUUaG9ReSw3XNLK0bOYHaDrE1R7JaUWtK lPJilW0/h/1B4dIH9WgcaKKv8ft62w0ybpTl5qu4h08K8uG+kdVDWecRkNFFZ+9GtX/tfe0LWazA 5rJvg1TOpsABiwygYQDM022bxOROWTUfa8IxaNvbHoCR563JGv21o1hnuJjWE5iz+c6/Vw6foy0C PxUhS4TJ0/pWsGSOLFokqPtblFBoOY90jbHbSFNLdvLUEs9z1KYIuJAX2uQJMGFxmyQGqVd1QlG/ 5Sdntd7F/e9zqS0eAfIIMpuDBDuc9i5k/Aw09IUxSi6qUnJr/b30tDLRxyiQwePsLEx+xREd4nur K+6IWx9KXXq4tKPX35QK/QdSsGrKj2T2W+xVTHeTTOjndjBDluir/tRkkwmGbl0ZmR4QZOPM5AhN DiyYFBxmjwabBBCB8gcHY/1r/uMLAGe7V53KiP/Zd9gn+dEn0gZktcQnz8mu4EcPKXMy9wmjlGpE MCLEAxhRbfZSa92A06x5oo2gGt8ggMlc52zN53ZQ0EYJsU7k2u48IogycEuE7VpAxnYgKABypb05 0b6J4uMNe6p6cqBs8IEaziKI1U05IDM2CP3MqUxMM5Fd7WIki96PaFp+4+tRwc0UJtqK1FDxdRDQ x2bPR0ki/hbrnvx0F3tsUxOTYF3xzyoobl4rAbGoUvP8ZWUaP69q90+QE2F6q2K1qhUFEhcozf80 b883igI+Ll/y4ErtvOsS8W2EDTvuMEk0SDr0P8rW+62TSe6Tdd06s2xzZf8eC9f06IS9BQZyzTo1 7agvfhMimMTvCC0VanqQO/TLo4xOxrxLRj9I3LHOB6Lh1bHLuTvKBP/7IpJGVN2oHmKP79M6nCTP 8elUTRzCyKZYAMW790/R3urJShPwLwi0RB7FonD6bfPlQ6jFZTseUiOLFPS5sQ+xmdfCjJI49n67 bfHXuGOx+TUdW4VUhKvCeNf3C5FUkCySIVLjOh2EKgRl004toMJsrXwCSSwRar95NCMgL45S4/06 LNLHs5q4eAJ+q3xGPxDDLZ/fHwjkP6vChve5IWZX+UyMMEpznWkQghkIAXLgaZVULUwBnyfsUVw9 fwbUVJgxZNZwZFuMx2W9H0yO+PEIz4IexrvEmlgM5ISiUaKdNWxXmbr0P9NpAISFoEWhwKCGWgNr ovTif5rpLYaItin2fliLMWrISBfboX8hYtvKbcHvIeuRx8PxXFMIyU5/wpvySBYiIstDP31dJBUB kpeKtNwqsIiPewLoceLSpudwL8Bi686mKG3ET1C4clGIaQeelssGfv72eW3kK7Imdr2R/H9P+wED NImrlV2dyJGNIv8h8fBxAKHkP6vjXB0QdL96sm9g/l9+m2jXB6l6z1aRuYJrGOaXRaz4xl+L45RX P0PIsbNrX2j0Fsg7cOXO8nlK5+QX/K3MMmxBPea+RSohgx8lfcP6s1bbG69IKKGK2SDir/4N1JDJ 6AMB3HKKWfPkXbt/zdSe+WiuXjyV8zW8vxg3DvUT702hkBuLwvH9tXVzqaTgRTINBdNyirIXrAbM vCCOBGm590paLpLGxPI5NzwuaK2o3lLWfFn1gbDVnRx6N5HZz0sU5kkzbrt3AgCyU7W6UZ1LcTcu 9y2Vr8iOvmaUvypYCVMjifz7E/Wn8gTHpnz92wfec6hBNx2/fGEo88cSE4C/7MNZWmFxgaGoo3nd +vGp3VHNNvut2ZGtrzk61YmfFK7w04UeRvCeKyzaVceek3Mp/9DQ0X1/6SBSyPktSTwWrvtidZGd 03uBQ7phFpioKgfvzCnRRshKNeYur6zUn5vl0j+co9aTN5AoiRwqngA+SLxmU3TEll+uzBTFuZxR j5li1ANo1bkUWOn3zgPKn4rkJJWTNPlAJC+s9QvHD0q2406eFCCIhnWgz2oig/UnwTy1AJlhSL/D Q5pH4YCSBX6drbhCSVC2WT3MnXCpuRtPkHSgNx5ySZpNiq4OrdiWfpfJi+2u1LcrCkUpAhSRBaYW YHFdqbVeIxE4y1u4Mc5Q6mHEOeAY89PJgxjKJ4fLHf9aN9HY/A1c3c/K+j5bOI3vaorRxc5u5OiM nLUUD8GTLbSlROB7KtgqEL5QTaAm+4uIVDZ0U8XKvFtBCeMSHR3pf5Ijo+ntMGYjNj/KZH5dsy6U Yo0ZSg2uNz1ymYQpspCHi3dUyyNmwygNQeI4h0P43MxY5pGA20TZtqCYzJj34HHVKU3rBYpO4TeZ KGlNWUCp+JdKfU7d4Otc0GC1vl2qWwbWGHyVEpoH90nNbrBb/HKaaH5jJVh8H3VmxMmYuLqW/Yp/ YQy8C+DIIoNZHPVZYM/JGx40OFGR6VJFziSReTPfDpIUJmvv+H1pDs0GfwHTAADmDHD7EnCO3R+Y XYBpvEwqzZ6WNGoDp3LgFIFCgxiYFXfuSt6mS5N7Qs4Zsigk81pAsnIa5RaRQ0oF15JSk5LJynev l1GLbdW0KFuDoWmzTFYroAlbnqvcgbyLMuM+A1QcR1FxIPLSg78cPtbYYrA4AcpEjRXL5xspIjCG 0hEMjCH7GpHh7cDYVrcP6P7lwZN/5iInIfi3bvrquXNzyBM+3gOgn70xUTBC3lUkpgwOAGsyQFER 4piT7dxLIrHqB2T+AeesmWhsel115ae87cggm55TGtOgG/QdzrK0zkBifEdTByuzcgifP4vj7SNE H1kJ37COT8YZEoB4UO8/mZUu3yfRt7YgRfPzWuKUlhYWht7xTamH/bNRO7LfxL4xsSGTgOH30TSV v05JEw5whnsrqAyeZS0t5o7M0fTQhVVWCmI2hYwIbT3Itlo+NErJhIc51wKtPUea0Ig+qLhm9ljs rFbJ3jEtxraw3XxLjeAo+K+2RQUl0V03ISc411ySEBK6WFGD3QZRjEC9wA9fs5SBdOqDNw8eBC4A OzNL3DPug4WGLkk4VUrOloFWrfNIWTrh8TX6pX4STCKGp/lHnGg8orbzewuwn+Ix9DTE4MgE5HoX LJ8xvkbBelL87Sjsg8VWUXQcR8pst5h6JrFzkZluqlOGYsLjPlaU7yIKUFi9VYupJgyOZXvHmU90 rVbbxfCMEcwFAGNKes1XKB3Bjy7pAPaZoVs8NlDmkzH8649cZEDcPVv3KlvlKCizFxg0cGqxA3e0 auSkRL8V9VsPBrBH/iTwMOGiT9PEcXkYGVxnd1xReR/Bfg4boduf14kkJf6GzRH1c7EpeKCw/NZC HntTwO5BJ+IO/5q8flKCSkFA+rtZv0IburkZ6bW18c3q73563Y73lcUQ3KNxULxj1lx6ZN6eneRz MGD6NUaJ7P1DkqOtwwhmnhTsU1eNcimUku5dKdV6v9JkaajUZg6D3DgiksGNOasVWG8w7XZXZMVT G2L3aDB2s3nDnVEs4s23LGMMWaYsruWsQfkJVxMyZSGtdYmCbhBh3rqD1nRrzCKOnaryA3MuAKz7 qAe39vk0enpNb+p7s3NlsWmmAKZRGjWUNY4UoxSkDlAkaGsYVjzdOApiCul1j0UY1VrmZJGKgMrU Jgnj+QpINvmaCsTnoDEmKAviRJj0sps10Lvg3hFpIcuEuPHS9F9ZapfwyyLaRtmLXsK9gjGsQMnQ y3DXWhzcduNDc30ThOGw0cm2/O59IrL/6UVBF/KAxQC38kGnlNNRiwdIMLddHo/4XTUD4JO+s+Tc IFpa9S9fYadUuvMjcw0ReU0VrWGgR2VPlVxAh7cnpKDKY/COwa/RVKRYUmWdAopV4mto824jHuEc lmRg4RJ59JsilW9DYAXxGsT+6p3LuszWAVeX2gnnUXtkK9aco1Fy+0YFJZ6aE3/FGF0o9r2FAXlI rQ6Eu68V8ws4dQbfmB7rHM6lG4VlzbA9thtnVH4TCyJV019YWuddZlPFQ6waJMWzQ3PzwuFNEBxp ULZyof3QhTWoe3sHAq3KlbIA7TjOqcDzPnXHw2FWt4rA26LpNgHgPhOEu4S4NM+V42sG+k1092ai 6SI2Y2dPeGM37vXCkp5um/h0xbgUzmGu8ODNJVjFAeakmq8bO8nTs45nFH7JQkSL6z5y8A8M18U8 BJocTcVkBFZcLWyvmjRxs1flIJl7GyWS75V2on2TFY7ut0vljtEn0pM7herz8Pl0u84FbIms5g0x jZscD5uEY7LO0vOiCdylFWd9mpySjWlu+lk/M7bWMI3DPUM55q0v0152oeSEOnBF4nlWNXjnoZmc fVePbItetoK+Ie2BU+ZiNQIXiO1ahKmgpf7SB4Hs6mBa95sIn/IxSplMzQG9g6Y5Fg4lR+3CUdSy A5Vo1HtsQtA7zqyM0a/YTVI4TbIO5yPKpabm6RoIcmOcvOIk95NJ4Gi6iYj+sjiLSnlFxWb+mvkc vrqF2iUURG5jM8ZCgKUR7QY5XIN/Wm77RJKxb3HIl6cpN1KEX4Cd90ef8h1wSx969VV+6QmNw+Lz ehLNwENG3aG8kg5GcQ9TxJt+Rb8GMmUqANnu0Zk6t23Ui0r2DjDVgYjiCFuTcq/Qwqr3SeG1LIUU IC/LXtXmqLrP82yPrgUcfIqsx1l3oD4RBGGPo011PfnefvKeNGa3nrHovZdHkVUjA+cE1nE0Bjg1 8EVskj/NL8K/YLzDeg0ea0v2JHxcCU3+U9LWPtSbk2/glmb3I+rtHMPr0WZlmt8ENoiZ6w+HhaEF PXxdC+9ske92dydsf/2v5v3D5pU5wsA1muEiRGKE0qUdADsmChgZerpkqb/qyaJXzYv91y1447hM DTGdrOgsameIP3OG3y+JqnoBHbIwFDouo2KkWgAVL/LOFQTLqnHrAAQj/hDwzdNLJtqSf53LZSGt 4CwEPo3VgtIeagY3kpjUYLKailze5xHv7LAJrfK6CUJ/K9z7eXCfcdWugbEpInGa6culAzPf9HFz MKIJIbingc6Y17xM/ldkFJwiAuhsouupENOJYTH7x79DD56Vo/B3WI8fsd2ZtukEBBJRCzn/ywkQ rliJYSmmgniIHa8Go1es6OjvSnrOX9QKIS5Ii/BROqUTxbr6DtsJeDrsoNR35UX1PztOSh/2eMbB hsxJjYfkuL/xrgTT8XjAlX5Wee3QPxRj4EMWSsCMtO4knYtd9kP+1xAk4RST0vjKzKV8yXUnDysR R+YaoaQ2NMXftxgk4RM4StrOXJBlqnq+ndT+S5O1HIusqW9cXy+J7WuItPMi+Vc7mHMD9771cZLK gIQNm8VuH8xc5EwMG+gXKs5nt9Co/6kjXpzpNTcUkf9gz9F9D2VBFAc8niBbVZFHV0to1Em06huO IJ+lfFLLmaArAvVPweiUZqXiwSZG1bJ0mMl1p8VluTmS3PMLI3+SgkxqI+IpW1RRExPqQPoVgS7B acf6Dz82x4+l4ncvh27JnqJ7QxErE9uRQKPpI+ufoYln3DMtM6ws9UNRUcG/pGbKOJc+FFeM54jw Av2gQhtF7ktQjXylNmMXIj7P0VyVNe9d5QVLxOvhher3J7R+0bBHjAYRIluBJRSpBksUx6Bd1LVT 3sImKY2NDC60ibo4h/rBAfEvKuquDEVczK/4gCEouwuItp4lwqBTtraJXskjKj6B7+Q3J8j7NFnv iOn6UimP2unKmvCOSQMlai9Ou7A3d4q14tdIM/dnuzyQONRx6fdCpAEA1/Bal7GhyvGXrgJcKrQR FYO2YH13rgWkLOAeUtoNpGGZzvUMv/nIjLgvoZ7WRF54W5gQhzIysZ8qiVEntGbGMv183kgea1VA Tfplif7+OMpPPFaB4HNBTsJu2FVhYf1E+Oz6it/zzeGLAX9LieNjRxhNw4LSjrK/QpjJSA7vrcbA nsAX5opkq3QdxY0aa99Io/smllr8KaiT8ydj07og7gi2gCCRNiE52l/UG61pNz9LUOmiT9SYaEVF Sy6w2NeFXIPhjE3g2xoA+bUEqtHwQnk/iH+Qx2I3s6qtNb7alvtY0Dq1smcK/sSJvpeU2oxpKboX eByHl3s+pgGe9Nhi8G2T4ITjVoP1Ic37472fUHHykcF0vk+BB9eIakjqbQUr75kov5SEFH3eSRSj iF0uk1JznC5JGNN3JYrUUa3PEFZqkxnLmWGlduRoLrBicekuht3TPJOV6E6fTWen8mLREUKXDZa0 ed3373CFH2yVNzbGgA0dk6g6JsLwAYdcNSoJSlVAE30MikjpNA5yRU8w2Osp07RCngsjNhwvZdg6 bNcyWx7Dj5Gk5EdSZidta407C5a0L6Edh4wi114GlyQYwiCi8EpgbFVhAV6o/7ZpjU5luRfu1oG8 0DaqbstG/FzZoETzBgwFHn10gnfA2w7h23Ybboh4nj42tUTgdz8uJ33b2RlprjB3MPkhLCfNS7Ak ZX4qf51TS5v326clTzMgft1/FGEx82sxRboOdmFZvkFidKXwDRIihQ2bQ3RFHVI4amR02dvnsLNm kgqcvJlBDjE95Rup9DLxpJBqCYguX6yUyJC2NFCWmB6vzmuoxI8vGTraBceiFMrKA+A35t1o9kaR 5ZaoaYxI8/rktXaqqZW3dudMwO818AHTDVTgznxu26XHz8IbHxk7ttqhgTeVHWmIVpwK7Er+Q5hY jqdaYWBP/qPbetbLhS8LrgcgBlQKhY1cbJanJDfsfaMQ1pzrpOhJr3gKRD6ZolqMOyXXhdKNbn3X Li3S0kO8q/rkAaffH+Frang90k6WEcKnVdw+OB35XZSn2MHkbieWoH/pwzwes8dvSw7o9peFK5ao Yj0uYNTgpmvpCs5UhrSnvPg2sTzFlUHc3noYpIPQuChlke3jM6fKHAV0jkbI8sQI892XqG0bo2Yl 0Q1JaUIda37mE3AdebcU5DQWQ9AmmlpQbschhgkcf0FUd6oc/gLCewCMszywJ+kWqn7KrbLg7j7k 3AnAr7SrF5jmNyi75WFBltdzTQ+XWFxpgAR4qFiOL2XAofzmFLvLRoHQDgYz1eXs7b1xMz+WDHUz bL5BW1qLJLGBFnbyOBMrRP0rTt/7IlB/Ptw0kn//81PX8kUjAKIg3v2WfD19ysLrqkr9rIVNwMSV Ifgm1p5kuw5IYrMLyDr90hSIFeS0nxaWtDt0860pnvtMM+OHgFrub55udD74q7XXTtJN7yM3Hm09 AMnzC/6OQFJ7D82DlkBQYRRCH9k3Za4l+fOlGWXoyuBEMv4iZ29dUmgWkGWE13YcVoh+/QTMGoFv DqvgnPX3rBgz1/bp1vEqCVTnOb1lIxdFTP3182zM54GzO+04nF6EIwiVEQS66HKVYJq3wlA64rkl Z5Q3YI5cn4scuwrf7sPoxUwZeIPe1eSLfzoIL+frc2wjRiQHwwmDVUbKmLEg+FIKVT7wjf2ks3uL piowti/z7XFwhuxA+iVKXYtWMWrWhqXgKjMUGr+vLy5LYM3ZmI3KZcHX8czs0PfWZzr4bbcrnLcr JWlw8vRvH1a6p5J6rHx/M8aNaAg1q9Yr91T5uJjCEChnOblyz/jn/fy1Cw+iUEUDb+7NtjAdzhe/ Ksejh+dkinwmEwXJ/EWb1Kee80jbOuaNf3li88N/NW0TmKt+v/7WDfqKEUyOTYl52xC/oipIBTNi xCBoUzxY4VHsVKQDE9jPdO6HhOngB5SlhwxBoOXQVtzX6x+bgXJB3zaKga0VTUWzTfxZks9HkkxR sNyXc0gt7q6CTIoBPyiHS5YWxFQDHPlXIhRgvWzHRkoMIAuHZEu+EcObKHsQs6AJGcM4J+3NFaCA Zu1WZsrSfrS3usmoQKcjG1cJ9yOtXUuBNh7FoXXucW5vdmUxnC/n0X42LNDq8kYqYb6GW5c5Ct9U Mf97PfERrfZdx9RRFVxtiUVNoGDpPLcEEve/RSMR5snuGCK5ZT1Ssl9k5hkESeLqIdKCPLffcsV4 drgIpciAFi/2nYnJHQwhp4GwQGe4zezSo4HjqXRiw4HYTBScMGCJqf4oosn3psCOg2CsrFbp9FQu Ri96D5tUKg1MasTOn90SLICnQYVhBYh9lkG4eaBta/m3ysT8BxjlJX8J5aUNx8q43HNbJPOxV0Et PLNy12TJnUbsRYVHdsOPdH4LRj6ARywaEN0HfTfkuZCiOJn1kGdfTwsEiAfPXnMqnz7GViH/XLzz E1vCHKNtwzKZT+50F+xqlHxhTMQBmblYL4ZosGwPu7eaQSANhC9JoUnqNOtvlmdy4GYeV42LUZuT UMLnF9Vm3LEN52ThZTr7I0eB83bLeaxiQj8zxcTQz+jmVAlS++Lkpem9R99o3iVc3NYpUK3HJK8T 30rlKv0b2dpPU6nqyS8CuhqxuuxFGpkk2+G8tYoTHmWAmsd1OZD1qYySR9Fv3Ux/dO7fRB2AI/RP uydybEQqpeS0tDxooDrk8IiE21ZtKpHJDqN5ySWlrb/3NWg2++OeZAGVUEzbTHbHsd9TyFqdVPUo b9NCw1EhV3p9mIJREx+hXwmap8zivTr4T4ni63ZWYJ0r393gEb2b3vqRICwX0/+o3GaDb3KyIdVF DLDzmoyx1asFcBub+oeCfDrDi7vKnEidWJuTevC0HCpkEentbVzfh6tc6hnkL411/IqV808FkhZ3 GXU05HUIGxKsL5F9fIRFjGggFr59yVHbpWIGrxCvRyZQ1TfLnzUlYIe1e3IFyCyakVVaG86pm2OA gxoxKOV1W/sCNmZeaHaOjpEuWfOZWB6s3t4t4hiqb0iblBRC2NUPmC4Sz2g1HfWrY+iGvs/ylNLi jNUT2h0On71TZXUf1ic6fBOkDPC8vIjUW99B9RooaP35O8eveWOI6TAI8D0Z1chwW6+IMHsxMDTo 9x55hU2BSGOh26gqXLLICjK/hw5FRDaBH2ttVCgdmWwsx5thKM1RV50bM20Enm8ecYYpBhkD48Pk wC6IrQnJJLyQG/CddHt0CJXaVDI/i0yIinM9tMrwnbCqJL5MGS97JoSt3i/X3iYm4HAlvYAYQKiz kmBql9M982pCoJEyE2GEqRtNUizugq+ntbikxaxnl6SOf/Vbz6xAbKt45wGUMD+Ep9S49CItATTs qoFt7vXD7uugmXQG1hqURS+bkcpmRFW+Wp3FRqr+uA3kmWR/OowrseToZIkmWiws+ZpyHZWEYfV4 1ji7pvNQEixCdNdl5QrxtkgYaxwEOgxDHhBef+BcvBMSjSYz60vjGmlsL8NZ3OhSvUMkfLiDcYHo 3HVVNz9cZZAiRxXjXNSE86PhGUBxOK5iD/AA59z4d4WRTLmSKQxbd3ZErTENjKcP/rZbzVcl+O3j XKZLIj5Fv233j/Rx1sY6swLqIbwquBTRKk9FR475kX2ItnZ8p3j9K0/3zmcMsHACCbOXtK8SzVyW gtB3OeAk1VnZynXUsyMkFTLrwG1DksiuPo+toEoV2H6uToaAXI4eM598cVGcTnuoNOWGaAJwbBFG e3E21ABewKIJaNkykZc1eccImRhb9hX/FY7WAc0M8NM4wos9VpS0Jn0T+LSGQzIxZUEJOavByVhj DR5ow8E2zuOkT3ndxHYXL7Jf4Om2PtCYoDK17CPXrhsC+pPYXztVDxni2Ffv08rPSiNkylZmKZOf E6C3WbR+RDP/5l0VSacZoYPNurjzc16Ox/26kDH6oZ/MJMJi9qoh0Ahn+rj7w8zh+U5MhLKiQlHN XAtKpntErx33Q45nG5+uZWeCGUziLwcUxYYaXLeJB74BpcSlzwI0yAtma8o19zqc46zHVZ4GrbjC Xj9dw/RYCTP0JCTGU9AJVlLhNxzfKxe7LnkEGKiX57qIJXPwlTgBC1cDCbv+fAW8TVAmKLT0FhrX nWcBjw4WekCFYoX21CTTLp5UyvV88Z7foU9ihuiyuaZZ1FdqXqr90XzJDGn2LDHW3txxGaSLO0aZ oMKp33FHpfyE/WWCH3BryUHsJz8eMjnvLY6JPBWvAA6NkuDKpSQlw/V1qzxBe92hzH3YRHJFrHMK rKx8D0TarH5udpQTmv7VpETup+lzqPLGKNNfkDQxMcP9IHHxGATaVcJaxslyiwTuRO3jwX45gpDj yVtnDnDz4fle2VvmP+WlPEjVnLrgTAj7Xh+uoZaCO7HX5yugJZ7Uk9zHJtPDtVhaYs/MTQOAU7Ul L/deHZoA68rIGvyjSyON9+sSQYfkJOLdxrc7aue8wK0Wl1R4n6v7BukxujjgC5ye1jF0cGNh7Y+5 fXIbuQRHY6Ec9tGPF37Tmau2z7rZCMkGSznHiICIiraXMBN0EvAXINcxn6uCp8GkSKgSGRFpMR1U V9AG22aERLGsZrq4Xj5W3mpC7VhpC16TS1bYn2uJY9d2Z98ivVfyltyVtZh/aMUiRhbsc9nk8E4A wa0AkTcBe7YevU8kaDTXiTsl8Yu7X01aRviuhKEoT77dvCRRBpGCpLW8BNRJ9GtQo4a9qvBCJuuy pn7a9i6HmG+ReVx1yNzaPjhGkR7jbJJ5tgnU3uhQvJ8UTaXdngVkIK9IHMYXtUlKj94ZWHpcE+Iw B5OJq8L616Qb8nWwWrolk8zu8dWSE0BVA3/U4TCMcG9L0TJ3lggjSBQ6qIKlWJjE1EEPFYrYvBCg Y0MGAk2cIlIbpLQm21iWrWu2uwd8M/9R/oakNsImF1UHl+PrROduJJSP25dZ8/7ExZ+kIgkq+eom 8kgO8vng1BbsqCw4pd4dL00OhdmVrtrcmCWeecGIldgA1f8D55G1ilb+2Z/iVZ8nN3XSOVWLCwF1 lMOJQLYzsuOGSwPGEG05txSmKrwg/nX47dhIDcdaTQV4mzjBspz6dq+KePeoT8Bu6/S+4t/pxqfz 9s73Tt16lwRnhvnAH+kju1TF4UEyhkPKgc3g6Vbx2fHJLlFT3vdK/ZecXJSiDXRZPXwheLl+srnh PqRmvd8J93HTFP8qiF4QxzZdJAAsiJwYlcnVYBnyPNzteKzJ98a8/5KOh2EyG+P7yZWsNcGKgTyW 30fg9TAOkN5J0uMZWvfX+KkfUzxtIYqrmxXF5E71OUVXWygOmsrRQTxj937eGP70hqoiS9sZGuUc WgyNvYU528GcgvWgcvsBWVJINc+jVDfPAr2+KxlYQHfW0aJ0j9tqUDHrBpwOOm7fgh6n4OMW9ndB Y7Br+AY4uhMxVJibT7SiJNWM05baDln0zL33UbyRFNbxw3zFPJgU7vCm1FLm8kMXUgvS7Xo2Chcu umA9MW4pzVeD/fVVuFtEqiHO1qRZn6srj+VLzQWzFdsaBTWzZvbKTUfydi1o5tYu12KhU0/YamZG PptDll1zTNIOW3yfscdgc926W2c62t5nYxSOHmNPvpUG8EHsFJqGtFCj8zCZ/bI+sWK+Tzp4Ks9y zasbFLNtEOKU9f8A0aqF7gNQzfgzQGon59tsQ8GNgdyeBUFyZGJT4G3H4N6V1ZlLBoT5/HK3k7wd vhme4jqy2bkkOpr3p2SXdS0i7tH4XJAqo0B4kPmPPyULeshmDKEriTcbeuekb3Yl+eMTXaSJpolu 59gKJ9PtBJKml2fIUrL5pX6CTQNyd7hMYXKCJa89t5HUzRtoVbQpUWM1HHx1NwWdfHwrzC8uaFaT YfSxPQWI4zyMtki0mzX6lz6V3fHS8dLy/xSf22+c52l+49JqZMJOt4pW06QGWSrlCum/724hEMh5 0jNsPUhvfUxO88B47sF4bbosFlq9chM+9SImIhWiSrXNlBJHtc9i1uCS8cpHkvLzHawcBxpZGmHT NlGZdSfbJRH+EB2nK+X8rZl6KmsCEFjwXTeMDHbP7sswyMG74DrKQKfEuaxG8cByou+8AhRyqRLD tfkS0hVy/znh4wospJgXyZmwGp5gVvn8bs2CnbOGqU42MVTGEBURr6PgfQbjr99e8pvJaQelXAdw FNrBPN4cDFWetJXfwkt5yEEjL/j+8iOMDhvMbPAwPZ5yRzBUS+whUQeo9zpOqnP0GDWUr5vLu2UQ LKGkminsdNcsyu56frvrxXOFG8fyk/Ad+8jTZxedIQEZH81jKN/rr9lRfcZw32NMmrY0UF0MpumI RnCQGaVonuP3pjbRRWUrnrzLQyB94vAV4COSByrkIIjyvUrdpQQ18sRoXHh82GYMc+xjEPc31XrJ 0Wv4QomjHv/1nBWSZHpje/8yHLLuJU409/3u/JiOtGyPh7z/BFNDnVue5CxkoxKylP8Vr2je53XL 1VeGjFU/a8kYTpw3HqeMfdaUaC60HaGFjjMJDg/EKTC41jzYA4MmAiD83sxmX6Sx7bG4otg4cyI+ +ZGTDPImRWVR7jIARnxmQnQ6VUYgu7s1L6JrGoSOK0wumya/RW+Po/+Xp1Mi6xf7KLb2l9iaIU8n rI3phAKI1lHI2AibtKy9ZE7NjbS/Ksw0jAm0MPmtX1cUMF7SOOsPwvkr5cfiI7QhOoycPDSoAL5w SUfa00KFYXZ65jsHQcS4WkLYvY8ogX02C8vkxUXYtzfxVccLMsLG9u1Qz+41NiaV6EZ7nQS94Q3Q tNCHgXU1+K3jHwP+jEkJpm2pEFOoVqbfKSvzge3IQYX2bvhE3jhH3CiILhSkrMPximlCeEe5IC1p RuA5VpuL2DXCgI1cvWuFC+tO1joXiwtNW2kFPkOkVagmKBFvsifrVNS98CLJfXtQbZFrZCqRxUSC b+xUeAiPC1sCLCj7HDcUEQdkwIMMLB8DfKHIvjWlLy06vqs7ttF2iySqrkAXJbBXJvHXmCUYynmN swkV5zFTfwqqcipFXTVTXQWSBBoOQu5JYV2CXnjIeej5wo+SF9L5Beun5zZXTkMQEO57YVsgsfNE E/XGdzoIqMYoJb88u9xjzNkCSDLgyNE04uQluEky9I1kTffkTDeWj6DO2vYPVwpG0tGz9KGHTriR cEnl6nkR7N4MSu3wpvT478qJHOVwdp/Hd4cMWYfovygSpNU5s/pxoiDc6wsqdvMzoyR5QVC+BMAW 5RCejqIqXgHFcEOEAWfxD8uHvCRySsuOzW2WgD89ctY6eb3IKY6deSKSR6ND2XBJ17oPrkXsE/WZ UOf70a+XA2zdGCJuYaULHhNQaRhoB1fXiHD4NfVsQ6LG9rHH8gUpmJli+/VTv7FDxEunWVHMy8IP 4MsX9p6u7x6v6VcRkrSh9gAYZ4aNq6ZBhavrHEIPyo60l75z1golDEcE9znvgO07YV6zPlqJdXwE 1ozkSJR1FogBqRT7EqHplzA5OWRDRMtdGj+SWg4hxF7fLLzeqDGyYG6SyspqumJXEcGrAEZ1LOWO g2uIW/gmpHTjdomv7MH/FvgZ+Is3iMqsO1o1IjYnwvakPNhiUfhcgdVivLXjaWRyAkZgVxBPwoMi f9djDQnG06+VPuY433V+xN20X0UeSU/KsEOGf7ZUri7IoVItV0U48rAPljbvmxYyOBf5fI43z2nl CKR/izHPSjs9FSBcOMEy3nnpkBCRr2bFKLdKwyGEb8gzPq04e9ZGL07w7CsvuYXSvGutYT/f/2qy prmcLJ+9bpaNKbJCWkRsJNZmreWfgLqyE45vTadxZYtJVlpBmtO9qONaYYExLycXNT8Bzu5mMvxG b7fQN3blxGZJ4ekgqBArXdjCfoayfMXplEd3Yuw+p6vQecdozVI21fbMrExgq92cWDWRWbL2bkKa wuaiTlc0liHOgg3a9db0azqw8j1EXUA7PeK6b+y1hrHNQ5WJFb1/jAdCOJ00Z+x1FSZBLpeMH2LH Qn36vBeih0juLTjHj8rWlxLRPqwcfdKO1MMoR78VtgMJGy6qc89lyXvGzTFsc66vKlVbP76YcZZ0 ruSOgtbTKS9+G2nN0N5lczd3WOcmqs2/3IYMDbfCzEGHTtXqGY9vjjd434WsRJ08lCl0QXicO8P2 bs20mzsDZu02L/yqj0X2u3thnLuMDZj/kMzGIO3SlPY4rx284sd+jIGETSCzLV6r8g+JHCHEI2A2 sSKqezXEhWkXQAVIlwoJ7Bl6Fetugb0utM8u7R+0c2MMxwLs439400gjrxOLGvNxrcgAyoTEU+S7 23u1SJALALwugU2KuUOU6mvHPzoAC+7bx0XM7RfMplpYECv9w1nlwLyq7yPbTLRqxdaoCKFSR5QG mm9MGSj5ADfFAVK+NcEdGs3uhe/9s9/jERBzVJ9sA8+XyigEh3k4L6z5N5slneEUqkSkHHR7z1tD tOGIiwr5KSWs5mMehYANJT7f0Sl+gBoQvszxfda23OAuB/y7a2u0jOGDQEkY1g9nr0q0j0J4/kwk rq8hY/IW+GvbEK7YkNtGi247DqpJsr8cajPERKAVzlvX2Ru9Mu4i25/LpzQ17h9OOOx1GosSct10 dTDpXjhut1VEVh1xgY6UeDfIGSbmdXYkaiBt/dBG4AicnaOwmMU+LXuYq0f3NRnPTgZmUdnYInmO cJX4icQQSkgeaa6GBhj0kcbZhCfB/z7TV8dHy9rTCpzJZVemYzrHwa7eV8wnl+MO1b4AQwCTyw0g 5yIHl8XErDUIFSw1lRmpIA8xxmimfd0SmDZbelizKwN3ovTx+UHoRANcNXFDHYFkMGxcI3VKpy9o rPc1QD0Yw5pl2agn4wrJt9gwTYSz8aKcsDr8KVTIDmxcyQEGy7mrFjxPhyRCaQOvaBAbFMiusvrK R+SwkpUPTwXJ2jno4aUFzoPRRQFSk0lijKDuLFlUttc0vHhzkykwo/j7No/KYXj4lh/LHQm+k7+D bcKtsSTZVyWlFLxB7+Fi6GIMuIBeO67KKb6yry5Pzx4j4Fwbh/Sn26m/kN/YUbbHYRGEZSlqqMBg 62MeE5JEBkjIKFl9YBobsbRpUa93nwUsX62AEvTR3kSHEXS+yfw1CroTw9cAlm8SLZc1bQTcSg03 4engVD7twSAPqpSeiOrjLq+pHaBTlSviXLdTTNurQd8A0zteDHlX2z+jXtosrl5rwEghUjQqIwky aRdoCXlLRmSNpJExW/KnOezFZyamJXhyJ24uD67KF8qNZzHmI6V/0pvzmXBr4o/dnkZwP4c/KF/M nsf4B/f/hL5j8xVF4XUSZ4NDirdlleqvDNqjlpRWB2cLjIzxvMr3FfkHeEwSkRCPtCznQn6wbniV y9IdFYZMQsbBEexRK6pXlPbRGuFKEOV0xaegADdvZXsY4vCozSZ5TMCozn9VjoHtgvOt6qZ+2OlW cqnMitfwXcYZQD+Psye0W8d80fYeUZiTZo94ce1y92tw0hRFwRwxL9wdK3zkBmzNTHbJTq4V/bFd 2eovnisqIQfmxyg8tbkTD69r2dn8UbT6jIqWGAu4a8H7l5APUgnGIkK+54XJI9bTnTMQgqmao7h1 5yNIObnpH04CIY5tCMI/EwQA5DLnLQ8AdgkMmB2CGd69Or0TJhg7HMEotCWw2g1l4z2jVfUt1DOz arze1HVwVjXreRYJcRsY77m4lE8gAMfbC8OVah74igevKaMp24KvsZnkapCrAy1zEvAZPozmYTui 5vVi0L8al3e2FM/8+ls/s6QVPUqkU/alWfu9FmxWxW4ILz2EkxbgpVooUV9V4tmSX3Ltqo5uX7Y5 OtHCAlIE1x1tVII+FcZ77JpaCTJ7hQELYvh7Epv1JFfKw9uSQyWzo0ZBmTIjb4qHCstzbhwYyhOW efEhuwuxrG37OJBjF/NJi26b1cnZYzsnIottAFQ1j7GfHjoGsCV3VcwCFqXLBpPKUXFzRFngy409 MdLBlnBni1V7GWARA1BcSLkEJNIRO4vpWWl+67CK7g8N/sewc9VOj1eayg5xL8wiSoeYKEJiqk4A CM9ZXdVbs/hwhYNDdfS/KhC4Alf+Y8Ug3cKItM18v0FkWdqD8kEYgDdoA7KWZW/DnMCJBzV20ISB XJL1pnW3nKcDRj9cH8o66426aLrRn2YIMqZ3kwB4GVmnC6pk+0JIafhoWBvezlkItUHS9meyh5U7 kEW7CuBW8OX3yyVCjZZNDZoS32d88FLsvEjuteXo3hHy+N2ZgYWOvxURNDnVGzG1BlTfdjoszK1m PDTu57++gG0RoCuScdS7A7fl4vE/np1zQ3+/B3q2cHinhdZkaa3cG0twUY99PTm5WlmLncw5KZiU ShEx138nXzaKzqVYxL3W/hhVSdTKMSoq21O/hIA4+jM1aW41AjpuueKwI9Ggl+lzArsZoP8d/F92 VUzl47xkudHdhnxcioiFKpj8dcxDOZnpps551xRid3kzoRaZ3ZLTHvfQ2jBLIhayofYmzU9web0R rpMn+7i73dlwAwc5LoovZNjp+TLS1qiHgMgb6TL/okd0v9NyBdT7UTPG4kUq+KZsE1SrXUKADnBo 1IKfkjU5Z9ie1ceE9a9uENI2ftslgPg+VgKV5rjuk+GsMvelZ+O8e/VM7rQ9/E3PFv+MEJKIGElM JCif3JIDA06XXueJ+aARTMGn/7Ao2S7/gX+2pSg3cVE8wWu8QnvVVSndMRvuIW3KNyZ7C1uuCqSi azPV5Vc7sCF4qL4Oh8zFGffsXAStm7kbM0K6TRI2sBpB6kX7Clu5ga742bQiXc2DxQBsv/ZsR98v Qk222m29hQRAxJ4x0Je5Qe4n0Xv22jKIOWOqyFp/uYoqmn+9I2BwH6+fr/+Fgp9G5XTMjlQ1/bbQ TXODaLgYsUKrzJMoWjZfa2WiFNgyJVxjWUUvjc2Qcyq6Zr778vLiwaMnbMQ6zCxkUNjsw3Y0dr6+ PU8ul8u3JAdir1ZcAGhYsCZgDP2EmewuVvVjeyuXO7QU0hbiRzm+IIpOsse4zLitJrPP5u8cK03D 1w34PsxR6aEGLdLkQImW+Vc7ACwl1+OpAb2jpP0bl7k7XatTVIugahjEynk+FU+BrxwRzVgwp5wg EiGs8PgXzdi68Czqrtcg2r+7D96x0EZY1TQx79HkDxT3IimbZgdiJuYS0ulxCx1I840wN9WY8Djz D7/b7oL/eRvlZqd6LJXjC2oCTTbotKNoyec6ddjn79eyYGvR4vRXPY1ZfppS86iID/luw5bAskWY OoovUdol+50C25Bjz+i9P+zI6mhzly3H4o7HqxUpoC2v4P4W1xTmHSiZJO/JU3nWEejPNHY9Hp9S idVVnbVq0/qYQ4wXo8dUJZVM/27b6uxY4ofgtmJDFwe9riJ3gIPq087Z3HvEYhyblFGdudDEVqdn 3jzWuVYE/AkiipgCLwjNRjSzZ7MRPTnBAKFOl2GrUn3GiLphcy1GNa3EpnJd32XgPRAOrK8+l1va 90mgk9r+MuuPmLDGXStGBsghgo5x8h8TYiJFKqbxQxCfHamY1trexi1zPqvN+lQ2VxtLDUWqMGww kEVNUv3MwVHBvKetSHlHtgRfoaUfiLZ9+m+TBhXatEf/oyiyEToEYLDHWTxf8GpBDUuonyTtneLF je8VROILLutlEe7SMxh59brOKj3ihZp6ALmxA86fjSQsGhibBCvM8qUsGRA3UFNOudYwefU4f6Bh GQYq2kcHO4N39JAUWT51FaRW8zHUohWjwSWB/ejDxPMyIG4cLL4sMpPaziP/CJRbalsP/ghABdTL zkVUmgRfo2nbOObdebM5xO3YwA6X6ALpuhC40GwZItW/ErfsFGWBkmMLpVPTg8QYoSfiSnkMcvyv /r/4Ja0kH4Gxky1ucvE9ep239c7eg0M39GGE08oYK/q/OvdcG791o/DyZo3mis1TrdYq/rlZGdZS i0cR5xTi6TT5fjuneFR0SVhHQ5Wx8MUUeBiiFEk2sycD1ouCdnJNxa6veAdclrbkKrKARpuV3iOZ WDWoBN0JbKtdO+hiEMXERmFSZxiYl2kTjZw88x2eZvKMkTn7zA4uEyoS+HGY7tQr46vWOU9kBjKc b2+ayGQQGSR7u3zUy8VpjmNCeVvuKxufXIGNsXPZE5HLKc/U8hQyb8T7+PO/ElZ/brogOiHXhiy+ lyvdMVE1uyEbllo0aFvnXWQikbQDBEY9OfT01p+dHuINVTfkb4G4In+1SCLiFv3GFPlkUiSAre9l wtbK17kDisXwrwXi8tXfJ+JdJ48cfk14kvCF8cslhHVL+YSSWh9zKBItMZ4qjMSQ8/36l1gmNnUE GvHeozdBUKU4VL9iAHPL9Zc8HOONPoCkPQqEYCyhP7uRb9mVpyB2PqdmHXE5kQXsOYxJAo1jSPee A3RYSybbHezDFbDAVEaeXbhINFnN9Wu0yC58tBej6FsR8cSMFPh/1fMU6RR4rDOYr8p7NB+dm/pe RssqYygxjWbOZelRx7Zl7FQPKsTyUyMjVvkowwlbOhTARW3IDZBF9HSxixd8UHAb25zJFlVz3Hms PP4k9dFrdbqEGOZcROi9Ome7LVFq+5ORNRPYD/lD/vVlhX67yEoBzQmcGTQ8Lv8F1oeLz0drqEVq QM9shits3lTuah6QAO2xyzqRldts0uF3xazpZbWPky0y0HynVvSKjSqHd9t2K9LN66wMKTF8RN66 uGTPDJYVD6AeNsSTke9MJ86Dr6yR12sjjs6oMc4vwM82FU40MpP/tbjUz2zE/NoU0QQ/ci7vH9x7 m/wivzpeKSuIMGxIcYD3eLz78uApEUvn+HBCrSfQsjUGfdce2xoyWDx0zzVBPWcXTFKE0ZOIVwwW WmHhZLFajH9E/+hUVnavp694KJMcprSlWSXfn6g0DcPN2uMVNYpVRe2TmWXtZ2ajEsvWPLOMUB3S KxBko7IqNW6BdXsMzZxolcoAHp7fx8tv0/KSwSZ4cwl4bp6yERG/lpJLG3/JsDRYHsrRQvFZmyEt sKaqJ0AwD4XgFLI+2jnvt5KNeiabugdVije4jj/2nnUuBdrKXMfInB1f5RiNxXG33ziuuZ1CL3X8 Yx466RCtn4rYdYCZAK6e7SVp18zTEXMqV1guHgstwK2cTvjOp8us6385Cuckl6/Rw6lqtQRcrh3A rn0LWjFWQojgDsR+J52i/TtXE4yMD5fg0/QhpYq1saDsVs3QHQ5mENqURKlQJnL9s+QVictPVoS8 2Rlhc7QXd7TrVNJriACSN3SD5Nh08aCdES0zCAJ9eqnOLDn8XYsfwGrm09hbGuCHBFYa//sMMapr 9zT4D6wnNRQtF1/fRY7efMfjVVjaC2fouj16BirlVNCyldBfygvjpoaUQ/mS8fWoc9TYaCIBkLdS 3TWPlGq68RR3x1Vi+CvkSmfKsEJaI7c/0ggNAE6xHJvI7aG6QHDBNAdRjBFwuNLVBhMuVtM5GzLC nGJj5TJ+thcMbC1M9cBNb2MhRywE0gAFq71Xj0bLAzPpxvAyKutNIC1dyRZ0o+JTrZdhRLqWgZoD q9GBy6EgH28CWHIJh1YKeM6fdyt8F3H09FyQ8j5qsZqGFFAjrPerXg1bE4RkzGy/EuDOwBqGtEJm yxhwc8V4uAEcDRpNjk81HDM3P70KmsME7TKvH3kMgNPG5+lIaxAay6oLs9IQbUuUVExr0bz1sI7s q0/VwLtwY6UKjhQttD/Q1//1gF75fiwT1+W7yrhops6zzpq0nysDjxcGr54nO8yYpLXKYBS1inAF rWyKNvRSKBlahZKN71uPUl9sTvF2tj7/7fpyOxULQkT+Dj1D/PYQGv8MxCdMZfoRUZqem5qymy3U egXiQdgHI9tfxEQ3vnPxaEj+stR45shMJvxp27Re9OcVHGp4yd+EA1JSDezWaZyCdnGGIbqigQjH nmLJd/cMO/p4E8mXmguJS67S7+2baTU/KCVONMPXAGudSvv0Btp2X7Mx8DKcppNsZ3NySxv5hO/N CDfqLneAz+1BrcjaWPqKH0FDgNJQpGjVatG83XR8zwQlQK8XVRbETJbMvOyYhm5XFB5KRiWTDhxb 7lvha2gXIv94IASY+/BFrBBBMKNYihSSVb3rYNKw3UrFwHGkThqb5LhQ5guiTdWg/zJ3CsF/cHQI FLYaTUZYj76m0uv5qwLwk8VWVuj4KzVMJHqR/I6LgVDEtAIXGJIay+ovKvlCFrOLhLdm+OKCeihr P9KsUUhID4chExyrNnk2rZFekU4LSpA5eR6HfgTBwg0iAUqJs2Hcq+NBtGNXrdZDWu3F4bGKDOT6 lGYGYbhUcU54RH3laLMQ0B+/cq8qRFH76XwGh9TU6m+eTFcHqb0sXkUcgTRXLBMgAhKk9VJwJfNk vSDwgsyGM/lXJ+rnBWlNhxWj9gUv0vfgHBDBCdvRZbh71pu+2zjcZHOcZ0YdJZQKmybsI9JzQREY 5ghQ2WXyKybECeQCvOnCxzBFbsfF8/mIFOSzW86LnehwfySzpP9YJHvsRy8axSTFSUu8tV41WdFJ 5i3AjgfCqFljhWTRDYUh+t0pJgJ3KfAzDDUlPVpBQ4k7+EwDhAVfirOX+I+oOFZOHLgwxhKpCoNs c8AGU01pFrNxZiyQMPVLsTVlIwSGgi8fikO0f/5FUEuHzQ5NeoEa+64+1eK9IyZxAAFoYV6aXHkI 1tubKacHtZQA53O58S2ELaegXkU5PhCWFejhK4L16765C8vz2AsZcr+iZKj+ZFqGXRZFjm9P+k0r yru4vVKARFMS4V3B56PfmmCMo295O8ALfWRFz1fRJEjOiDEnLjQahPvPcGcUxXIooxm+9oTKz84D EBAdzUmEwUKUtj4C0v5usHaaR7NyjrJ+1pN+iLK0tbo/0oBqgFjXltBlDVoNHQaMWs8c1H2w3Qqs pERZWt+ngZfv0PVB2cegOgyODt0bsRdBSYYZXMMhYI8Sz/do/BW92zyZonsjWtMRPALYWGuqw6GA 5iB4dXBteH46TAoFOIJ6I+Cf5QSKMnJ27GrwpBiFkbiTbSbAE7C9v0QABjTQbzLqcRygRzIB65AC IkVdIl3PmK2BnTOw301eRM/uGVhXlqn0YpswktNLZOm9hSnScojwR0dkyy19gJU6WFu3Cx2eaBhd rnWJFpfNC9avMs0JgjCd/eRdowQnqGRbdUMTSprfni4Oc4Y2gh6GFJGbm5BPvez8Fdj2hh2Z2GBn GJKk1ZpUiclE5tIxuYcFXhhapGxL+ajPcEEz5oqLiSqj+h5jwO72DmwmzC9KMMQa6qxqCWnuvlXJ /prJErLKQFlmfPRi82OM2yKksL+gO94wfCFmfxVBg/2Q6QTjVPfYOSdEGpWgwwM97iOYE+2aLnW2 hn/qZz1eqUuKoihBa7pwjzk6A8HoVwPTrmcmljkbqxaAXRnJ3TvvBGNkZTheCBQLFElIkJHV1hWw zi3VAeNI25VC+KLcSh6k2y7UGK4HjULwi+OZNXjwjzB5XokmPfmrhlJFI3nCFNUWjRwDtcueYTyl p9D2musXzMENym2TZaxg3FhvK1F3FsHnvTaRb6pvMG0nHcs0G6vmFB2gF2lH+cxz+9iZabBJ40yx HEyzQvz2nNVpeLG/QWCk3B/+tHRB42ZQG6REtsssF2Obt7ggywOVRWo1vIMufzCbh3MAuljBOBzi +AmceU2PQPmoh6XDmjv407dKN4zGDOaTraOx9KgWmwQOIHznjM2wU1E3G2xaPiIlU/uTFF5iqmrw mV1S9JaPGE38vFzspwqd/uyLXWsobNOkJuUtbWmOFbVEkOS25xYH4N506rBT3dwy8uuvAp+NAR1W pQ4lE74pwNCECIGp0xNxLQxQTOFwMGgkXB6KyndWF6au/xeoJxrZgnf4v4RqDCl3z7HkCVMv76XX ODFRc7CX2v3QYUf57YyurXECgRrYhXu//picfTiqvgQP3sSIsFudDDRdY4XcqjkVXfD1CYGrQ7kB jHHMyaALPQjP4ao01W0Nh7rUUEGQPpdE6y/7YB55fUIv08NHsw74bKf/Ffju8p2IdDTKSqxjnpZ0 VtUNHq0sWmlJHXQNVcI/2gvHiEeo9eFTpuO3+ENomgCyKSJDfJsANjOUK2NaMZ3OlM5nx9RBPa8o i+gETiDWbd8/xBi+w/lwNE46HcxezN2yrkj01ouWkKrJMM8yo3CRlJKHlscNbvt5q/i1y+i+K4x0 ec2sj+Fo13CSQI98wYIKYlERDqY+ykzFzHASKL9UL5/TwLtMm83JVGt7JOgXNM9Hx/89Gx6GZXAx N5Ms14FVaEPUUXI1bmp1HT6xesbPEqXcMPqp6tsIC/b9nIzN/T94yZHQWBO1paVxFQhfdBSscTUj x+OwyzAvsri11D+Q6yPsJPs+eUOV1TvIkVNK+/CvFnyY6lJbY1hR+uZsnrT2e9Q4vpWkSZl9EMpu 4JHLbZ7cjAKTDZVRZWwWT2RS4BCRURSOIH3o7LDvWxMVhPktCLO33TIyDwoxiS2BeZA/eCmvDrWC aFAlcrKFDZY3xl85v8m8tp9sQgWJCyRySWhyNsDp178NA0vgJzNxf6oCxDOytQlFp5xmSQd6mcnC /hs2kXALVkdU9eF379XP2hB0CtBMYe7PlNgBA02T6FsWycLPg/TwdYtRM5Q0RhVncUL5BWqll8A8 FzRUTDAY/xRx6qj+GVwPbHREDUL1BmES/3x1T7GgUXl5Yxe2X4yNl3q4IaSY1Z8MAwqG+x/DVvRb XN+sUmRlKihks+8rK1/fkh7yfrHLwUqv6HvwpFFssYUQLCJtzVlqCNK+hNTAmr+y/AJe8WIlyl7B hl/HqenRJgWZl3725j2v/0CdnFz0tAjKmIMJNVU/2ehx8dQBIfr3k+C8BeMIW3N8ozaTmDM1VVkw /jvEDUrYkUH7ox+2Jmsw58ig53o10uSoAKfC3+sQQEv9I58XmRVe57rah7bmohNv4f53duYH+xUz e+Zda1YqDjM/8lO9kDy9orTPE7NS50Vzh6xY/yNuTaPmOZvM989juz1UFtIGPlmRUAvCL6J1dyEG Pxpz829bTLwio6HRxwj84tLyQak/ISoVSfzj7i1Kq62cL/uEjaa2MGRMQF3PdTZHWjsLl2ayyF0o 538QDTCvwFQY3rxrWXA5LhCBv2IYL4rau/XIAgChb44cQAgPYTR0ZdPWUcA55zvuM0JENvUu2plE 2cQOGMzWnZFMuKzDeTdqyctFb1ZnIAawxPTOjGB8QBPrU75ptie10LImBiT+AGYcr0SWImDWbmsd vkHbYJDi9wNUJuSvStCR4scmVWhQ2tqOUUztfpOCBXHOPwR/gYSgOvYa79hKTE17UuFO8dT2B4w6 GDEQ0lCOCc8o3F481/0qzx8AEOuvsepSH6caHR0hKkQK0baEXAP2rwMp6rkT5p8Nj2fPLIz+jKlZ 2c6l4pgmGMcihtPAVKqlZnDu/44UJ3GrAPP9NEQVP+41OxZSMQoMzyWkfWUTlI1DODJaYG5oBf05 WyrkyoLitfYq6tBDmkLY+S+DIJrwUMi9o1CEsXl66e+BlvmtcbV7QcKDfngfhbT5RRZqQbFLgwTS eOnAx+ahnTaRQGZ5g1r04o0JvZPj8awqTkxaQq6SWnOht42XiehKmwzma6rCT2W5JXyfV99CBUYG tt2Ae2DlNETBC4pq811oXUu8C2CJqjs5ul/UuUkjLriQzyXuPFivOL39YMg18lrWPXX9yToXl2Zr z8OeUSuB8neN6pIYc8y4SMgkAmoMXuoVl5bJvW16crKq72pQ8168mJam6uqPjgbiB1kfOk0KgXGG lDCIQk+Z6WFIJDBZT9j5LjVLs++Jc7Skjg/7pOYslAwJNI09orp28J4Zw3qnV+CrMc/NxlXIgH9R 8G0RmqCoLJTg6NsjsmucgJfzj6Wl290EJVbOqbfJtSceMPKktEkJkl4nC6Gsf4Pzr5fzGR0hY6RD F/ufQ/ojJsj0FGMWyslxvqU6Thr2NJ3LFxvfl7lcRMxBeRDqIHO0szbsyWsrAu9KZsib7eU+tkNm 828kB6EQF2UfEpBFeeJ1Slb5TN2P+786sBdsE7ZtzIbcSnqBL9bN8SGdxCZddRgRnpUDWSL6JSlA uemb+FyPtXOcxzZuxZyxRWXrYcjz8hngKMNZU+tsnO8cJTO2WXDIoD9ci9TYWzQD/d4lvIGqp8PX 8hrdSoaZ7bQXezXrUpiD2GFLFc7D4s96KL/tgXLzuniXoLo+uF8GHonZWi5V3V3OWX1qbd0R3dnr x2KLlnfXQnSIssvNEzPvdLKmYz86oV9rg5RH9X3eLnj24QrcDrKWistUgUDHv1imNZXC9Yh3gC49 YoEGL934AiNs8kRpUKOvQyOez+f6t7cQNCxxYGzD2ld2Pv/rn7SyzM0aHV/pOt8yDjU9tqdsJOTw ZVYGlDzfJddgD5zpTJYk+MvjYtYemQDkZECiJRT9o6PkRgNi1o/8IYIdWfzdlO2tdR+3dwKvlNDg MMXwKskdeNTHVhIKup/o4WWbByavw7qlLPNeu9RHlS6b4YskDyme5UAq8PEGevjFInRnW3FBeUWg xe5cpWL8Yho3mMs39brPE3/sv8FOrwRU6m2YbDWWf1uRY6QI12rhjT59lNs06SAepV4+rPW8esvu FE4bz2VMefOWRPXCrJbuEvNxXwCeJLtvRm1ak+MHk2vHuh+IP7LN3cY6NgSWeq4+ahhJQrGb5BBi fj+pggNA9/UDckLI+0GLLtiWk4xVypJuB9qWRSq9KBWLTHvsFbVhjOkHD6j6d3wV8xXCW851sd3+ NUFwlYbwWoqWmOOwzgcEp14HONc4Gl6rsRcbhwSWoDxqsegS5yHtlU+FgR5E6Lpww5M/IsLN4hp6 /tlbxLGTj9PYInqhZSdAf7lqOUC+t+mbY/CyUBFmNyjnuzSTivPB40VcCx1loUSuh+lO2Wz8EjMo JKDftcCXlMSmH4HXA7y59pr4cHZ52kBNmuVBxkt4Ky1V0WNETar1jXlALbIA9U1lV+7IZ+e1tUuU H7glSsVnxGxVDaVm4vKwUul0HJ12Dkjm6xbNYJHFOklYNwZ00z9/6P0ko3FiNsIiVfwSrmHzUEas VzgvRoPk+MgHgT5pVPXzvC5BsWJ4uA+CMmwJQukwl85a5UGPIbpTNVrCDA1KwCAYdMuWgUeAtnY6 0Xars9QluANbCHSbh9gbtRR8FG2G4uPHYbztcLguAbF6ivub+Lcm0aJma3N4NWjmzi+eqLSJvh2s jYCPpYcCJAq00wiMnGKicnorexT7aHz1T0MxbAr5qfRrYtWWzXqAco3U2VLyJQXEUCAurva/uKUL vSQbrSz8LyJzSHZhhMlh3EispqOQyUrTUJ/Mxq6sZNHBUQE95zhw3fCf/NJTINOecpwUh82tfzTu 8G1mcCsy7TJmj12C/DvTj9xN3XOvyLILJkx1lHeyqNyXSR3OzAKZQmAZsVZZajjVt9kzgXG5EyiL SRgoXhvWye4mnIn2m+WjAv4XpeFYLWBMZJZHglPFky8RyXldfOLDVbY0vcQ90u2s5CFkhIciSanu w2pgTDniIJFOSL09AvHDteC4dLuz5UtdSO3lhnFC0J2QPM+guuuuF737NOFET9th2h41vrNtMwUW 5iURgOnkjEMiiFRyLvk/DWAWDCszHTqL0Mp0CSooJpK6EYf1Fq0jhy8WdIOPl55Ygw+uI74DQwbm iMq5psBoLYR2pcReR6d78hyhi6LBEKxnd45gnIxyhWBzqjhs4hOof5MZiGZmNLV/RqDc8bXeSwpY Nf+4WvPEPiHBHw8ETkToyWfNa5W4q1Oy+RdYmQdm2nMGHbY35w2svhwZ41sOU5HMZ+z8FVVd4EX1 6I08ZSXt47Iv6EsEN+vrWd6sBJftXhwqBKILf/fS4S3CCw0P3jDZlJV6HjBAc1dNPa6KgchkJdZT Hqka/jIbVAxS6zem7l6GEaP/gCC7lRwuY2+158sk1/iuLogZZKnLa3fJxGsW/5BuyrlLN5vayYib 8ezwFoJmBkjuPXgo/AmHHSvSPUewzNvbdKxwdkrhCABj6dEL3gVaMZ0CuHsbN9+F2YE7ISOeKInK lxaHvycTGa9skKx5faVC6rmnmw2exaOCMiANJJZ6cXr04ST5JN7ZUtkob0Hnpm3NgWAm68Lo8q2d Qgp/PMzjYQhr55ZePmxRnG6admEUY0obv8F4uRMsBcXj0o8nnUjDkV2Ly8G861e3/YyPBt2FXpp0 lgmmxZ0QEolIXyMDZJcqxdQmH75ZFRrNf8aApBlnNmAebhw3wstlVsEJ2Q2DnG4c8nGvQgoIx9tm A42T3QIWDFHXiwCkTrO/vFOsrtrHC+aDOkNBof4WlZBk72KhxvZPj486ENLB5ylySVniZ6k2j9OG qM32k2FpxPpGkA1r6/9868/EgNT2qmmJJr0LFws0cdeKKtQbMYIDl1c/otwwtBltua0iXj4A3TvY Qs6FUXxGqux5ZF2QKXd1Awv+rxNyklRBkMKKitVsWnjqOKtzWUUeL3MigxLqquUCpYhJjL2CoCiT lrOv00mSfMtg52xF2BNi4RWApFfzlxEX33UyzkB1sQc9k3QSumo60cIMiNDP4ROoS52djBKA92ne so/ht4hpl8qMWuJUPxXvPqe+lw1XQWcB8RbkvM9NljvDQyqvgDknmZWxgS226SK1nmH0ZXLdXAXH cHdqWQ/4GF079DtAWQNxUDBHc2/xkng1y+Yr30rnIw3NR0JjgW8w/nJKEVKQY+nt5jkDwnLrRzKD 02ETXHoSiC/XtmTsRkrOxw7NJhgMLnrK4enQktI2Lhsam/XjWCrNub3fr9wpD2JN5q13rusA+I/g +OXyKAL18bS4hM2bW4BsPQylaYaAHZrdY4WgWdWuHMJbPfp3OIMoWJpPd4QHhUAgiflSr7TWb3oO oTwrzqK9DSCznO5NwQZPNw62pQS1LhuADWQMfjoTXoJsToULzulobPCec4liqcZ5+di5E8JcfKJs Dv64/fRW/AlSh8jl1HKGn/Q/7ocHMdv1+BOzpxzKS/Ob1q8mxYYkO8y+GxjOtpNmD5xI3dnzp+Qd o4I7tuEnkjnUF+J8X6CibXlkpPrvIDokJMbpSCOK9uu4iT541ICW0yQUKmjfLzPiOwP2m1BI6z0H f1jjQE1P5Oe2F4kvOgKobyAhrZQtzJ2ypblWFINujlXNuVcnje2yPkEKy4VygfYp4sM71kHRT1tY YDZJMwXxaX5ac/DMKTxZr6U780R6uHXhRP7gdaJXjLCNBPZqyCrDj2QHGC1XUbs3o2Mz0DIJ+E99 9Fxe5x+k2g3b85HvHqAZug8oSDEzxaj24iU3P5IkX+jERC4LnSsDjl2nffaeXan5rCecZqHYE2Sd iEA+6cv6nESG2feLQHR63S2AWeQPkkcHaSLpmkEuazyXzf3jWCn6i444gGsTLVaJHzOpPaZJKI/H vRhnrckvMjK7A+HWxI6/2oeBQYocI9xEr3SZP9wT1abBox6IcymEDdmx6w6E37mitnGNzxtuEQAm v8lUjfxO4B0oAIsrnVkcFtOnU5U0gdy+9YAWOyB9u3XgCoBwuFbtQNMLGFJ810s6YU5IqhEKDK8y tueD1dWmSqZFZcveXIRT8Ew8d/gk5l3f4MiZM+5h+qirYM4sLw9gUsFSnqw4vOhsI+S/VhIycjTW hze2rdUI/UAe/EfRDUxBtLmxrF5qC7R7FRM0DPkxly4ygCaO6OhA8uzOsVBg3BM34hjRIHUye4sb M/3kOVvFQq15DVKrsBPuLVzvOuuC0seqARt6INSbn5gJkcGCTkWGK9Qtg3yOqAq6JXDFKTZjl2Rc MtoLAAGC7S3YJW0m/QQeaDZ113xglIC/rRe0eGDH1Sjovs6P0cvL9xff/7ZbYcZuPE2gx1tjoWd2 bs50Hz3zat4Uj39rA4El/oNuLK9FpZm6y4RoeZl8eZw7+5I9AAdk5ZmNDXP+fXncd6WV9dyD4xVF +SifCw8OoCoksKYh6+WGuI8Dt+4kKQB3KomGE5YLBwBWPnUmZRPNoMWMWl7fTs6RfSLX56Cjfcvv wIkxvr9FJcEx2dPpssMX8qsMeVxNBiuwrobk60qukt6HtOIBL13bP75XKwxhip9anO2X2kfHOOWy zMSjpCCLSE7Hg6JrdfE7vrhAPALwwO+c/Bb+C7JQdSwBCxCYvc+e0qPuxqmLl/Ui7s635X2SZ1T2 N3bdOmSrowhWueerVGoO74bOFjvaZFw/bcuRxQhOMuyizE2Loa2NetqBHjUrEKmSTmFELOWbEZoJ NZgErgxoBRU0Lr6PZOqB/3MX+yti4MG7Q38Ktw0Tupq5Ajke14SergLaRDPPQO+SeoWRmWc3gFYo gfBtUPtw812+yVOgbXZrzo+IjRKs/iIoNIvbmL+CJ+denVt6LUX+iVVaPiesUjmHAYXc8XT7Th96 BFlMewL5vIJxq2eYys+M/AZQkfqtkX6CrFPEfKyVghI7HLaPDWYKsUQsXKeQd3h5pW/3FpromVRG UnC7iTdp7kgNl9yThVJHUIRigWjOZqSJ2Jm7jWpWrA77kS3JzJzbi/gTWVKYu0r/2XTltA/E6dQh qNmhnOLjtbBVSeUMCj9b87FToDtjvP4JgEkxWmgGz0WzgKFJpVWrLhhofN/UekiNWYHsbpLbURoU 7lsemdNDiYnuG2tYcONYmQVndvIL2xedxWp88LEl0GcTwaYY6WMxtKfuWNGwzMKO0hVrfTEZPeSn m7lMZmw2YbqUNY0i7SpCTvXOUOWg2Rbu7s7yzIR866d4Fy7wj+aKGbIViBnUOdvyDKgKdMyqvcaK ynujiS7/9a5o/hxMb2xlOAKDBlfYPd0z0KSGHA2TsF1DmjKIp78dwwstB40/Z7DXTCT+um/lL4GL gOUMSY6hhEWwVtsV/M3ts7jgtTCh2rm5H7OVPrJO+CPyGjMOfOCLcPr7WSROYLBgI/RvqHhpScpg 4Vqtl8hDfesxPBncQV7H5u6seS6TujjgPph9R3VcP3ZlSThJCddR8qDgehzWU1xQHg+EdWv1EiHg xE3nZiDH62xqpyhkyBocRJlq/6S6227NRhhRwiBZ7XB6wBHP4jvRJuQSE1sHBxaLfEmSSZphpluO 5NPQoAwMo52RG7U0Uga/kQ6is7WC0hmAG6AhW8i1qjl3wy9LGIOJcbTq3yLKm8a2Mq17rPYARiBB VXDWYRgl3w+g3Si6uK8ghaOr09nYesknxPYLBsZlVlwAlEFkzR/2LZqTJAt8kQppclj6dNr0vVme MbRh9JBpIRMfdF6UMUN7I6wPVe5r71kq6vXIN/mwq3fBYA4mfMk8jsEDb876Wb0N4Kmj/6w1HcOq 1gTz8dxuwZnXwlZfi2YpnHpybQxhvaOG/jxmIfkSFI1mvIdaPxQrg5Yw4pJEEDEj5Na9iQgZTc3h P7TQwmobL9ytIVBXFYqMrwEbYw83Hhm8XpqOamsH4eZ/eiAOGd2+/wQH2fP7NhbxeXunNuprxNwI y0KU68BLxzfDeDlQSfVKeBIPSfGiL167rVg43vRvZQ6Wr8GHp0YvZoDA9ivyLhHGl20dIIKS6DNO Ykxz0wJmf4M7GghjPwERC1vwdTFBvLQuCMW9oz71N8iXJ0ZJl74svmRlU6+AYuH8E1oMEKZU+CJD hhyUS1gLBgZ4nEIpAS1phTt1u4ZlTvb4S81L21xu1P4AW5Px41zqhlEHS7WkdZHdCKLHA8yfY2yw ud6Yk/1uhMdY1GyzOkMZ3sjHIA594k9TenGXqjnp/otrzxceND+BJy6u0JtXKyDWU1NEUsNhKzZs y+8MSV3qH0ncIMLOx1beHweAPx1RtjnJApgy7cvNXqY5prsQkGqaoCaaXw+SJg4MxNYGUz/x45zy UaPIxvMdisYY6GnLnYlRnHaZswIZm91GbJ/46BL0qMTNXWtRuEuWVbtXmpUr1DmKB1ZDKer8OfjJ weSqV0MS1Tf+90IHW8EEmQsY/vtzwifyOToMZQWWdX+a1S+NUqnmGy832nax9ofvN2zHb/f/fFcK l1qTC2MC7DNldM0fHU3eS6Kr6XF8rO1Sr3R6YU/geOTVlmXEHgOAGW9zSFiyKXSLEb8JPa/x8G/1 z6gd0C+EPiSaPLc9N4ALMZcAtm0aviRwTTR24V2HAVpNPG/CnlKWoPsSn0TwCdA0tXMq8rOMfikl lWKjKIQOJt9c9V1RNe/o35m+9HWM6q23OqIOfRizZclzV0qtt59+By+TaaU0NLDQ7C0o0a+yxczl jgi3Al/ctEwzfj7K6gBhf/Ss9wYFRYEIndWSCTrSZAcoUsX6IjpyOLp4OrwgB4V4jEe68SSXXLPK fz8kIZVpd1o34G/Je7TJQFFpGZeR4M3xqngb8baYTU58qT5X5rlFiMtvqFGuC0KwohMn04pqqsIe eL4Hhg3IZoVzG52qKDoWb6uNN/ame4utyeTZXv/PPs9Y2Un04/82tTaXp60DKlXjXFmUOeH2AVVa atN2X14k+gkvfxzzNotg9nYC6OZVpcv2JdD5ia0i+uO4iq8Sf5Ss1R3+bB6HthLltH1vf69bmBBB PmTGkVRusbZBrl4EujlMw6dHFmmADbRI5ReotpfQ62cZI4s10+LtsZY2iPpxzz7PNVPTe+Gaks3r iwub8dIv968xe4FOV7N/MidOc5V9w5QtI/aH8/ZiqnjPcOkSwMyJ3hEazx8CB7WzQbWovzX8y7Wi m8Fnt3A60GokKUlAx5j8fsTqgkGXCmMnMRSFnwzo7mErvgrLqEU7xvk7eLm9Y/KWVYm1ef/IFWaT XU9wkT3NnC5i9+4HJxfx5/EuP02QtngY55wTFpsVJEQDb5cQ9zFrtvXU7rQ6fPN4MY5K/6m0CfNY WlP/vxTr8fXBnMWO2Qhz8oz994l8UsvAd6d2+ohH4PZGh0RHxsGiMII03uplqF7bXjE16tZT6Q6n MLJIhwonrs86ipX5PbG1XwvNOBbhSeO8CScfGO1PLvV5HhZlPKKBM2+XJcMh+cRHEpvQo1a2YTRi ZnPoVw6WDT0evoYjRB2osrl1s0QbJID/1mehUzgga5EZZ/9b43YNmySMLRT/tzh47PXgHiXMGQIZ 87VoRcDkG1sxqZeFXV0XwpqBV6EwwXSAgZ0c3tfeFRSkO0oSHabcgHG09cNgjMTQbMMGQzTxWZ2f nbpb8RFrLyOsiVpyZ8f1H/bvo2I8XAc/4f8mo8vdwxWs0nl9X8to7zWb/vkZmgd8f5mGiSbMcQEE jMdaqM+02/FUmajKM8zs7Mm7UXQFFDeylVZGOFmBXHt01RWOnQzjFDS2CiYGvPgrEstwfYj37QE/ t3rhu6vT+pTmNmV6O2eTz9Whq0UTvF5FkpIGPgOzt3wUI5nkMLlNhs02VRZCXMbDxOU4Bvd/3Pwe naL2FJnZLcisgmebdhW1PP3nZFLWcEVhSBRBXFLm/OVs4nTh/AyyHS7mcWOUgTaTDI01SFnGBksN f5n0lBA/uLQnlvLxFXDpiuTW5cF4C2FR4VqR01R0W33IVFee33q68MlVlvwfGh6pPQVQPz4/7jOV T/Sc65JhjrbTVPzEgt2jv+xmZXQnbjh4/vD01/NNDHQLyeqjmex1YGxDEk+9OGYYiUf+wdZJlkYa j5qImKw3GHVANVIdhegeSGzvmXrltDUC5mLH5TZhUZ5l2rJIHbfzNXOuOtxqGabmjsr9Dr+DWxd+ JqlxQUD155xAygiqY40iXs6ljgt8Lvc+p6rc8xeFg3rdfP75LAfNCJ1KV8YUSB7g7TbfZwoD/UFC cH6A8ZQaI9w/jfPvhejD6h6E7yOFDRKOPQMgRmdrQoKwpQvKgg2izDPPPdKXNIgd/GpyRXoYRxCn jbNpu86TAjMk7NIR9gY5tsyLsGlUv9Ht5Y/ytv1CYA6Rq77XLD6fWlZmuawiVV5YPC2IJefca1Tm 3/RIj63/3fkyPE6kNLlaqq6E1Up5dk/RI71I9isP65Rlocus4iGHw5HjLSJqK/9T9LPvhHq1vPf/ zsZo7GCa0WZiYepM1TzSXzrxqe/jdYhEOH5u5nFw1XO1k/q8mgfGy2GGF9AtoNZoizoxNBbotJpF kKRFtZ+UxOPvVGV02+kMnL8+q6SGJlu7gm0+iZtRsLvrzBUUoBNSxItFBloYUuu+v3ila/v/y7mN 5x4jIQS8KF0u8O0DVonlckl2Irpgg3EJWbQEVh0MnScjvvpAabcKaP5Nh7szGmF/K/K8hhTMIrlt FTDQjOiAjSaX9zGO3g94MZoIgwNe9ZBIALpF4LC9ttnBFgQzFgwd9r24WUSEoPXY/zkpw+3G26Jg /THCVeTfirg0ZzpBMn43uA0FA65AHnxNIB70H5P4mNZQ6DPRvP/Lkj9/QfrCix6rD9CAteR7YMtd zWfOqtQ0mSr7hZe20nRr9OEZaPPBe7TeaQNBs2zSA3cosx6Xu8lfegRQmeVQxMwqUTEbs6+GJF6J 0Dc3+ZFLFM7va5izr63fTuKlCxslgGTY9BTs3CIrm2htP07IbKLX1LaQlIgPNaucmaENaytEdco3 Uu0casope21Bg7uNQ+BTd5KtTXbJdGqJl2W2ZTDuUV8z5ytmJzfccf4ZBrUxnT3Icdgo1zmfhFyV 0kUc+CYt2aty79kewhIXFAjMZin4QSEIAGiFm9yVgiZKSZqOXr6Gj66Q9reppOQQ8BoJOQKDA0Ha mj1fpAgDmHVe1Y2pUM/3+zGoHZ/VIW6AIMWVNx67x6Xs0CKhztxyoRepT89YjyNl9TC9pG/bPPKT CFAX9Ht4egeUo4slg6gb13GZOgSi5uVdSsKPzueT9XnVFHEjRWtYBBmlBpoIM1OXhYrJTWMeGGyd G6MMSpDmTpV+VSFzSVK63K5UzCRnobiVavYLw9GrCQkuqokO/8JV03XRLbrZ+l2hRGgHADSKYb0c TTY1lJcB9k35QI8ptMBPOe1utry7d+Kr8RpJ4XucSY3atNPb3eYydjs1D3N5qpJpkeQNRsw8TZCO 5OiE/hjuwKGYN8cTabU6RWX2Yaf42fvQjjFtxAklGpJc8gQVeUJpyOjBl3XSuTqpKM2fXGvahP1U ii/TG3/6IaJ3DTLHCVUfuCuV+msplbcTE2X7W9ogFfwKqAXMnJoUZwy4qKmomczd2wqnH7+yoWFL wiJhF8wtLYMfw8z4++eCqtT8IUpLQBJJzWD1DfsLjTFcGbb0QIyTmuSiKROGk6w+UYHqlQJfb7E4 eZ5FoMdk8ApjDyOz1ecrzJ+/s8gLyuVEq0tLs0OlrV6dutEhobrg+5kRihla7LdOISl5uK7Owda5 Ru/SsMUwH9E0uE2sCsPSo7l2ON1IEAJ0zfVO0OY+fSf0KQTB2J3z4PE/c6vRrlvzPn4VTsrtjq5Q QQVmPaSZx5e1Ty3anVOPawLerGRH4gGCi4M+NzKTnOCDHUd/mEo2GSf00lwFreF/JPRiV2/55WnE 8U7yLHhO10r9g/ZtLj8Z5Z3G8hYN2dXY38HRpraCJCrTqSqDG7v0MF8U4IunnJVD9RNe8WwFiKL9 hdp/4Tq4M1uihblS35QCyPf4kCGDq/poyqh1KTQPoucZDxCe+WQXcSqCOCvYRvkshTgy/QPj7wAG AI60n4zp/QgqXLBsrIqaDW0J+iaXN4RXXagF6nwRtgR6hXiCzTOPncVDjnF9HID9IZIufNlEmbth U1Y4NKJNMeSvX1QyV/2zYqjLwqwsqWfD0QERNLzIoU/x9udkcFlVf/9llW/blP4ja8/zof8MDuCW jwvIr5kB3dtqzVrisrwdGyja9Mse/h/Bxxi3OiCw/BXGQmPY+XcSy/xE9aiW2t7sllEOUY61OzFi 4txPUKAXi2vFhhzkMMKG5ZicFgNUcWleXVhpc2zhVgz6LXN4EAkyXhj22ay3ZJp7CPbp8EloqAFO sI1zTUzyCfFQ3vl9Gurbn/3gg3tDm4MAlQ8tD2GallSNBiStNWC0JeUwDDxq3es7hJAP+m4wfxg+ MGFRsbSREHr8u8ls7b5tdA83fW+K2Mj5pvI1oigLERW+HjLMBFPpXChGsSexUmMkdPlBuMHHhiPk gLD0LI7a4Fp8/xPx7mH3uW3EPQ0yseJ3ctZjP7yRS8Y4RvghdbVXy5zXe+K6PRiJYQqZiR8Q39/U FxymBM6djK16EVDR3SzODYmQ8Xps0dsOKFqhr0gsLAUapCnR7/JfJb9EY2DfuXxRqAG9PmDLUwQL 7nVO8x3qIZyQuHYrtEdKxW5Jyfjqb5OFPGswVtmVlIrRgca/+0ohQYdI5ZzaiD0a0O+8XHYwkRpH e2VqR2Sii+f6lumCYqzxNvGmXAa5UapWACsMgk0Oh9IQHoILNEUq9oIEdCIJTqUN4H3r4I6jCkNu uOML4WnjlqZdx0D1Qr1HeaBW9FzjRxeLQTJQ9sxXYDfXyZKQ0z4mE2Vqjj42ddpDPdKoVWXioFMl QpUrPP3E+F2ZXHIJW1V6EPDIGGaOBzGPEtKv8bPPNsLhVmuzHkDBv4mqvLXYUPOaZWJ3WH7ZMuGk 8cjAIOMQZBb5tsBAQy4DUowUneKntwnDKtc0f6zHh+tjewaYywXn3D+aObuX4frmJMZ0Pc+0TDGs izb8oo9wK1X8L4LKy2CUCTAEWyDMeH/b3HkTJx/SUqCJZ4m11DRmEitfRaiuY9VOr4ngT1GtkXtY U0bsBxr9Mz7UYuEaJJiAv5LJDtZSCaFYm0/2ySbbvarIyaodWKMj8jfdjPyqA4GAi55aDZkzzWGu 2jSJYoL8JFqp88p3guLiR/wnyPpQERZouJkw0JsE/bsV+NkTvSGrBqQDwGFs9Op51+PFtgfEZhB+ P6Zowx7eKk7dfY2rLlgWabBpKPTZDkYGEn2HRjAA+YmZL+Ian5aDSb7oLa4ff9/TPG8OrZ4Ca9mw HMMB2wKyzIEptLi4Qmr7Nvw+XNkTVjtBalmnPHljHk5lysQLOl1YAbFFheQQR3fjsWPwZK89DwlS hncivARx8hwqC/+e3Z8+uE5sT5EmIK6den0ysRmPJ/qbvfoFBM+39K+Ay5sKKjNGn6B7cDQsmjXs nBs1Irl+76+1BLB1135k3z/RbcmbUKrGwDeETpLgHjaqVpl3IHtMgSMxqO1Kc/NtqvF1XidOiX73 MbVnKcjTd1tjs/8dTcvpd6/6xPFkOo6V8kDcWuM2O4dwI0u7Q7HW3Uv4P47SBU2/xBDpp6mk3/JU IRpEt0dXU25aH0g6yWmqf3abyQfNyvRn2ikqXLrSROy2AoyP5roBZ0Ty3zA0eYhBYYE5A169gp8B 29UDuBsSkN7dKYrxhpqN2JOu74tfMv7u0A6nFf9SOpZi6kW/aqj0+3gzG6nxLawxWdEf0Nwcy8LT +ubj1b/y9L8m/bQLX503BSM1AVEo0iqKqcGEFyqCneHR7421GoYWAVd7pxcdfSCyuqvzlmYfn+eE fq9eYb5kxMeNYewsIcyrVD/dl6dqsU3Kb5pJwQVCmGKLx5YGw+k1UchZxLz1gYagzN2+u2kurTbE JGe/BefBEgYjrgLyPIZBbYKSgMinYVTkE/4ZYZJUWXW1iw5LnslrNDqxtXgAN7TyDFA4L+2ZG/Gr bp1AjgZEz+yyLZCQFtIod3QgHzbG0QJKUwPIDXVIYz4zA16naiCcZ3Cpx+IlT8KUUHqwd7EV4d4a LGMzx5GBjUzKrFYBKvWMwmfmWnZvlt3U2detu8Nw2exIAumoN40CdC6n8qyFvzsYQ/xAtvV+8yDy NdHF3uTJhuuJt1mAE1MSp/GNtRHR8PaBBbPlPAwlbsW3yUeCwQFJvAOig57B0qwzEBHNx3r3vlo1 hvtZ+mGyJSfL4fJNNIcYc1vdVuw6oZY94z2SVT0KQA3RDnSjRy/1nL35e7ZZnFIooBY5QFfmYNET 2ML2qCxQFJiVQTMUGQ717v8MreoSq9cYnN9y+YALzWMCkqF0bh64Tk5uShEnn0OpzFyIsoyDB4hn 6Nif/+gpucQ2MVOnD0KsWtm7cLHDqUgMEAhqFNnJ3D933Uzisbmkm0VUEWdAI/7KC3g/I03Fx24O KBClL2CWG4vtQ/yoceX2vP/TSvViS5LCtzPtY9Z4bh5SrqKaQs5gyDEvsll7NB0Sgt2ueTI+XFQc HYp0LngR4HdT0+0w/sMB0fJULznzlqaUYjWQfXYM8cHjW+wjWJ6KEd3wJO9EAsROw2WPvIkFwlDf v4wJnEmtVJKheYcHq/yDndDiLZdkkiKqCyA25raf7sDLTqLfk1t/LTRbOBRq2pi2NvSK4DTzD+LS rLwmoU5gfME+925GzxxB6TScvV04ad3bVc3rd0KkuQdCq3Ndpg2FWs0cFJ0pXtRq7+6Z4zk6qRx+ hJzMC+19uAhzSnneqprqKM5TJhFPxhFa0fHLnbqzzHXq7XBOvKL2jQFJ03TkTYBhs0EE2cu7a7I9 2oixN7RWZjP6UvlDt17NavdGMicGu8tXsUyYd4g3eZn8NusbII9ujz8O3YOUfpxJMSYV8q3C+lvv t5MuavBAUNwb85Avn78lFQIDv8npsVCEEPtwu4ZaSnX63Zf1eis7v3LOPOh52+xUhT1BDhnQl8wf IPL25QMMUxvL5+tUDvkaVGxMWD1Yp5B0VETaaZIsrJ9PWrK/NIfrB4heI4Glte2chgEisX84ialy YBcFD/qedZhcX3FtEXHgzJzcB9NxW7PYgoSigDeofNieuzTbzBuCLZYE761uh45ewm3raPlxj2l+ FQlL53Vd50/hUxbxI/skgMOqm3BZyYIs+obj55DwZSI1rTBBlqfCc3Show8b08iXJSMQNR9MOBld mSqAeNBl44i+b/LBbSJpig2DCAg7TpPkwNhhn6Hsy/2rJK7TGQeatEgyCHdfVUq0pH7wZ3xsmnsU /NsXGO0a0TjnxUIf+4fD+kGplhcXTlGh3DofeQzR6isM4R/9SBqpPefX4oJkqfKAsm4/Pr8JdWp7 Du2sH2LMwFt0GZCXI83P8hvVnrLGRrWM/xD8ezgWT0c0vmPlsH0BfIjLl4KQs8KjV9+0PRtYkI2R kNtk5N0vPWvsq4y5wKWgAn3t2/z5YNXmO0fuSo/Uc72kX10yCOkgywiomkifdfY685cmnhfNUM4M pZOcgywyThz0ALm9qnm1XALFJaIMlUiBFs36PK7rbW5xE1tH/QZ37fgM/7n6NBEYll9B/unr3z2V Lf01D0dfNpSkakihvlWlUWFQOrUHI/62c5OAPbruL5+jDKFzpAL0AXtPO8IC41lNYb5vzscoYVsk ahalPLWtG34m9EidWhptnOUxFpvJd/osCqIBLUj0ljwCNPS9t0b64xBIYUU9I+wfU/ydrUsgGcaK ex6S2975cNXf2DHoor4zEuPQu9ZVKjIDrKI9dMa0SqdRtOae668qBnpxm+bts6seBLqkzg3EvPpW qXY545BWRE2dnZQhZdzlVHlZKKt4ltRhYu2ukDWihF0Yg0+MJdPnCtYhrORKLfrJXJGASxGp+q4D F81dZTwS7gIBSQiRjsMBI6rnwC1Bw3R5nUpoaKHJZx/g6UaxgWjQnvB4zKD3ExnrEcCuHlRCQnRk SFuPGbcHfQMM9lferuys9RmIzohgej+xOi2kypPYDEoWNgkLEwE7mMgxQY+rmdNwgLvpe5c62b8O JmMY9jY4vJfs2Wmxo2Uc1u5azdukRdCpqL5woq9115xAg7Jr0tfHEWnYHXpnR55/Abr8i6rFUpVE gmI/57hy++7OfAxWmu191lx5GvxcxWcOM7AbGxDDddD1lEdyrOXWmGQHlH+llqfrBnSxO99BdF5C SdchQlzPW/PHGdrze1mJ7SSfhkT3DoRsJyF938MFMqcimp+WAEXcTvMRRXaPRbHkm0MaAUMazrox Z0TuK6bQatobNeHCZ75QaHd+FGv9K2CJ0WLZz+R0ecKNUbl4hcBBU/7Yq5PPBUFUVP1xh7qt6ttL pO3Kf3kV00rlLWlZESBtY0sjbwI88KY2qTFjxXZbsCw2E7QX+MV5oIVRZ5Zt3MH3vTPRb1iXP82f 6AzmbIjHDQKOOfPEwlCCxujsx4Q2zodN43wIVx5ZsiHNXNOj+EVw1KQX8mL/p7i9r8p+fBNM1oCe k/TaSjeVMAxhXEvpy6cSMUKkAwZs3I2LqNjxnaU5ArR1qCR7nKg2P7ynv5DzrhobL9kMQeRyd0p0 V3fEcgYTU9B6hoq1S+O+nU61IeNmoOJFlr7/idgyX2T7hJuXm6cif6fUL2SgXu+5LG+SL6Ps0gBh u+woRSKTDXJ62U3q4qHnJ1tgfwgKmBUz95IGLijCIWXrlW462KRJYTsM9r4Z+LH1bIXb41Cb6Xsg O7lMU4y8MfPqRio8jGayrHTLhm7wl0MtliUxXNRd2ujN/dwpEQXIKd51Vc4FcxhpKbF6zpGrRG9T qN8ByAwtQzXKV8y4/5WEUTV/KyQDFnlaX5zx/ULwYuUvqYGw+9vsHZGin9ltfTXl3Qzb3dWAR7Bg kJ1STP6BZ1hDmlVD4g6USz9q1LZ66inYRiAT8ChkEuaTAmE29b4Z1VcqcTjDbDXhjebuph77AsDL uAtsouTHxmPq5pdBv6ksu6JgX95txFgeAM/bt0SU3EpAs6qJZM/RMZbtb9RCT3pNkcDL5V4ZV/Th xLkEWZji8yMYBBzXs8Fg7gGZDG9UQD0jvnKYttdvUNZ32kGmDu8xM5ocwagJjxOzDEXtDH41MfC5 CHbdF8qJFBbkWydLCfE4lfelwa+LfdFW+Jq2fvf8ePxACI+lp6voL+XkOTC0fr30izGXRKOS8nqp VzAqUIxKM0T1DuOeQUO6uqu4k2NQUKmTvEsd+myo31lL6CSNgS8XlLseEq7uw+ffp+9H3xS0CY0G rj32pgbYF+5mO4auqMekU/0UPVGOSo5lxn+F9jrKn05zJNyZ2i/aMdbCFJg6+1euGADrfS9k7au9 oeDZtJGPilWb2iU5I+Gmde9FAd/CWcXQDV9IT5TXKDiU5n0ytGE9bWP7DOF7cicV7f0zjfIHReQc JHvWAliqDzn/b2cqnZZHCqO1AoRIFHrL0BmYVBSYHIz/TlUNPUtttJ+OlYPph/E+Z1q69SFPDgvX QyQhiCtGNBSMZy46TMz153fM60Zv2Crx+yReqB7oy/sTy21UC2vTDx+X9VPUx5qqjVfxptQROZ+U JpGEl4aNHoOMU7LnoTxT0D3z7HV+XuFHr92NhcYAy12782TJRI7H+avLDodqnjEC1zDLdCxGgJ4p nX+PaD45EkmArpf+1Pvbsqgqd+LFvO4tjxQEFvH6EUjF3WtpjMp0mp3zMakTvRcq7b+jbztlxsN8 4Se3xYgr5A+RMJL0adjXCH3uRlFCBsyC7maw9lbUoQNcOz5HCNoDwjxTmFHtNdWGRDse2dSeGpnf i+h0P4it5JgAwMrDEzQPuJrxQIHso3sLZz/bELIUseC232fhh+2dL1+MhR55bunpF8jKvW6XvG8a XAtLm6spX/NklGPQBbAmVfWHLv0C4SYEpCQ7FqypmM1HC/ZiQoG7DuA0gRVf2mjoK9UJ/G8cIiNb Ozpt4g+HXN3ciG+MBlP4DctRG7lOWSj7EQY9p9aqrEcOpMzreioKOyJ7XEHv2hgRezJnsJyQLJdh 19p0gPUX4+klCFojsw5rAkkvwh4Akk2scywf7ygqnaUjfJSmDxsaKD4LHwsLxdzbNQ4ubIBYcdCb sdrosBCktOBAgmIMFvaxpgZk4hpzMxCun+mLXr/SHUAPjM/x22Aaa2iet8uV+OKwfAHZC6ePeAB/ RrCPrDXDwZeXUTDl2ECuDxbmVU14kXWNuj8AnJZylyaQtznynwFcuuXUQLY5z3NmC0UTkzcShD4p 2pf9XApfYMWKDMy8NziZo3uxcSgo4S83MgcfjewW7wjuVkvktjYIfoQcufuoHnt9sP5mamohH02u bjKX+DHgDde1OUj0uPlzDDoGR6dycYZi2zUX/ZvGmTHbJjGnBlB51wMh5GTnwAN1rGGy5G6jhU0C zBNUB1NRsd7gPABTgIknlGpORXYa+PI5TsWrDHdCkU6QSYxXZb1NR2Eh3bKcl5/8rdTIt9sFuJNC crqcdaUXBHT5v96xZKYKWAQ9K9a4PhaHtHgY1SLbnxfgDjxDFk9ihXu4j50B42T03AQxQeTZxEI6 QjTC1II1rWZrCym19kSEXVGdMGp32prfN18V1ho6FbVKtTAmZ2mbQzGAgA9kx0djmpGaSFm5pqT2 8QoY9CzJltSj63Nn76Y6Dv6WtMn/XXP4FpJnNpCTG5aFTR8MAWbMHsvQQwpC7ID5crpT9F+OD0lX c6y/BVdbV4SFupXEbjs3j6kWlkwNEfUjSCakFIzgLJdVBKRRZtpUAoraPv3qjdfxopDuJZInBl1+ 1cbX8smxlRXXHyu8w+MpmT8SYFibI34OhGQF+ZdDFX7wOr/DACtnOQ4NwtHSYcmlL83JxOT/Q+S6 c9hrFWp7dgRKgUvNFljSZtWy4qNXdZjKOhbhJ7ucZP3KJ+WpeEfzKWq9cpSrLZcrqOqGNGUZiKih nllVC2j6VKP4EshjhRXn5/pIZRSPbF8nqvV32ecZ9RzK7OvqAH5oFKzPsQrGUa3CCKZ6QsEEZ3qe 4OE77AJs7WMdk8qX03fsVTbDAERIf4KrihEtVZ2HIO5x2/J9GW5VFu6EN9OyM8dyqydXbUikLQM9 XzQON+PmzWrXxr1zsgA5YKYerbac8YvOQbGhabaqWr0GE6nfi3OQhpomdbj6DUoKTGkgxs6pl+RB wSKz3FuQxGrozNbSbqyFm5xx1Sf6S9HNkNKIAnNOi4cexqyL53RfYEpt5HAtu6LoXiNtNWyXFhFc D2oq2wbNj4+H1JW0imz+wjhJVKymr+6VI6J+/fEBiHaTMScGWglYV21cG49+lluM8M9IUHiDaYL3 c/WY35oFEh6bPSZZOce5tMA/wmIObyRfvxysNmcZqddRiXMDTVYuMyiyGXEHp65TvTBkI9ilfi92 AyLzDBmaF9dg2JdKnH2M/Tz/4EjZV1VyeovF3evUPkH4GWOULB2nhz2OjxihxTQ5hmNCgq6XdwKQ ByNku98IRI9HtPnoAUs/I+PeKIqEnAPczbBEogiTXuVK5ixGjNfX32KhAfzHq0k16MW2zOUSx265 IMQD1kZdrbaiwRV9oQM7CIb9+ChxE+jQRDc6HU9Cj4TRkaRlpcUwGP9iNUvVVt0lXTZSKegwrrrr JxFHiLlItr/+uvzLSSM7NAG3z+o5fkg1LQOXPf39xOHcS8JlRGQ7rGJKN99h4/CPOCmq69BxXHNn 9lmJt6g3lEL+10uK7399z3N9XLUoUsVF5fdJ7HF77VU8PHZ92Knq4rn1bOS97NSBEDttXycsglkK N0GNJsf3YjFa2yjygEoPShVrA0Y1sLEkOVNskFsF1aX5ND5FQ5iiQMIP0irLENaUs7BnHmvqhe1a xZVuRC/qTSna1CyApEbLHEHTuAfNSXgg+kbuRqWeaSf+aq7NPlgUPGkuA11+3VsksCT8urFK61M0 EVQgwE/3CziBSiZnok5MJxTB2H9n/5GXmYq2zQXdItbEp9z0AhzrjHT/z8avLfGpSJYFG4S3Ub3F btrUWoK1dp67SS1sQMI/6uaou4SCY9GYoe5hoAwbFmEooZ0sGhYpaFAh1sF+brmOJsw2c4v402TF IQNdTZ9ouGIki9CQ+AhQTa8lrT9LcSJuY8NVo4fz6GnLqK29ycBgNUP31ZQF1b7Tpy8Xkb8wviX5 3bjWYpZhr8Suw/uFOfu4dKFjJfUYbFyNJ2R/h4l6UY2BZowYJBP85nv026WrmF3SntU9f03B6/Y9 GR2EwMfptNHibOxtcdg+g++xN2gYwgUELupLbcBBrGFi/d0JJQD5+LTxXdsg0oCpf8gliiQh+eX7 HoN2mAxO8qtYh/xbidFqymPC5JHlcZj9FVoeEWC93s6gtghRbypaozeFqQcLuFObWu3eEKHqMK+W NMrwC1+qauJtSjMAwO7fnb10QntM3Gqf6bL/A3uDSoujTpm6vfj60EQ+lgOvWYmp24f6EeF4VY5E mY7EYeU7WPWHAy9dghGz8Xl5LIYIlDKDmu1++75VsoOn7IjHScc3pvNf9jqbdjnQkoHzvJyXu3mO dgrXLrATsK19SSjJCKAWsGwBQiBvFl9sxEp1xm00u1a4JzYwaF+6iELuC9gcKyZfl/vtaWjbqRuY MP+0sppdWRIUxHaEq9DBtPSlyVXnCbyzP1ZoluNqEVjK9+8I5ZfUOK4oXD/JHY04apMvlF+jAFd/ XnpVr4zUNJI0r7EgO45SuiZEvptAHF2TYcD/E2lwhRhCzGqJmgNTE3NliPpZv0pESTYk1Obb5aFq mNKGumm2TKpMhGwPbNDFx9mS/aWPRvBe3yDqG0kPW2dz9mbqGklCoYgAUrYnC6kY1meFlvg/9024 JZzfeXQqclsyaIDSE0e7kQFh4PeXXlHeC0KHvsgXWrwk3d16mayNNhsp9sK/ZmQh086H02HPELl+ lL13bRuuUKMntiRqwbN6ILSsSdNtPSkTdxC/kketwmJRPSS5kppzlPoifmcaCaKjbv4Q4zrEF7a1 CmugF9l90Y/flD/jtN2rrceRpQf6d+rg/t5vQn7vO2D2ZVqLZsJEPgOP91WUpEQPflc3165ui7/m chMASU8dQi1xMAU6QWRtQy8MoR6UUTruaR5hMrR6KJyu6wwPMoAY25vT5vDBq6BeDxolPO08aR8o jqoMguRgBPHxWh6R55JmMBKTNqkHA/6GkSZpzu9cvMpcNjbbQQ1X8EyjJR6IUmddfZAXqOLOca7X DQrlnNSGVVsF7MAhfDsOFJDVeJ5IIWmQjknEWLng/M3L+L5NnRkFzi+dyA0bARpIeBYlYl3VZ8R9 ulvWFihbYvFw52N0hFtRhSvanEVpXQ9bnkWUSf9raZb7+3sXr/+itsz4ikSYdj+NtAiIvCD0t7FR QTNVu8ScRtLVtHrV7DQVvRrw+JMGvwXIUwllDkB6KloQ21npGTEdPZu3SWjtfznDEZ0BBCUFi6uE XTWcB6hM/6BEMbz0hilPpNatyntcV1+4LQikTQCs09XqJ5+xR0kKBOwud9nyz/pFw2J6ChuxCqSc bl/smK3sJuxV1oHEVPNjDAXQM7AD1c1SASREcLocyc8vqeORhxRRKZG0BSm3TPZpanzTTX+4BrHU T1yTffod57jNjXN8COTwngX/8odN7fn1GhZzeRk7iRCo4kFrLHsvC12CihQuSje64bNOCi0wthDu b+qY39htowCiI0l0teBKrqOc7zYqmZWlLdkBHy+u6ob1KUcVQR57V7DGKMnvds3/xqvD+7ewFu3B hxhs/MoGcoOnFAAzBSaPWBgcim0KXpG/Wa/K8vPecgInDYMHU4ME4rXS52M+H1DnSkhZ+XGrxV76 hR36B72rIUnymVOaeT0au6YwEMZuEYl3JR35epBdX0of6jTZXC8mwAYtK8nSIxUJZiZtSVWpXknZ yVxoLI9ScVPe6C78aXFIIFJvNOlCKKHKdu0z/8L18EpaFHQhmA0pArueV8mlQz0OK27ia4XP2SrS RyvvaULk3SmfNVKbJKa5BtOwkJO5AHVJ2ereMAz9I8KKes81TJQOp/Y0gbSOoPgT2d2GEanKYke4 gXN+DFDrVAAVs0zsMGmQM4PIT8pP74Gh4GVZPW+yB186qFVDZjhT42CI7o68YzASM3QV1vunbFVa saekuJlVDspJlhGAY2ybVsHNIEXNJqX4nBM1giicOsTlS6wGfVbDKMl71VmiHsLXftAPQ4ywOK5r seHw3khoBRT6FWr42i14Q+gVbhxdTFmqslvP9wntCLfkzzTZhc5u97UJW8UbmXrpOsLvonU04ChO 8eH2QjfbrOhzd1yAa4iGxaqHql0kjIidAUKCrnDmyC/W49BWZYtMwqxX9fVsgBtIXnyFvCyI6T0h 1qfbnLGaGyWZUZccKm8lWeGcNbifSLVgnlfaCBbHOQKpGCkQicfKJ12ZS4XnF2IiXi2Wli3tl0n7 GatK0NCFsH5pP67j4n+pU7dhcDTQ1juNnr1vUKHgL/SsNfAuZyoNXqKHSs4QM7IY97qMDVMWmn8g oIMSmLFBplpeZElvq5iU4mlM23Z63mAGxYhIMp297A0fFLoFNV/NXqOeTK17rpfhx0n4SPBUa6d6 AJz4z1gXWVqeKtXi7v4/8yVVYgkHrH2ldYEqYHr4X4c2/RexZ/6DBSs+y+HURr3607g23+dl6Vjp fadO6x2d/JHP8QAa9L2jDQtE+DNViIU8bzdd5ME+kbn+o5p9Cdj/sItbakSAjydtnCSeXuEBC5/4 4EQPC/GcV8O9eCQ5dv4ZkUmWeUiXzpBnV8OYSPGiyaEehqwdXXqjxKRL6Dit+lFo93qzZvftLu1S 8azWBsVsvisyKJF52izRYfnAppNqPQHKcM/H7YStRMfCtiMxHbk8uaNO8NgH1eFMhBUHP6uf/+T4 mIxpfpZU9pDGEpvSrUqbnxUTEVlMr3YJ8ghzEDRaJrM5IHA6DcYv6fDuXwH+nxsomVtHs7IWKNtV K83jSeNvvR2CyF16WS81b12WbfTSIPizwHdCa7e152UTf83eMBS3Zeao56jBZH/K/Uk9bkMtO43H eBG4IKzJkeuQVs7+/uclXeltmx1G7wJ2kJu0FOhU7G1QZ/zLOWBxdikMNBfEs2QITIK5gLU+Hoab w2KnseMVhJWX1oIpYZIIOtKBsJxONHB0zEWaLow8DWxfMLrTbGijsHSrM8xKAoSd7sX8h7c5HL/A 6gHYxBWq0Nj/0Vr+qiGVaqphxUh5VLKJuT+Oo6f2P+LzTUtUOlqQ66myex2yCrXtZcmmBNUSGkvo KsLur1P/N/KS5Vt9pu4pjWoClELZF6/GMiioJBIuMQUwPKsLJorP7ryKC6hNqFMr/iy/08FKQgvx Hgj1u1nHDKRd+oFmuzOWcydM2SXtQSrXmvAxI10i+/Oa+bUUzmIqK0Giqo+VO1Zk+4+8s7sD4E05 Q69hRPEXKG1gHzCzi2VjfpK9MkXR0mvqNY3cG6ye0OPZRZrcsbHnOi0edPQU+kOuJUxdC+ocnEVN hFL5TwYCm345mIEcIW9zaVoeJROMrG19TTeQhWo+ycnNKK2nhWSf40w5dUQZucxAsnc8pnpW0qQA OW5M8bfwDlKbVoYAqsrcCETWmHo7MhuGV4moGqLaB8yrSloB1gIH19dsB9xEPW/EDnzCQR+UbiWH QlOuJ4atTixnp1+i8W66pcNKh3r5PCOpHEna3y6dj9lOJjE0zGmsEUMEpr4ucn15d9jeJBauRm99 bCGorzNoakH0gCPQSlOHCGDf2GulYZDLs8ViCqlX8v6yXQRAHfXhZv7dRWEAE7XdmL/I5svkXkHs yvr8frEvsIBb7zoaY5pgWfVLjh6Xj4qAVg8CoZR3Iq8MX7v12n3VBQwfeOJPulJ5SBzbLR9gZE1g /CvP2Pq4lj+62iM7rVO4Vj6aR1W0O50WuN0FaeD4RaN4BnDYDZkSSRVsAAYcijqtrDl+0/JYC3+v PvQZX7I2LmHPc/U9CPBsoQBHgCrEXqH2pl5hSoJ5tRtyOyr4RSz0DkWCx0mBZZVOpMy4ERqk05Vm Lq5LshD7Sx+uW+rQaTOMqsln8vABHOe+xCTr74K5mWT3JLUcDA1B4I1s2i1ME299RfD7k4gKxIAn JkQQa8LLDpETyMo9O7Kj6j2y9FKGa9FzQnYefHtr5L7Raq9/1MxtZ2f6jfaLNhc7+x2t4m1C/hDh JcbfL4t7rKbZSjBiLlvrWviox3+F0h20LEfBobML8cc/iQ2wncYz57TzQ0c4Bb6XSDbIjF5sakEV aH3peOFCKSPZt6BVUbaBnDkyx7eyt5u5BREXvJp7oVMOX2g8hdLQw19B3jroJ5sU6rmmh6DtbVFD kabaVB1DawKzy53KnLg53j0pE8f6afBoM78cNQ03oYNV7RXv2ecTLlB7xQFVNzbznP7GcK4BI3j/ PcLB/T9tXpsYOme/ap5Evk975zScoLrNfmXI4KS5GjzGBUkqEDhgWiKMU4tN2l2PdfoX/5ASRKfE ueD4YKnXG3G6xJBTmUXh2MNbiIzDOEkidPe7ryGVDbYQXhUQbp1WgXXnFG3kFiSIzod4BAnRaQeP q+TwLq7U0fsyPgKF7jWBlYchfcZiMTBFI74aPrruAd8GAI+oSPPouKLY08tz4DThQY3OF/aCM8PN 2wds902iM0M2BP0XzTruxlIYZby4khSeKZ335SOh71//TwLypDBbncjJ1aOg1MT/Uz85RgJ2kYCy KBZuG7fLMAniHCORyAF62tyF1S1K6sQswOEOS8KwvTrjnkWeeQSjxxLKeF1+KFjWD7Yq3jKKqw+3 V6uAMu8BwRzg0x4tifOkIvvyLsJjfjDg7JQ+RLPUd17mx/mQdIS5QaCXimsTGlq1OF9/9fciO+Iy A1xIb5OoAq1OsN9PkWH8qOUG6aZ70/dPOKotNg5UOkguEeaPgTO97on0TBTjqfxTLq8birw2pjVe +psuU18hIc9RLe3kVDfFIduSrcbO/NN1E3lTzaGiO5j/eRNYWiVDi38+9TybJaHbHXdvU8qkVKi6 PAjaF2rKYbYEvgHhArBWE2SEGcSBfjPS5+6koE2QY7zl0YEEw/4vbeU1q23C3rampXVlaENxXfGQ JhsWAxrNgB4NEhVCxPwybUubw6YaGBe9gEsbl37r1RnUPWW54/1FRSjJg6/faHSIh5yzKYKqr9ir UKo6xCLJDHb5y0lvBS/bkhsfhVg6SiOzkdjExh0FdgvXOZsmUr1Tshw82aMPal8CSp1EkWlRYwHy IUnThVoDGPhqjqcQ+wjOKfmOrYAkkAAII1T5w0bDYmx+1mmHi9OjAaIWs1TY5wVMQxFmRlCBpdjQ Vf/52lBm4FJ6Cc4XEKLS353CiqABIvGtgRBySbGVJvrrjP2p0Sy0mvBsPzb1Stjv0altLcP0zZae SrFcHRSqxBWV+Oc8ohm5I/udfuCksx+O4/9NMqfS3PsdnKlzeq2AfXpF/J/uiG7NG2BeB6VQHjZS ps/7L7Z6T5jXZrDkxYgDsg2T3ctey3y5jPzJiMGochd6Rm9QsZ97dXw3w+i/ueHLqmrUB/TYyJUj KctlPdEq4IVB45A9jC3F0S/1TEeIZrthT/NXaPmPpQj8si8X8T8nXnQJEshPz7YUIf2Q4D/PnVlc CkIQOdtlZ2wThh7RhoBlbYOKCc6fTPwR1t10dX2b006dP0jRrLq0z2C4K/IMk/ArjXvFAk2PA0Y3 zLi20UCoHrXFB1t1UB4S7krmKXkFtjwjoUZ2t0yxgA/FRDDQcmU6DMJzq1HYL0DErKkC2NAR55vd Pwd8pHnv9TYFaYleBuRHYq1w5retLXJ0gEi6CSJcDYImB8WPGJw8iOn+YX1MQSoeM70uWbS1nBYU 9u6iHnOtIXGcawe5etToWBrZOjhsALbqKptzYg95yYy8AgEDT9AkWmqIjKnfVMBWQCfq8+tYoXMU y8NzhaBDVW3/y6C3ULcGDhV7OgXjiZ8M13c69gqDCjmfUTy+Ho1XnkH4Y8TT6kk9ZCfy7zgya40f a2eiHjT5QbhuQYuctSh174i7e7DzSeQcWTBtqDJkF3rSl8D/AO0qRboFC4a6VfC04ctE+W7nb8GP ha7INPc6lamFc0j17qUsgHgfeKyxRKS4Vlb1+KV/a2lbusV9gmE4y6P+Sv/imI1l2Dh+LohRG/QD gciZe7lzt9eLFQPDRQjfmA5tRXhtP6MYVS90tZ1u/V+zwtscWNKG1RVsQQ9qjKz7K+/R9XPE92+X GKec0FFPQwBg0XcRW80GE8NbxVW2uwTgrbf4K6WV7QSdO2LyuqTQK0fh9ZYARcleH7MydRk6Agn3 axb2TxfjyUUPk60TJttRidm0x462jNG+0RfWHKTUcX2mUexZyas2VAovbNi/Jae63oNk3GbuNu8c BrglAyhSY99LCKs9Jv4utoqZHMqPH6qx9saQe4ycsNt3Gyc+T18f/H4an4G8dI1xI0EsrqOYADHJ JPgXHiV6JRUPMdUfGQPzNlnlhDQT9eM2lBNISxuAU366B8+SDCZzLhd0wSiQmjEpfDO/nsRWPSET 0MigC2xaORzt6jfkkWUE+KdVxVJnsyL34orDRQ7btNylUCVA0o7ukEZJ1jhhOfDRVJjXb70sHkJm qSdmxYR78mXKF1/UHtwgu98F6IKP58cfny9fX4T5LSEakuSE/K2yeiQZnMrqxJRnVSsPG78dElkr amfh3ez3IuKrsdvXnH7p6uB9BKpdMPhKgnk0P1DLMnWd4nU4sN1KSzT8K6sIP1v5Pk7Qg4f8D8XY Hf5xS0LeRlSlIVKs6brGhuVJxuuayS6WenK0z2cffxLJn9saP7e/AzsfeF/HIqHQqfi2hquX4zek 9kWh9hvKQ2bM3HZVnK3UQbxyXbZ1g/dKNZNekIaiPNBdOmFcG8vPkIskFWGu31P0da8lB4cCej14 lqW5pS7g+3Ef494l4xjYDVTqmBIy7q8fwHsnXRRp2l7/TfznBX0aY0+7y99Iu+5f4WEzh3nU51Bc TNwJfRrM64XcCVr19vAD9B1PTTRtHYUCXkynfo2HeliDbH8Xl+AoeHc+zeqfsM3vFHk+gtH8Wpka KOCob2a1H6vWlwMi4h4Ooi1+g9NBsZOO2OuCLaTzObqc3uPMzGN2M8KV6fIYgHCO179L+3yaW46Y dO162F8/+2L62ceIS4D2rbdFJ3wP6wM3tLxSCeL0ekNHQWvg/MLThHpyTHCnUxaPXW1DknW8gZuF PkDhgPFr+Hw6fV+PdJHbtKWKyPR2SYZW29ldzgh36FVk+eh0JEtRdkenyE+KtGg8UEYGXCgl0xum Vdzal8HKoPt5PXpeIBdbWf0Vz5sMWTaGBWra0+vjrJaw9Ci29l/M4lWxVvgg/dypczZpnCXWfmAO CbBnajo6s9HEAgugjlKAK2dHwXnJmnDFsWuBSHWcuWI4B7l82tECy58rfvi9mSCR4hUCZOigVBxo Z2egef4K87y6/quNboDag6HSgKqJOb+MiPJFtj6p+e2kOl4xZ9DKBkO42JzUlrAvzgzqMjHGiJbh 3qoGK+g= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/common/output_blk.vhd
5
27142
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PGHFNiR3BP7XGoNdt+sZaTmGZWIHImrHr96onkZN6M2wSWG6MSzLnz3xTdAOzrEb6GdU/I3SQ1/j 1+lecrUFNA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MM5jU9TWbR6/PtrLhERYQFUfWh1JDYUP7wzhyRLgamuHE/Wi2r2uXHiNpYGCrSz45T74W41GsUgm 9j3mMtKtZA888jKVdsKre9IS7ln3Qjrse/HwU/HOuRjQCYTzBCThVnxR8/oeSAPnT9pJZpEHuD+A zpyCvRauvZTEG5j+scE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Fi4nhbaxpRjqCDd0M+9OyfKserp7DStZWpnATpp9K5HcMKXXPcKQuvXtuOUfLNkJ6/72ODDZUv5s NLXbc2oGGClaRwTUlPy/zDhhyGD0SdKZjg/1wKTIvwt9SYjLQTIlj2hFAI3n0xZcsDXA0pbuM/xl XH7YQLcEUH3YH5qoLkmgkhPbmTXc2KPGjbYYIHaNZWuGZJU7o1uI+ek2P6xx8ctzEu3HsAo45nFC pkPS5QOdITs6At2bp9c4hPHKgdIHxE03FP7exaI8HjOQVl+vQxzTTPGcmbRSjfgA6+Iqp4cM46I5 iHmVPY6nZXLj5z0oMk8+Q+8ka0admCYkTIFJLA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SMBn+Jq11bmR7KsSKMN/Ncfxt59glEf5XDov78erxANfLvk5rp8O4KeSybmVABHVHGwnaOCbjI9X Go3+bpOcVcgtlajNGY9HSWVVqsxS48RWPpRm/0DlUcNwjcdHSHyMUaYgDVlc/hlppbOgHJaPrbNz 1tAeewMQfrB3dx/2BXQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YXz4WZBI+ZuRrCi4Gfpmj9u007zhUaapkKLeEvEugtpisG9TvoG+IdugsLUxk3/cVor1HoPm/QO1 wluJVsz3KGJAXTtuWA/G3rEwGRbTLvAkwUR1D3GEekAYWWpx8qYGzYk9iVldd5qkpPJp+utczsVY VXlhLuQvsaUI3g5IXrW9/nD7tPCJrFG222qhCnuZoBaGj6PQtJ1XoyHpkOLjiV/ewV6NJqNp4m6O 59u+GtK+7P5m6nnmbSdhQDFMZt2N46N8Heecoc552V+SNhU042QleG1xn8JYmm+tIO0ppZ3lWhM7 q37Xm623uEmvkgQvIBgd1+0N//XilBipT3JQvQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18352) `protect data_block BGbGyJA49ilw/PQso0auWhuK+BEQ6+KJHHeHuBaC41ydAaPUKQNbIMfnJ+qEF/pLo4Q8BUglhRph iujA+9DKW7EK572eomDh3XMKEylnuW0MMAkQoPAYZX3Zv0zj42gF9KdTMXU4VIZEmoPUDjyVeCNi zSn8w7eQa9EquOfnr5V9xgZWgjd3ltIgVFnZWtQ8hiMma2GYtzQJId+4ZKHkpySmRDT9bgGB7ag0 BFEscy+2JImNu6jPAdJ7W++PHg+9ivApXnD4JHJFbmD6xoUJ1QkBS2hS/WTKRgLa58V/VlO46TMM xEqUceaQyNXI5x+NGlVFZwbI1oEtGfxVmsIXusfvUPj2GRnEZ+Gk5Jo+ekyymNTKCCeic6lFzlBC SibqDWs5f3jR0DTnHzvy/6WajFEkWBqDS+cbCrkSz04yzI6LNqzn/Uf3/v3BcYFutO9cd/D7sbWf gxORqw7PLBmL1jdpoSHO2XCv0g96anqP0UROQfQYVzzuBldkxb/OnkjuSR8599JWoVWEn5Gw7SJu KE2lB3Ng0yIYoPSwPAD2HZ7aacWtIFw9d+OZFCnu9SpR5f3G5oxSeOQ+3+GH4YHzcgeG9m/6pqDi NZkbEJ2yU0JsJxZyjKo+V6NaTlo7/F+tjU8L3T+p+J1mEvMXoS+MNXcGCD+KzKqq7DHJO695trWJ mdzgByumVT5xOxCsZ3y0w6FbcZ4CE7sJhvDnWzLhCc6QedXNlv8qI8rSMfCou/tllbbCP3qVKjXX 56kj7hUWPEoA9ulghkZZwS1rCkejhuvwQ5IKxYq2pU8csJKYdGhjgLwCLoPNG8QaKB/ElbXbg+lU M1U/SjuentKsD18aqy073aJZZ6+lP48R65EO2BoHv2FKKm1kDi2gOa3zcg+2TmT8/83x2iFjuQGQ TAGulKNj2JCbGqMUopXDPsRX7Oy7EJj2j+RadajTabJMQu5YdiGaPGbaL5NC1pl2bfGHdKyV6eLP biLPO7gTBT3lB9MpJZzsc5qJFffQEZyvmvYvnL7dNCYKSr/MRI4e/owu2FhPRrNZ52BBO778NiXM LI6QLK/Oxe/ZmkP5IBdrBLBmKRcia9kgekIGOhe2ZV8WUPE+RPXNCSiv5ueW0Z5OEsKzZkthx/7Q dJn9WHXyo/ByyCCbA8+iGaDx1aDWuRRy/GTvk5/OGjc0prT0i/WlbnhWpkZhvxxfJiC6Df4LdpC1 OoNDzD5sVH3mQqogjvGZxz66US6yPqsi8h8jYapmusIJ1Vdv0e8M9hu06v0X2QOZz8TOPE0S2bas OSZDqh4/nL7ybDQSc2sPSZXR4RcP35LLmxoNN4ZvXdlIuyjYtLis3pJz2xLq3Mfp5c5QEe+X1OXb +ZN6B3ALQrzbuBJX2KKHB96aq6lKUuRnigYLg8eNniWHNeV7ihTilBRiLKFWwEMnISUiYYH/qRwh ddp+yqEgx+gEToUFBLDAO5psyBbExiJTcpo+kYozhkFmntWMz5ktyugXS0/6xh91LUzMgTy5vn74 cSmE7UX3VDgXN3nRJqum3C8rSkYjGGnVQP14zId1vI5Ag7JFW6B8KLtSbqUq71uTZx312A1aUpU+ OmWanqdNde0/TomJ9riuJtD/FL5yoa6tAHFe3y/c8JNDJRDlSZussYcYGNUF75sK+Qd3y3tfQrMv gzkAeLo7aJj+sHPp8HFAEJz4EaoxjemAin6/S+BVaOz/MEzttH8DO/j997P32BiiSElR6N1gekYO x+Qp8YXTCb7XK1ao7QhV7V2Bn33uKwny1dPdQl55Dll3TwINybauLTbnyzmYO6Tl2X8TmGdLDo1M 1Nx4WCLr8v2bOLE1wRBqH+PPcHo8rS88Qh2Gtc/+ffF80Pg65z5nugQ+Aa44z+sjMcSiIbkrJi85 zrdlsEeA/IABVCrtli/ge+Gx21n5YIHBSaL4IV6gQL2+pCbjLoYFWILgSlfZAkO6uK2Dk/wUfdLT 8oOUwsJxjhZnRjK0y5Cgb/1sWWz8JGD+aQ+M0MAsEkKA9V5gBF/+IauNf7jrRkO+/HcEJrBDE3NS avRzmItxX4P8lUYCVAV5Vg43WuNtPQRXgWzKM+7vycDTvFHsajF9B4PFoumOXhFYVMiccvxmqizd GKI/ec8/37zyDYD7TfHq5JA0YSm2UGptlVEzuWgeJk+9SNdSJ6WWyYXc6Oh6r/oXO3POn8BE+bGY BBm1gBJVUNHM/XYKni3y7+Au79QSIaO5Kh8l9Xlcm+v+BL7sam8uR3nsX35Oc2TvX50txfMsB3wV IPlVHxLHMj4zQox9fs1G2CMXWdtWceVfup6+Q3vh/6/9fx7bSzpa8heUntsVzFPmffafy9nFEUQT th46JP9qWiHaxYS/jjwP13GS7N2WsMVzHKifPV8IjbWB05aC26+Am0CaX03FwTFSMqLzIZjBYacS Hk/JDEIQwH/ZQ4mqB+F3XUP0hyeap5Riu0og79bBUPYMvlP9euQjPvjq5HJmwDMYDg76AQOaqP2s 3UZohUCY68gbr0GsSRLOooCwzCnkoESzEZvgLMJBAsvPi/o2Ki3ZRKdt5dmwDrmFzrppmu8Tmf4d NkOvPB+abWOMe0chi9A+R8KeiAU7x8jdLtmLxJHhpJcE5yWjn4mplQSPOSfh8TmAgd2jRdLj/23A CahDd94F0XsHcyx/nqKY17bjRTYe27nujnM+j/EZ+2VBbT+cQz8qdBP/lr70XlGZHke/O8RxE2WW kCaIxLmHMzXvZCc16NC91/i6h0t8xB0gp6SDfpO5vPrvcAb+Oj9jqaxyAzgR9i73ZHmqzur+WCvI GFtP/6sF64so3e3CX7IQR8uAj8ZIQNfUvQncoXWyaKNz2YSxIo0DYQorrO9710gVQzgq0BInYEuN qCh9QtOUZhh/DtuJLAOwY3FtKqqbVD8/hlgdzj/8jOKR4zm2Z+htrB3loLPntBH9gFqw4WFoKdL3 wQYI5LVR+3NxqO6Z68tpSbvdLm8ZkGQGlySijYT+zuimjZd8fgZmbKaQvrC33eTJqmonaROVEvAt 3rU/sK2I24mV+DncENj7sERA1jkMFzI9NidcgUohIfDXJOr2GnVQUN++TzULNUGATS8uIyiiOM1Q 6JtjXDLSXRPhEOCdeZlqm4t+4TnQO/HOvSUElyaPywSiHZ1qasScrPWAgd/4UudACF8notyzdrIN zRlgQFeet+L7pgviqkMyrNT5rhxicCuzCSdtz1W8q0UXGfb6WyHOsOltgE8mqpk8LyTuhJXgJKX2 16LPI03SwAxb4YXfHCY4Eav0xGkZeqi40IhfeY5WZpoDurVKFI02qxUE/IPOih/dI5uYoY6QjwQT n6TGxV7P0qMG2bDFwq/hNIO9MqkUaeGPjXe96rtGxtvJPkJzJIDRwTQviiotVTjdc7wVUKiXWtW8 gu0GZMNs6aTnb9m6hjCglC+tmD56D40j7Gg6wouRgdUdtjsV4thsC09a+TFwgZ9hKdHHcx6lknhD kZsURXfjr2K8iQLKi+E9tiq9hj1004GRY/g+/DyuQ62DyLz2XVPNYqkHESJ0hOlQNAUlj7/xI88K 1T7/sanLTlXXd8szmRgCsKuQmVMMA24HJsotcBT+kdGTKWktpgpLVze8qU9uMqFbWL8qxpc1/3J9 l0/Ooo10QRQe+fg77nUThJvyrnwES1j75XqsWpJi3jF+9x0lG93pGTawLI/t4ztvrxqylfaRzFtj Kw48idWDAbXsoSsvFb846kRFSU+PrF282taEafQRjdmEqr8bMJX7Vsd6WJvRA4jViTjdp6tl/fcQ ESQ04oOOmxmq0vKl+JOjzz75NsgZ2ELm2DV8qECOlLW5ztNogFFqTi9zRorwqsyDRGHCLkbWbMJY R+krP5jLWACgRphYdATeV3g/QIxChcA4t1deIJIg0Iqj+d6v/EZdcMeEjrZ9z8kyF16IGI1azmeM gVO1Swja7XA0rbTs1/a3/C5Fw5ktPOhr7ZHad/MafajqW7TReYq/dG1eyEEhZ+PcP3McHqCpNK+r P/W8MoCXolj+uZLGK19MBYPA/U3zbsMrd+qQ/VrNJMJnJ9Vf5N+C+37ZmdAYoFchWqlkn+8Hs5yk PmARg6YRVr1m97JVaqYlgzqlVDEjlf9469gD4uKJSXlswPGWCEPczsp8sUuWox8OCydRzxI47iwL qRYbvvwEIB0mjqANcO2AwY/gRZjIIbxbH7w0sFoxm7IBr27xKhJL/IglkhpMMud/O8eFc37Ezo4u hAVcVgmdst35S3q9wj4F6kYfOg17gW89XIOxr/7WYjM/2O1kcV+cqmlB+vjeo9VKZEGFw/DeaHLd /cZHP8TPdj2uZwTxDaYWw+SSverdUKE0gKr7xnsSGoj16opqICrUIbtGMJMavXfzvz/CgVvQ1Qce Qx5T+4iWwcp+8S0Rx+aJS6tzKmo+kH5sD8ToGdFx5kOCSER8ZLtPTv6p9NeDFg4Y3WlOQxezA0xT 9FUukOqTi+CqxUr/BA0wX+bdIYjDvRXm4PQfU8j/o2o4s1YfhWBZfShmsISTZ1gyirBg79Yhs8Zg Jw+GsMEvTmq/6d6DCrvAtJc80UfVtyfMPbAklOW/aAxl4qevCwIvEOcQ9uKAvm2r768kF6rjJODh /MRyV1V/LVhzcQCSJR0jxi6nruPJ1shr59+bFxkZ4kcg8sOhoMMeRPKbdxnypHE6euypZagpLyjw nDLrTEftIRsq2FBoU4iSOWZjjrhqWjMKL5K+8d2GmEviTVuXcSpPBPRVYKfUroY7R3O9A/pzMPvx pSN4t06caoedU65ogSkMd2Y3sDDlGzFFah3c4MW7qRNIUtZZ4REXH/O0zg37lpAFm7PoXnAHGHPW jkufmOq7XCCI/Mbp8X8CBirtY9F8e6yZLcAq/LQ13Bweo3zKjuQT+UG7Bf9NzH7BqOaNYtsCI0a3 3cUJEqsYZ6ITd5tP6hjtvlRiO74aHwP71ImpezwqWPyZUepTjYjTE14EtNJ+Z+ap0EdXMJtYnocL oXSw6TM9sbmEMDGFqcEOrOj5Z5RwECAJnn/PCUXWidwzvJdTFwK7BG3gFUnMYSaDdNWs6o7PMknV wS+t3kbgudvZ8ZC+DxJsAq4wvGG21PYHXrYFIjU5XlX3utE73snN4YONX1vYpog/RAoQBVlyT+Oz wrMtCygr/sd9IgGJzUqdqiXhdwAHBRc/vJj1Eejqm1VRhkMHdKNxYMbnb8Y9BH1MBCc1AxQUj3lw KxlBPFk3n/ACMnSThm9ia7jTP15MElx8PyLQwrx+BmNg3WRz9ExgZdb6uAhcaXv7cQNRkIxD2FyO SS6kX71Fc+nS0odTRWrlOqEO8u9wS98vPZdfd4jr++8jfKUrxm0g99h0AXoTbmCk16dygF47F2zt kwf5XsmHlYpebdSxZ2vEBIyGJ0kGYL8E93gGaRQQaF1qAWbnIH4JrjMIFpZrC9d3URQMK+K3wfYk shwrZmdOM+bc7T4D3l+s/HheaP7rmuu/hA4HpSsNEu19/CSOkBk8y25Kbje6FuTSraKQKYaDHVSz qtIT/ACPa1EiUBs4Yidv/KC9oiJUCg7+ToaiyCNkabRHc7BVm5eN2PRSnMdEsTlH438qTPlDnSkU s9q3s73cCojDVrgtyGmPZYC2ZuvBm24+LBSCan3g9SRNUw9LxeCnJGMAC5PEQoc/0BFYU0513YTR wU5paVIkZ4krFUoB8yiQAx3BsmwTOVqWSqBKCmuNSuPOrk+c2kyeBj6gQCfNK6TUi2MRjVjYxVr6 5k7huim3Gty/AC6hD9MSWF1SWLazSjiu2Atmnb3gRCH94r4sDUIna/708+pOtDy6EAK/G6NnpJ9s gl6ph1D2qup1Sc1L9x118+GFBPbF2sL1IAvk/G+pimTbvIZ2XW9Wj8WTsXSYBA0aAsH2QXzVlmZx pL62JJo46pPcMEblafkp+Bs+zzGLJyh+oq/uxE/esZKbkALOUpoO7OjeU18YTdXSRpyinOJRpNrD M8tIHdoKpsh65CPqnRcV2y//b5Mai/B3ZEN64s5j2dkQMjDc5MtqbnYpJqY/p635Vv0+Rp58PAjX uslRyP6ySp/w8wd5R6ZnaRhCsQiQ1PQHLubLBOArx4H1aM6YtHxJLcV1N4x4cq5m97a6DY26oJzO 0kHfa/q3t3a8EaefugmLn4tzvfltwhlFrxObI3M4LPm/Mrc0USor7h1d7gdI4BZLpIJafkVzrVXf d3k2VGSvLVWoRF5QkbLt4Nnzq/ob/n/2kCNGMMoZ5Qewzt+RsH7CabyFgMVylRbKWw44XtS3P1nX A9QGQIOJFRL5prnPS8PxmxLKV15XwsMi/IHbaPFMG7GlOY2lbicqgWQ5uu9kwkmiSK8M+M3ra0CT sS0ZWnXzqmWvRLI2lug9Gm2muhV9LKt3wafo1OQyMjAOvgg4Qo34A3FLgsanH33sURsLBV6P9p6L ISH8dVdZhJTeWzMhQ7GP4EChzuL3mybT5xgxHwZXV5lNgmALXZ8lS2sZS2QC9L7VTYGzrk4RSDkb C8Uag/2jEIpTGrFvBMeAZd/di37712UgXFfuMuP+T5gHhCzre+dCkYJkhbuaxlN1TA7ZBdayAbzM Rh6zVRua/jOCJsvaJMSkJvL5kWDeD1/4DyDfL67miOyutmUTaMYy81ury8NZbORYbYXWcas4LM7y zmN6aiABIy1t35VDcNuI6Is4vmjWn5uQqr/ZoguPj5eaE1k6lC1EGKay4XSIwmURiYCP7vltlFSx FBkhpG8Wgk746BbgQM/LaFwPKXqN6sWFNMpo5/z5KiGKdVQs5XJ+xWgRLNgti7ilX6xkmOvtSRMO +qk1MnA65nBUAOol0LKb/qAafOQKP3WgyN4cxg/SdpPun3Fx0WhIJQO2TVrmETG7eo5NC7/03R8n jcAw5FLcW3gGNPPodEE1N9IKByMsjun5CK47vcTcZtKxk9exUAZGWfLyXZ43H5T6CKVqLr351BEt JE5vuf6ugii+OfVv8YFxkugxsQLGqmuPaihme5QIksBGONdi06oSKujoYsj0RN/psQu/DnK9pB9E 4dSIndX8gBURNFEteJaOz0t7cFes4deFXfFhDQXW3QGubf1fsu6ecuZlslwuwnFd4+uKaE0pZrBZ psu/N2Kf9FWXDZZZeEorPjsicL7MgMUhpF23jGTZhj6DiPKdgZCEHjlz2agI/rgTHUA/QJgQwLzc +4XfKBHG3xPaeK8vz048H74/1y108GNd7xh/HZFWAvgc/YLZ0Ko3LlOwhBXPhTBn/ktByMAI/sIc xcUSyifmLxJD2xxR9dK0fpRPLbVISUC6vzwtjAUj+4eXhb2f3JsZNfrl1CI2RK0wuwn+7IjxV1ZJ yWWH1SsqC18/AZsODdnBpdY7mpY/r7VKSOt+Pr9Y9No9Xpe+B8QnvdrlZaHaUIiBHwki1lJEdaG5 A7zSFEG7D/I3pvUENL61Q+FA623WlrhdfIhXA8r4UMWnb38+4cNV3aY9N498+8jBH9SEOZXZfWoH uQesJ3BNgjJIg+rKCzvRMAr1MGOmJDT0Na4L3yzUx1aDn7lQ9uL6a1IgLHkeZbJdSnDgg9TqRuMj zZ+aEYmki+ID0kDpZI1j6LMEdihnqDv6AEecPFJOldQmqgNtQVXhK7girTCidp1wAB8djQDtTIZ5 JBsBlGYGoYg+Xi6SWESMxkDeFVSbFw3oKYqyS17b/jzpJ0jX9fLINfSeoOnSmXdX9wFiuNLI71B6 0xFKb9we7OaJU6aK7NMcXQIVueKiLQKwPo3SL8J5NIEkGdZFDTorYT2rm6GacJyDp+NhjMR2WLUV YX2HmyduVVl2+8uvrmo0D18o4hPAzmNax2wTrSLEbEwW6XS/SCQWRh0MQTE82/1aL770d4h8ogdB UrXlQp2vmdQtFRxjt6EzKCOgAyiR+B+O9wReiQ7zYR+ZSOZkeT36tqWYj1pTmiEOUWdTVlSLURCW oZc1wKkOFAooULIh56J/KVzq5ml0kUXi1bFk3NsBthaB00hMa7gOVZrcLLlTEMvdYyloYKiR1DJk wroCzD1VvFW8Ofh/DIoB8cvxGyQXTrzlSdLAaEIdtsTa+3R4zSIL38+Vc5IGH6+/ISz+y8/wX2ak nA4U+nYvHGLWyBgWP1kavTJkonPOB8S86kcKlC6lu1G9uL6/dclOpvmcA9Ksz+ZyQtGeW6BySZM2 5ZdIlXeS+ljdtu2aBwrB4LFGNj6wCG8Gg4+oj+hCIF+woj62Ek3HJQ3k683D/WoqiSuNuB1qHttw o2eK91sqf0nkesa/7WDWK+YcOWY27exes0UXdzDa1wi+dBEnjPk2N9k+Xr+HBvcRKTg/06vfWYUT zfGmpJfCqGzpiREnO54QCqMdXoYUeSFpKZPyUlm1UhsKwo6LQEN6/NlT7iQdoPoZcL35bFOLm8mX iMe8S3AAAAm0+yDkO9AD9VMdxQ9Fr1s3ufOCvbH3Rh/8k56evHIMprqNapvSE8cN6xDXd/WdktqM +ay3OmNKUxvT7kuZbXdenDfzX830QEqdklFJIjScG1xewEm/VjhXxI7cIKk7y00kfDndL311Hz+s r+ZcPdWFUWzGO0x7g+EngioxJ7NzDbS55VE7KeTBvCn341+oZaN0npt2lpwxTpb8UeKEt5XO05hS SlUBpp3LA7sETq4cev34MaqT3AtZnAeUkywySUJEuuv4JSsXAZ1CMDQ4emFn1lQDO9PvgpwUNMRn soGLCioL5cgCzILLUVqECEZ+xOa3y4I5lxY4yU+t+HnrX5QoMwV6JJSMZIXC2ipMxvhBxYEecBsA G0hZavHy0RgZGhk3ErTp2qgqQ8r4pDR/UlN5L1whoHA6+xTxSQm0mPwKO+Csp5hGLied0ZzWXoXw OQK3fBMDPg07q1web0Uj0gEE5OSvdfCuA4/Fqj09bxSNZCoML4jBHoz5YMH94cwJfTUdXfhBV480 u24juguOL+c2XRPnF7thX71LT3xZtDF5CDzg5Ed0ngMZSz4NK31DtSmmlSRYYC5+vPEboVM23+1z RgSK+lEQgUrM10WYFyDJCwBB3h43Vvw2Gtnd5T2Mh5HUcTfZuIepvY02vwvEfB28JjoDIpIRka+U 1BYKdy1thIcYYOVBy8XSUYJOGri/VB7n+WpBaspWUiX2Fbpq++f2VI8HIxhAvi+sMcDvNQnbOQMy XEixL4bHvfdQuqOUw/0a7ISC4pob5RiZtnpFalIF/u/a2TtzPCi3l1pVBPYvMVqYdJfRcF/iy13C nhi9JiMtxl8sfUBVhis3ieCTz1iagCQres5cUqJYB5immIeXZ01RFNA5sWyN3xeXFmbht86C91Ub Z5NUyu3oXu9Qnanky5esbphXGO2RCZsRRHGiMxSZiDD8KOWu8vGC9Vj+h1BbMcDD9Axhs/44JjQI yZERa1e5i471DZBthrrQIeqboIjArv2flsKalZ6TXG5LlZP4diGZscvKrzTvYoax/EWW22owS85b Z2V3bbyyZ2A/GggMgmZuaYyGdF6NZ19H5R3KF7PFm+WbOvNI8XW6XuScBO8GkXtSpZE+c8T00nOd PrNc8VAkUOlNWJs7VGCgYvbwbvVYNj2ErORm2Uk41qwk37QF+yH2VXmjOs1jnVOuA24j2mX3qeAH lqE76jLANiAoiVl+CERP4Jq5yySO9GfiTkH9xsEuK8cVM6Y1I8tmvxKs6NHBu7GwtkajpIcVuhMh DdkiJ4IucxiTykystEqYy8MxSViRGb1Y98IqXK1n1e9+GqRFe187Wli+DLzb12PH6cqfUo3hd3gj BP+RfQCXy6+6NL0wtrEWR11f7IvkRO5Y+T6syN/1g1CObu8JDrzhiN6v6LinYYLMtyocF+wvdkwj r1gPiXKUBNhrzWwHXDgYBZUe9ZRVtmt9zGnUCvo2/po/CzlzOTUlqeCkvsTg+zlL2XIVMXy9F272 yhwrLyErbFT8gb11BkQZP2ue8sHoA8Jie8oFjRaqnvCwemgRS1+z0cjRKVHTgIObS24zKh0Z+/km MfX58EUBHPyQhOEWWcdkb0SDYWKO8ovr5t0sJ8P9ftl2uLkR/Zm3tvkLALvB0G/CK6R9u/Nx/V6g z3d5GJRsq7WBAONbKi7ZLwRRvY5cVlODMI4s/OVp54VigJCCGoLrVKxWw7JXe8+JdgS0R9dciP74 0JCY774jb8IBP3+IbUGb/xysE5PjAFR7XE2kp0OZpMatPJ0VPnbtLZujB4H1n8dKMbrMw8FqC7oa nEjuj7sLxzbKBRz5J1rVX3tulVjOL0bdYLtVldiVgmsAeIlr1dvf/4ycQVX8aJbMrcrpE4/1wqAd dMt9lMvUiVn7PyiBxFUIfeN24MQLa3r71itNrRhEg3jPuqfkc1MDTSeZ2zVhdN2pSCSuykV3gasY 6fjXSv2Uz3EesMVWxOkxLzIDpvVVnQNmqpSfTJJ3pP1+qUcgmZtSqJq1Lj3voyLPJay82LwkHzJT qQHBwUCTCqaZ4qXODvNVQwy9YgwtV5erJx9F6khG53RnBnlua3yfTf4W3w6aWnIJnMDStNrfRYhY J4cYfpHIxmBC7yVnbZa3NJBID1op0DHVZz6VQWyFbcFAet3zwHbZB8ibwVCnvHyOedkkGpEtdM3J 1aj3DKTplRryxjmwIPVGx4EsRpJc1bu84Vszz0xTIq0GuEVNRltxipuuYYn9soQazhB398j3e/Zg CW6plhCYzyypZ3ypa3/Zghm2hfFMrvU9DkXc3L6bbELmw8sn/jdkXFKHV5Bzjazc/Rt+z6YrOQy9 s7aq6athyY+y/Eh6Zbo+WL+4wZVVEIJmmfZyp4yED300+MEl5T+Sb6NrrQJxgzTx7KcB1UoqOjur ZLMqFfDra3JGyna1MVkij1pkLBkzHbsrgQaAnOwCacLMmdY5bOPD8WCED3fss8iBoX+Cj5KRCcty qZbu4qrT3w+ikhQyB2gGtyUMEQZyYzEBqo+l2/uHq7lWZqB9LBx4+Cr6h6g0JbazTO34FrPMOY2G nvK3aCbqOtKbEdfDxhCJZoAhZbWITGq6nHKSV4Av11syOO762BlRiqANpDk8pk4U6VbxfRetO/D+ 3D8M6Ld5VM2uF30gqN8hJRXw4AMSAnrnIh4P30xzGi9ZgdLKnLzQw5IIq9d5PnspvkkTVuLo8nen A5uaBFz/0SobG4THR8jRQBv2tBPTciQGxTijbbbmTQAp7ILnk2sEQ+z1654rvpOGa9pJCzuDsNkF NAo3EmdO14ZkYTKeUTWVrqC8u1uPMF2cmKqDkCLZUr11thH7B1khItkEucJiZbH2a0j2oU7UiAgP iAg1wHO0cuQboWDjxeP4VD3HXNdEnHnRoumpgITkhgiPQgNbacAvYGNJv3nZjS/ttuNFPz4WvwWh azNJVIbp1TlynXoHTjpojCiz9m53h0bQfzy+77i1VZeMypDidqJRIuXCcU5v50AM9QA/NAu7cty9 0WAStmCltdgSDaPrVYeuV6QswyutCjR5DKGVtCAs3LMs3jZ4BiHOLLvOfXvS/0zERlZY8oPYY/l7 sh8kjyemcvGWfM/aSsLh78KWfy1huf0uVNUdb+T3W8D8YKxwp9sR3RWuLqRsESve0tI7wwfHuIOF bncBpRkmBHfcV9g3C+Ox+4wpI5KUXKJrRL+gXK4G7wC7eqhk8BbHD/nVH1wB+4qijgllanbpsD/r ieMatfYyYl4XrpEYULybGQirTzePV04+gR8PRe+hLDGVP5op9KdKRXhKfE8bUl/Q8x+g8r1IN+vO YtiGrIhKI92V4gJlUAI5Cr2oikyH3Xt6iqGN/ti1c8UvHEkPGvKZeDCfpxI4GYpFDRKeDh3uklhL G81msgS9B/WhDdZz6i4Pe/JZcVyyFgmbXPBDkrIfbPt6Gep+LQHyR4z/XfxA4r3kdx2gSEVI8Lm0 YYWYuj+h6qRwytN3RlPzo2EeaA2WiUMMm/4vyO7SDbZkYHAfrWVDKCme2tEm8cxnYvJDwikQTRAu 9cqQqEflHXccZLU34BVUkXByMPFCM+MDCc4sqLc78yhnFEHdlTIv0afSIxZmIk/3A5gsGFasi1CL cCFNo1wsvzObnswnO5mq5jkVFteGc3M2ywIpJjGMuu3Q3PMkuItsFHO3/vJ5IqGzS4E3hsOlKUfF B+R0H08QMcN+YBAQkvK6MmkPcI+lR8bVzcOlq8C4LoW6nihi3UdogyYJ37p0/Et6M96U1zDUefiS NZDcbrDax7Ul5aeklA1u7WaaBQBdhGB8f1pPIXAkl/1/TnV6U+KUC4LeM8+9C4VXTFNdoSOCX79r nS8M59Tp6iHXFEXlPIqJO720q43a+xjvhQ59uWC/t0ncddlfn2D3h60BST1R/Mm3al+UKXV51hqI Z/zsj21RW/Ahl3YqIecAyRkhc+rj+9JYZ7p6UQvTbjs0eUQGloCv9+NdiBeYsNv+GBVm9cRiUTxd xj3lxwiWA2FJENlCjupGlwMITbgNV8cdQnOFHqfcZSDUvqgofL3s3BJNl86zFGM0HaCbkcatbzBv IGtUcxmg7kLNyFpJ/cWpc4fytIpYVnZl8URT/9Gfj0hHZfvVj8OVHP9FLkFj2d2u6dtaK2BPwX3y CiHEsNPQb2YdtKOJph2EaF2p9kxdsTamYUF9hC22xGP2nRV2Nr3mz06pvlgn+jryqE9UhVz9Fq6D 00j8HbIIzPMA9IJSE4vV3LUDqDtB1KCYEMAnjrtF0sV5yFS0XCfVy8beDfyoEHgt+ePU06MQq3g1 ruxqJi/1JDLDn+hSqo4lua792FSp3bzlDUVltaNKDqWlWDzAp4RittUQp9wc7fORxJQozEoSMmKZ zxfnJ10bLO+hFwC1vXPUcZ2z6bgou6S2tQFKhYj8BubqbXe9qwzGan9Q+4g8lcMfYBYAaWXQEPaX Xfi0iZmY9dUMdA6MKNin77r3zRZHx5aUbIHpi/zi9GaEe2vjf+WXSArRcrX7ugTHncm4QUy6HcFO ROPuy7mXakzMJAzcNTogOxRNulShKKPqXVQnT2PA6uMvLF5VuJbQZVb5qLSypyE37+jcu3QawzMu LOqcnWwhMwLPQt0sQYB+8G52S4mXVgb0wH5S9a6YRQ59F2KCmspA6hvQI9VhnUAdgNq9IQuZwRz2 gbH99wT+kjRxZzOMPxrLvd8qsxJ/MwuKnN4MMiW/gEjxWnoOC3H3ntS5K3+uxYvE88wKaL1nQPXO B61+KecY7hjuVXx/u/jsnwTz/IOSLvR58mX3H85eK7TCRQdyvb/W+7f9x8uYbyyONpWzfqgbXDTZ v253TkgJDEL4cCmEZcaFvOMMff0nZktT8MFzxJTOjYk3OHC0KzYMxJ0U8RxIMRWKyNrczY0k0UnX iLkeU9MzPugYt4TfVzL3Sv3JKj6Zk2xSricwsxIGWhi4aJLzhWIcphRbf3JPFZbDFrOBRXR6uiyv necPxWSOF2i0Ovi99S2Co2CeA6RPCay/BrMSrNit9x68sC31gj9gntjQCEpNsqgKf8bK+aRk++At PyDBSC0HNYufgJL8TwL5na7yCcN5l+GxLSuV1n78tiPZIiQWTiJoRo+YeAxs33z0k1TiWCpSR984 o5Ya5kUl0WdL9Pex4OzepWmD6SpfY1IcddgY5f4tXR7JRQQO13XS6WSq4FL4YLzOP8yoF2exMG+E /RFVORbxr8LtPZcl1LE8+n7tKU4aPwUcPp6RXajuQYKJdDFqwt2W1GYOKgEJ9Y705pOdoge1f3Z0 qd92VM3v9/HIJPCUgkASu5pACXqqt0aBUxltnVwe7zDn8hJ2A8KSMJXOBrVwT4WZQMPPl4xQFPJC KHffhnoKvxthBedJHAWgtJC28DbZqPjNn5WcYICKyTZWMDgFqpNQ0WxzUP9T6WzJOnI1+1+wniV0 6xM9yslcyXXWk1SdjvKyjVKzSDnEvrTXdl8GKD88FAYFB5b2vgfBUpsNxkLJddE17NOioWMNEiY5 sCIrWqy+amyfSC2obbL6UePr1vDVz3Ofwq2NRnKLSMqd+hWbBCGOw534mGmi5b/TRivzuhybFdF1 RYoSFaOTGybfTw7L9JaCCa4Fet0B8iC7EoVWxK6HAeVcmO0XiIr1g02A7w13VJO7Q01igIWFMucA PjaflyCkglWhVFxiiY8gwR9VUtHseeeBflpl9fbxccg5CzOM1g5d21m9XvUaW7xftt82HwXO9Tpa 5RRp/HpmIwgBG99L0DqElWz0MgzFt8TlPbDQ9tGHxNx/9OuK6G6DpzXYpoUfK4OYw9vniCkgmPq+ j8Dug5gtTxEJIBGD2ck1RUWY5UPwsAnzemurHxc8b5TmIxfQe1gV/v/qip8rqF5Yb0LNRPEu/rKY T+G/+0bNABTPfehjt+bwI3Wdj09bTPQL8S8cyj+BSM41odlW8eO4NvMpk8gM3/KwAigQrdZsYtQ8 1oYBcUZJvAb9TdRf45FLKhtrI96zmOupxwMQveiHEZQa3Dm3/qIQaTeN7vo+DhpxP1CwYfY8d1B/ BsWjRRQ0PHIZgS2k0tiRLyGLYdAOErPYXsDeg+6VGRJGaZGMBOQ9vBH1ZOIlRXxAvQ6tkiYRHwpo olvY/F1JN2aF+rWh/hyPF6gSooO571h16m8kgaX3wg8Az/wrZOCgRgh+4HOG+382orBymywzFo5D QlBuVydizgY1EGviQu/zB+m0m0aTJ4d175tJiOCNVKIENfZFKTSqFzDZCmUwDBqnPt12kNVcYsRw VWYZMvfAKJBzGkhVxCrM8J9hTSu9fjIb/9FT/ulCEYomtyr7pNrb/BZRnUDHiqIdWBtQdwpVyKw7 jvbRceiZPkqiH9dKLkXfjC1uL8F0/PJu1qjPRF0dIBMhmDTfFk9/UGCW72BAhysoiL2rxWT+c7sT 93KedXQ5Lcvt+2/MjqUGyUh/lM5NI0Iyo2sYzqznEB5jR7xdzFbe/4PHvRusENK28KAK6mGpPmvU 5AXngUjiuX8j/Zpr/5fMzi5r4iA9g+87pVzAS5krcZ/Hv8GfEFtjUmVsqdsrzW9u2xvCDKidqVq/ T01uBEIcfUB9/0oNaxOjD/fvMIeaQXQqyFuof6qBo7nEPGMDD1pxLAEHWu2BCTE6bLMilaVpmw0t 2kMueCq9WldIaCng+xRANwupuVXaXSNy7clC82WK0hOsRm4zBvK4EcD+HhZeNaOFjivDrT2ReNmD 6r9WmokjEFM6giEJjWyt0T6EckYPBcW69mVDrAgWffOuEaEZbpaanG5eIyerfe+6/Qh8blMDfmgs J4YqXEWIhpZpwVp677V7hWBwlZiitDN4gDVM5DRiI2BvDoOz51iLhqitWC0tmy9d61lCaDI+zfJt LPWsw96PsScZEyGtwWvyU7F3omObI7MWtvtckm+ENY9Otz1iupjwPW1bqwahRVkyRsa1+gu/dGEv QsVlO8c2gqDqufH9FaROZnjbXub1rLKXGPIkB2LijtOBmCf+4cREUaUvdrGnqjlMiMovKQeijDWy rZHJKqw7/+v2MeMSVeMe7sP1yrb37Z51ylRSM9vTLhYj0FRP5Q0AKFe3dEsE4/GEbVBMljkPUfXj owMYW+5Rgx5P8lBDOKytKzW6Xt7A5noLbVwvcoPOAvNVb3xcxMLUSA/2NNun1wPI9fNyYke57won VoqRRiz9MpVkYQMCa3LqbrFaKIgwCT7u1U2fBZiTQ2MezkrzPoNdGZKA24J9AEg3oFUc0avCCWIO CmkvGr/oLucJ4q5Ef0N2PkroxBJ+8c+nMeV1lk6vIjAl3V/940L+2tFP8Ob6S/c3nLq1UwtDibPD 7MNrNAZx5j7gceEJYCpXxI9EFVBTaYunS1XrBaOQTO/k6yeMYB4Xjx8nHPwoVz0vRisdX5Y6uu67 GEXwr2NuBMjU1b46eQkVXgA1vmX1c4oBSswO9fA21aQnSwJ0asRDVKbSzR0RCcRyg5Tpz1ORjRo8 E6aDBHVtHTWTE6Z4QQlxbWBj/NlM29Ep/ccUebvOrSYuKFoaexkIVfWpCqgMWxlAn8V6CJPViVKQ Qq78QjBCPacM8QvWHCw5jPV4pfrh2q1ghofINhMI6rQfzwf7/qo2dOh6FKJKG0Tfg4QnorvH5Ll5 0teBYv0011MKXE0tl1EAEI53qKpgeru0/Q2E0YzJcYj/r7fY8k5XjNiiOOhwqQ7h1Xqx5/vYRxo7 2HvKydL931v/avQmBc/PcFsD0gltIc/4DxRhC1PL3RUw7eTwob0W0ZXudp3y7Ca4Bc0fxLo+jofV E9oUVWB1lXqSGW1fyDqhhxKHPd6lWlPdsINdtmIZpG6s0Xbhr6HwOVc8ruEZo1FckStKR2P62eY0 843b04bWSP2hN5bos4Xd9Th77Kd1tRpEkG6WeZg5UmnQatDun54iEGBgC8XJIX4AKZxr+bmuIY6X axJWXiNxnMPdmhII5JV718Sc24L4zLsCpx9ryAmG1IAAyLI2hK1CLITa9ZqBTa2rIeNTfWK9m9Z6 fLGAAhYE/OmBvNc9t9K/rfkRUXtirve6vFlgTMcWd1mtOtSwZK4npQZenMuEWGmt0gRbPF1C5qHz Wz10Y94UHf63g4NsMVO/PQnyK6OkccJaFYL+EVT+0X3b+O6vAbD+vcOZbaSQA37X7aGUGMB9jEdm NEZgTXH2ldgfdDkd4DV4WFY+GGSRs2rxoWH6tDbyJiVYPCU3yR6BweuwsOzYh3nbNm2o0dtBnUzC UwIUPOmhCszvjdDsPj6fHjM+WqTsq6aNqZrD60cjYpGVeLALBlopID8oOXFso5l0psw3rcIMpB5p IhNxAokNyyCT1T34L4M/kbPdhMh39lfscsmuYSHJy4ajuHPZ7/M06VLuu2zueGq9F6RR9hDGcArR Utr+7Ql+UviZNrfpyBD8RbpDisYMt7+qVo9IJvpuKKc+nIC7HlR1YxpuGRbAFoeiCKksYNNL/6tN NBV5TDJWrU4U6Lhk3V+7GY+WFneljIvGFYRHXAuu/smTR3Xn4RRSrgpw0RQLO0ebCQLMAenHVzVl VF7SVfd+utXwq990YEHxdzyBfsr8KYIW6uI0y0h0tpCc8Wl0P19sSQSEw4OymPr7d6Ma7J6Ia4IQ 6sMPQF08QeesdBKBv/HKA2rwXXFl6wdyWQqC64lqFXw1G4m6vO+0vo7IoKr9+nY+hRtKQMYSVH1l +SS/hEwmlp335SSdGxz1BNGrL4TFuufGhVCWzUWY+Wef8sxM3GwlibpEsDsVmlpVxRnMmNdCqk3Z WSpPomy4CaKWMxIF1Pg2zs2dBY6eEiiNySSnq2PrQ8p5iO6k0JyfXWJrGNGrWyqawwwCuTVDUSYV 2CVqx82IoGYrgEp7xqMx1db893z/xpRTMmTTzQdM0VHgByWTGtVB/oumGjJXngEwVNLrT43g8+Oq coIQqzuVaQkS1KjcyS5o4FXN9KM0reyomRfyHDyvPixVsxveHBko/tAt9DF2KTZHsrrHRMhhzYPg RWQUQdNyQ39hZ0buACgu/ZQWXGOiKuaPAQJwdIqIWntO6/d6NNBtezgqa6KGMSsXxj2LmTiGSovI wkY4Ogh8P3I6jwb1UZpUxvP9PZqU81GxnlfaTCixPqkqNMKSUaMqPyKhyFqKFMufBv15X/CzHcpk 88ipu2fdeH1xhtnUG+i6SuRTRTqvHuFnwF37k8zYEa0Ngt0lT7yKXo7ICcBlR+mEY3/wpEv5aWX5 b3jNIAxMCOgFxw0WYwhjQsmOIV0MCjcHgptoLwCr4/mU/eBN6rGRntAMwqGcGNY0odNTFt9qSp3A pQFvfNc2Qp7Ju00Zz1b6J+dtIKuj62SWU7f0NMHC/asXuyALGoefrmYPBuFykYkJFdSLncn0Ywfd N+G3/vgldiNdZ2qG46On2p9m1lsLcyPmlokwbJ97XwBKQqZyUEXZtMCV6qGtY22iyZxoFUtIHVQy ft07lWmfu6NkjlV+LJNmlCcPveppThc72QJh8L+VA3M5+1aRg3IUBXXZKUjn5owfHXV4cjVMzk6L rqaWG/Oz10CfU+Ae+WjgkjVu+t9oAtuzyS8TNA0SPDUDqNYf/ghrnWKz6OtViKLl+6naHoH/mErR crGLf7Y10Q7YHchcFHRMbPA3KJl6gu7xuRUMmXIG6H+bBvXTmpOJSN//jmkscBuHjtJlVQuv/hrp cyLbefFUbpRkU+kfLAtD41bfQ1S8uFZRwl17rhLvJw9hOm7S0ooE6+JmUETqz8oC4+JDbuhOhvvo 7ihucO2hmkMdwbBmHQr6iZvyWDvlhVoVOGkuK8/mZ5Ace6BEYoqX/Pk9TsJdLtYG47YygsgrJ9Sl 24dUdKmEu/4ncIANPyiQL3xZR31cBhaoc/kD6uLuNYAJhky0yNLuN4PIZG5D49OG4T9IWZxNv1OT Bkark/+pjQWZ6Cycn0Pfv/aLz1zX+o4yPO8Iaws71Wymb6jBSeErhNf/HufxPnZCqaBnZWva1iMW xJMB94PNSGNAN8/5bx3FtMdCAQ5S66zw1fOEGai3Z0YPR2dETlui8faHgAqdEy2kY0yZgMLk+wyX KOK7LQqDM9uTvnORDkF46a22cK5AoG4zTEIxi79Hw9qEcK4SkvqTtcVb3t5OU8cgJMgxAnALqGi3 0hp7b742pduKfiSmYs5qoTzeTWhKEctC3pz2mFw2nG9ielRZuL4yNa8dkgudrwSwKVBx4JF852w8 9vLw97Kw4m1Jzeu63lusbuRGNFg89Tk0WEuGVdUDlzUOMMUy/CS2mIF20bLxDc72YfeOpAFEEwmm 7FKIbeKnTQtHc1lOWgrBbnY1IpLZtvzF5mKSCU2TwKhHQKg8suN5E2RyreBfcTTXDWpMF41YakNb 5SjbcWldFHYR5Gt5slX8C7HWxJsyGp6Jrio+NXJk8/xLBcQuheb1k6WgUTXOpgeLtbBUf8DmWZg+ c3h5vHnWES5Z6OfhPVLKNcaC0oaMiMjQQgez+KTsFVUnLVKhW7d0bBEkvqfGOsU0tgh9jJGTF9N8 N69yzjy0voGGn8oH8JkUub2UmMKxXHqFi/5Dbn3/OxpbqL9p0pkYEpRUOJJjAyNzp9sccuvkXex2 18QnGqk554lZmvbAjbVGf+5L7SHE0kid8u4CVob0toJAIj+O/VWN8WBaWRNrKLSe15YtkGaPe5Xv aQWAsZbAZHFP4DywTl2Cu/A1JeFFGszhSIVqMNgp7/66xRfP1UDMNWgIj6WfcnddEXZCN/o69AX0 xjFe/OFx/6n4QUYQuIF8LHtRMdCvEOycsbBh+AEIV45ywKnng76Lk4s0YzWOMSx/dl1NJO+2PSPw LEW2pNhLX3FAXRN6rbyPrww2VAxyJNDWEJVwINpnQIv1wF7jVSvFdzgBBHPSgRTYJtBaxjMoMs1B e8Ly2saVOXvSkpJlGSbJcoQWanLS4XV8TTCKs6HdGgvumFF74hrYrayQKgfbvypilQmm9/o/LLeh 5zXcPR9OPgcqrRpiB0kdBwZwR30tWugWODTKYaTvTj8AQxIFhwZh9qdVENokd3CoUfU/cZL0VTCr SZOdbRvQicX1ZVsNFETcJWnh9k5c8LPbgTywgGvUMy7TrLmiLP4+KFHsiMVzeUvTtnXr9fwOmmOQ yZeFCK6/F8FIrMNp1Y61pMZy0yIUE2Ahotnj2l1KP6JM8bvADp6yiqndTdaFdnnsUwAYvQJcOC5C X9gXRXeHC9i22eeg0i4qBtOz82Fhs8Vndvvfiqkz26R9xx0gQ7j+PjT3w1i6kTeLo3eehK3IkEzZ DcU/2sw9ht8xKKyMaXMxCC54YMWBFfRLkpOFvRrv6debowchzHyoNNqArT3Jl0qlduyD3dTdxTCk Js+H2X2h0AajBoPcVjuyPCLOcA4kTWRMAfRhQmBqaJWvyvHF/lFSk7ndP1AHf+3xEYnPBmz13RnJ EmbDCcAJm3hSvMTJr0GJvwxkx1n9hLgOCKZk9oYBl+ZW4eXPAOC2QSll874K6N12KY9/fK8WpDTe 86KRaxDRuaXHu8I0zn9PYDTG9JU1mHesT1kebFHNjLIv44jmP7w3Vu7nERILIocUWlSd9SgJt2wx 84uMCzVGrj5cQIS7c26FgDrmMN0zee8V1xPvmLORLdQ8s+GNned+NjzgT9jJnW0VVWjEJSs+9JI5 xuKuaVMP4jPySMjBiFMsnJzVs477/DfZqFZLxAzDB35NW44yOXNp0bVUv+XHBgoCtPn93TDUHQ8X fiHQOa+kJ/D85YtYnTVSWGddLBtaAzIOhrO5RkEACL32CTe5oceOVBbsEPPWhtLdeeJoJZwLjVvn lz55/f6WW9ibe9LtZOjRRSgb7eDKriegSYTMqdGws4c73RcPcwa3Kv0WSa8NZ3f0w6mHNBYNQ9ij 4edfnm5xJd+5pT/jMo/dEPs9wlCssB3qokhLuFV3ibYI0ejbSLYMapTIcMAQyncWVnndv1YlPBPC LU7kBCb3K7GV0+OYIMGJASXCEXr4NeyZDz+q4woSD4J1SUuPSJ8iS655U9PbYLJOl9HwbAB5Ardx 6Ie6fvSoPzmDqOl1KpzPN4UWXqe+ajhwuYY2rfnBgEyak/QaNlknJR6B6IG6/p6YrnK26EUa1sUS 1v7BlkeTScpt6zFBZ1QS0fWTzJWEXVlbnkH5qzFZ8EjvuZbPAzwyZonu8ep98jtXb4LjAC7ogy2H Aim8o/p3v1VAS69vgepfat5AzKmr1wQbtCmUS+FpHu61zjjY9nfrEKVI/nqTDb0/xtZ2PcIlvVEG R6v1s4M+S12HwrW0YfWkqq0TbWL0ZBIxT1QNflXTdvCTMHkrEBzYsaPjAXoYUrFbjiSkLOhfBBvX PrxV/qjMPhSACaZFFryXEFzEHSGyw6TgHy+SUwdzulz88Lf/uA26GAAgjc5K0j4IENTixQNNs03Y rFJuQZX3BXTAjfMiH2iAozmYrXA8duHS+d/3XQGnIDKrdPAkohmglVfoyJnxRqUm+nznv3oQqJvR KUcRsYTJAndOUswZ/O7wuTlFUKdJwx6Ed+KGjipnP4R+VAD3xOv/Wzbtd6FS75Gnoq380juV7q/g Xipvk0b64uJvGcV2bH8F3wGZFU4OaHnLFct9DlTUu8rXuh3hPjWpStmwzcFsh1f9TtaIMKT1I6Up Dhd1kFPyLPZ9EnGgm5qevJ3lQoFrGuM7rx1LR/2Ua7WHlRI8oOsAr9VdM3khP2UqINOpZAwhgpB9 P6VZiYBJazGw2IirQWqrd7Y0OVn+R6d6qI4VPZE/8FZ2lEvCI+SrIoBKH65v8/HYgDfeA33X9gru r9oZsqvJRBEhOtg1izmLqDo4ZKnviZEViXfnzi3QKZHd5ssCpH6OwHPdhxCRwslmecp4CEzqgCDL 7TOm1aiGRYlWiSJaGp4rJf6xXT+7nBktGKDB9vYTHlXW0NXOUwlKzNsepHasHehSxTcTwvA9d7wu et3gdhf3ngGNSGDDr/7DXTXvZ23tZQVLIJK6HoJ9ZMUobFJ9JoY1HVgbmYsK5CoSj6xKGb/oCyC9 63ja3hXjgGyxGUCwQYbHxL25JVp0m8puDkTdFP0LiuqerER3QY5HEZoJfCb7P+ehdmlm2YxgO/+p Gio7OdiT1nalTj55RZQKAB+CgBZotCWwa+80DB/Tmv2YWlPmPd4AidVgaS5xIPRuJaa6t7rtTqoG fsRQRT+l/EEQSaV80clGhxDDPIMVTSGXl83+1QSq4UYSN5a7ShO5+T2DFiXE9TYEY0B82s43Ysdg gb2w8/Uy9CLnICTEemLuPw3DH3Rd+MuSeJgp1k9q6GtJfPdTg/LlywM/pPzutqB6x+CYcHcaVoA+ RjXNU4XEMJW7QnULj0Py0vZwW2A44AMqWv2WqGsbmTuwBdsYubJxuzBKORhxGTYahGgbMS9qMj1j bLFVdsfObkhHQsit9ZLCoqCvYo4NxJCLV4yyrKJnGEQbBnJ7nt8D6be6NIH/IPQ160o0cmCzg8br DhnFfduTaGOOLaB1hjBipHroemn1T+Qz6OhH9/D872z/mFTJNGgCI+SRmrBYelrDT/HGFAgDrA2q D55tQ/LtKGCeFtq2cMXpZgzHSail6EtsxGEZRqUEiBT0kcAV6CbK6HaD0P2gYmiGRxtJuUK3+iIt s35QF8Fjy+MCaWoK0a8H3Z2DI6uKBts8Ex0nj9xI6pWOdEpDxe/iP9ZVQLEYRKU0jHaKaeb6RSs0 ieRDuH9DpM15AZLXPxNLQ3vPt4RgX/RJShVruy2kgMujIla09chFpXrGI/ko6m3S8+YK03Tptj6y cDKdPVMVndwJwypljOAUhsaq5R/fnkhEAXkg2JliJq9DbVZ1ouIvUAzsw9Rcy+kPuDW59PvOkRLR lun7eTPRwHh8ujJqni1mU3yEpR0o8K1KMEVqdg8GICFe1y6Xzl8xLULPK2Q+H3/RmbhjeDmlW/dl rOe/I5XUy/nHHycBbDBN6c/44TO0pPcTgP5/GG9YxK2IgPrOk+2RS4AL8z3JAk4obPolP4D6pb7l JLdK5wG1kT7pvBXu6GL6barN6H1cPTkmnfSjwiinMuYq5XorMCnLBjhpnYUNWb6dEi4J0IfPC+3e ysHyN/RiF2c5fo/ebPFF/MqwHzB5cDqBuv/Q8PYxgfgaY96gIj7yxUJUofQ3p0PKbKJpzHT5u5Dp MUMtHNShfWv1molEOAc0AHQWwxK6vG/GfoOMg/1skDeLDXjYJRRqk+83e13sSZIq4jHtICG1vGG7 utJQkyUwo+/zHaFfJwrzuzAjxiDoPg1ZmiH6u6jfpp411Qdl8HOSWB9Nz3M4Ldu5bx/exXOEGfjF 79UK+Tk5E3GYwgUi/8A4TStxIUQufByk5NHFEwKIEwe6mc7V/BGAaLPN2Zvtw2qfPjzgi80DbPH8 S/GrQrFzyZA2nlRnE/RYhhOlA2K+3NtKzpu0GZ8pnZncW95ORm8pKHFBsge9IRKkvkRX0h7CChIO tAfZrJmdlgDqZk5j9ofbZRFZlp0PxVose9HK994vni0wXbrQ5XPTFPWA2FUR8fMZfW6igTxgCR6b OmQghTJx7/RjVoX7tPqFIkmNsnJc7yHyiQo/xLhBLZl3jjd3jvYVb5UgwVJVNvaqIg4g3FM7f2dw vnwPCYekFG0K+ouq0Ldvq+SPGuB4M+IC1b7yVNuCcAPuQDOLcH9oc7P4wnbB0UiFYIiTL2TmzJos 06I4+170pAYSXkDJTC83KPn1Nb26Ixw1iV2AhIhQTPoGQvV7LJTy77ZB72l2X/41lGzB0kopbcQF PjBMXhfLmq5zKObhraYKbbJLTX+MRpas1F1qK3Xz0RuqV6xIgeAu6sCqEUHWNQsJEqiGxNnMl61+ //w9GKLMwD0/BUNdWKDU5S2KL36iT3VJ6S1EBqoq8tClqPiFZam0FCY97ktSS/jUwIUcjuQ4q5yz NErCjTVuC96TTZAQiVTqjtCgQPFykchk40Kyb8uGWIezjRgFpTvgeN4i9UMO0UDkk9gRAAjzXfm6 rb6v+fZ/suQXuYBn5wVI2uro+3ZJxy4aW5ioPu2rTdmiT6nTprJJPGXr1OsUU2Gmg9FOx8BKboXY +sq703uNogW1EfeaGaEh6z3UR+/zIvp/m0qUxQRV7ZO4/f/6VMfEV7oeZJS7YR4vBzGMkCwceiLJ 0pCV++j52v7ofbjK3AVnjKUWpjOGil+NnW+v3RAujyBrNw6R7dGegwxtP7Qi6dMrrcOB9I14hURX L7vCqHpHbJQVhY8+K+drEsq7Hn3BxE9tYpPMmLH8Iim9VMQ+D6HYCsdD1vkokcJBvfaCAKL39AIn T/Jik4VuPpo6DB1NRz+v4gh20wohOMPUy2/2SSJWv9JDlAn+WXgiQv0qHm9A72EbDBGx+BOz3KdB CKfDTLlnyGbudOOtrxOtLh6cv0A9bAtvZmV/h2EqtCUVDzSq0ZZHVWNTaqUW9eDyP4lImxV4Vflf F3w/oj0dlhCnFx3FeVucTohxXAPqX0/FFVXPXUvpph35KAzD9fB6d6n6vSI6IzTbhqxDIgDsJHlx QWgC9XPSctOO/u3tMnu3lwu/f6oP9g37wbLqosw02AFzyI0kLdYZTuYULCX9qgsc6BhQFVeoDT8q 8dwHnMrWCiwwQNr6qIyjmFaCu9rF0RxS1y4uZSBo8YK5kidaumsWtaxtEU+fKEf7vnNrhandoESX Mkt3uKrhZEaRMXTA75QQyq4qwNatvrPYYw3KfffF8o5quny/yBsPKtumtDvYW4QUxz7mocf1l1uC 5EbHgroNgiSSJCGI33O3ggFH0VtpNOZAcbVZ7bovW+oEk4WU4R1DnETaVBOZMV//OXDEbFdTrBnU 1MAu+3YNG/stMY7brbBi/b/h/xd2AwO/QUfqagM6OCWgld20iUph5VXvgGjSOeY7CJVXbLXXAMs8 Ml7C0C8msEaUHzyJQjPhxH4TtudqfJDbc34udTeAXgn0W4mYDlqP6kBgltUN8N7u+97IbNLbfA== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/rtl/switch_port/aeg_design_switch_port.vhd
2
23193
---------------------------------------------------------------------------------- -- Company: TUM CREATE -- Engineer: Andreas Ettner -- -- Create Date: 11.11.2013 13:56:52 -- Design Name: -- Module Name: aeg_design_0_switch_port -- Description: This module describes one port for the Ethernet switch -- It consists of: -- - The rx_path: the logic processing the received data before -- sending to the fabric -- - The tx_path: the logic receiving data from the fabric and -- handling the transmission of frames -- - The TEMAC receives/sends frames ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity aeg_design_0_switch_port is Generic ( RECEIVER_DATA_WIDTH : integer; TRANSMITTER_DATA_WIDTH : integer; FABRIC_DATA_WIDTH : integer; NR_PORTS : integer; FRAME_LENGTH_WIDTH : integer; NR_IQ_FIFOS : integer; NR_OQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer; GMII_DATA_WIDTH : integer; TX_IFG_DELAY_WIDTH : integer; PAUSE_VAL_WIDTH : integer; PORT_ID : integer ); Port ( gtx_clk : in std_logic; -- asynchronous reset glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; -- Reference clock for IDELAYCTRL's refclk : in std_logic; timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); latency : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); debug0_sig : out std_logic; debug1_sig : out std_logic; debug2_sig : out std_logic; debug3_sig : out std_logic; -- Receiver Interface ----------------------------------------- rx_mac_aclk : out std_logic; rx_reset : out std_logic; -- RX Switch Fabric Intrface ------------------------------------------ rx_path_clock : in std_logic; rx_path_resetn : in std_logic; rx_out_data : out std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); rx_out_valid : out std_logic; rx_out_last : out std_logic; rx_out_ports_req : out std_logic_vector(NR_PORTS-1 downto 0); rx_out_prio : out std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); rx_out_timestamp : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); rx_out_length : out std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); rx_out_ports_gnt : in std_logic_vector(NR_PORTS-1 downto 0); -- Transmitter Interface -------------------------------------------- tx_mac_aclk : out std_logic; tx_reset : out std_logic; tx_ifg_delay : in std_logic_vector(TX_IFG_DELAY_WIDTH-1 downto 0); -- TX Switch Fabric Intrface --------------------------------------------- tx_path_clock : in std_logic; tx_path_resetn : in std_logic; tx_in_data : in std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); tx_in_valid : in std_logic; tx_in_length : in std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); tx_in_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); tx_in_timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); tx_in_req : in std_logic; tx_in_accept_frame : out std_logic; -- MAC Control Interface -------------------------- pause_req : in std_logic; pause_val : in std_logic_vector(PAUSE_VAL_WIDTH-1 downto 0); -- GMII Interface ------------------- gmii_txd : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_tx_clk : out std_logic; gmii_rxd : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; gmii_rx_clk : in std_logic; mii_tx_clk : in std_logic; phy_interrupt_n : in std_logic; -- MDIO Interface ------------------- mdio : inout std_logic; mdc : out std_logic; -- AXI-Lite Interface ----------------- s_axi_aclk : in std_logic; s_axi_resetn : in std_logic ); end aeg_design_0_switch_port; architecture rtl of aeg_design_0_switch_port is component tri_mode_ethernet_mac_block Generic ( RECEIVER_DATA_WIDTH : integer; TRANSMITTER_DATA_WIDTH : integer; GMII_DATA_WIDTH : integer; TX_IFG_DELAY_WIDTH : integer; PAUSE_VAL_WIDTH : integer ); port( gtx_clk : in std_logic; -- asynchronous reset glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; -- Reference clock for IDELAYCTRL's refclk : in std_logic; -- Receiver Statistics Interface ----------------------------------------- rx_mac_aclk : out std_logic; rx_reset : out std_logic; -- mac to rxpath interface ------------------------------------------ mac_out_clock : in std_logic; mac_out_resetn : in std_logic; mac_out_data : out std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); mac_out_valid : out std_logic; mac_out_last : out std_logic; mac_out_error : out std_logic; -- Transmitter Statistics Interface -------------------------------------------- tx_mac_aclk : out std_logic; tx_reset : out std_logic; tx_ifg_delay : in std_logic_vector(TX_IFG_DELAY_WIDTH-1 downto 0); -- txpath to mac interface --------------------------------------------- mac_in_clock : in std_logic; mac_in_resetn : in std_logic; mac_in_data : in std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); mac_in_valid : in std_logic; mac_in_ready : out std_logic; mac_in_last : in std_logic; -- MAC Control Interface -------------------------- pause_req : in std_logic; pause_val : in std_logic_vector(PAUSE_VAL_WIDTH-1 downto 0); -- GMII Interface ------------------- gmii_txd : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_tx_clk : out std_logic; gmii_rxd : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; gmii_rx_clk : in std_logic; mii_tx_clk : in std_logic; -- MDIO Interface ------------------- mdio : inout std_logic; mdc : out std_logic; -- AXI-Lite Interface ----------------- s_axi_aclk : in std_logic; s_axi_resetn : in std_logic; s_axi_awaddr : in std_logic_vector(11 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(31 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector(11 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector(31 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; mac_interrupt : out std_logic ); end component; component config_mac_phy_sm port ( s_axi_aclk : in std_logic; s_axi_resetn : in std_logic; phy_interrupt_n : in std_logic; mac_interrupt : in std_logic; mac_speed : in std_logic_vector(1 downto 0); update_speed : in std_logic; serial_command : in std_logic; serial_response : out std_logic; debug0_sig : out std_logic; debug1_sig : out std_logic; debug2_sig : out std_logic; debug3_sig : out std_logic; s_axi_awaddr : out std_logic_vector(11 downto 0) := (others => '0'); s_axi_awvalid : out std_logic := '0'; s_axi_awready : in std_logic; s_axi_wdata : out std_logic_vector(31 downto 0) := (others => '0'); s_axi_wvalid : out std_logic := '0'; s_axi_wready : in std_logic; s_axi_bresp : in std_logic_vector(1 downto 0); s_axi_bvalid : in std_logic; s_axi_bready : out std_logic; s_axi_araddr : out std_logic_vector(11 downto 0) := (others => '0'); s_axi_arvalid : out std_logic := '0'; s_axi_arready : in std_logic; s_axi_rdata : in std_logic_vector(31 downto 0); s_axi_rresp : in std_logic_vector(1 downto 0); s_axi_rvalid : in std_logic; s_axi_rready : out std_logic := '0' ); end component; component switch_port_rx_path is Generic ( RECEIVER_DATA_WIDTH : integer; FABRIC_DATA_WIDTH : integer; NR_PORTS : integer; FRAME_LENGTH_WIDTH : integer; NR_IQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer; PORT_ID : integer ); Port ( rx_path_clock : in std_logic; rx_path_resetn : in std_logic; -- mac to rx_path interface rx_in_data : in std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); rx_in_valid : in std_logic; rx_in_last : in std_logic; rx_in_error : in std_logic; rx_in_timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); -- rx_path interface to fabric rx_out_data : out std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); rx_out_valid : out std_logic; rx_out_last : out std_logic; rx_out_ports_req : out std_logic_vector(NR_PORTS-1 downto 0); rx_out_prio : out std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); rx_out_timestamp : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); rx_out_length : out std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); rx_out_ports_gnt : in std_logic_vector(NR_PORTS-1 downto 0) ); end component; component switch_port_tx_path is Generic ( FABRIC_DATA_WIDTH : integer; TRANSMITTER_DATA_WIDTH : integer; FRAME_LENGTH_WIDTH : integer; NR_OQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer ); Port ( tx_path_clock : in std_logic; tx_path_resetn : in std_logic; -- tx_path interface to fabric tx_in_data : in std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); tx_in_valid : in std_logic; tx_in_length : in std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); tx_in_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); tx_in_timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); tx_in_req : in std_logic; tx_in_accept_frame : out std_logic; -- timestamp tx_in_timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); tx_out_latency : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); -- tx_path interface to mac tx_out_data : out std_logic_vector(TRANSMITTER_DATA_WIDTH-1 downto 0); tx_out_valid : out std_logic; tx_out_ready : in std_logic; tx_out_last : out std_logic ); end component; signal mac2rx_data_sig : std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); signal mac2rx_valid_sig : std_logic; signal mac2rx_last_sig : std_logic; signal mac2rx_error_sig : std_logic; signal tx2mac_data_sig : std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); signal tx2mac_valid_sig : std_logic; signal tx2mac_ready_sig : std_logic; signal tx2mac_last_sig : std_logic; signal s_axi_awaddr : std_logic_vector(11 downto 0) := (others => '0'); signal s_axi_awvalid : std_logic := '0'; signal s_axi_awready : std_logic := '0'; signal s_axi_wdata : std_logic_vector(31 downto 0) := (others => '0'); signal s_axi_wvalid : std_logic := '0'; signal s_axi_wready : std_logic := '0'; signal s_axi_bresp : std_logic_vector(1 downto 0) := (others => '0'); signal s_axi_bvalid : std_logic := '0'; signal s_axi_bready : std_logic := '0'; signal s_axi_araddr : std_logic_vector(11 downto 0) := (others => '0'); signal s_axi_arvalid : std_logic := '0'; signal s_axi_arready : std_logic := '0'; signal s_axi_rdata : std_logic_vector(31 downto 0) := (others => '0'); signal s_axi_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal s_axi_rvalid : std_logic := '0'; signal s_axi_rready : std_logic := '0'; signal mac_interrupt : std_logic := '0'; -- attribute mark_debug : string; -- attribute mark_debug of mac2rx_data_sig : signal is "true"; -- attribute mark_debug of mac2rx_valid_sig : signal is "true"; -- attribute mark_debug of mac2rx_last_sig : signal is "true"; -- attribute mark_debug of mac2rx_error_sig : signal is "true"; begin ------------------------------------------------------------------------------ -- Instantiate the TRIMAC core FIFO Block wrapper ------------------------------------------------------------------------------ trimac_block : tri_mode_ethernet_mac_block Generic map ( RECEIVER_DATA_WIDTH => RECEIVER_DATA_WIDTH, TRANSMITTER_DATA_WIDTH => TRANSMITTER_DATA_WIDTH, GMII_DATA_WIDTH => GMII_DATA_WIDTH, TX_IFG_DELAY_WIDTH => TX_IFG_DELAY_WIDTH, PAUSE_VAL_WIDTH => PAUSE_VAL_WIDTH ) port map ( gtx_clk => gtx_clk, -- asynchronous reset glbl_rstn => glbl_rstn, rx_axi_rstn => rx_axi_rstn, tx_axi_rstn => tx_axi_rstn, -- Reference clock for IDELAYCTRL's refclk => refclk, -- Receiver Statistics Interface ----------------------------------------- rx_mac_aclk => rx_mac_aclk, rx_reset => rx_reset, -- Receiver => AXI-S Interface ------------------------------------------ mac_out_clock => rx_path_clock, mac_out_resetn => rx_path_resetn, mac_out_data => mac2rx_data_sig, mac_out_valid => mac2rx_valid_sig, mac_out_last => mac2rx_last_sig, mac_out_error => mac2rx_error_sig, -- Transmitter Statistics Interface -------------------------------------------- tx_mac_aclk => tx_mac_aclk, tx_reset => tx_reset, tx_ifg_delay => tx_ifg_delay, -- Transmitter => AXI-S Interface --------------------------------------------- mac_in_clock => tx_path_clock, mac_in_resetn => tx_path_resetn, mac_in_data => tx2mac_data_sig, mac_in_valid => tx2mac_valid_sig, mac_in_ready => tx2mac_ready_sig, mac_in_last => tx2mac_last_sig, -- MAC Control Interface -------------------------- pause_req => pause_req, pause_val => pause_val, -- GMII Interface ------------------- gmii_txd => gmii_txd, gmii_tx_en => gmii_tx_en, gmii_tx_er => gmii_tx_er, gmii_tx_clk => gmii_tx_clk, gmii_rxd => gmii_rxd, gmii_rx_dv => gmii_rx_dv, gmii_rx_er => gmii_rx_er, gmii_rx_clk => gmii_rx_clk, mii_tx_clk => mii_tx_clk, -- MDIO Interface ------------------- mdio => mdio, mdc => mdc, -- AXI-Lite Interface ----------------- s_axi_aclk => s_axi_aclk, s_axi_resetn => s_axi_resetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, mac_interrupt => mac_interrupt ); config_mac_phy : config_mac_phy_sm port map( s_axi_aclk => s_axi_aclk, s_axi_resetn => s_axi_resetn, phy_interrupt_n => phy_interrupt_n, mac_interrupt => mac_interrupt, mac_speed => "01", update_speed => '0', serial_command => '0', serial_response => open, debug0_sig => debug0_sig, debug1_sig => debug1_sig, debug2_sig => debug2_sig, debug3_sig => debug3_sig, -- AXI-Lite Interface ----------- s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready ); rx_path : switch_port_rx_path Generic map( RECEIVER_DATA_WIDTH => RECEIVER_DATA_WIDTH, FABRIC_DATA_WIDTH => FABRIC_DATA_WIDTH, NR_PORTS => NR_PORTS, FRAME_LENGTH_WIDTH => FRAME_LENGTH_WIDTH, NR_IQ_FIFOS => NR_IQ_FIFOS, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH, TIMESTAMP_WIDTH => TIMESTAMP_WIDTH, PORT_ID => PORT_ID ) Port map( rx_path_clock => rx_path_clock, rx_path_resetn => rx_path_resetn, -- mac to rx_path interface rx_in_data => mac2rx_data_sig, rx_in_valid => mac2rx_valid_sig, rx_in_last => mac2rx_last_sig, rx_in_error => mac2rx_error_sig, rx_in_timestamp_cnt => timestamp_cnt, -- rx_path interface to fabric rx_out_data => rx_out_data, rx_out_valid => rx_out_valid, rx_out_last => rx_out_last, rx_out_ports_req => rx_out_ports_req, rx_out_prio => rx_out_prio, rx_out_timestamp => rx_out_timestamp, rx_out_length => rx_out_length, rx_out_ports_gnt => rx_out_ports_gnt ); tx_path : switch_port_tx_path Generic map( FABRIC_DATA_WIDTH => FABRIC_DATA_WIDTH, TRANSMITTER_DATA_WIDTH => TRANSMITTER_DATA_WIDTH, FRAME_LENGTH_WIDTH => FRAME_LENGTH_WIDTH, NR_OQ_FIFOS => NR_OQ_FIFOS, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH, TIMESTAMP_WIDTH => TIMESTAMP_WIDTH ) Port map( tx_path_clock => tx_path_clock, tx_path_resetn => tx_path_resetn, -- tx_path interface to fabric tx_in_data => tx_in_data, tx_in_valid => tx_in_valid, tx_in_length => tx_in_length, tx_in_prio => tx_in_prio, tx_in_timestamp => tx_in_timestamp, tx_in_req => tx_in_req, tx_in_accept_frame => tx_in_accept_frame, -- timestamp tx_in_timestamp_cnt => timestamp_cnt, tx_out_latency => latency, -- tx_path interface to mac tx_out_data => tx2mac_data_sig, tx_out_valid => tx2mac_valid_sig, tx_out_ready => tx2mac_ready_sig, tx_out_last => tx2mac_last_sig ); end rtl;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc706/aes_zc706.srcs/sources_1/rtl/switch_port/aeg_design_switch_port.vhd
2
23193
---------------------------------------------------------------------------------- -- Company: TUM CREATE -- Engineer: Andreas Ettner -- -- Create Date: 11.11.2013 13:56:52 -- Design Name: -- Module Name: aeg_design_0_switch_port -- Description: This module describes one port for the Ethernet switch -- It consists of: -- - The rx_path: the logic processing the received data before -- sending to the fabric -- - The tx_path: the logic receiving data from the fabric and -- handling the transmission of frames -- - The TEMAC receives/sends frames ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity aeg_design_0_switch_port is Generic ( RECEIVER_DATA_WIDTH : integer; TRANSMITTER_DATA_WIDTH : integer; FABRIC_DATA_WIDTH : integer; NR_PORTS : integer; FRAME_LENGTH_WIDTH : integer; NR_IQ_FIFOS : integer; NR_OQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer; GMII_DATA_WIDTH : integer; TX_IFG_DELAY_WIDTH : integer; PAUSE_VAL_WIDTH : integer; PORT_ID : integer ); Port ( gtx_clk : in std_logic; -- asynchronous reset glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; -- Reference clock for IDELAYCTRL's refclk : in std_logic; timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); latency : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); debug0_sig : out std_logic; debug1_sig : out std_logic; debug2_sig : out std_logic; debug3_sig : out std_logic; -- Receiver Interface ----------------------------------------- rx_mac_aclk : out std_logic; rx_reset : out std_logic; -- RX Switch Fabric Intrface ------------------------------------------ rx_path_clock : in std_logic; rx_path_resetn : in std_logic; rx_out_data : out std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); rx_out_valid : out std_logic; rx_out_last : out std_logic; rx_out_ports_req : out std_logic_vector(NR_PORTS-1 downto 0); rx_out_prio : out std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); rx_out_timestamp : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); rx_out_length : out std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); rx_out_ports_gnt : in std_logic_vector(NR_PORTS-1 downto 0); -- Transmitter Interface -------------------------------------------- tx_mac_aclk : out std_logic; tx_reset : out std_logic; tx_ifg_delay : in std_logic_vector(TX_IFG_DELAY_WIDTH-1 downto 0); -- TX Switch Fabric Intrface --------------------------------------------- tx_path_clock : in std_logic; tx_path_resetn : in std_logic; tx_in_data : in std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); tx_in_valid : in std_logic; tx_in_length : in std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); tx_in_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); tx_in_timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); tx_in_req : in std_logic; tx_in_accept_frame : out std_logic; -- MAC Control Interface -------------------------- pause_req : in std_logic; pause_val : in std_logic_vector(PAUSE_VAL_WIDTH-1 downto 0); -- GMII Interface ------------------- gmii_txd : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_tx_clk : out std_logic; gmii_rxd : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; gmii_rx_clk : in std_logic; mii_tx_clk : in std_logic; phy_interrupt_n : in std_logic; -- MDIO Interface ------------------- mdio : inout std_logic; mdc : out std_logic; -- AXI-Lite Interface ----------------- s_axi_aclk : in std_logic; s_axi_resetn : in std_logic ); end aeg_design_0_switch_port; architecture rtl of aeg_design_0_switch_port is component tri_mode_ethernet_mac_block Generic ( RECEIVER_DATA_WIDTH : integer; TRANSMITTER_DATA_WIDTH : integer; GMII_DATA_WIDTH : integer; TX_IFG_DELAY_WIDTH : integer; PAUSE_VAL_WIDTH : integer ); port( gtx_clk : in std_logic; -- asynchronous reset glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; -- Reference clock for IDELAYCTRL's refclk : in std_logic; -- Receiver Statistics Interface ----------------------------------------- rx_mac_aclk : out std_logic; rx_reset : out std_logic; -- mac to rxpath interface ------------------------------------------ mac_out_clock : in std_logic; mac_out_resetn : in std_logic; mac_out_data : out std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); mac_out_valid : out std_logic; mac_out_last : out std_logic; mac_out_error : out std_logic; -- Transmitter Statistics Interface -------------------------------------------- tx_mac_aclk : out std_logic; tx_reset : out std_logic; tx_ifg_delay : in std_logic_vector(TX_IFG_DELAY_WIDTH-1 downto 0); -- txpath to mac interface --------------------------------------------- mac_in_clock : in std_logic; mac_in_resetn : in std_logic; mac_in_data : in std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); mac_in_valid : in std_logic; mac_in_ready : out std_logic; mac_in_last : in std_logic; -- MAC Control Interface -------------------------- pause_req : in std_logic; pause_val : in std_logic_vector(PAUSE_VAL_WIDTH-1 downto 0); -- GMII Interface ------------------- gmii_txd : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_tx_clk : out std_logic; gmii_rxd : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; gmii_rx_clk : in std_logic; mii_tx_clk : in std_logic; -- MDIO Interface ------------------- mdio : inout std_logic; mdc : out std_logic; -- AXI-Lite Interface ----------------- s_axi_aclk : in std_logic; s_axi_resetn : in std_logic; s_axi_awaddr : in std_logic_vector(11 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(31 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector(11 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector(31 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; mac_interrupt : out std_logic ); end component; component config_mac_phy_sm port ( s_axi_aclk : in std_logic; s_axi_resetn : in std_logic; phy_interrupt_n : in std_logic; mac_interrupt : in std_logic; mac_speed : in std_logic_vector(1 downto 0); update_speed : in std_logic; serial_command : in std_logic; serial_response : out std_logic; debug0_sig : out std_logic; debug1_sig : out std_logic; debug2_sig : out std_logic; debug3_sig : out std_logic; s_axi_awaddr : out std_logic_vector(11 downto 0) := (others => '0'); s_axi_awvalid : out std_logic := '0'; s_axi_awready : in std_logic; s_axi_wdata : out std_logic_vector(31 downto 0) := (others => '0'); s_axi_wvalid : out std_logic := '0'; s_axi_wready : in std_logic; s_axi_bresp : in std_logic_vector(1 downto 0); s_axi_bvalid : in std_logic; s_axi_bready : out std_logic; s_axi_araddr : out std_logic_vector(11 downto 0) := (others => '0'); s_axi_arvalid : out std_logic := '0'; s_axi_arready : in std_logic; s_axi_rdata : in std_logic_vector(31 downto 0); s_axi_rresp : in std_logic_vector(1 downto 0); s_axi_rvalid : in std_logic; s_axi_rready : out std_logic := '0' ); end component; component switch_port_rx_path is Generic ( RECEIVER_DATA_WIDTH : integer; FABRIC_DATA_WIDTH : integer; NR_PORTS : integer; FRAME_LENGTH_WIDTH : integer; NR_IQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer; PORT_ID : integer ); Port ( rx_path_clock : in std_logic; rx_path_resetn : in std_logic; -- mac to rx_path interface rx_in_data : in std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); rx_in_valid : in std_logic; rx_in_last : in std_logic; rx_in_error : in std_logic; rx_in_timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); -- rx_path interface to fabric rx_out_data : out std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); rx_out_valid : out std_logic; rx_out_last : out std_logic; rx_out_ports_req : out std_logic_vector(NR_PORTS-1 downto 0); rx_out_prio : out std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); rx_out_timestamp : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); rx_out_length : out std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); rx_out_ports_gnt : in std_logic_vector(NR_PORTS-1 downto 0) ); end component; component switch_port_tx_path is Generic ( FABRIC_DATA_WIDTH : integer; TRANSMITTER_DATA_WIDTH : integer; FRAME_LENGTH_WIDTH : integer; NR_OQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer ); Port ( tx_path_clock : in std_logic; tx_path_resetn : in std_logic; -- tx_path interface to fabric tx_in_data : in std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); tx_in_valid : in std_logic; tx_in_length : in std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); tx_in_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); tx_in_timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); tx_in_req : in std_logic; tx_in_accept_frame : out std_logic; -- timestamp tx_in_timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); tx_out_latency : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); -- tx_path interface to mac tx_out_data : out std_logic_vector(TRANSMITTER_DATA_WIDTH-1 downto 0); tx_out_valid : out std_logic; tx_out_ready : in std_logic; tx_out_last : out std_logic ); end component; signal mac2rx_data_sig : std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); signal mac2rx_valid_sig : std_logic; signal mac2rx_last_sig : std_logic; signal mac2rx_error_sig : std_logic; signal tx2mac_data_sig : std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); signal tx2mac_valid_sig : std_logic; signal tx2mac_ready_sig : std_logic; signal tx2mac_last_sig : std_logic; signal s_axi_awaddr : std_logic_vector(11 downto 0) := (others => '0'); signal s_axi_awvalid : std_logic := '0'; signal s_axi_awready : std_logic := '0'; signal s_axi_wdata : std_logic_vector(31 downto 0) := (others => '0'); signal s_axi_wvalid : std_logic := '0'; signal s_axi_wready : std_logic := '0'; signal s_axi_bresp : std_logic_vector(1 downto 0) := (others => '0'); signal s_axi_bvalid : std_logic := '0'; signal s_axi_bready : std_logic := '0'; signal s_axi_araddr : std_logic_vector(11 downto 0) := (others => '0'); signal s_axi_arvalid : std_logic := '0'; signal s_axi_arready : std_logic := '0'; signal s_axi_rdata : std_logic_vector(31 downto 0) := (others => '0'); signal s_axi_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal s_axi_rvalid : std_logic := '0'; signal s_axi_rready : std_logic := '0'; signal mac_interrupt : std_logic := '0'; -- attribute mark_debug : string; -- attribute mark_debug of mac2rx_data_sig : signal is "true"; -- attribute mark_debug of mac2rx_valid_sig : signal is "true"; -- attribute mark_debug of mac2rx_last_sig : signal is "true"; -- attribute mark_debug of mac2rx_error_sig : signal is "true"; begin ------------------------------------------------------------------------------ -- Instantiate the TRIMAC core FIFO Block wrapper ------------------------------------------------------------------------------ trimac_block : tri_mode_ethernet_mac_block Generic map ( RECEIVER_DATA_WIDTH => RECEIVER_DATA_WIDTH, TRANSMITTER_DATA_WIDTH => TRANSMITTER_DATA_WIDTH, GMII_DATA_WIDTH => GMII_DATA_WIDTH, TX_IFG_DELAY_WIDTH => TX_IFG_DELAY_WIDTH, PAUSE_VAL_WIDTH => PAUSE_VAL_WIDTH ) port map ( gtx_clk => gtx_clk, -- asynchronous reset glbl_rstn => glbl_rstn, rx_axi_rstn => rx_axi_rstn, tx_axi_rstn => tx_axi_rstn, -- Reference clock for IDELAYCTRL's refclk => refclk, -- Receiver Statistics Interface ----------------------------------------- rx_mac_aclk => rx_mac_aclk, rx_reset => rx_reset, -- Receiver => AXI-S Interface ------------------------------------------ mac_out_clock => rx_path_clock, mac_out_resetn => rx_path_resetn, mac_out_data => mac2rx_data_sig, mac_out_valid => mac2rx_valid_sig, mac_out_last => mac2rx_last_sig, mac_out_error => mac2rx_error_sig, -- Transmitter Statistics Interface -------------------------------------------- tx_mac_aclk => tx_mac_aclk, tx_reset => tx_reset, tx_ifg_delay => tx_ifg_delay, -- Transmitter => AXI-S Interface --------------------------------------------- mac_in_clock => tx_path_clock, mac_in_resetn => tx_path_resetn, mac_in_data => tx2mac_data_sig, mac_in_valid => tx2mac_valid_sig, mac_in_ready => tx2mac_ready_sig, mac_in_last => tx2mac_last_sig, -- MAC Control Interface -------------------------- pause_req => pause_req, pause_val => pause_val, -- GMII Interface ------------------- gmii_txd => gmii_txd, gmii_tx_en => gmii_tx_en, gmii_tx_er => gmii_tx_er, gmii_tx_clk => gmii_tx_clk, gmii_rxd => gmii_rxd, gmii_rx_dv => gmii_rx_dv, gmii_rx_er => gmii_rx_er, gmii_rx_clk => gmii_rx_clk, mii_tx_clk => mii_tx_clk, -- MDIO Interface ------------------- mdio => mdio, mdc => mdc, -- AXI-Lite Interface ----------------- s_axi_aclk => s_axi_aclk, s_axi_resetn => s_axi_resetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, mac_interrupt => mac_interrupt ); config_mac_phy : config_mac_phy_sm port map( s_axi_aclk => s_axi_aclk, s_axi_resetn => s_axi_resetn, phy_interrupt_n => phy_interrupt_n, mac_interrupt => mac_interrupt, mac_speed => "01", update_speed => '0', serial_command => '0', serial_response => open, debug0_sig => debug0_sig, debug1_sig => debug1_sig, debug2_sig => debug2_sig, debug3_sig => debug3_sig, -- AXI-Lite Interface ----------- s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready ); rx_path : switch_port_rx_path Generic map( RECEIVER_DATA_WIDTH => RECEIVER_DATA_WIDTH, FABRIC_DATA_WIDTH => FABRIC_DATA_WIDTH, NR_PORTS => NR_PORTS, FRAME_LENGTH_WIDTH => FRAME_LENGTH_WIDTH, NR_IQ_FIFOS => NR_IQ_FIFOS, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH, TIMESTAMP_WIDTH => TIMESTAMP_WIDTH, PORT_ID => PORT_ID ) Port map( rx_path_clock => rx_path_clock, rx_path_resetn => rx_path_resetn, -- mac to rx_path interface rx_in_data => mac2rx_data_sig, rx_in_valid => mac2rx_valid_sig, rx_in_last => mac2rx_last_sig, rx_in_error => mac2rx_error_sig, rx_in_timestamp_cnt => timestamp_cnt, -- rx_path interface to fabric rx_out_data => rx_out_data, rx_out_valid => rx_out_valid, rx_out_last => rx_out_last, rx_out_ports_req => rx_out_ports_req, rx_out_prio => rx_out_prio, rx_out_timestamp => rx_out_timestamp, rx_out_length => rx_out_length, rx_out_ports_gnt => rx_out_ports_gnt ); tx_path : switch_port_tx_path Generic map( FABRIC_DATA_WIDTH => FABRIC_DATA_WIDTH, TRANSMITTER_DATA_WIDTH => TRANSMITTER_DATA_WIDTH, FRAME_LENGTH_WIDTH => FRAME_LENGTH_WIDTH, NR_OQ_FIFOS => NR_OQ_FIFOS, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH, TIMESTAMP_WIDTH => TIMESTAMP_WIDTH ) Port map( tx_path_clock => tx_path_clock, tx_path_resetn => tx_path_resetn, -- tx_path interface to fabric tx_in_data => tx_in_data, tx_in_valid => tx_in_valid, tx_in_length => tx_in_length, tx_in_prio => tx_in_prio, tx_in_timestamp => tx_in_timestamp, tx_in_req => tx_in_req, tx_in_accept_frame => tx_in_accept_frame, -- timestamp tx_in_timestamp_cnt => timestamp_cnt, tx_out_latency => latency, -- tx_path interface to mac tx_out_data => tx2mac_data_sig, tx_out_valid => tx2mac_valid_sig, tx_out_ready => tx2mac_ready_sig, tx_out_last => tx2mac_last_sig ); end rtl;
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@c@o@m@m@s@m@a@t@r@i@x/_primary.vhd
3
7666
library verilog; use verilog.vl_types.all; entity F2DSS_COMMSMATRIX is port( HCLK : in vl_logic; HRESETn : in vl_logic; F2_TESTREMAPENABLE: in vl_logic; F2_TESTESRAM1REMAP: in vl_logic; F2_ESRAMSIZE : in vl_logic_vector(1 downto 0); F2_ENVMPOWEREDDOWN: in vl_logic; COM_ESRAMFWREMAP: in vl_logic; COM_ENVMREMAPSIZE: in vl_logic_vector(4 downto 0); COM_ENVMREMAPBASE: in vl_logic_vector(19 downto 0); COM_ENVMFABREMAPBASE: in vl_logic_vector(19 downto 0); COM_PROTREGIONSIZE: in vl_logic_vector(4 downto 0); COM_PROTREGIONBASE: in vl_logic_vector(31 downto 0); COM_MASTERENABLE: in vl_logic_vector(2 downto 0); COM_CLEARSTATUS : in vl_logic_vector(4 downto 0); COM_WEIGHTEDMODE: in vl_logic; COM_ERRORSTATUS : out vl_logic_vector(4 downto 0); COM_ERRORINTERRUPT: out vl_logic; M3_HADDRI : in vl_logic_vector(31 downto 0); M3_HTRANSI1 : in vl_logic; M3_HSIZEI : in vl_logic_vector(2 downto 0); M3_HADDRD : in vl_logic_vector(31 downto 0); M3_HTRANSD1 : in vl_logic; M3_HWRITED : in vl_logic; M3_HSIZED : in vl_logic_vector(2 downto 0); M3_HWDATAD : in vl_logic_vector(31 downto 0); M3_HRDATAI : out vl_logic_vector(31 downto 0); M3_HREADYI : out vl_logic; M3_HRESPI : out vl_logic; M3_HRDATAD : out vl_logic_vector(31 downto 0); M3_HREADYD : out vl_logic; M3_HRESPD : out vl_logic; M3_HADDRS : in vl_logic_vector(31 downto 0); M3_HTRANSS1 : in vl_logic; M3_HWRITES : in vl_logic; M3_HSIZES : in vl_logic_vector(2 downto 0); M3_HWDATAS : in vl_logic_vector(31 downto 0); M3_HMASTLOCKS : in vl_logic; M3_HRDATAS : out vl_logic_vector(31 downto 0); M3_HREADYS : out vl_logic; M3_HRESPS : out vl_logic; DS_FM_HADDR : in vl_logic_vector(31 downto 0); DS_FM_HMASTLOCK : in vl_logic; DS_FM_HSIZE : in vl_logic_vector(2 downto 0); DS_FM_HTRANS1 : in vl_logic; DS_FM_HWRITE : in vl_logic; DS_FM_HWDATA : in vl_logic_vector(31 downto 0); DS_FM_HRDATA : out vl_logic_vector(31 downto 0); DS_FM_HREADY : out vl_logic; DS_FM_HRESP : out vl_logic; MAC_HWRITE : in vl_logic; MAC_HADDR : in vl_logic_vector(31 downto 0); MAC_HTRANS1 : in vl_logic; MAC_HSIZE : in vl_logic_vector(2 downto 0); MAC_HWDATA : in vl_logic_vector(31 downto 0); MAC_HRDATA : out vl_logic_vector(31 downto 0); MAC_HREADY : out vl_logic; MAC_HRESP : out vl_logic; PDMA_HADDR : in vl_logic_vector(31 downto 0); PDMA_HSIZE : in vl_logic_vector(2 downto 0); PDMA_HTRANS1 : in vl_logic; PDMA_HWDATA : in vl_logic_vector(31 downto 0); PDMA_HWRITE : in vl_logic; PDMA_HRDATA : out vl_logic_vector(31 downto 0); PDMA_HREADY : out vl_logic; PDMA_HRESP : out vl_logic; ESRAM0_HRDATA : in vl_logic_vector(31 downto 0); ESRAM0_HREADYOUT: in vl_logic; ESRAM0_HRESP : in vl_logic; ESRAM0_HADDR : out vl_logic_vector(31 downto 0); ESRAM0_HSIZE : out vl_logic_vector(2 downto 0); ESRAM0_HTRANS1 : out vl_logic; ESRAM0_HWDATA : out vl_logic_vector(31 downto 0); ESRAM0_HWRITE : out vl_logic; ESRAM0_HSEL : out vl_logic; ESRAM0_HREADY : out vl_logic; ESRAM1_HRDATA : in vl_logic_vector(31 downto 0); ESRAM1_HREADYOUT: in vl_logic; ESRAM1_HRESP : in vl_logic; ESRAM1_HADDR : out vl_logic_vector(31 downto 0); ESRAM1_HSIZE : out vl_logic_vector(2 downto 0); ESRAM1_HTRANS1 : out vl_logic; ESRAM1_HWDATA : out vl_logic_vector(31 downto 0); ESRAM1_HWRITE : out vl_logic; ESRAM1_HSEL : out vl_logic; ESRAM1_HREADY : out vl_logic; ENVM_HRDATA : in vl_logic_vector(31 downto 0); ENVM_HREADYOUT : in vl_logic; ENVM_HRESP : in vl_logic; ENVM_HADDR : out vl_logic_vector(31 downto 0); ENVM_HSIZE : out vl_logic_vector(2 downto 0); ENVM_HTRANS1 : out vl_logic; ENVM_HWDATA : out vl_logic_vector(31 downto 0); ENVM_HWRITE : out vl_logic; ENVM_HSEL : out vl_logic; ENVM_HREADY : out vl_logic; EM_HRDATA : in vl_logic_vector(31 downto 0); EM_HREADYOUT : in vl_logic; EM_HRESP : in vl_logic; EM_HADDR : out vl_logic_vector(31 downto 0); EM_HSIZE : out vl_logic_vector(2 downto 0); EM_HTRANS1 : out vl_logic; EM_HWDATA : out vl_logic_vector(31 downto 0); EM_HWRITE : out vl_logic; EM_HSEL : out vl_logic; EM_HREADY : out vl_logic; ACE_HRDATA : in vl_logic_vector(31 downto 0); ACE_HREADYOUT : in vl_logic; ACE_HRESP : in vl_logic; ACE_HADDR : out vl_logic_vector(31 downto 0); ACE_HSIZE : out vl_logic_vector(2 downto 0); ACE_HTRANS1 : out vl_logic; ACE_HREADY : out vl_logic; ACE_HWDATA : out vl_logic_vector(31 downto 0); ACE_HWRITE : out vl_logic; ACE_HSEL : out vl_logic; DS_HM_HRDATA : in vl_logic_vector(31 downto 0); DS_HM_HREADYOUT : in vl_logic; DS_HM_HRESP : in vl_logic; DS_HM_HADDR : out vl_logic_vector(31 downto 0); DS_HM_HSIZE : out vl_logic_vector(2 downto 0); DS_HM_HTRANS1 : out vl_logic; DS_HM_HSEL : out vl_logic; DS_HM_HWRITE : out vl_logic; DS_HM_HWDATA : out vl_logic_vector(31 downto 0); DS_HM_HREADY : out vl_logic; DS_HM_HMASTLOCK : out vl_logic; PER0_HRDATA : in vl_logic_vector(31 downto 0); PER0_HREADYOUT : in vl_logic; PER0_HRESP : in vl_logic; PER0_HADDR : out vl_logic_vector(31 downto 0); PER0_HSIZE : out vl_logic_vector(2 downto 0); PER0_HTRANS1 : out vl_logic; PER0_HREADY : out vl_logic; PER0_HWDATA : out vl_logic_vector(31 downto 0); PER0_HWRITE : out vl_logic; PER0_HSEL : out vl_logic; PER1_HRDATA : in vl_logic_vector(31 downto 0); PER1_HREADYOUT : in vl_logic; PER1_HRESP : in vl_logic; PER1_HADDR : out vl_logic_vector(31 downto 0); PER1_HSIZE : out vl_logic_vector(2 downto 0); PER1_HTRANS1 : out vl_logic; PER1_HREADY : out vl_logic; PER1_HWDATA : out vl_logic_vector(31 downto 0); PER1_HWRITE : out vl_logic; PER1_HSEL : out vl_logic ); end F2DSS_COMMSMATRIX;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/ramfifo/rd_fwft.vhd
5
38466
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SUXCb2jBIUqUBHVlN0KPH+td3p2TKZFkfevOFlKZ2ylGNwbKusPtMhbEawoW9JJ0K9Eiyz+toT/p 7BwBjMnW9Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BcT09+p9KTltwYQFP+cWp6ldOVhCR3aeYMfocuXkweVU4J1pKGI3DEKzmhz5NU7r9XQc7lkMMb1t Hn0hTUFQVI5e0mSUtCkS8sen0DLuGCCmCtzblkhAK+/QVoPp0mrt4JcZLjmR7n45JcA8hZDVsKvB WRTNHU2saP5hajEOils= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DOrZ3ipc0lp5XyWYVtHWdLeAzigiDawQXTBrb7sjhbm9nv/ZmWoHNirIqbodPnMJ6e/tWquICHfZ W3RYlxL2QzrlClDCNMIzCVaFqVdGVVVxQ1CJOALPvGG3dltR/Rb24nT0npXJAs7ffleb1kqf32I1 XtNO7gKq7nKKW3YZ6qAzjjtnOcaX20zeVWRBOC6SKJtT29FQVwapEUEsFeZyaRCXwgyJAlnsyi4A weN/uNGaosxTeyUi3CfGTgwoX48cmI1bJWYaPt5q+UkLp7oRJ5grLNaPafzQniTGGFClqQxSMwzl bG3UJHLqkTWALL2O7W/uhHiwpXdhUDcqNOh4Ng== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Xjlzt8Vc0gLNoLfvlgzWUtUEK2+RCkp9337xEPMzz1K9oxxwYuLXr6wg6IfA2Zr4kxHLpN/FnvAb H3kZfyvE3gmi+BXT89f3QWXABVeRQliOMv+mmBn/OLrjSceJoBB2E1BgixJyqMFMZlST4UnoaLxf n+GhuQ7Pz1izATR45j4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DQKDJqOXItRBwnrst9GQlPLjJsR1Xdplvzd8+wGTvl7oPLUIf8+bosi017W3UEjnil2tJiF5Bc4Q SHMlS6sr4EvjpFVXDCxap52Ze7PXfGkdq5RFJg22dsmozjQzAIBN0eoo7J6WNMFT4ezK/5ILZbhD s1ASzUJUaIw66Y5bcGrVM5w6STHYbmYPwjr7fDTbppkcamsE3fx6eFcDB0P5vkoeZ/3Hc4vWG/WB RE0JJuXLcoE4TM6Yxvt6flzNyus/j/ixSZyA1wdjP/QnLoxGE+wrRsbdw28w2/VpBJmOHR5yGx7e /IC+kPGNoygynH4b5EhwgTJjZjQZJvKmfH1ifQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26736) `protect data_block i91Ajb1vzx8Hv7uEUoeJjrdn0kzmcJB8ecMMfO+iUabeludcx5u05pPnKylq3Nw9D8hrIS5YSfIM DHH21+Tld1ZgtGsxliDpU2pVLhsgElz9FUjnDKqt3vxr7i4fCGULgBJUmGskS8h5VqHg6VzshtR9 heBPTlj94l3jFviNru1sz91Rvw6lrtZg2AqvbL6cFRMZFE7YTtu6qo49MjSACyqkNwT6ioPkDwr/ 3j52Y4i8QzC57kxmTzb0rFR70BMvbgAq2UgxWjdIs/55anRuTwdpJOm6qCZLRQZsCm/0gxHePBx5 fpaE0jHoppDDBZhzZrkgr/OGNXDqtIRveNVqaKe8b/7nhILYewzqCQoHPoLSKuXgVS+pWac9Xh4W 78rwdk+Yzrj0oaBs0pdTyeiDKfsHcwpF9CVs3DeLSW/1Bgk2LKpkOvjgOGGu9rlZiRICg1R7/LqV vlMXyIXCE/CDEHvDBcFtCB4KmHlxP+ABiAZWejGUkcos+uYIH8CKHxZbsGEAQ+Cfl37TSKXi+2G6 GykpixGN39MIMqDh5BAROo3aOfuIxpRh5kqIXErg2gThNS/nF1eNh3XmgJ/qJuvW73m4QwzGXJ5G Pauvo7w9HzyLDR6r/E9ZInJHennTFPInfNDI5hKHhk1PeL4eMxcugOlxUz2OhEGwev1mZP8DoxyE vErn+UIAJnAUxpfZ/gGBFU312ZtwpzEwv0/xRTzXlV57VtFsHJ3xeYJ1tid662zaXbLdplc5emzE NDDCKT5crzm4jaow3z2s9GoUwibieab2m2d0UeZjNfYiBZrG9hR3zzi3Gjzpf1jahi21L8DCTCxv Di9loom1XSumIhZu4fJMQVQT2lhagBbYY3RtOQ60jGP7LB3uWbhqvpbd0JzTOfSbv1bhFZr9rBLz oOKNrYTjQJ017IlwfPfuJdhQmukqOzPH6Bz/v2LvgtpTrx9q4DyDqXLsEM5Lmu8fHDgysAQvr5S0 rXNE4Pgji8J3QqCZXhMXnuBETQ66dIffrqLJUhTE6ZJc3WaKEKTK+kTIGvjjV1I5G0arT92GV9aT veP+LmDERP3py4h8Hbfh54rfr2UnDF3f92OOR5hQEw48q5hLe4ye1c1qxv2sEZtslxROz41G/jeR ioghYzuBrCtS7XmWRBWkIdQEUaoOqrVr1aYZbQLPJGSt7xfPUua8wMXF6udumbQ7tv1BonsiPdza axgbe98I3j+XgU2tV9DtugtnpxFLkTujJQRWNn41uQ9v2Xf8Ex5UZ040ZgONhZmWRvkS74ms13n4 nrKekO0XdAL+ImrWO7XUjLsDLQ/sz6lxt65Xi0weXiKNw6rj2ldSdqyYQsmlsYuMs/32iXo9p7Wj F1QRJOVO+JvIFa9xt/vQBjedjuwjQWkKaBV0ZI2+BeWRbs2Kkxr5YK81m063Fbu74g4/czzht8uK 8gMCiWikUVY4FAt7orxTGoVOFsa39b1uIENDkUXx3GmZwLyOlIDAilRSXPWIIdy0pTRUFdYGoP2f /mSwWpOFHeD1x8kePO8mlohHViPRm4DZYGvy5Yb5o6w5Wsc78LLn43PjuqmRYJ2FdMYHG0j17wyH 84jQA+LShsiuEWRZwxpGL/WxvqLThNMoGToso+I37nBbFVQDgGUU7YDrXQhoxXmBA4kVqGMhYSRS nLM7roQLus8ljViuxQWmoAWyDLyTlw47SboC96Z+fGMuZNGE2xN7vzuC4SkJkEO6FcG+nL5gB3zC PTzgbniSXuvb6GxygIA2K6eCZ/bFQEZ6yB8DQg5B0sQ0noKHNunQiyHwI0f1nhTNL5veNU3uH9KN 3lFNwSKIZ/5nC80260orqgMSyY9LzA5DrG/fD1bxKX2rcg4nukkb2+IlV5aztaLkXLg33KVL8q0y 0X+0FO/BFKLXv1ljWM1t+MXUl/wT3ST1ae245IwKLwskDuEDDBZjIzoeAmWmxsm41SGuPHg8VOHd LIwUoIJPoyRFEei2yJqr4sqyO68l2Pi481VtmzVYzRbnwv4qvD3trUlFMPY/N+lqOmGbsqkjpi6b x0/0WgojMe/XpxOAX8LMkwlDGydy7bIEaUHiKe6DSb5aDAxqhYA3KjFI8cDqKhEX8MG1GNLVYqlf ewuVa3UDJIgr72BdYo3dpt0eMguQau+X2aXhbiEhd1jayl1By5sHxj97Nrnu0QvytFgQHC+HRIYo 58pR/LuQ5Vp4jqzVthxqcVFK4twi+Zn6Hw1/Wgc5+2QWTdSmOcSOM0vZ6sL8V6BNmxyyKip1SW/Z Np2SRd/WBEhGU08weIH9PSApOTWheOSX1jQ3NF2ojkHE+nvjv+2yUZFuGW1TEQ6NzqIfvnED/HZ3 XLKktbNP2BHc+5uzZOmI8hbFzTqSjUHcCoHO3jcflRMrVEZeJm0WiAYKVLynv4EP24a7IFcioMEa BV1Lc5CA5Q9fo7tQe+bJpZK0R2Vra/oiitquyG3Ee4O6AbR7mjwoD7ttc4/VUvpJr+rxZ+VvC8Ap s8p5yPwYEisbHMMWg/yWXXuunBXN1piCJGEP+2L4v1IW/csFz9v2cAHgVbFIe6kOrF9Jye6j/Kcf 6hdiTugJDytDbhdCQDm5JJkmRU6runoLcWBp4oGoMN8rsmmVxhlpk75g51PvHSbMvKsU3a73KMXx vA6ZS58FZdDD0+65o9vb2r5aqaAjFhlgqCg0/Ch6k8rw9kMt2LLO5DbAVBdoLIiVEt6BwNkCjm5Q rEZyFjZC5B+Ms3+g5GSlopuOob8z54UrdRWMGyouF8G56xazH5XdJjG9dDmegd/92ejMsuC/gzVO QLJya8GSptv/ZLG/6jzCK/bsOgdQ1kHrIq2bLWZSGXIul8IfX6POqmspyNlT1F82lJlDJqEf7csn IqLGKdHK4b0RldXd4+LH51u6CoBa2GABlCtrpvcloZKfqLhUUJrU1AC7uM2tmawHMTS6HcvW6q8t IHgoOQsKVGdsuUUlebv4VO/tj5efiamzlZWnCCOKPsOgNUXG/ywZQp84yZJBk7mboCB8u9jzuvvT RAIWFjEMQvgRMhK0N9zkSLjFBIkUHuWLV9ofW1CEGjkFamy0ZP5+/3KoiWJux0jXCsVuxNIV114e Rd8QyV1Eb57GejasC5/4+frKc3HXIlh6ALYsr12CVsyc3WXmTw4Rh5TOro/8ZtWySIeGT3qoKSKT ddqXReOe1zO2Y4Bxs2ht6+/2WOIvSLXe6G1AHfK7eMXEcGH8oJ4PD3SZTqcDsKoJ4yAp8FiI4RN9 VggAa+Ii4onxsddULrINr4Euc1vShQ4U0jqu9YoflpPiBsQTlepuZRrKp6dv4ynIWzjIQ0SO1WlB riJ2C7+QVOBNZ78qRDeLZkWaCH1p6p+9WJq7tZLygEmlXcTdwepZIw5bPmPTPGjlO7sX39gZlmlb tG/zTb358iQUNRdu5p+hMw7nfsL4hOlgHmGQNbDEJ5KMLz3lkEN2sBxBSr2hK1ra4cCqqF9zp0jQ tvtdfMd0GGCqXiRKPsi9Pe9wtUhP5WbAzNLkrovKDxJOSXJ8jSLsC7c2PnwwVPU3F9NnpbNloXfR M7+4GNZOEsRoV/v03pjTiVXkISiWBGJQs2IcKcwaKw1XiAQX9H+X7i6ElusmW3wclayBmnJsFOG9 2wMJEFeaWuOifBR+ui2UV6k8oheAo6nB1LPLD6D0STzYk/hIB9p+4cn2M9MIS0enauYbEGN+FgWX eBWOGrmnEVXd3MZj5qZiFF+m1EM+ztKo4eYguzIP+uyfSS0QWYgzkDjPdfNcEY94R61WUKKyzyrE Q0JVdsbfE+NuyKOm5Ggv+toV2IOIyzJR+Y0fC3uH14ETrEUjb1XovOeRWWTvqTJYgxjDeUPCLlA7 N8xI/8JBRzprclk2uSuhx7Z9F3ZeeGS+vjs5yoHK9/0OV+w941nKHL2YJ141+XDxj07D27KtbTRA i6hgnlry+GkL06hBkeenweIfRxZW08jBixXg6NaQ/ftDNC7ZB8IvAlFB8382YKgirHqQzeDjdkl2 XwX/rdIfVovmORc54mAdImlb+P5v3ucjRt3x4LyfiEdW5zqOHY76WUt7t4IB22CEd6OC/TI7ABbB VL7SU3GRvV8QN0MIpXdxVYDoS3Y0aRovHLsonErca1pBR0ZyAFQJD7pYNVb8eMl5rKzeMu2M4Zim GKLDSNp51wE5MrljDRU68GYAynL5bL80GYabH/WP7/v7MqpVncefqwnlbN9sDBzKP/+BE1R1Jzml f1QflOQJBWmR1w2M9tUFThgbMo/U3gTo9FqUKpG4uOIOGUzSgGJ0PTLE0+UAAuCzvk3T/IAIjuKV DHhTqC6+yTvsHhfEfbz75SdhZovTlpwOobzE/URLkW1vkr1a9BjFcLJhibUUaFW2Pq0cx6S1/ILz 2GxT/Nw85qHcjTE3o2uDNL/GGnnIfVtCK+9nWBA0KJ1ookyWovwOzUI08wDIJRQJe3dc8L92PUnv kNVdcD/KptYckmFWyVPkR+C6h5KMOAQEqzW98oxbtYk6sVGo7hML5ZBrC5V1H9pgx3acPt4YaizK zxgjpoTL/taV5rOlzf3ZEFNee+Vr7L1MElZQ53zon15eXGa8sgX8DGTm0r5nQPzw62EEHFKvIr6Q w5psmSTk8TA1gz+l71OIC0A0ZgaPHBnV2b1L1ldB8j2N3Dxtw/GONEhfU3T1f8d82VKKMJ2zxxFW E/flOEI9rcD0RZWoFnDYmrgRe8FfVbTp2UMO7yhASr9J9OQDbC2oAtaJsWA0vDS3psXqlIu7XCeH MVXCSE4xbZjgP/YnX0h89k7N9S2ie46Wt2Ou+oVI/jqhsXrFpdjC31pgYsWXcOMhNd9TyCYVM3nN 6MQ2f8auLfrJ3G5kuSeSL8lotBltF7M3AdXnsg2I+SJ0iPxThN+EibssxvI8fC24cNhkBL3mnOqe cafYAsUW9lnQqUztVBfTurm5rDB0cx4/JRAuwSXEwwlFtI0s8yh4bCdc4+JJUalG8KKrH4tlInvv w121V6+0iXLsMQ/q1hNIvdJ1xA0yod/n1W/KLo6UsGQsPa+0RZ6v0tklYwbi+pgks+sc910PF8er ZocbIAN5o1havgNx0aeZ72c2TXas8ekrK6jtNVBepwz42KxSBN5K+pcAwr9ob/nuDZ37eX8MKJxM eLTDjZIEfCrCJNjFxeqUc4i7JJXiZJ3kB2zB2CDG7B1sBJmmqJ4g3P1qM2oSmMGwZ6LTuZcaSmIT Lgzi8fsjBxT/tFOnX/9AB6PJcrTHdDQpaHVjW/Y+ARJ3bwxG5VwkkY6PrZoQzyqU6NY5QVpit42U Kwdci84ZVbVZmtQB6IGeC5nCe+yF8YGX2g/9nivGT2K/FF5flBYnW72zN10cxiMdVu5RGnulJJDU VC1L07suwBMaMzhiQi9jYBkO9UnF5k5uxMGUeaIIoComdw/tpmBKLvuqKYoWG2/r2nGn63Ysp2qA 1b3VN8GZUyc7j/NbdVDU20Q7sScwXZhICN5hsKZi075hJ8b7n/MerPEFJmcyI8X7Q6KwWD0wGxRq jcM5mVI4aGka+LPwt4W5ZQbMJ7FzQukPtPuTrLn8WLgcg6y3Aj4A5m9YVjsm0KzLYFX/LNgPeywf LOk6E2xPV/jwluqQiphU4wyoFcVk62XDHCfbcHSNLMNcI/6+9CBehhwSUP82f+UjSBnjm13e267P QGBr8x1xEAZbDJ8LW9ZnjaPxE0IEvPTpX3EHHYQPDwoiEOf4dKl4MdtcEZ0EaVMObuxpuVSg2++a bwBK0VnfaPB1g7HjIEA0fWQsi4ysxV71kNH+b8Tl1VvTxep6QynmaVE4oTlJ3BWYq2b6hSMrshCu xEzolYVZTb2TvxrkYYtH2lCpTroTgXIU4calCrggQ7jLxIJmGcf8xwMO3xPe61kO1XhNCSx3m9+o vEHeBgiHjkIJeu6f33SwrlOW8WtHeIATLZaq9a8OEPL8bG+6PDXXmYZd1bp5Ly/CnTbJ8HjaRFCF yPuQ3AyJaFcXm/5VCPFNbWe9uqrwKHd1wHnPnM90YV3bgxB902ZwpqNv/ko5TPYrPJKoCjD/Ln5/ XIebL9ttnmTXB/ctbk8y/F1kVELJBKNrcozxjhy2bpiX7McKsfrrXmiCFkKN8PFwIRWkbnd5Irhe 9E7lYFuZcocKiGGvIduHigkfQ82gO9qNnHWEsGDdbGRziXhJCZrtNzrM7WLajMuOT5mzQqb97Q2e mUnDRz/Bq371mYn2N4ru4PYtVhYbBOVUbS/I+Xj3uYM0m5HI6yOKHPUqjytdbk2yQUxFUnh3FOiF 6qwAOLXPQCR2VeK7C+/I/E24+irf1cTMIxMWKR9YT5ppiC4JvG21fHfvIu6e2dCXzQmAYweD8rZD 587gHZaY2VcGxFVin6P5oHuGyXIt1xTovK4QYYdrgiCpUTzkpNmr5UrV+wylTo2nbdFEut/8jnlY 4nH6akbWVAAEokG21paC3wfpuK8QoBkHz4NcpQZB+I1ZDwAJWoC89JtnDgKK7mCPImujPHJ5lGma qAqHMZKqW7jFVwPkeNk5M29JUq0M630tz9mwRURNrJS0QmWWv0st4nbaqMLCDWqu2ngHuEJXsf6O ckJiBVnAACdJli+UC8j10sN2gkwl6puU0tUFyYjHgdg72HSxT/eby4uqWf8aPPklFrPfIq3J1O9J k60JwSeAGyyiz+g339OnuT4pTd/HJmFbV9NBbPNxCMQlNhaqXSYyy+uXC6WfBoo0cy7f0X8/y1Fh OMggylljJhDnvllc7z5T91qp27pulX/7LFNBWygmprHMnhkpN7DnciPy3m5HVd8gkakvv3tF1Wer M7gt94VrCiFY5VtjY2nUmfasj5+sxM4VvOWWCCoWqI8mLVbNUPwbnfcm72T3ctpCD+WzmlUC20pv Y+5NjrvkPyCwmcG1rHL15W7gfwfbJ9weuHGJhCQkSofHIKDoANAFhaXD2pq35vQtPtq5xMlrDsHa u1C/251WckjRnKafEG/D/W4R2KNpTEISOGZy1+J5ZWGVNX53+rQpo8UAbzczRmcZKGk4hEghxDKA S5Jm1OR5+0W8s86QsRkdoXiE3kQjh3wkwaV0lPnLedv6XmXYYS0cflQE2RjsQXTwCqe7mJKQF6iW SdlBE4roblfCDyQLZkLGZmPMIO6d+rt1JM0IBRoU0/GUCdl79b3UtLMuvgwvGSGuQnb7YBGf7yWn Hs6Iv3h+aS9jIuTMRx96fuH2WyhCyYKBvV1DCNYK9g6umKk0hhyyVNthDs3ZiK5+wu6SiV0YLlpI mi5DVH3d8/ozB5oQpa1hiikHY5WS+yXz2nGuC18g8Y1Oykw+bGB4bp2sIHVdwl5ThucI3U2PPFuT 6kdEmUdxvquxS4zQA3FFg63wS5+12eSlIRb4qYVPVAvD1d7nKrN2xOKyJP7JEayC9FeAeg9pRnYt Z4vELfW+5Ru18v9yM4hriQoPnU067cNSAr8kiipmlqTTTULUhUnvaa/WtvKFIzvavalTnkdcIcwg h4gfD4N1Lt0yDfX1xHBqwB5n9TC7DV/miKg8byz7+tlxwnTItH0hTgotKTMy4v0i/X3/xgz6FkLB HdtY4HrD/Xud3zLfphtqEGXNmM4C24Po7WYYonnBQfRbtCv1e0L+FLKsYpOpHfc15rTKFyZW1gSt FGGtEhKo39aG8vC5yjkTYFnXuShdDCveyOaKCETnxdMky76Gb6ZhZ15LHGgsD38F2V0ysSikOBRD nd0IsArwKcz4WtE2c6MVNb0a/Yaxo6m1ufsYLNBts3kBJwcpl0NXmzZk1ltAJbrhXZJ/+93A2k3q ALdfSI/v2brSB9Z/7emvR+l7cppsLk82n9+DZ1QhC/fZB76+iGaV4mJ8ycxdElOKLtPUZMxj+w7w CcbkAsLoBAiQ1OtUseoIvwuITf/4x+Q4EJmW3EZybWUveSnAbmP5kdac6V6yPUuDIjVX2auH96ki 8Y5MwPX2AlbieGdbLdF0g0Y7O1WFNHL8RtexMkXrtq7Oxcm/VNleKvApcqkTsP9AKbTDqjlRa+Rz C0fcDGIUe3z+vWj8t6AVjA5yNI22Jh4eIse/g6pDrT5cQ1wGNZ1XYf2l1mVDmvfKCB32anHLEfyo aHsE/0oi7JaAQJU9FcRUJfxSymJj6HwRexJE2NxFI7C3DVc1DMeFQ3JVtY3QEv5u0Id3HUV71Lvr 2lib3bUPi8H97GyLRJh38YZAnNG8U3whVB5GWiamkD8nKRQiAFxSqljKgs/GeJ2ENRdd4qZdSTdr xDMSGIMKVSvLD/pD/dFx3Apn+nxWDzodPaSD4XDsqoFLKD9XJS7YjeclLN+bUHkrHHKhZ8KnPyKM mhWYnQDI3YA2RUG0gcth+aTCYydDECxSzRvu0mvxtF4rVTE8qrrcNXhpt5eBSWcr7NbJ6LQz9KdW 0BTWkdlRrzFcmFwG01RkXW4j/7D6aedBKUJOK5cwOgU1hOoNXk4zORjM68lY+w+TML5kXtoRwrEj AL7wqVClycUi2VHvVcX9i17+Qp+Q9e3/HaieMB0DTKfmX+EAi1PipTgmnSG1WM7Soqv+Tc42PfWs F2hok8GSYUyQbbZ8Gg6UodxI1X9OxRkwno1FXHxvla6dJzZywHMZganOHkcBgCSf5JuabVsgcwwh DubDqanLm0txQ1kEKqS9zK81foru8TaQz6bnkXOo+AiThwgozKjmRSIeNsKB82xa07LyBP93ZaCO oEAtbEX0ULYBGDzD8jiXRZy0xj1R6dHYiNQj3Uz0cgK5H7vGExQu4yuTluTdciTMtt+XysPhCSUw 4VkcfbQnbuxPr99XE3GHlSJNxDJYVMAMap8w0WYkH5VyiO4waigo4GPakAf3hiCxwjdtolvauRjy 3zpqa75fPhmD8DH+0sx/kNBiWZvic7xOVuMFxe+Gns/vL/Q1B+LvHSd91ZMKvlDL8TC0T5nq/RM2 arcVcy1KTDhN4EppzNYZHy5WOLQyazZNKD1SQKw0apzUShce6+yzsjj67ukk1EospCweWV4459r7 lbHbwbvF05ik5s0dQeJsVcRCSl1rtGxSNhMQs8EF+HnteKAxyWeeum3KfGKlaU3+YUqg7rvexxhc C7JShgMjDWtfyIxiymL/xmi944c2bbSUut3GoKX2BicP0CqyTbxTVuTPLQL8JFv8VwZuQaIRRoSc Y2DFAKoWWorcXUC/V9APWgh8aEoLPg2zCX7oejxxKemTrAqn50bgc/3Ph81OYCxoTw/dYpGWOmwU es1WMyTKKfZMMxHCVSrMU2N+ib9mb7c/rEwL+EBJDy1Jcz9qqzUhFfKZrAI67VWPu96MQiI9tyr2 FFrhCsxLDyJFH/QSrAchcHcd7eDTqpN4yiAEtIaNOgWBi80lv70dVDLexrftX8rJ5+qhU6FhIm+/ eDZJme9nurjTpzU728JXGB/L9NN4hELjQCcRDky8eXVBWfGd2FzOio84KOjV5esAQCEQZatNLZ1v xNP+kWQmRzHQVyWZ3wPDD6uAmClxSLzTlkrCpPukc0L8KV+88taAWtdn+lcd8MDJmVAtkAeNwH6f I7lO04rdj8nSylJFZNeJU5G6K4w80bAZhF48rOkqTjzx64iVxWc/eSWxOWZ/cyAv8unyCO7/UvL4 9t0VJXB1R0SIDOpjn5J+R2I6gsvOAi71x9cOaHk9d9MNm3Jz+vJJ7cosO3EMc3DTv3jHrBaCDpeg kSNHcTrOPC1o/zqKXjylAyTaWKDcOQBvoFtSlzcV2fWa2y3bGFghk315sFLpIxjJxBmS8CSf4yKj 0gE5Hl7JwiYcoefNINyknoYTIcf07i/fvfjoTRbKGbJ7dioJXhX97/D2fw7TPKGvE4pm7sBuHxYX jsQGKCwmJs13S5rYrz7Jeju04EeRCMRSbl1t4QuE4ma18sI52QLZsqon07+ThRThHjNDOjxpkJfS 5HySuZf/GzPCgprjCPFpX23+PRUDknLqH5R6s+izzkvbaNt7EoImz1p4pBLmGKvxHF5tQzua9u2S nITlKTSt0QY3WlluMiZksMyGdh2XNnI+/DjT2PqqDaWAAUVVGrFW2gghjXgzC8qWr8fh1/5lE/wl qj/2uM5LEYm28a8mcUjmiR+z01iNMLEZd0tIj1SXBf1fVO5woRn2YAQRCVWn+MRmBdUz9EZiXDbB 8NbPkfoP0v4+vGTSHZkw4SguzOLIpFOKDPy4lKJNo8tiwow2cTGYFR3uaaZRONZbIfQEjsw92O6c kqTjOGwhhXrjtzjN2fjZzGr3H5j8lMo3bO74WoTCutj15Th60NoRiHZM5baRoeZJTaDL+OZodS4q PrAr3hyDjQOk8cNocCfJJfq/bUqZlpyOx5xDuhDQt8gys9QPwEmz+UwsWhz6LbQ05n038i+ha+LE SIVqQrnulTmfBrjE/tLCgerB6tcmG7aSV0rCnExuJ22xVSjpJxuYBRVdIV0vacdibbDkRdckpB9V zZWgdTG6a3nDo3w5GmbeYq1YdcCfUBQ8bJJHG2fYOXVOEacbrfvcxrJdjDMqWXHz5zKbVFbwU5IN b8s67ChT+9xn3cntthP82v8IaJ89k0D/1Z7VJTdRXJ/fNBfGHmK4GSbn7CvjdTFae7FHpCnnkQB8 FVx9HCtO5gyDDVi4SviiyCkzaQxflFxIj7ajDTlh0WTZGyA2QQfPn6XJMKLs3cX7QWBSB4QqwDi4 Pm069m9EPSry5uC/TMi6bzl5xfTyibFTjelYw2mDXCvWhU6PcT3wvPDu23IeuHJ2eZx4fWDbq+KN rCImYvNFd++E47i0L0SHemrxV+KfIfx6sIwoAz7ohVo2dIb573X7G7MEj/v3N19DICME0AIAIQDC 9PP7rB8P+pW97NIF4EbSHhjl8b94kND1N70VwkWzmKlt5IljIZx8CY6NUwWAWVww+mKze9KwFN4h 6sPpDRiiAEUuD9TErtvmQGqga2vcU6E5JOL2E+t0y/26xc+hMwCi5q9TC+D2bdxlVNcjminGxN5A xei78lcBe+559OTFKoR6uO6Uxb6ObWq704/y+kN9htXqiKO+EItVU+vsGVRffmeHQJdjzrDYFU2w GjbO1Kb9HdT1TMfPPLb8H9DB+5Cg+T5aKvDN6xKDz50vdX5PejiSUw3sx5QvH+Zvi4+yfl7feO1X 16ItQYOf3Q0Gyqiv6sou7hc6FS2DCjJPZ7EsAxDpeAavDqjVwmQ2zJw2CgkqEiDTOCOeumyGa49I pwwwjSOit7rS44v9mx04yh2BIbWAta42MVwtPVEmK4ZqvKlQTXYnc17zVDa6oWBR79Ju+JvXe42z +qhvKmYjdBh2SsC4oveGPoHvld4MnUau55AOe12K9oU/EO07snjjPFTQQL5QklwgdfQpLxfgrgIN 7pfO493TdOa1JruoIeeyQF3h2whOfYwHAlZ8M+VKSbakbJGcjDzqMhNjGE7u5BD+cSw+1iKU+her 1YYjWMzFwadkftIm74YodBn5/R8M02SezmIZGZ+tAO2aDbJQMDVvFLYOcyemqoy9+YuXby4lYgIr 2fki6+I7qmQiWbFfN2oO1OJK62vTKqcBrKYXPvoznFDGwX8on8bu5liJZS5CenTPoyOLJmslCTXR c0iIXSg20ViMhhYn0NaVoa93yEGpNpwlS1mTNyYnevvPBmzflREUTW0lVCOg7jZzP8ojWpc8wqZK WVWeG16VR3G+LXU8nT7f+i1lH+S0FGAIw0E4TIY+ivFinMlYiLwUrDC0KOiX0oy09Whl6KL6/Puu ajBcL4DkzxJSvxYNTettHQzw+pKarbgywMKwp9gjZEpj/qvgj2YN8zERdTu7SZWK8T4vrCguDWpe 9HI1G26Qbcboket/bvBfUjnAtPbY1v7Hl0hLjcUkRz5Ezq88jyKvY/8tPUz5D2GhCvy2xdcHIHmv bTWhblZP5e7wX9JHSKung0RE4Tv8+xIQJtTB++Df2/ySkz8NnLacmnJluBl1A6TjkknHIDa6Mgn0 6yaxsSVvPTbJfqL85YbvyYJd6XZLSPrm04wsJL2VIYwUQAnwzqALhCWatMCajk5swrsMHrZcNM+5 5lwR3il706OApQ+T/1NLq6BQwnFDsKkn43SuFICR1xhDccM7atl41sxzrgrZ13VU+Idx6PHK2QJz I16UF8Q/yi7QkeD6fm7kVujsL+2KWpfUqpgicvoYvKU0u5HUAQcBRGgFCxoEZYStir8WR4Ki3jhR sn6G2tCR3tkL9D6BYWp8Kh9fcJiCLQg9zVZPlblBBvc3vfRRPtokqUwEh7MyI0R0oJu/ZWvMx9Lf N0MO+sx98ekKa65p0cALO9KwoaYZrGssdSN5dhjGkCxI/ikjdgrOLTeWS1cTiCx+Vk0y0AEeVCwW fvRTgCEn03S7DGdiP7/fyqA4ldvRfrY0k1IEY+JK/WQx2E0BLpnoMTrEO7ZdwjNmtw9/1Nafgxd+ LEi6sgTqNDaFIrzGLTrJaOsy5QvreMcKob6ogkjL/m/BcRFD/3MqlDZ6zuSrOShebz6he2BdpCcR RDRHohSBpqkUrU6j1IG31a49A7IOQCqriURAFWVdDXQL/DoEyIId+vkV1Zrz61370Du6w9OhVMfF sP2iO7E17IEOYep6NAOfxP7wc6chphR4SoZLcUmDUpPrRHarbK2PMjUjiM8X+BjuwU4fB0qyrxDW V4Gdd6I8JbEx9WF0iqlMo131Ir2r2pdu+fDzJOmtjJkHwYLVYrkngHuBB02cSnXCvzvAe1QWbebU VrheC2EIr72GF7JZVQ8OF1i+srygFKwmhg/nVrL9/f8LL1UBeUY1oDxVV0mHoiot0R+Up9u7z10O SiI9XGzKu3pdI6QmbWP1xz68YacTjQ30VaSbIzDI3YCFswkSXYQg09XgJPySWjgxnTDw4jmcpWND TRez+5z7H+SHTqM2Xp+bmchXMU7C9FEk4N/x+tykg87IG3TP83UN3nim6SKW0waPvk4yLXENE04B kaa41fd0yvTTziI6qyQgvr6gZ6GpaB1WCC16799gX9EBkXKT0u1UPff3ejL0plRpb7BAc/RIwCCi h/PrBdjiMy7VjpnE0kDQu1RIRHEvJT9ZxSWOVdVmovbqC74GtanF0jVosKuBnYo/JGst6Y7JANei TuP/rh5dxRrg/mDNgoBkg30ckhK9OVFQllb3re0gRwz/f8FdMNdGlKmH2URGos5VnBZiTenkeGse uP9RCmQ7ary9kCXEFIn12ADkmSopSe2XEhyBw92K4BcRbZZGkmxveBX+WyJhg5Z8FZ6bhx3G/nCU HkqWCrCY3yvuT1P6E31k+atyRvyh6cnJ8yJdq5U5mHh+u24sv7WxzK2URm4CXOk3lV6GPi0RZUqe oBnrXQumeu/mo3KrCOgvAHxzM6NlQwYtB7x9cdtF8IRO3VzLO1AUI18ZlHiYyy9j2cL23ZbyabWt 72S/wgbOiR5kULkaHqOEp5T293u4m0kf2XkO9HST8v/GwkK24xyGphYfJfAAbm3zNl4qJN2ojRk8 +RPcmyoZ1UwmrVk59Omib5VZJ0hbItVQOl/kZ3ejY0zP2Egrfu0Lk8DcvogFCwm5xSGNCkxQuWgn EB15SvuF3BrAyKkhBKzv2KFv9sUiypUObyJPIKm05l8rxpnkg3kHlU7zf7MnQCMVWyzPK63eK9kt Ft3itHE5rmCHGlBi4ki4CiS/X4sXr0abI2f+bv5LwNZ5aHGTnFy+gvSGh+/BEhDEAGodFfV4fU8t Drrlzu6K1kt8fdMHc+MDCEoxXW9LptZgR59L18hzDHkvkGLdRQEUT4Yk785gn/TQTzcK9T/hhQlw WRu4WqoHSPuNT2NfD4vuyxbOHe40/QsVBl4Nsy7rF86/pNS64fN5E5oPXCq7/VUqFzcVgjJMr8zZ EHHx9/D3t7yYRstY7iEXSOD+DaGGIErORQrvPgBkqgCy35luy6rje1Ggtqx73x34I0hX2AJ5YKSi g1CiIJFMhdhQzu+FqUa4zs+HUgitjdEdAv00nj9O3FJEjtA/l3azgU4br4Fw+lB8j3Yrz+nHH4Yj CJ1IQhnopDyFMZzJXdFxb8IPDvYQ9WYpI95KzAXR0tnMyXWTxVxIIpnfwAHoEuBL1+DiKNP1qFTP +Ca1/aCR7HztOJJVphsFv9r1NX0CgR0PapiZPyrHppMuYasGo80EGY/uJE5PjGqKJ2mfXQiv+29c wZ88v/Q3UdthjGBdJ3izQ3T5Pgnre3OFtUzUObEMwf6MDSmumHkezuY5/CMafFZ7/WKLNHoxviqq oXikptu+Zuxl0J/fSG9V9u9oEnx0muJYzYFGpWXkdZJhD1jKL3jL98VDQRvJtUPffzcI2TkmL9kJ z8aIB0II0sHo3pAHbZqSR/5O5RJp2IaQVFqU54W8pnFxC8owq9KvInse9dNbyPP0suBp9b7dPMux jIIjWsvXpNP8zHwuTm0QhngaTo1OFGnLcN8PqiBJ9iZnmVEXr7zafj4+4JhtLAwXDCORrj9g6MuG xNf6ettDbWK6+k+pMdfo7XNTa7NV7REzt47wwYUZL8FNoTR4r/yjp++eO2AkMHcnyo7Z4SzV2pTY 0jkZnD13MrOTLrN9onO/TV5uPTjwkjaJF38r8E/RcI4BKPu8Q6Rvz9XW2TIV1JnDYBVsZZnOtWTX h1TbspVwB7FMz1n1uouFBVzKM3IG7P2Cfd1wV6zt8w4TKRSNHTGQ6qEKDnLSWtAmclN4ZUxZPQnb qxkFTHKC5A3As/5Xw/rTDS//RAql8AUMe2kTGh4aNbs2nSg47TIZ+55X1DBD/FPZsb2HixRYtwpr 3lOfYTMF1VV/j/FbclUzNcGnv53ttj5dISGnWMFDor5/GH5fG6kV4BHdVutXtABrv6zlvvJHA2NB zJnV/Cw2TkFM9KKm3yRiEEzAk8O3lnwesPDMnOaL2mjNXE3oA+EnZ5a9PVaOzU7i5G32mbRO/fal 6hz7MZPESyEDelWoRcY/AucwyNg325G7eam1fVrxUEsjwczxDy91/ZoqlZV853E/xF0R1bYmj7y9 usrO/K11mAngdXc7sTl6uxXezPcgyVEnLJUkP9ybTB3jf4WLRwc4kxWYFxq2+3B6oZ9NocmF+0qq h8583ZhJ78hUWJ/Og+/MmxxETst0dXOxAL6QHFvEMuJORN8rqYfVCNZGWgz2VK5XrPqtRX3xT/ba H0X1v8kFBuu1Tl2t6zcuDOoZsIZPPLhbscBgHD7bp28gF5EpRiPe6XOpc/dgfBhCbn3QZyHTeuBK 3jb0KujM8eikCydYFMNOFKGywRAPMkAb5sC64saM6NLsSPMvdgdCUjdkQl8pQhMvxwaW6In6+lNN n0iF3lxXyHs7igO0uqpiw4mWmScH9iyYkHg8Q3OIk4qPqZCgQTk2G80+lVsKViB7RHjuJ2eJRuPr bcknYxja8UQKdydmChkw8VYKr+sSbTntaEIbdVXmKosm/MZA+kgfYl8QFSvrNVnIpwXBCvN7ip7m MC0/jki1lECuWwEcYxNpk4DE52de+tbH4Fj38RazNK/fWgso8EM+aasFnTuADdoIm4XNWtw1u03w jUNksk2LqRnUI3jVkwAsf74RZKUL+2fAx4LUvXUeT1Zr2LeNEcxDwBXGHooMg11ma5HmINlNgsWE m0WCpQqDBA8aZN7wG5qh2bwn7QQtzCsL129ulVLF+ZpQKbQj+7v2s+XvTTurp/on7WKQzRi+Taf9 D+x/bqDHK87rdd+2XaGqTepTLFlQwWjC3Zb5atgE5nUlOAXVrRYRzuIb7sdgv34ydEtfOMHZODAy hGMrj/xl2B7Z26070CXotbLwLZ2S1L3PW6+72UZ0rOp3BqwJXVzu16jDpG7GXi5xgeNS6SUPE57f EVEW36LnUOoiWwVMrxIRH6EaI2qc0LOGbwqkqb5rllVwm4/v6LuE5nhcmoEz9rFyJwB5+kJpxl1U J59jGCBdIzMEidMDoENiIkBw6o+oFJwm/TivGF3XNQg5uk+Fd4DtVQMZX+AnXY0Fx7f9krncpE1B Nv1YDg/I6jgC1lF+iH6wEEEEOjCow+cIqZl9pYsgvco++SLZNXItSY1zIY6MxywO22LBRELdtLsg SoXRKVWkZDS+XbFxxQxUqqqPFifhN6EVZKB5CDN2maOH13S7X9nUpEn+kKhAfRDWGZv2wTyJ2K1k aeLxKmWaDFbSOW6MsvqumzKYaPjTiE7be3n1Y0AgbS4aWfXG8LOhbvhSF7UVJD/2gGDaxOwBCyio PjxPKGFfUh7uIG3TuQdwPwJygoYdqjDMcUkPxYiNDIEd/X2UCac3cUdDezd2Wkl3LVg2jqx7Wtdt fK/tZoT4BNG0TZhhitFFBC3Rcpa1ZCkdPCHFazVzka9aqITvKQ5o1U16e0QP2vL+WGzqyQ33Ohnj op47MvAp1UwxYwOW5CsgAoOwMEsqKiK3OT4lLZRPk+4l/A/10YHsAmuc7EIyDew/JTMZtt1JCO4Z xjv99VmDQPq6Pfyoh0iJ6hwjDJCJcRi4hTYrMyNoHAtGi8UTS0BlhGXDOkB6E7bgeKMKcB6+11EG FZHvB15iuUuHSAlMCmIjak6lhQe9c0UAqhc9Th252ltzSAlkLyk1aF8Y5vv9peAAa59YteW8RNbQ b1E/N0TxNh5/lQEM30XrZ8QcFXy2EAizHWPhZfEA4G6JqPzUOh8qCQAOsVMRavP/6hLP62Dnlczt Tt30DQUFTugWjQicoWzE6fM5fmNkkfmiAZ0PKeyZo2RrxFaFJm+oVG4nEikDvPDw8MT1PKLS9KVZ tJdudH9itmVBrE/fmOsbSTtKGut797rcD6z0dQWSbAs/vJPVkuBW6I6bhViOTFbrbDCewsdUIu3l xwMS2gfQUB9Tta2vj7kTlbA7scK4R5BtvtA8HlQRKcUT1f2paMa/6meqwpAqY0oSxXSU71PCLRjo 7S7A+gAjHYL1m2jyjJmUzsMssboylsr6/+LFi/FamsJ8UKGJBb/psWW1w59p6Q1+T/Einx9PEsmg ucAF1P2o1zU8vGVVmjAGUG2f2070DfafNw7WC772xf1o5bU2jQ7i27an5g8/o43pAam4OqHupTzu ghXgyeGI4cs2mF3gm+kx002GyIizy7TjCFXhm6tph6XRU7Tz9Oxebfs7zRWEng1QreTlo1vniO6o nuQHs3aq5KWw9BzxErvavE9yGZ1IsQqxiapaZWkMLSGNIXd3nScJIGWLZQZ3VRTYDmVu2+wk4L9k Y8MVx3c4xc4mAEefO9lCZ9H8DF8rSE7jJTTF8/Kx2EumomL4KTPqPkTOdDNFOkD+4pyne9kLmJvv ibdv+aIMoK7j+aWFxj2z8ipm5GrZwbbIitlvz1WzoadfNm1zo0/SGww/s0ZZgsJPPDT/xLuUsBzc HAOWcETQSh031vu9rGY0/0YtFhlAJDaK7dz3/2ZGfR4bQrfdUmhyoX3DBvqejXtdrWId5fvzauhF Y27LZQfk1Ds1sH2hMo0C0GKSJUufC7GIab+w84mbiqtMSuwB0fVa/UaRAHw1MwpiO7oIJQM8eBP/ bpIyFIfEO3iG6I6xN036HhwYiLZn+o/HSe6V3WR68xlspMFLe09/2GxroD9D7s8vWJH0Z0HFH3s4 rh5vzbxCREokBovCeh6Ukpoli0egThPgADezoMDAPQ9WAgTRs/YysCJHunncrchwpevk4Ygbe7xv 5jWtnY/k28XLfdn8Rio/8Pi3ucz/gNjZmuBHv9Tzrsn2m36l3Z0963KGsGCxCrn3YgrhsZ6u9e78 ieC3ZB/Ls1jjsdCeacacqOosvIH4co89rO4ulWX75ngCPBpxdaLfXg99Wisy85V9Cz3GgzMit0FK 2ONAGk4DqTjKkd+uKyOAES0SsRgGxV0VgZKci3w70Wnkpfkxg4w9LOUvOEGGEHCgovtlxY+vXNYj xj6QVUc4BrkgaoE0iSAgidFcNBH0yA1ek1Sv2aa4LQVhvS2n/FTbqR3p4qMfsasxNXgFeHJcrVYZ kjcDlV9viR5rm5YW3n+BoPZozLUF9iESbhUD1gdjjYvwBMgEoEsfg2lMcpfcD3R8ha0qEMEAMzTZ wOK5dgR+c4Lj0WFDFETOBmaaF+gu49vekeSFktkbSJ7aalfnBq00SwBejGaVkZ7dJ0rAyAiXmVoX 0JuMr8FqJnPxDfnnre9B2LU56k0ZANN/7V2ZwU1MlM17o5jwT7cAwLjH19dmYQXNqrqijfkLhmsC 8TIthnX43IX6PZdBdV5HO+tUY9+TynZ0NuvFGMrh5dI90lDKqrwGwau6rWxdFQSeTw+BKNissJQw 1dUW3CUwbNpC6aofqGDmpioHUscppLepBsk2GGXj9ihQApM1/W14bJnR9QqkfdOYih9tfqgMmB8+ 7PwCQMzC45cPINYnsKMQvW7cPOkl7tJu+VHnL6jbfE8ZUUeq9MAfTgVfz1Iv7pSBwK418EC8PP0G Znqk/jkGenZAi2zIhI2tfuaRimWHQZ5q+GO6swKnSQKaOdV+s52dBAigaBckmT6NQFO0EspS9Utx ASJMtBhZlnPquo8NPyOiSZd+de2Xf0EIrVw2pKx/okQJ/jj/TEfQu8GcGcujokFVFrQokVxszynk KKD5YF8gp6fJG80BUBcbbJWWKY7QpBoAtifPKMnHSKqrNirULsaXR1CG5VzqJhz1M12oT6HTAbUG XD8Xn2w9Mo02oT/ys1MmEe5vf6KqpKg2Gk2mFYg9/HWSjvcFezhmTl0ZDN0RigvUDtdhQOgWoMHa 2ZKmRzjatlw10n+zFbIfhIT0tfMjJb8MwmZECa9PGGKqECewAKvU6BeRN8mQv1WLiRqDggOmRj04 M840+kZSQk7ZjGVhJAmkxcURzxsuSKrfrhU1p8Xkll0XyvLaHijS4pSuO2Ip7e/o28pfF1N5lA3n fqmvg3k8gLddGfY61Da1cqWS0NjzUuxNVPb8qsAO2rt7l/qqfX6CWSS8SPL1lGPFJIXelxGtMgJr MEKnIFhy/ZxFdFFloff2PKeqGdfsXUWgkRDlphOokMcE9IkghFDRTO72SwdQITS4dHY8gw4MdIee OfsEQFwBR4yzmcoW/ume3qs2M64QdHJ6oonRwj1Y4q82bCF9QqXuL6+2tNb1o41GStgqUrCp9bay M1Ufgr+MNOkF04E/wTirGS5MZY9ctIpcpn50kxYlDxGZbwskIvFRC8mRpjPRjdLCzIWI0z6WYvPs Oqw+s3KE8AUFd5V8c1+NNKnSrLDKjg8Iv4CodkYprM8gf/a7SkkUAP0/vrVtJd9X/ITD6dJq7nFM DSj3u82+a+4KeXQzdnFPr0xqTw16nWzpTPt/0TQix0Js33527eCaOTySdYiV8vZ6egEVCYtxXB/N qlnWso89x2mEgz1IGZgLxh23aWRUXtwTfoDth0Ctw3pIeHdBv2Vbe1Vn2EkDY4i60iJ1O8r0AVkW LOhxqh1WVHBKWdRKQXfFu7T1SHgAf3VFPJxjcOI43BxOqFafkvTcKQE3PvVzu7Y4riLOpmxZs+OQ DHojRkWhmcArkgcx1AwcCC4vBQJ+9vlckHiT8iQU2XghGf1CRPtQ54xihVSq1autFWWlu6MDC6oO 6fQPPity4/IbSWuG5fcVdZqP4zuiiAso68Ys6Dy1y2jYFT4dFjMwVtjfp+fiCHX0fIPOE45ca7v6 rrYw9zpbuvA5ZpUarShXzxJW2ZLn6kUW/2qfLglZAWiySc+GURdnKKckM73hrEXvhVkh22RcLdf2 0WqLwL/lhMXPwEDq7NnoqT6fWFBnXnpGivykiYxG/u5S8d7jG9xPKqC7n1G0rWBvxyLLJDGjGY5d NAAz2yhisxz9ko/BKYkDmhe4Y2CaAGYJPIA6EbJMu254qahLVwAVRQ4+NRBteeQexzDFfUeEsMtQ KO9Vg23O+D7SC8bJz0+aFVX5fgkEU1oC3psFt6G/yaLeKQ6pypGIF3rEIzA1AQZalIUVT78SuUCk nPQt3TV7DzYHhLTUE1H7GgjT+yZp4bQNUkIOYqW+RVHEgXR1GFiLowf7c8Jkp4BtNarc9uYfp1iH D00hU6J9QTMpX/Bix6sJwbZHg/Mn/rpdmiUIt0vgcgj/oseO2IXCkQTGO7qRnjPIXNbKLatymHpS MfeRCGekry1k7Wyu2mBtQhOeCYiiOx3ypyZwyPewbx2t3BBhPEKVuwJwby++Z0j3l6Uh4/JaK4uK Y/bTs1Qtj0k6pDLYGp8Hk4xgk2JN9KqTnu2DLvBwcYTyW7IAA6akxq2/8z3Q5uQt4e27F3rTWarL rxqgo/Il10GbrBHOZYK2Q+zKiCxkNC4rtzjrQtvBSD01k0mWmiBw5mTd7Jxtj2lz70zb8IPrleRD YWhASXmhBmsvpNgFwav1PzK+rtHbffhQLNcv4LPISuFbN4Xf4fKO8kV4WRCtqdHbutI5WtDJgHyx YW4qmYX5pzxSpcLCOynKfVdDSwOeEg8L/LmAnlvE3jPS1cQpdA6xV8Tq+oGqHYBpYbMx9lKevbYq te0MDUL/gYnMiwy9t1YIKSgAj78eFlXrOEGPIHw/xDNZL8uk2cUgt66sba6ST7sd90ueCxX3TgwM PlFwzQwuZWaQp4JWefo+Hbxh90XGKUwHFqh9z7FKnMYKzeQzZWzQPS670eSiMgLrFaJM9tilQYax yFGUH7vBKusjaYVeMadhDJ9O7u7NU6Db4/lmiMIXTn9L0aLbQz6HAKtS7QpKDIVwxaVCUNTx7jQy IhGdQeFRYbR23iNJBZPOWyIyqjYwkP/Ujx644SVdwJ3xfoHYgLjwhzXg6Jv08G1uoAJZ16SJx75j kVkqfBuD7EzCrGXo7jreU6thikkThW2oSsnHr/pm1VMrHoV7UvyrEOqts5HWMj0aKb66XqYDQIFV acahuJAVj4ZG3cFa5WU9HX3XOd4SceMFqa7/VjGDLY0+IGcGMCCen4hHJKKhNSjrjv80mCtZhLSD SfLjVD1b3FWz6yWaIUnzcyEL9Ud3e4uJ58RRWRnckZirYnRSyYmNo4msgezUAW25aoW5arn/hx1/ AIG27Lh4QcTdSDoh6VMewPoCghd8XcmM4f6Wbh40FMg1QU+bVALqpul1gO1PW7k064HSYXoujHH7 dp8ZoTknA1n3q1+LMBDYk7JPV71HY2N6VcBjWcSjbjNyjRJCFAyq5KZMTAHlmI/KDj074Cw02Eab QKO84owx+5ad7W98oiMy40JsvZW/a+kRjYbd+HbDCLMz0dKQkR41PobRkcJJ4L85I+bcFU/FSuDn fyEHB+WLR05gMqUyQ4AwDFMLK859lRKFgzCT44FOM97FwW6TvGBQs4zJb4Vgg1wbKnv4r9ulv33x DXCgha2Tn2XnCGtjoAqvAydkbYtaatbvewPNdzFIhAu3XIh3u2HheRu3KvQlKlLRTyLTOvgeUBgp Eb4xqbx9HNDdgN7vCS/QSF0UDLYsGKlDQfAnGEW6oVXFNeTYVZiIQY50K/FX46gGNbHVcgMUeLow e8Y1IzvS5PRPApbPFEpdPqCytjrmI1DhPoD+V+wHG2BunY2YwlrtgOStP7Vef2xAgwINMAaoADDW egCHSurantOWFOB4DAfR68ma8GrQz/GukwpZN4h6QUVMpK9XtDJaoWAPC+kk4SyTEHLIUBPS09tk 8h4Qo0I1/Z/hBedpQvhM1keNsaRrvmXA6cBP+5qi1EE7C48NqUEtZyodaVePZKeM5vh0dbEbCPI8 1LuvLSGDsSyYjD74q2li73EopFH0So2Ehu01dXHnEwtDZPrAYTCjgpuwYz9ViCtCRY+HEB/PJfj0 66DNb/Z0hg8CyYLGQV9v076YVctXI2TttKfO/qDK3rCQJGSJ3kE0RAybVH6fBvXMKeEdijdCM3cg 3OOwLUYwv3stFX3ASD2d8RZNpLb/yaxeMvOB6D/ZLzpBh256Nzg5YpJTiLs9/g2u7OllOtzDoAAp MqDKNI4UdWj4NiQFrY3Nt5M8vKImNqnb3lvn6kRK8tLZkXRAkDgiks49ajWT6APGi/5o3zW7KDF1 WZESTC4q/2jaGdAUzl1+STT+IRGp2GN+jDLJ+UnS+MwIiXJUslaRsvdYrUC5ZQEf5xTjK/cL041+ eJ8nWlQK/qbssUa8UkXM5rLS4AsiDH1i2YfZwzzUpbK0FKC+vV/7TuXV9EJD/qOntLKATm05nZyw XPH+noZNqRhEtBn7tB7tnF6cUw0mBfqyu9s4MtoTiPMwosuQKX/x7qelzimamqaxl5qGhkQI3lvS GLjCQhOeO02AHIzqrEJuO9YYmjSM7mwqfaJh0QhHv21qloq4ximmBCgZAgcYDVjg+Ruit49a8NuQ bkpnQdfTYVkl+xc+XX4nnQgo1tfDvGEiwCuVUrIA5ReBZND0J4VLwovuSQe2G2JuvZ9hhnbgfLO4 9FPPKoHaHUAO/eRIsS5yRI36C8X5av1CAtakURYevzlktmzK2AjhZi/lb2SLcaNZ3XWGB0WFGF0r cUyYNxTHmIaOk3kPNxPFrOnu2uvduuzt4K+3FZ6DRSEVCIJmaKFqKQy3sx4zT6iOw425U1CaGynk qRGLT7LpvyYGbfvwXTDQYsBP15SAwwnG4zx3km0gNLlumscXxmUN9jDVqShwK5Ar+v7iFDJg68o4 iR4mN9G8OASywtLaXhCrkttQpxf/Q7XyF6aH0joUR+/RmC3NoPuQQ+y3/UvxQOPxo468fN0l3zKT F86PTTVceyz5jUl1AKkKJXZl8mhEZhLEeY6EThPzXHd2Fb4tMM9xunpEc0eSNhEwWcTFO18xyRRz vH1gL9B0Ktps3yeNEkDFOOVBBLLXmDPk6mKTEOdN/MTNFzAoeQLWgtU5VP7CO34Kda5MJENoqMzl PP7itLraQ9OHtHnPNIcBeie3ZOF6JRToEzLgwz7+UDWxTUAdk8T8f5wKjMI+eS03bpasTnWb8r9n rqzAZDoLFJaGTTPiyGXIq+geb02GO6aFj/kmfQPy69732wz5pqJsf7ih0V9ESPUefZJAXVBohkdB dg+lfjHaugPBECdpoOQxtvEt295fIfvjAg4RYR7lESsWUXcaScYjcLCDS2BUBPCT4y/A1BDaRbyC hSj3HZ/Xe5vxLJqtHXkpTvrStzqjKdxQgdkFZH5XrXFTC/e9esb5AjWqeid4UmGVJRVAFrZoAoKm 8lxB/BFm2mN7aUzXOlpKQtSXWzZHyprn4uxqAjMjeu/BjfJka4HJVp+aKeoei4PFz0dLdTRVJMKv Tm1uGlWJLbObtJxProzY+2Tsc7HO1NZkXDWGbcvtB7p2CovnInoivuTD1tNmUksHD3SSfBjqTa88 xMrdGVXbzi965VbIsaOjtAjNVq66vJlf2iTsE8YKoxxunDoZjV/wOPvcQbNLqNLwYbeSiWfuLqcZ wLxaOKiqZLNLUA+E78xbt5PJSxzgKbVOWj9NJLs2i7q522Z23MgzHOcJGMAEr74Bfj5BaD7bRm9e Mc982NhpsksSDwe3lBWYkERsrYgis+49Rh2dls9l3ejuxsvHd2DkLlwAmBE8zDCPuEnNOUCujA9y m7YFpKFm+Tz68Km26QX0yIISYyM57GhIAER8RXdN/UAqXkqyybx6Tuv6GV2O1SLPC/hmGRi3au/9 kaP21q9kg63Oi8QpXgUQ5rmN36R81xblyVsT7HmdwMiU+9Sld6W/jol7vDx82Ykj59JwAKeOZVrN VZcLWjOasX8M/B9xpfCFHx/7wml+zrXjl8/afWlnpouPfrKBVIu2sqKWq3SCiQu4apr+gVjQX7n0 Be42THu92vDtwxuQgI9qMF8h2N6EeEbX6sV9X0vF3uOmQk/2BPZGSl7GLkl8VjWugDAHq8Po6oWP i2Vd2V9IpOxbZMP+s97eO0JFLK6mDHR9y+b+SKGicMH6Z+EvJT90cBC+qFstfo7Jrt93JZVxQ1Hq mTTuDEZQ2ECmIqqOVuG1hb2XPOJM4XcRyJY5EaIvURb6TtJvzU0XMOQberstFyhgCKGFb4SegJuR q4NQjQX0A2W63QLwIZ0Nlg7BJwzcNxU2/XH5MPSzSlSEGmS3cY0uuxER1ONHUavHy4WpsXUBoR39 r56BHU9d/LqPK2OzrZ6+fkqbQhgbBsK/5rr5UxK5zKxw1P+Cft7kYE1n2xwCtsX7wbCYfB3Xl9gt PbkmjVqO/LvgQ8XjaoNB/TKBEZOT/+naxEUxZEW8FcBalCvAqyKvO2PcrvJBULouRpXP4Sknuozn OkXa1e1lel4RxqnRZg8ecNqDnzDOwsptXgjDx0M1eE+JBcwxAzmH73rYhmQResKWyt08rj6XaDxN BEU85CWXCFHUZQVD+w/BTsQZlU8Ubp4gnZflZqkC2nHZBFVWx37nTAqrJPbf7lAFRiIFoDQPn47R dUIA7LLYVWk2rjl+EnuwsL+R1ED0PZEAknzC1AnvfwbEepBDkyRU5tgZ1ghwKFpec+KMZvxtLY1o c9BpD2ao0lZzaMzCJy15I2FuUn/i1rQ7iV4vZWkNuSGbtuO5T1QU3xSMSfU9EMpFpwkezaKL/5F9 IFeaaASAq9A/xUz7Rc0QbesSQK2bAh34B6sc1b/IXe+Q6gkyYEwMuifevJDrqIwz58ljlVokBp69 LK7zF4RI4NjZzqiHfQl3gigFsYMhvFdcVmYKVOkmLtNwTA/d5zHvz7HH7vETBC3H1yh82gy2cMEj x6K4XPwQxHGnCj8PN5qpPaOTPjvWOJ00jCRF96WCtISEpF+i6bCnMvZQe5ftVTksO40Oj/nnuNBf eoN5tqzrGkeUctLBeUPKRBJDH3iKLOzLlPQsrnH7Nvw5xMr4mMURVgwfAeiuDDeY4zNd1rc0qhVE yF7TdozrCRh/IeWhhr1t1qJoc7TEtgF7sJS4h50n0Y6+qLIQs0GWiBYkycfSQCqjijfP7P4HLfZM jqY8ZD0+oqpyT2y1IjQbgVX7Wj2/c70Nsc/Dx/pAXjy/ORhBdxSydqUQMY/RuSk+c2lRmd2oz/Gt 7+MAP3ZeL8NSCeMSj1xwVCGhwz0+jy1N5jfTuNp709KQTV6VK2eM4bnLV/OrMg/R7vesH4P5A93p NjbZVbSCCuahqSJaRN1SLBXV22LFjSDxGun/67yiFSY/EdqnRmKynd4iqCYZ64kPAIEVItCxJAZE dox6YFvLywXA/SX7OpIrm2LEvG5h68ArAFq7r5gkdmKOIqGIsWMfs8TsqJQT5olySTBvau6ufWl4 3pOCXwxVTcnvRBx2TyumULEmuTJB8BCUPmIMul/npD8T+Kmv60exiwLXwmR5Hafr0sx1+rv0Y17D 3APVCreHf9Pw/v36HNLH0tfRfB6lX2MpbnX8Gvclm1izon/StjpRQkpMvwxAejAybt2Jv4RPRao0 hdU0N11BUl+N0NPRSwZtMqSeYx3tbCrDYblAzF/8Vfq6FowEUKp3uqt9KO7mbZYDmYPYXW4fxouO no2qoKHLwGUP92tBHF9jyOXtuMEO4QeUDONo2yhaxrLU7x5xnHKVyioffp/wUJ5Luv3Uwx8+d/Xi oX9ux7xAgfHRjVudBS7DsIMB35jORAtKGAydC2yrtn3Cbj4PDH9wGQKb6imHoljEvHf65mDepDqL Kux/nW5bt+/qYFuW6jLWR8kRZN2ODgkCmu+nWt19dwmNQhQ8esp2ZY4eQAYJ9c5wxfYzKFXhtx1z vAs17OSPWRMM11qdJZ0CVfH99qoyXMzBPLq1Po4/2f46PnABdWmYLGbkF9b3CuHdFd5AYD3tmUZE 49jHuZFI2gBaFgWck2jDdxg/Egk2OfaS1vkENBcGBPZXCI4JjZaYODJM+0YelwT/dME65veywWfU Ji4j0QA6eapkvLzfdF3ms98Ns2BoKR2DotyOVFk6ErskCzw65vDY+013IKh9e9kUILW+8JLciB5u vE38Th84EZ2yszVouPrS0LB2ylfd+6Y1UWL+CtnNK5dkXpDnkaeuC7Bggpwg/WP3YcJNQdj+xHqx y5dqHF8dwzXY8YBl+78agUDLziLa3+aJrcDtOmkFFthuswzyMHzKR8MIvcn7wARAUocrkdaG1uxy h9ZlahPhAR7rQXs0Iq29h38Tlzj5AqFYDwdEbgxC/jgVaXRSpFV/LqWLR7KDeic/H3zjiVspklFB ssvju5HbkR1MR5nrhXOa7ZKgAE5WOVc112NscolQP6fSkocSyenzBECo70mD4w2BcUudxnS2V73A 9ImpLGHuhxuponyVDaz/fQTsAEIvo3VVBDfxYUjm6cL8kEcP2wydJpGKGziLCbnZj4oepbTgii/S EY2/iUYOZuvThKi+cE74J+bEWmNAjZJsoax6azLCByB2GFU//QXMqlsQzmwVQHgH7HkR4FpcGd4a Vbd7DZUDUZZS1pg/kBb8QCQmlfb0QBFO4mYJqOmuql4Klo+5umqxjEg0qvqBedG31NsG4XFXra5e fTltwGetlbXBGO5vyT//zI0Wd89AIyHhpqfp028fmaVFp5CcJ623NdwBQ/EEr16GW4arfKUXniPG JTvNOwBvJ5N6YTRjbJNqqYJ1/gRor7OVy6zSLC8/a90raBtcPFlcqkjzlmD7Nl7tQxSgVj82vZ9D TN2aEhMMqbAWwvF+Cw+q8WUN6rCHgkzJTtKWifmHpbXNYohanhLBznYKzYBvngeOM6MCuhtXiYyl J4K34IRqpfoWKQ4GwuW0WT0Y3UpiWmSBTOXasI7G0FT8Fp2F+AQ3ZSXtZLwSzLray+Aix1ZKSThE OLr9sNJUBE8V9EOUpsE74WTzzEqwcQPJYaXClbsS6q8J3s7xZPqAI5rpD0w834pMSNv2LOjrK6EX Wls/ni3vu0jtnP6NOhxIJX2FzgfwgHNc4Fb/AHtSsuuu1D4y6GBKQ4ZraEOA1FpGzwXD1wnQuYEH VcNKop7RvDZosp3XSuR+0vLRSpjhC2TQKGNHbXycKe3cyb3mdPHOjtOwYKwCw2kUNH795+8NGcXo 0S2vgb6kAzNJuD6wuAYovDSeXVmBxa/ouYnSjfSJpgyDSp5JGxSYQOOpWtYTIPk2s+86jOJobGic FDHJ4z7chCiFMpbsPOkFtbeUQBz4EbuFppNGhGyDsnYceJ88Ca+auAqm+D70vAUVUFOC8cuCcCXW g9kAJcsfJvijDgMHqkMg/hf2wemGqPDjrDcdmWWptLyT+NC4/D2sPV7z93/UEQyTCO7+j1OaouML 2DlKebGoT3L6ybSx8q9whVrcZdkN3u+0cInA5h4I1nq24/i9wsrpUyCrEk3q3mC1xQwG/65v3z4H F+LOF7QRL5XiRChDV6i/RJzkIp1T6TTTi5sHQwgyuuLVwLow291i85PxIe7MIO3kIJ4Li/lhIk0Y ydnxDv5nKa8i/ENRFAzvGlrukXp2gkO6AonNsU6E+ybDzTXQ70s5zwi6unqdc3Lh9qiYPhQiCGY0 mMK6hfCc1ThGVi4fOyPj8rc4by5CXAtgCR/5eaYQxlWpl4nhbcVfitBjV6FDB0X4fK8ulf7Hul2t +EcrI8masp8CVbXVdUZdkEfvJQn3Obg89qHZFdesqQUSm4uZ8eWHG4qxBd4U7DSzIrKu9PqUv2jR GR2nTquYD/rjoD2JwrqmXn/30kL6kXmc8d/GJEryjYVBRryx9IWzZP2FShsE9c0OF7T1qcp8jeBx TKUm+tPF8bUpt5d3awH3bjp6JXrx4a4KSOPzLwDLeZhh3AwhMQoL6jOPQovouCjHG0D9cgKhXkL4 fBLHjUlf+9HmpDMkEhmJ9+HIBGEnpRPMSC58CaicxoKhekmt/MqFWXkw4GJ1MqudfI2EYh45iysZ z2GMDRc2UdI5sKw8p2pO1QlJ2h/JXoAW7uq3R/vJD+mXdaAhBNy54OaHBkZrTXuOUsta2VsY1uh+ N96CBxTdO4e3jwuYHS7zyg9gTukYCKtgrpEyAzustSQUKLvlwQw5JmuwT/TvtFC7dLRsnCZPm2X6 dfLPVZzQ3nzlyLhFI6W6MsWmqe8yAXFgU5cfRmN6rdVznxlyBHudM2zGuZQCPEXz9fsSK+G87ibR t7uQ3Vd0vAauRnyVtq61839NfJaFFBi3el9e1he1IkaO9yVtjruRAda18ZAX6WJdpUf7mYALD+Nd ueJo2AX8KXpSn0JxXHIcQOH8ajW078FeL8LUc04v91MbWeTNP5fAjQ97E4XbvI0H+EDdNsJEcnFo XD3n7UGvLnU1H2Ms7NhEyuNheHst3MisJOeX3qKtqhmlgNuUGnDRjG1V5OAYq9bgTfqBYMQYT8e+ LRTQS40UAorKseCZL4/uvyLFgZAhtnmhNDObetSWUeGN6ZN2XeoJvTqo9I8aDkjsqK3UMMHsKo50 s3fgEL4pbE21dhoF4MvUsVakH7f1RRkRxeexqGOcjCZi1/uggvyPPJJI6zfpqmvJykADjqjIAvK3 uWKROSgC4uYVligqKIiwUuoNzhVGrcuhafbF8Rp60wl6f6PnkROtuI7o14yoklxXSqLPZhwjdGgt 7YokQIrJbNK4Nae5RgWrq6wlCGlCu8YqccUspVXFrJHOfaoVtLPpx6FaA3P1BHteTEUe5SqY1QhT aX/KVVKeWpJ7kSPv8spYMRWlkBMH6QUvH8HTLsls4b9YURz8Zlku5/2qkSilj5hH2W6oADPOERKU mtwi50rSldUNdsnopE+AgpnOCs4nHblEuDDWsvSdfYDQrfzWn9vtOCbk3bGEDhEyGlzSvInpIifi HQXL2hHLvtXEPZ1vnVcNZp5kmM+/z+15uI1TOYplvR8M6Bx1hDeB85JYQKVM36M8kC+VmYJmjl6X d2IKtyqX14ZEcMo2Z14aQFO3fIUryFYfkW+Q6pVck7cxuDH9DwdjbWjrW32XB7XVJDpR5+R5iLiI E42eXNmSvcoIuQPdLtsS9MMT+34mYHIvwNb7sc5lzWnoGb+Ms72mhCwIZxJsZ716LgyJobwwHYzN ceBZWCJIi/cMwifMIvqdmdc9t1PTXE0m3CR+SkcQ53RFkbQhWHUdzcPntmuQPVkdumTX+FQ17CFn cAIC9+F5hBl8WLiRpqP25Lo94BSJmEssJb3fbufdu8XquYaGLEYvcM2RSKWj3LIZBZgdkdeaCLdo HjjRkNB2icqINx3OLwHmhvEeEuv4uLWmdHdzoR+w/kmlaIQZ6DNwDMyMA9UOgwqIEyNRpCqeoxLn 96G7Q3TF15MAeaQq1QESvQl2I5rsDQ7sMavBEZV+KL3vfl8Axjk/WFRkutMTz7VK74OhydV06XnO iX3U105NiqI/S7PyorOSk4vtDIWxGDM8Cs4qcu76f4z5mXQ/RvJtsxN8q84FZXANEV1pRlGePPSC we+d5rdXvgzNVkzyoe9evQFUaKwiYVUuc9GBtjtpMiQVQ3DRISNQmj6yPglikHVQpOvn+B0jaV4G laTx8ighNr8/Fo+yX4gysTy8bTCitsOoV3ANFGNG9EpfVaKAweuxwVq28TbKyXRBFQ4RitVwe9Ie mq2DyW5ApJYX8PNa2sjk4BF8RwPA/JOMVLfsMtENvsh3Q4/jcNHje9fyDZW6zg16Vwu6El18BAiG 9jZipYc8NV+i2G5qCPJuTKxhl3+yXNXWnucbztk9vBbSd/TT3nr8O7DcXsR362Ll4eAaWqSZT3Kl RoujaO/cR76hZjVAF7TgpGWSG+hHg8/HVI0DZY9yGNo9Ed1oo+t6b4el1/X4ocjS1VsPYV7y5YBS h2FM8y7Haid1i5z7MvjsgkqNunoeGQ6c8uGPF7OpRQPVwlMAgtCssfPx1kUQKInPEXl7JPmGebpI Medy9rH+UGfh2mdWpqK9Nt0gfzfIWnAfXRaPcoFy1N+erc0rhmjNT4JOfUk5i8qHQaFv8gPMGDqm vw0u5RTEGWfIA92v3kDHC7H0gDB5H+X2cOM4WNLiq+lX6s5RKyk3CHRsGkTK7F5q8PdrBe1dfWWt gIkYs5tJufrL7duVoUJW9/7IYtfnpth8eKWMCTxRif1njOquPsgQtjbAYV5lYUbd5SR5CTZ4Ro7A +StEIqMZbbDKuAy252JavzuZbgZjEZ1ixBghJjfDWGhxmW7xMkSqbXJCTeQ3JEfGf0hQkC8Ua5vj wDt6x11rckRDxAqcQxDCM7aY8NrVX/5tQawKc24xYmaP/v+naD2UxkGsGfcbonY+zdWr107LWXR2 aQ2s66PhCGK0Dj7O3tOD/RBCqxfZm6CrIAvqAeN3YmY2VDZZ9zZwAF4yFAF2kS81dqJEFr75luKy IrdRwTGKcRZMVOjedFgK0aJOjKfnBr0+68L4fi6LwpHI6c0MOX4NfA3YMXHkspzU6j2r9+pS+Fzp XG5rZhXkniX+tjhVWxryqC8eARvtSfsEYoXutubdbBSRASnzEBGp4YPDyV3nIQPunAdMH1GbuZun fTirVPHbUxZX5LjpvBZhcGs9MrJ2LXVpnwl/ny4guei+MKaDaA7TLIF9J2FSKY1NMYw+fgoaCOW7 dg2k7RbznZBIbqHfAwS0o5zHANj6LaJN9ilL9Reo0RWcXBw844j8of/Vl+C/PQg0wku8uY3uzUya 98qXwt6iOTOLnDzaUZ5Sv7dyQkPmnyfbTTVpWfliiDxSwWSSPfrxyXmc8xLw1rw9aS6ejwsY3OgI sB0LzuRh3szWnfSs7naKaSty6YPIlqsn4eagMMRZR9q6ZmhZnuySda7/HeOTeWvEw4jgZOcqBD2N u3COPvcQEEwkK7ym4z7Rw+2GJPr9G4vm/OBr8rbW6ebOkCF1ezDmRnqeC5H/x6yqaZ1wHEhcwFQo Q8cg56qOtwwCjppzqJlJ7wIV1WApJZ5i0erU0VwXbRGzg+Kg1aXbJsEajV4ozEStKFhPwfYnVZ9H FKfr2XYiR/q/oO/wmTznf0uPCsLCaEuoLM5jDwtUv921bx6Nd7ipjkNCy5Fq256XrPACK6PkbIOS xeUrXDUjbU2UraFDcV2XtbFLYKot+7OdwbRiSZJzmlXwQugYvdXgrtjeAakNIPQrm4TPDtp59goA yfexi2Mf0Ga3nfXaSHSt8gOclzcQGDHiOh8GyFKaIq2rPaiULUtVOWvnA3ct8E/XAePo/jAjXFLZ 43gLL3fAIQ0jB717Sr3Du+JufIRA2J0XgeVbOnb2p10UQ+2dqoggrMlxFXaIJcR08n+hcf9onSA6 c1UAiroDQvC3W6itdmaw87C8ASBIJLNgaJn6BhuG6zmAvuzBz/2Ec0QMRrgjof0a9u2UqyY4PnkB fID0aesun4n40v8qKKEi24utzosTLA7ARD7vDUxH4rtYzEXHFXKVbJjPUndC4D5P8j3S2CvQNkv9 ivR5WuIiQxreyK8rdLmcZcMkOwicXMhlLReeG+hjuGG8G2JLfL5PVawCWWKQORUwOfU1DG1tPkOo ECpRlAMbfOdY3E1YzWQlKo2w3XkUVxbzdkbiT5fQoZjP8b32qpcSQkebJD4CPQfDbyecrzcqBs6r eQ+qfjpyurDJ6XafyXWeY4hZbcLMEO18FLk1js0ZRifNo+LsC+VJfCx8UJDODfPfrCuuYlE/Ach3 NXbGrqCFrXRZRos3QwwJZWozc4tu5XR7nR8bwmxRp7zVdwr+ftgEmfpCz5qp+sm9GYehvXpbzxxJ FRIRk4tF8D1UULopyraS9bugi0MYaBOMCqGhXRuL3Pt2rfM0o3HFpM0o8jwIAA6WtRBOHWEEBRNW y+q8UG1WgTkJ7/y+s6s3s0kzkPfr5Dhjuhjw/lDIJgUWULmcaf0/VYtIcIOaYC8aUqm9+exytqoi HLasfwJ97KL2ha7kUebPZIp46MO2Tl1cuSOS0fZiGf3rvPETjsAhSSMEK87OgDiPDODDdcV+U+Qc MMMUBX2fzsEUp+M1lR4T9RqXKtLv2l+VO8JmiA5bCspb/ZuMFQ8OsuXG7R81BhVTi99NAzBMGfb5 8XlKZbIVfeUJHN13g0Q0gA6h83M+BLdV3f9qq+HewzC1sfpOGu4w/8ssg0poaAQpsRtjIGI++vou lMk82mMuszbVIxXIP+VzMOhYQHwHkGYbugQd1beqVfR24UFFfe1pvHpkmBE7xn/AtF1eQUrVJR6i VKnV7ZETrrxSDTpMuB2r7MNiGNH8h1yowQ2L4k2Gm6+3voUQxXEwLEiqM+aZhd+ENF/o6XXXz07J LGmRxuXqUghEYg0x3YcmmkHbhSZEjgJS8W1+Mglv2FErQOt0yx7ztSTcBr2zMo54pLCPXZJI1rwu 35A9Rvfqj+s6+NtemcADETDMcM7GC/a0TziLUaIix2NBs95918w3vhZCIqtcQBZL1FR8b+skxqlv BmsK87qEWVEPgPIbtw/UrG3xURgfvjugesAcXISqH3J9/esdrbgJv9/KD4eEAT4jEV9XM9LIo73J U9C5BffiYrK9Eshn3BpbEcTEHwWwZi0ABgehV3DSzEdH7y5CVgFKUlyiShWlFZksPZ5COQ8xA9od fU6TMc6gY9X00hRlOUGMmN2yXzrnkLasGiPc2+/Kymp3Ob4i927C7917n29dqlI4v4VITG5F+jBl jbcW81TxYaOIsEO42S0inYfdZx1s9bjMYDVyzICYM8gi7m1EfjCb9bMCjkWleOiosKVnyJglB1LV 0iiL9hc2bTvbc61+i9oARK1CpT4BCFL3PqKNKgSYB3VzmG2NMEsxKoZ44GkK6vDhl7g31PcNnzGX GjRJ0p+CBjLttynhA7s0YZuVMOvBbYtbwwWAStnx6tXVlG8RTs8wmaMSofssNtFsgVmcAU2vOF5b O6xpjURUAnDoUGlooF0L7D77bod9scbp+s1OAI7dQeiaE68sBbI0t31hdkAKVZabDG9/eWzRlZb7 nKZoxUPGY7j+cW7v2qODJibJhdeB8W5VYrZcRW7C+7mb3f73C+n02FbJOhDBg/9+XT8AecXPWfjM NbEZ1GBNQ7qgxhSkLTNYlFDxSb73Ee1gptmkzvD0FognvkKanvqSA2b5ZBuwcDxAw1H0uSsMSq+4 7GJm6r58ddN3nu0cM9t9JrheLLcFio1Lf1YMdkGnKAmf+9lAlriTRiYHR6HWmnRbceWfsAWpz/Ts nzdMC2XvTbjdCMerHJkUrmW7IeOiCAuijwrhY8vqXR3MojAxSr6FrcPUpHyHooygpMapbb1/tSDP +wQwUoI3dN/EFjGDidzqauCwf5LNTb0Him9itqbM3p1C6RYOMLc373lfOlpx/Oig2W54aXOnb6H+ jcNPKu3/UnZLn5ac+J6/sJN9nnA3kMnYRdsJHDC/azsuLbYkjDwmPLOLJQseTzUT7b3yH/KMYhbS rmP7tbLgBtKQXYX71ztqKQYu402jHeWi+gwFiUMp1DFVmZPPgkZNQspZqK4OCE13xcf67avAcA+Y onNglZvpXac+mDnqFTAm6ruQFhiIzdoGJGRXaHNZQ3L6S00hJe4/NpS2FvtKavTR3mq1yygtU7se XmEjPPhcyRcDw4Nh8JSSykl0WVObwNLLg5IDhBkMBlz5WqMzWv0up42x4g6xgUIqxN/fxbLjoSkS f4krw44AyQbppUeCKDW1bYr2hdqX6kgq9FL24Jfa6yP+BG6i5L/TK3x3GWTmQYXeP2bHRVRrQOfe VZmANpvMSlxqQNUqaQPxqPbBu+/yIuFagUbiKGJnC3db5bKSkxgaojBA3F2ebJpV+7tKBM7nyai+ 4jqXyIuVhVhBDCtSpRKCCvFkfhxIJADzS5ihJQF1aP23AvyS97yN4qSH8rhMpwlUnrvOwx04xjEK OsCVLtR/XjnBDis9q6BdAjANz87UJU1unMq4UA7bqarfo/9kf/N6k+5KY/psVkwf+A8+GUJRPED5 KkAVCkk1qvshXnTZ6yAW+JwNJ+XAanOwV0MKHxuY4n+zUlktdv2qQopv5f1C1EyZg/DuCrcRTopN oOyGhizy4VZiwAm9PZScAdZYtfa/yAZIBUujXOt4rAo1qEVrrKWlyR8isNuclec70P5I/vJs00Ts 1mUMwGJ7qNpudB6PIRAOavdUGi6GZtPfHyIt0XbWs+mOpvfzEEoqb6nfv7zvEQbn89xr3ct5kLEf AEBVO/TYLW9QfEbp9PG20uKYfQVHKmX+8T7wghnn3FXoMfBIm2e/Dvup6i3VSpH/mA85B5VG0/Cu muZsBe0mxcUXpV9ycghZIrUgMsK7HUCHiuv5XBeUsSCcaI/blcGGDzbS1Z4LRr0l1ta3U+vpyMBU 1dVV9xZu7ymo+LKIm7Q0bIFzYkGxWthDfbRryzmRre54bHXxG2gM6CKBN/QE+1IKNtvVw9HyWtec U3UumZTlGLpJ86yfRoBRnlOxd0RHBZ/gHaSWJGO5AcELr0SrxdJdRG+4qm86A34WeduWpoB4QMMr uYPph1feAi/qzcToO0kzHMfSUCyhdFaPX7nsAU6ZzeUV9Gq1hdYRLOIKeFm+ET+Gm7yHWdPrwIFr kwA5QhWLXKpSw9wQwLZ0iM6OK9RB6YIUNa9U0yJZzrXuXjGXeeU9WhTh794iCpOYclYhh5JxicZY RUPytd8+lek83slT+KNrAyjDBZpEt2of/jv6OCe/u+9lpgAJNvTg4dRzDvtXdmxFMsjJpBeTHKGp Tf+qZh0r2aUwYkZxBsH2rXJhkf1Fs60Lawl14N/rBTGJmjPysnJqZKsVL4fB6Nz9msRp20Cwh/+X l/U85E9h1pY752vqWfThCs5XpCxm+L80OwZDqoSDCW8PcTbjDT+GbajzbCLl64quVhdoaZdHCAbQ R7xV3ApuHbs7nxGpMJnKvTj+5MRyng0EIU+w4i8xY6Y9oWZXCMwFHFcKB5VTOpGfh5IJpNJPBstT 4kD/SMmpbben8bwwUAfXEqf317//2Ru/XP9nMwjaLTwSQgNp4wvOdyv09+89CCxJD0zrI+T23qVy rT1dPkbMx51erJ3RlyNlE84DZ2IFsDgSpvJEAPxUnWoANg9J4WkFyuN86aJJ/SnSFpbB/huc4JqU ORnr/mG7b67n364RIpIIy6BAF0QgD+hCwAwefRT9hUvhFO83b2h4amhl7yH7nGT0K4q9pcoxUpps zw44nZMj9WeAz8WZrUvsqVaRj6WIFj3xO5kBnl2Xk6euZ03gXCCSYaiTJWxLeMB+6+mXjtyLL8AU c3iaV4/bjZ6oUbATaijCe+vyI9lCeXIKuuNsoU1aHQuO824uXy/EQOO2rd+xW3pDgAYGINw77be5 gBqgb7G0DANBomWhQ3MoJFudhmbsjKEPWptzEF6qxifwudvxil/UpUNeklEcrBNmsySQuDH1g1nk EJkNKFS2/Ev6wBHR7pWgwq+GIboUpDibtFpS6DlGgh/76nq784TzzRN81Kk4eoy+m16PJM6bUB+x UI6U6bbdBxNaWgFo69Lz7S7R0oUk79AlmvlLLYh7P9yTPTSm5GAJOntV/LaB3YzIdbXn8/C4BLra G2emUsIiNRGZzhC6qo2nuUsNF9qKO4BOEZ9AkxA8jatl/oF0+35yAWlZmk1MpNoGLcy2aY4R0rL6 qyAt3DlhE8ladOS3cQBw6od4z1SgZnCiZ0RJrkyFBPlzECMfTtpI12dKsNVKk+ghzTkPelApktBz S7Z38ouqWDyfvo9oT0qmJr4gag1hNyzAHrCam1aL/nFNn59zhHrvGLSfcyeNSe2B9jCbQzF9Wn1t BmEN `protect end_protected
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@addr@dec@m2/_primary.vhd
3
691
library verilog; use verilog.vl_types.all; entity AddrDecM2 is port( addr : in vl_logic_vector(31 downto 0); F2_ESRAMSIZE : in vl_logic_vector(1 downto 0); F2_ENVMPOWEREDDOWN: in vl_logic; COM_ENVMFABREMAPBASE: in vl_logic_vector(19 downto 0); COM_ENVMREMAPSIZE: in vl_logic_vector(4 downto 0); COM_PROTREGIONSIZE: in vl_logic_vector(4 downto 0); COM_PROTREGIONBASE: in vl_logic_vector(31 downto 0); COM_MASTERENABLE: in vl_logic; addrDec : out vl_logic_vector(8 downto 0); absoluteAddr : out vl_logic_vector(31 downto 0) ); end AddrDecM2;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/ramfifo/wr_status_flags_ss.vhd
5
27791
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kSRtqFeRldJxNwMSmZylQKOWBvPgcswwYwjcaCv++uEtxccD4VmJ9SIrpv+AN+kY1IRh0LbzzvfK kVLdV0gL7A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ln9W15bwsrmxftclpSdCldSXJ0RM7hSOf8Kev576M9X9vVkxA94za0R/4IdNmceYoRENxtDrWruT 1UW/34cyhrTDwoh2zJHA46CoFn08s6bQ6jEQ8ODz51LlZvj7igIlswrKQNgOnMid6nf7Y+Bw9CMw /Xy4rSckqDwXAPZXmaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jm/xLU7uqDYJHrV0GSI6ndyHJ3oU8O8znzvl01OoyGyCtjZobPpFpxy/NuUCIgdqOHihiUhzHx5y rgd6ZaRQl5o8x0UPp4epC3M/CasRvTp2DmjhTf8mq2wxKVsNjr+UJhUqEOBgmlXZOWnz3YWfWx57 WmvXYLveUR+8770PQbqJCeh8cln0vNbYr9bBHrB+CyTo4RRc1DcLTk59qMIUZ+wr1pIecQ65G/+u UHo7mVxOMnpt/L7vHh8FW+Xkb89TkLkprB8eCHOpyJAuTIvMuN8TTM1ix4JbKJ/uRa/yl25Fs7y4 eFoJzKRbYqZZ1vHIiWb02ZLYuXt4ShDCbGR4tg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pMXUglZsvdvckG2gYoy06+LM/92JqvSmTr+VyEBnMo7/ATXYi6LkbsVW3XK/6G8RhHxjJdgZP76Q r8vzm5J19MmXPZNLyEGSecU0YBzI+ZXFm5uk4/bgXWbqHKAUjoacbX5//sRZmwtzGannuiaN2uKp lVrRo/jDL770TGnhmSA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hiLXLA14TYDfWRfBKb1k1x4sBG/sZp0xfVUc3VniU652cSjAs/1oENyUio/6or+5Ka1BhV+F6xYT F9UEyUEn4IYzPbkUwFUt8EwkM7DquyBGOE2SIQpd4t5zrLBD6tUTlPRlbfHdTw+DyqSeRDDZNcbh rR8E1Y6p3Jbf2zT4i/5pMAQRtz9/gVhgEioIjAPmubjIy0NNSdycqB/WykZnKJ/y7YS8LA64HQqY hIazxWwcOxOKM5HGziWn0oQzRH4JAtjYyx+AQvUK5m4gofGqnrkU4MoM2PERQoZvCSuAH7YXCXCw f7O2To8FnSZSNWLIrXlafuCz12c0f9RONI8KfQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18832) `protect data_block Rs36OAy9bUCh/vgldYhH3MraRvbG3jb0ykBiPaadl2PeegQuk10obQ2Z0IJFIddKz1BeZxEhEdCy bIFCoPsNgBEGgyXbddF8M5r0VJRs7eaSlLClF4IbSAd7PGZJFUPHcKVULjrVT/Am45OdP42IpwQL a7GHqpuFLBgm9BgQR8RyFMX9AbCspI8D5z1wByl54Il6oobfTDO/Uyj1lwPIe3DDOH8HE/QIuaEU 7lbtbF9qnKmv2KgTYGj7Onj7LavrFtQUgQPWwDVSUxZiSpoRCECSI5sROo1sKjV3q5uFjObwdHlj 7YB5+S0oQE2iAJRK1M3F+NfPNV969aAR/GrzRn2GyuIM5kOKiSvT8N3XidA89E25O+nULt84h3GH W8c7n5iw9cIC22w/7UfqtkDWKc53TLIqORadTcZCft8Q9j6TirCwRBhkE7+fR8vmFTda+eZUYMRW 4I0fmjLcHUQAhubv+XC+K5duI9GuT57q+zYU1r3xuBvNWF24JWzUdDr5pQSEodjIwtANGvOcPvuq xR5A8yVh+57VeOBdMkZBLOpzM2xSV2e3t9FFZa9/CqZRniU7URM2fEIOpMrEWQA58d5XgEBP5ocg D/luYueG7iSVCErjN+MN5CxC0/yE2SlQFUwOBYSYRGiplMJfJYlG/RQ2/IEpof5+xud/KYKrt7gW n7ploLh5562l+n2zVzKjiSBav/GAiGPII/eaF/4kwjcqHPTzkCEj0N3W1E7QQPBPcSkqHCwOeIu4 do+HWJlmMlT0rJm9OjIS1AKo5OST/MRhW3JSiEbtVDdg54+gf0zjo9BgXofHgXsOBokt+L7kXn0T aytDjJPeDubUdRzTneDLWGrqJ6IZ51ouB9sMYwF1TWtkOpsbBTYb8F/iEYIrhP+fYmaAMPMsYpRF 6yAbOpFt5vnvegefUUNBDU/P74KsRdE7lBJBFtTWGQxjX0SfPL/kQWCV02OBfUdWsF0MZ2S6eQnI Z3prlMj9uhd0opozx14a0WsasbvKMqj7h1no6JnkZDTDtTic2Sxk2YQ7yeeD9YlQPxTGc9PVMH5n Dx1hKpwhKSjs2SqivnzUaP9SQlHGBO0td/tEp9mPQ5RZQ+5PFBZvqwU0g61EgXIh1Mv4ho/JUOHS BV/LIXZpsQJTq/IjU4DRQfuypT9/71Je9FSs8VauRP71663NXo87bcQepTCEP3GVjvNF9gZ1pdhD 4EPgjeox1+/BuQK0EfZ5Op40Zqg39gPNXvTUjYbTgNl7AOon1yxzP0GdSr9wI7ANPFyUM35ExTrM ZeG4aSdvRgjGG+/ulnBOjqW2o546Y3HDCW2en/4+wV5Z7zsFiTjfmb7m3wz5RHnSqjpiWdk/hCLL StpzWaq/Jx5+0x3uMqMNhax5Qg4ip4b+WMddLgXFUIO4a6vdErr2TwLOyQaCLrxPGF3JPKyB30tx Z5U5xb3I61INXsHKbVx8R9QeTfQo/vi7t3yJSPm5wOTZ68M+0y8pqCM0mvI5Yifk83vsYvLlTiIh S0hvfI+bTzrB5eQwiljp4RblwvKFOeYY6yR3xxmDp2Ma5T+tOJXquYQgOcg9++ipUTwtVWssYq1+ xmfabV3Ol65xWx+o+pliEJW88V1KGfl0w+Ty0gQvGIOAG/ucTrjUcUSFaMVu8QLpgp/AL+2/O4of CGxahaCBWNrOT9C4QJeqeZdPK2ziQ8/Qtg+nmQ9EIXdVVcSPJa7Ug7FwSuasHm9K0EQeimNO+mai RpMHl8BlUqQsn/BohRhtWVjO0K615y+pmELlB1Zh8ujkyChhnaFma7F49T9BGH7Oe2SPcPRsJgoa Y6iwHX6vuCzEpE3A602cC84h00gDl3gB25R++i/Z3VQWzle/JwqGcf6KAVKehD2bFTGCQqt3/YhV KrMSfaTXYg933ji57zKi7v7ASeu9cWwgglF9eT0UJYg5A0MxjdkZ/yLRQS4LniJ6cS8j+FOKRw3u oegfb2+7okPBR+y4x2t9g95bWbOxIm/Ciqwvmt9MKdQZ19AmzxxhrXiH1VPZjjiwMDq9SV+xga97 Q9wc4kp04CpOlbXJhd4Y6N9shA9QyyV2y+2oY+mdeIZdtTJZsME6BDQHwJAMWx0fXNAaawD1BNDD pATKdKk1O0yLA0RoPNsyYMWCeBtdpC37u4hSATgCS6wKBk936/y8maEWJxfMHaB+0n2wMFe4X3WG GE7BOJ02It5c2ASMhmEZ3Fi0kK2qQwSxNelI/R/kwR5i5XKVGrSUCnJF+EgJEHg0cTnh6Kv0Lsr7 FlhWRPo8JveMY9RsVowS9v9VFbiG82gYgoeSqoawk0nJgG2t8tKgLoiDMwlwxsvqvZSIoWVtco+Q g/dbOGfLZmW/eHKuwR6WZV40pOUZCLFfF6N5S/Kt1DadGYhqlZ2ifHLcyZAnc0VlyZ40wqmtyRgl z7eCog1w2GNqFHIssrGDCFbFE5+8baGgTINv7R75TPS9r0zKKhRDpFh+G7w/SuOrlT8Gn4lqs11s 9Oi5nvQkoNUIHdOJBvwNPxnmcagk0rbIs3HQp2q7MHZoIfUAc8eKXnpruofWOC9aSPR2TMl48LSV CEt4egu9YxgYqdyEXyCH4yHxBt2UP+VHPj4VRkJ5pLg2JtABam067tu4JLsOCITMKksJ4tEXp0EJ 1UHL2sR5rX6VbW4xCOkkaoDabn3GdL4gMDMZ3u3PAo4+j//D9nuRTIm+hR5DotpTiviFE4SVUIZc iiNrxW+49MkXOkyvoGlGJVdXYgkJQ1e3+osFQHAn9PEb/UBozWZCElPrptoosaAHuBWaazscNGwZ NsavtTYFnWDPsixBnMigt5vqlz2L2DZOJoVzP/sd9tofo6s7GW2efo1xLwujVQq9pc+wmn0q1ckS cNzOGrAydElcy2mkm5WTmGAY+foKSGZboJsDDhlPyeMccT7vEp92rA3JNRbNNYjBDj58zbwLXG7N H8FhAMQK52DmMfEROedmYqvEFkx5mFzCkFbiTkG/mJdqa9vt/0E3vz+MI0+wFP57MDrSblKe0pdG 6ao2VQBJSWQysVhBZF3n6/DOEpLZ1u47rDhgcIbZQUhB3oniIETtssZAv/1EKqhgcTtMgnPz14+1 cGGNYbFuw80MXQGexbXAjmUV3VxOXU4UL2XopqcXKG0V3ggsqeoMWdbXFFLyLSmPrtt9zMy8H1Gr sokd8eyjquS9RIgMTlTLmhOceUcnAnPm2avHwV8vYT7Owz87NMm/W8yOXMoFef8oPgZx6SxO1ijJ T17C0tJRm8tWWmXlrNh43MIV6FRmmJ/DCUPXdEEkKb1kw+ujksDt0SkkkPHAEHQuVHBMOsVvkh9a VQnYySnz4Ua0h+oN8HQUbI2CW89F3t3LG46ffU0OvBz9MBMLExmZvGlk0kIWoEatYmNmj+eyhUj2 nNwj17YxkENOE82y1I0nwNiBbLlpgTeKLeWmvmiQXP+aNo14s0zRnociQdiSmlRR+bNVcLlSV0aM QGmXSmxhmcZQoEdb96GurZqw2Kt2GkuO9GGVFMpJV980NmNTbQXsz8mwV/m/0zRNQub33pef7U/n BcVg8HOLgzX8t7BDDNH9uleFBmsnigSq/851+LSR9MIQnJhGV5hhU0rz1JBTuKDIThjunEaZsYuB ADmtqwN4xE7VYtPe0H0H9aZE2l8LH19k1uljsLAi4m+v/Ta3nv0ZG+ku/yAFxZ3JWYy7OD3nwfBt KJrvIUnQu/HWmz+VTrxKMB5ZM/PeculaVQk7cpActgQ5xru5/1IKrHOhXgP8DLBIkI+h3ZhcZ1eI yxMn+rYkFRqvBImqcE0qIWd5i3CTc8lVYKNCk3Om2RuyOisQ+t9NQ/Ul5aFtE4f7Wo7aOueI3Dj2 BYcyI1cL06XTCFPjodCIv7BfxCRZ9A9CHbnlNHRYs7EBUSifrtZqMknUpBGkyHzX2QlKTWoCgjGP dxndIIblvqmeQINwaUzZhyyPYso7D2tSKVWHsp1dUTRc+7UBUyxODmg7fVw9Ucl9+VEL1JHgYbwB LlrY0I4fuL5BwHcFeuQXZi8ooWnYl8BXQiN5NoF4doqneVOdolf2meOlC+AzpVNBiOn9rrnSvIIA faKnC5mAV0xGdMIMu4JvwyZpEtj1pjZkOhsrqzx6SwQOeJFkrv9iYYwiSSHOuPfvMsPhGMra0NQC EIV6p53C7BF7rIciocitnUBx+myvVC8lcTvOU1jxxgSOe0jhK1R1Ib0yiCuclDWHSKvRmOW54ETg bmIKRKgn6qAgsyIX6DRjkiSsNlWSy+HuDzbu+IJEzOPrBanVhimDnZ7UK+stqbA6u5bySYTQLqzt fbIg474M/yUZtnV4vrmQmvHWJus/zS9ruz5pmV3cup6CsrGn6OPafFNyRw+zt0eQiOKmWcV6h7Y4 M+mYooGCj05bt0KZh+McLdP5nYsm4vYkAHtScJaHLIUF705tN8TNY4BWCsIZBToe/SeXVLT8ynny 7oL8gJeV/AbEkm0VV1YhjoRdQhHZdwcQkvocWQjRYLE2hoN/2MBziHV9E67skZWWXKTiWJ6HEmIF 3ns4GDP6r6zO7rMZI307b8R2nBu9MSQkmZFPSuUhKf7TEIUUdiRsdHaSshgjPHurGGAZSfbfT/er zq0WXfUCtHOxUo8FNiNHJU0MchN37HOuuFiBNsPZCFXWgAWeTMYONfu9xJO5uxU+DjSnypDHGMbo plXFIvp3t/fYWXb+gZqjz659Q19ySxj+ATJKn6fgKl8TBSg6SP8+XoVeeHQFNy8XGLxeEvoWrHku 32EJM8IXuCzY/zsob+2i5T0Fi1Trw7cauWPBgadq6GdDSlJsr5FqX15YlLUxyldXR+9GQ8BBF40f AsLe94MEgkHfHASbPqUlmPWujwDt1GuATxtyzP5pB5uHWVnXJDu+CxABNs9JmHN14Aq3Kw4iyb02 LghhDQjKZZ54a2oCiWSJfquSxPDVuwwPKtrC0zcB1SYZfNUhnEkbRMnxJF5HJYQ9AOdl9Q7SGwuz nV1UJ7gQtDJviPfkd2L47kZ2WTWDwmUGSMgqAAR2426k7xj/CVj1+yGskVR3sI7qM5PMH7+GFbjy d1Sy90JjTJy6656sMj5DBu23uX1lZkIkCmEah4TIq3vjAUATV3FlP1mFosdRa7q0ODmgDwpG8YoQ 8tDLr9fq6xCvM5ao4HcZCPZW8zimv7O7ynsL92b0bR/Ig8BRBR4XSNfXRDA85PwuWfTNQVaBjDip EKzOsNh2UgTyBiWEwaG7goMB5rbea2PxvR2TsRn17wYaDUUt9Gxpxev4sPiKWLoupVVhwDBwvw95 z47YbwK+6UE5cluW7iJ6rjD52o2A6WOqFd/ipbc+4tM8dc46Z4rtTVCg8Tejt3eoSxNlhU2auTlj pf39YHfTV3klsHvwUTKFRm0iZH2C0KZEUgr10+SaBxFcKZ+/TKqh+F+3wfKbMT0T08mlqfdnXVmU 3C7Y2Aql1qT4pwXlq89qXStg4pT1lNL963O2O7/vLaONFpSpUkSuzUmJHEM7c85YoAsz8aMqdVN9 0Zq0w+5qFoLQE8K/rb90jwNC39ZwPn1FMQ59b7LOWpfA4pC+HeTIRVpWwhL2HjlP0T1o2Gd7f6y5 Or/mkfypD8pekT8eTo+Z9U30Kc2v7z0P4FGq9lQr1scZhk9PGOWFVtIIMupGoIXL0u+tlieP8/Fs JIsOrA3yC0Pe8P6fcNFODP/ggk/9JLNv1AqMDGPw65iqy1JuIVexrUR4E8k3H6oVQwDhFo54JrfG T2faz1znlDloDd4Y103iRrkFa5RSs3YjGbWJB+g+gAAj/WI8EFC/q+lJh1nejPJCTwFEnz87lPIy a5dX9tIO0h1T5GJSCM5SQLqO+wW+oFplJ7/2SPacmOCBYL5lIoukLyU2FfdOiHJoWMR0n5NYgkaH XOcvSoWwAaQb4Y/Du7oFv9ev3yXruWXEV1/J0bHpv9Nb10cgYdEE7eJEJ/voT7roHMoiNlggctgm sMdKFuFPLNhuoMxBZjGuCYE15TnI5+6J7R2Q0VEzF1wiapLMwU2ok84NhIismh2pb8QlIftvz8MY lnqW9aXC3mOn/+i/HM3QnfzU0uq5Vvq3Y0BCJzXHkLunGAf28qt1R9Xc9IlUyYub0OglE7EhSO9D 4oyg8Qb0gMlOJ8pfoS2odV9yPpiCswGC/cAjVFUNrpR4SAsIgW+HvDMWzL8Kikp4n6P0RSByRS/2 vWNPdTay7fEOBfCz7NFjSGiMRMiJPzy/IeqZlj3ho3vb5oaTSdiToEfkUjOVbzeQtFmdLeNrzejV GBsUVngj7Fv+biQHhOHogm3tMN8dysfzVv471CciG8H8PQqxyoneNh9TaeKx4JT/Kwrg1OdcFudk qFMpVkluAAs1pcVfszVqhaCnIt0JBuWHuLtYqqmUd4AZaUsQYNijY5JKbwIYhLKgDOB8UcqU6B9z TBqwcYf3hQsZ+Ikw9GoCpDX6TY+yAeElr7Ih5wN9JNpleqfFMGo4hhBl1lQEO+u3U5eKlr1Q3elE WUvVDuY/M1nD2IH8ausSYXFhA+VR9bwetx4qXpOcp+U/yg1dYzccG8PSwc5ChQhHlbuLfR8g6f4k xtBTfoPAsh4NT3yvO/TliUieiHXrrQugqiiF3pcEV73s+E2E2aZZLDRATFHyiFZ9+Koc19Sk9knX F3eWjuCZiC9qWyEaotwQCM7E4PAOIGwW/g8aaveOps95nVGrDUY2DZdjyhVauDBXhBrAEyPn43od WYQA8WrL/furSWY2AdBKqmHDJiIE/oWidPoldFaqbyub2dr9pRewjFIYs7bq0tJqalA8FcMpvw6D WT8xPCPmBSfxIW6yGE3YVKgpp3Z4iWGa9ofqzxUxuOGkUmJtddwGM9QrInXmLXAw3JbluZoPacti D9ZJYAspdNC31cK72pvfxkwHGmXEtkqSyRRstIESif3PCq3auHjs45pEZJeSteotFU8oclhNzMD0 xqcrqZtEUtH4u9Jhu4UmeMSNgyvGhqj9E7U56a0IRB5XHPGp2kXH6pj3b4NvAaUvd9GXXCi0jHqg L2W/8xpu+IyRWRXHotp+FzTxy3DKuwoK6Fu8SYqvd20DX6IhdxRS0k2wBLcDIXuM4S+rccu3YDEL vcM2Kteh3k5zrn+O2CfW024XMhFd5ziZQcSR6yjd5uDZ7EGFd3ILD+RG0SztRAcbP2mkrfBZuT/F Q8FgMiQwc2A1rDKSPQzz/ztreJmOZnDk6Su6IarIWkjAhmROd16tLwA6sNVypGtAaLfDaEWlFH3j oOidvHcEf4Yr0VSu9FfBoEbYWSLOg1FeMPgEaEi75U9MpU4EARMYDPP+C1dgUoIa4/Pz/Rq9nBNv Ufqe6hGpHryKXSUIk4qbiqRojSeEPonH4Y5/bx94zkLtl/90ottg37HlJLHSy6nAtaVmLFC5ZLaa p6WB8CkGJcVW4RkjYCN9KyE1eM+OY/5Tu9roKx6PompLafAYAk0CPVJx5t34acuvQ2tlpm+FNezz SN9haQ288CajRY46a5BMRH0lhxKAlpgnlivZBJL5Qyw+Xuw5C5Wm/hE1z57/QBNfdR8OFvwG8L7n PeIGEltcY61U7G1BCfjpxc0569h8WEwomZOSnRgSP33BQ7al6GuW5n1++P6VPAK/v7i8mlNgmtYd FD534X1ljg4JSGk4iHZaE70C045K+2O0V1Wg5u7ePjpseFv2l8ptWj+66/YhZx9n1qM2QRSoBLpO ekbECg5hKI+tn2odMsONe7QEvrQTE7WHTwn2jg3gUUVGOgHgRdzme4APgRLhmt1SNUU16wvvtjcF cfOTjMv5B3TWrd2RYkE7TlpAUqUy2RyLE/q8q2tev0Fk7VrZfasUPIG2FDJYQquWH7WfXqtENj1u hx9hehTZ4o823C+fmwawO70sh74ruHgmZHE+qN2OVQUtJqbG2rVF1h8+tpXy6ETg0y5jkoSr5iJG tIGnTWYDAFin9U9q9x6wVvFvGzoPcdc9XCLg2dqFv42FzhFbiRJK9NrY3BE7EgRBl3RGW/FV6b7R ebNM7XRIHRf0vvnXIRL8RThbZJAx/RhgcNVzTW7tK29nrzeo5Vi3fUNZu/Ql7jvmWCul9HMrsQfw cLeumQXGIRdUnLJDmaMhUSZnjXlPJk1O41ko+d+ZnZCU0otlDrDtf000E8/oNdHdj489X/yNubh3 k8WwiQFD8fVgsVRP/cfK/GTQ2V/q7LKCwtoVAJg/yFdCuD+uZT5NJnCfEJIPrFnvP3AkDA4TcTzo N0lFHTWCVuzYQDE8suy+5zsYlGa+lx5giecov/pQo/3ew0VzQc9xmScErwbSIVt487D/6VafWROE 29EBLeR2aM1mrx986P6R+JaiVyAIlCwEhl3mltBhHcQAnD+ywilmCrL2fE88xqX3SsXbZYfmd63Y SNvi3+gRG2/7ZpmCLox9x/n3GxI+d67cwS1FPdrSfVZv4G8ZrJXE5yGrvQotcvVV1DlqZOXj4Nq5 nFfbFhKSVDFLb8p2xy9RizqT/0h9N8W8sSNb116GTJocWwF1cCbaX6AqqDO+ik//gm7pfIeIKBz2 N0bbT2E9tBUEP5qbuDo2U6sjdBYISDrSFKckL7IrJcTLYydzC1FQXgfnzycAS6h7CTWqqiJpCehU rtPIg+1/EH4gubWYz5j5CiAutwWePIj5BA0CS1T33jQEnHXRWricegdP1FGogOmGtbvBFGRqF4TU XiOwnkfvJqyyf3V6/tDVCyYvoE4YJKqpYhPFl7tw91CyujI6OP3xbOxRDUgGopNYzLfLYRR0+0qm aazsQQ0e3zhne6NzSxe4vBvCsMOdnzZbtm4gOObV4vV9iYCZUP+OS8ML5fQzWqkSq1abv3gtep0i cZ301s5628Yz41u/w74OhIdHJnu7MAeVyj2P7up5vM06C24CMggtL+Mcur2CmjmFuEsa3mbCQUaG F4bDG2inIcX8pDmvWoHTFjhoOtjde52pQncDY1qqOp1X0jzcoK7vuXLKzvedJUHGv86AJBTKl04B oXToj/4aLTR9xTT4/JKsurSkd/vEVBx4il6zTTDip0Uc3CuiBG4wRXn4IpT+y8GTZpR7sCJevPgz IesR2ZE0DjB4D8/aOEQQ3n6gXsAX7i36zoCtWRmAJMN46rPtalgoQBLOIDyajHGSMp4GKUfvF0un XNzTiNIAGJItVPkSVn/FKQYZkSPsXwkYZI4u911aEZ8qjpp2bzs+XZD78e0fvdlyf9625XA4FQKq 6Qf6u2AaaIGixJd29xl587nE/tw3RyKBoQCxKqYBHlDawyIQf8oD8jfmBhHdhZuX+ltrUPW6aqpc 7SMiNoY7vKpF5YKBTorXWb7bVO6qUbkrCmPpj3xwMAMRuc7x+aRC1HIbDi60CsflrrmIqMcxNe/t u1L80IBs3GoHrvExC0RKwX9xYHkD9v43RGwLYlFo5lWjWg8sR5bMhPz+Yfghu1gwWPsnmvLzeiJQ wwhLkxcYKGvgGDi1ksVDeVlqN0kg3jDCVeLtubjKwZmclodl/A6NotWE7eWIEiEgsS8JMR0jnkXz G/+Os3RMRRpFSs0vIsM4zSrcdUcabxlqOwiA8GPYzUOK876LtZ+vaG1+Ew/+zQMUJC9zhyasu7SV sKpiXYQz64sUOONKehdVd4oMFM22Ifp8w9DMfSP1QmKV6FyTPBvmB7A69CyVoUVmEAxBg0SoUJIs 9tuzotqRxZSzojASj8nkRUH58rN/fudcl3dGV8z2qr9s1InXAZj/OhcJxh9QEC2hbRr3CDsKPeC0 O8PnfA2EnK2aHibF0e0cQuYIAWvoEN7U7KoNRfw7Op+W/qP5hFNqHZWwdoFMFekRn1VzSXccDuFq 6Whysn0zK4+D5eEMZuOOsqeGO7JIB+iUI0W0t1hwUXI898KSaGLtwZYVUqwrnrAE0YEOwRP/2DiM yVpAtMpyMFWNevWUCW7D7rW30xmzz3k+zhBIFmkVWI7JKuAhFREwODaj1tghp/sRW9sHDQmnOQgs 7ZSg2aI4bBYNTkMkz8ieH6vtF3jV3xtzl56Ra1rzQL9U4dBzFyYPV8Gpf4tncLZymSM21fer/DAc PdlL6HweShxgGldKkrs5OfF0OdpSIRSWG4yqI6TIMxeyQhyo7SfwEmDkzXHA9dkFe4F1YllqiCuL d22pq9oXrroR+xlNamks+D6UCtKrFBjaelLZxofb72hL+9XlUeucNCkApr18kUWj3OcKl2o6uWQj DCUhsAvgL1K1PDCknqgakcvDOvnU4cqpE8Rp+gAFOjASMnxqqWnNew4WZWQiLpV7CQVB4LYQeOKA bVeeUh7/E23c4pqjHnzIG4d9irPMxD8IF6lPgQQUgHjmY9RcnPFx5SyAdsz6dVuki5n41LBL7nRd R/M5Zlmlkb4dRksUAq0kBHXT1CKKK2RQKaXmVQiXgWhEqNx2HfAl/T/t7ERWgLXhTr379FNf4iMn EAt/LvwuiCtXSSN9D07nPFAYbmXmPoZjtdfMK3xdd0DMS2nMT2YBIv20eA3KnB/kjfNrSLUy6cTG YtuMOcjrq0JNY14Prp/GYO7SSFPB/ryJgig56N7MBefms4ZG/32B3Yy75zlXsjI15dmCpxeQfq+t rmaqCYFKji16nSIzj6+8UKfhJXPGriwGa0l3KwptkhBpvg0UCGP5QYD5/bEDBWpeeRwSEuhxsBTt cnhAmypvXSjbpWtv9rlOtFrLmOvU4TZTmHyF8l6bMKI+gzb/ysQuenCi6HYIU8dPIlwLkKta3hIu psOo/+QLOVcLVyMcinuaBOULAMoAOUnkl/EhWPFFCb4Yhk14XepakFDwug9msghffWburxeZ2XjX Eio9fPo11ia42QxPBYJWzExiMxRgBCYw2uKWDXdRjCkNu//kx/v+JRaycGd07/qwiYskVkyFgC9y UGvivLGfNpDKxCxX2l2FpdUDmLJ20AQTD12krCar8OxOCTQ6obifgL2m1VCeJ8ZoSCPJKAsDIFE8 lm54UeUV381/68zQjjlZoU1qV4/bp3iX2uapuXi4HHRczSigaOr+Ze8k/EbX4n6+fLn0m08IV7kK umU59UalcKQne349vqEU28c+1N0ckh9B60SVXoJXKVDXAKVFi8Z//NIRL0Td1t0Z1Q8oVUTIuLfm vlKX3pthHRSGMmtnCDTBwUL7+HLiY6wOn5/3+QoIc9hkWmvtEcF2aiNeeLpedPavDO5ao9fGMjAc CbmFtJVV2td4pmt6ariVhrGswLoSuB/z2p16Y0weF55yeubQSN8t5iUVplmfl6GwRiva3DvuOSue kkyqj0soi3U7DmEs9o+lSXTFLSXuruihsSlLTSGugYDg2ZYBWEN/+LAfifa2WLbp6S5C4dKYmagt i0VOFfdcGXN/fjcG/2HIEzqojvUxr0gUN+8ybWlT1IzK2XTHbGw5M9NHndzy5UqNRf3KsJfNMOdI j8/AfjcEu9bXq1HJTTwPbI9dOSMCJ+U/tTBRJnhTmGEh7RZroA5DH0G9JJFzOtIaad6IUmCLQSr9 GY/WE0cZol+4sjeTCmEnkxV9af/c51s0qVG6yDWFlFQN0mO09Fy7xOOtBjZNAfKVYscacXJDJMWh f0KBbl5LTi6tcj69ZfVjjeeykdoWzVJ+R6BbKGzzFTT5ymJ2jhmbV73s/UKZhW8urotqRZEJNyz5 8lW9aEYvwuSUmMb+Bppu/66MtJUAB7/Eyk6NSLHqtpUp5IYz8F2EnLFX8sxNN2vrB10Zb2Wyvxel G6iCIrJRT2q8cYYhKeMpwvJAwKhMtu+gI6FJtYsN/SkfNENId1vKRkCxDdyDLQlOvTuKRUYntlmL HnPjhHokGDesAXIyLab4x6y3AfL1Jv6tqRBMbQgmL1PoKsHeyJHPMGEO+O09e3rddlvQ1moGW1lN 87WZJbGhULz0E9GTGLhRc54cQ/N94jUOXoZ2gb7BwX2w+cMjqBmfXJtgB5/SJkSg9I/Wv4q6rkB+ WVtzVrpYCwM03nbxSxtX3lrtA3tjp1pKsqCAXBJxKLG5FzvB5UdYvuheplz2/+ubH17jMpEayhCf Fb6Iq7BNCnehVYQJ2wOkwLzMcVJ6pdm1IUmnPX1LsDEyGYxdZccwNa6ECwlGGuQvFTwQ5u8VvNq5 tDKM5KIlvrdvuEXKdNuaIvbeB1xruRA9hYNwbLK/ZB+4LBi7IJBFr/LAVgdbzqvTVOs4lEyJKSFq k7WWEPx7CzMZE449kXKuxKFFjs52U/yKDUQgDCP/T0yJonr3G7vyj8pKaz7bJNT7Sxzb8NVGKs41 xHR86eo9A4AuYPjx63pQCJrJALDWnpNnR/RNc7udihMofbc7Yrrbw7Keyxq41OS5XMMHc2l66Shd +ICg9P1iErdDi0PglBzX4orh5rHZNRL7ss0/XpibBl8ewGFeZGbjZa7wofwW3MaTpyGPCfVb2qEj d+tsgJnf5Qc3MRirf7xQXFR1Ut7/ysUnKwwY6cY2j10Qzo37imjKPdGdxkuj4I84Kk72JykbCcK4 uWRaCtebvlWsFQpYbvqEEdCFNPy57K5teweORimgXpPFNbrGc0akT8cPCQLywLH6dWlit7rntIjn Je3UQ/ZfTmUobBwgEskHTnyvWWqrr9Do2ngWETeBA/7h3JsqfS+XVNzqfUgC/Q/sjlTUS/upx66y ZohgWLgUby8x856kpY7mbrAdQkcgKAb7yBmM0ROoufhGp9nv8yIU3drSigFDhWuyPfXREiimQsGY Ih4FY6VP+eP84GWiqslf0mZ4NIB0+ZHjDnyw0k+tLbxH1EGMaQpKOeB6KIQfvTAELvEfhMn+uK2d lcUgq1OzXIE0hKkyJptX64E9GK029RoDNp5eZmzTcXGeWZbaqjoC+66Z2CdqIr0HWuCflxeD5qpy lltFNnHTWAb4ap5YMWITmoCG+So42gg38Iqe11Be+NgyoLGnD8YdW2qU3UslPr72kk7VRPE0nVho 69fw/g4g7jtBqdeIsKCzRTZvyYMwL5XCyWU+gndTN/KAMdALRhv9xaR1hPiLGQVEqpsqqoFjNs2Y PS/pGOxer2GIu7QxRJ6YhZU2Di7TOcJPixcHYuI/Vx7zK0wJi+hDDDjGwNyFkXcJI7+500ukZ1F3 TwUi/FadEMrLrZVX76K0pt60lqvapIGF33pQW/Zn6bQrCatxv8XjjTBYKhuKDALkRx/qlZB1zGOZ 1vUMbWtfY62IEkWtPl5hak9eV+2ZLBJo4igOPqbF1UTG0TL+rgFOlfNGmpf/LNC6iTYcrWOWaXLj K+IzMc8E0U6ItEiX+ATp4vXJl4Z6rw4QDGI4UD6Dc2+ioa8PV8xgPFqI5Q6WmMOlPp6CQb8ob6kw dJ7jjAdqYZ2imjwrUlZd9gBfEOHU8yCy9sWwPW3VavbsRp07CuDezEMm3k4NcsamaoFQCpE1GCBO xHZeq9icw0RwtZUJv6n8a6rQy0ERA/zIcLxmm16U3WZ5teksXwZziwyHO+HJw4t86WY8/h7JmnB6 +FJlnkbmJzOv0ftotRrBeCU/MCpGCGeXXj3shSGXFqL/ult5pLwPGIxksJOQOla1iYpOzxe9qKhG gayoXxpPN+s4AG/3dxNqeoq1+t0fbjc5+f7dcNSrdLxvsSWRRIp9+jnmCXUAd96dMVp7kkw4f2ti tBXHtaF2KMhz9K4vKE8QNgwyrgFZEKz5wBnnOKiAsYTF79pOQVHTdouWSPMVBwWTyQtC4qIeC64/ vu3tTUkHB7e8z46hg7Fe45txVi1u04Qxk+ZcEgvnvHJtwklwVRBTpCXkuOZTPIiSkFhWugIGoMTJ 44UW70SaMhd3BpSDOtYcuA2j1trZ+JemIXVpIBh3h/RmOMNzsNvTNcewHNnLeYzxTr3YiVM8cxM1 INYaTM0wk1qCOaiJcYrQSMHXiv/x0rlTZrIsfXFXe4XOSmmflpBZvpPX2xj3v+HB9ooXQl2GcGCW pIKtnLKweidPqKdi8Vque3LBt3/nakxfQo6hsWemtpw2CpPvhCChklpveI/60ZdCED4ZFjdggq6w Pi7/6sGt4/oda40N2awj9suksVxWZomDqoSDrTS3H80TzkyHoiMkBvLZWSErInWnZHcXqofRGcX8 h/ItW5AAbXYtBvck0pddx7bgxTof4u35bCggDsCrXKpdEJPTc2Em/GER1cwmK9FlOZcdclu8vUdE xHrtpL6MRQfW2f6tJgtrBdNe25TJG4HIC+UonCgZPNs/3D+H1uuOtoO2ySNeaTg3rHSUHqkgWFjf 7qh9LGtUTGmk3QO2W8CeiYWFnpfcCIvCAu2NEOQFJT6AEIx8nUTv2SETF7YGtukCe6KbFpAbSbOh RvOD6uRT0hkDubEjt6Bqbk6BuDpjoZ99VySX9Hj/RBVE6xxZfuzC4hANpCqIU7fH2oseWP2Lffb4 lSy8yg6Opfkc9WeCB8KJ5dY7zg6z7/soBDxYNOf7PAMbOIe22a4mpbjvFd+dcwiMoA/dwEC+EbJT gAuIdFHlXKUNMx2luhNs4mSXpGgBCt6SVTDNcd6NLfRVs3gsQrl/9EyqNkcVivErOXoRn8rd3Sh7 aSpj3B3GnchyA2PsaOGPueIPP1skoviNzku7kjDB8S/qxCKwJ/CpDhKKEh86tlBy5/3CxlI+8gDB iWsPE+fPrXvJCVgPBFdwE9X7c56qxTtn8Q65Y98O7KLOtbT15qfg1BBFeus8M70LRY9EU1slKHah MZvxDEngGF/DTShkAn02TmbsVeqQ/2T3a0argcx00ZJCbvZIW9mywI8v76L0kc8e2zhr7VSQy/o2 lF9rN3TxZuqp1zJarFRPFQVuDEmdzDZ9QoMdhWL17u3CI6iBtDA6o9ix7eL2UG+/wfFWFyVHdh4L 6IviAEPOu7J6Jrex9p6Sgv8+vm8hg1HBw7CRHmnCTJrTexmddQTJzRHeO2cK7OPNw3W98J7/ToZs pPzIh6k/p7qDzNonEbTb2y28lzDvrfYAGuboyYhMJDUaisu3MsIj/8Z+oovQVLHfOGRlbBToBi4y fVMjzUcsLqJ2xl20faHdtIjHacCm9DlgwdADDouxhEH2MmCpQth9l/JhnZ6CoyZoWPHIy6WZRUXO WbiTv1VAa0ivQM0J8sJTTaRWTCmvGAr3w/Poc16gcyPnTK0JcqSgILwlOaSFG1mL1KxabmEWgn99 ZyBrpBzIjGdfHqdesmSH/PjzA/OBQDaPolRk6tlyd8zrPQ5FzbS3QRC0leyqIKUjdYyCi9P9npTl XdeDbtdkoK+iORVo9F6Gxp52jRNlxaN4jVjWwS663qHBK5tBLggqVMc4l8U/OCswaVeA0KpVVCGG XpoJSHXrQs+vmrYuWl2i36xFmoPcszkZ8LWAhnnB5VE07J/LBTTJujYr+95zrm4MVlySYxCuxcBo 7vTmRBahopUoP5TDk5CHJU8XJ6PYwZc8w9qEBSJvdAvTH37JeWVDAQSXAQJDVNJoD/4tr4Q1g8fn NXjrMRdOtHHnaem+JRQbUlt4qXzpVf0IAI/mAuVWio6EsmZiaorwcMdfeNQXQGHTsFgZq5t4RRb5 uWq/wPjCKXRJr6Uf0tA5hUmS9bxsIOVJ35DQ0o0VBZGA+Eyg5X+xFkVabIO0Zp2ZJ4lIyn5Pa/5t afeXQtqhAKe3vP9c3lOtofOyuNiYUBa4bz2fbGIKsupJaX9b1gtNP6lyKDbGCClBKT5/3SQf9qSZ VM3xj3u16iVck7hwGZa4mfv0TIZAny+pgpMLTUIlBcaWyrwGasIcgnt0vRO70yUd1Y06CiGqeLjJ GEKg5fqLldJhbtvW7+1PNTJdUQCQD1SJtPWxI9FAdXO6y0YyGd0xrO8FBTbG0DcfbgW0r7Q+M59E +2LzvszXoi4+JJMKkdtZkHtmXhWJYQZWACtOv2mM3HNM93f7RFAsQO5C8ncXcNkCEk2P5DNDcmIT PbfPWNhMnFuO4XkdNSmFF8iWDV92WEdbb3yFv1YEyvl5pTRLVzTsn4awe64hgdGWiDiIsTnoNegA zDqP8ZjNV9KXhbqefGwp7Y0ZKcS5Th8BVaIYW0OuAGWVZdfJiBufL9bmLF9QE1tpOU+IXIZziIhJ F1I7x3bAvJz5IsGKOJqZylYOOPo06a5MVed96hPawhKa1lnJTSVTN7mHT6G0q4/a8W1BADj+ZYpJ kUbPY4NvTDiKLddITY2EDjvq1uolTiE7Z7/U9ekdWba2mpdxMkyF2IObkBOcYr1+JvH6Q9b7fI/u l54xx7vyUBOj89afwXajXGO5aJUyvmYyMVk+PmD0FIkWcvGn9Jg4E4u2inEBnV0uQkXSABPUD6Fd YfSJ7kalrdi9yZFDG4Wcv2y4UBvXnyQIuCWuCA12FTRq4ezv4HVzZEQBcLYk6BhECtagJn4lxhya CGHTZmEU7AymWRPB7V8YMkC2y/GurWrnovIZwjquYEwjDayncVHJr8y+H2EbsJxiqAY3U0Wjxz2U n6c+4y/iW7a6R8Tt3MCD0/+h0kfCHjCHpAC1bqgiFoeNn4hkUBZuuaJ9hKhxqiuj2xU2I5iunWSE ktJ/gBxzydPRb/NzBDpkoC3cZFEjLNGwhKv+TujnBW7rLPXKnhroHSe2WcmZmmuAO4W3FNR+/ZGM R7marmM+ajC/FLNW0U6iuSk6ggwetuTjmEe/AMPeaF56tGwAfFDnEnBOPvgSMoCZhSa3pHU5z1NQ DW4/hdSiwSIp2+ekKrg4IMLoKIXE5gXD2mdVnSI/50/z0X6ALbbRZwg6yRo3nctxi90c/DnKmHkc hX4VoVNTGXQgxa1mH4J835DTDcWdWfBrSs7D2iZWR0UDBZsURT3SHDNK5r8HVcZHBlRgpEjGln1M DOwrqvEX2ZmtJ8CoWYq6wDYwxdFjnxz+yxKm9HpvoVv1G6+pRIq+HB4wQU8b4vwWUUZwPbT7bNCt pHVIePTYF/tYW8Cpn4nrqzcbCU9IszGC+mtAOXzcej6ufNYWIC11Lw6SDx6lNmsYm5BdkFm9o7dC 0DIjeezVPN9/DEfGD2v5mpJP6qV7DsTI5P+cD3ul6UN3PG4qFP6xR80jm7eBuwPJWfNFhYhlFrhE JylfRlKk8hRwgtIBJIZokt0m91un9e5hOOVxj3XQBylbILrsYZewRCZCRdBZT/TCg3J7NFdYfmrL mu8vXp1S8gZWoT8N9hk0gKkcvXNoNmEsZylczZjv67bN1DaBbbGqjjLGbnrQ3cltr1MgVNQvIG6O aLDqBbt4bFR7Nw1WyGUCMragvL+6KKHANzNbzU2DjeY6TD0ZIm+yV6Fw257lN18XShHRe5zwh8Uk 1MZ/H7evJouYAoEPoEHriDoS2f6LORBx7IbWWyApJol86nyfXi3x4mRKTxACShLFaasoWibky98e LeMdoly6t6VBasvpPD05Rcj3GEnT/XbQpC2LgdsQpr4OTYBtOVc/TSdcQe17lGTP+fG5kip3Je/A HFjmTGwNwUuY+qvhI5pnqCcJ/pQyb/1/6+mp/y7klvYMvQ620SuYCTWqOTUp5UjQPgcyW/lvj++g IY8skOlYRNHZ+4gMpNW3lH6IxcyV+lbRp4zKnU/WnfYak14ezNy6WTP14LqJiRo/Nbs/BYvYDhaQ 7zOfv25XaIPl3nC5oLmHgVzJrDTUt2B1U5EJXg7CiBWL97dNDYAEnHkhBszSJcKhljZqChimqczc HNpQTuaalXh1Lext/0Klc0OPK48eoS8ecN7LtksLLKoQOVF6WJKZ7luCF7PrRSVq5ilhaJEHBqz4 Y/LdxBi5VVZOaNtMHdicsnI7xSudi/Ub+oH2+vKmmju7RGXf1HH/CgtmstGUyHNTBSaXZqyDP+MS iXZa17CaR5xx6IWOx26P6jVTIsi2MdaBtdcKx2OyZOjwKVJjgP0HracQsjK9oKSOEqeTEA2nzOcG dXdtq22nsQJhxUOhpaQANnJn/F00kJRZ6Rj+6WA8BPdpoBD3I8QURFrv8htq0MlGRi1ejIJvdRpI y+yQBEbZa7cSuPtLAZoI4S/ADyU4PP6MCFiwQQ/G89VhZp2JmIxZbPDW/CXx1bv+EM5r3jDItVbp H6mUYYDJoOmMgIXFiMLqRYGB6rR1RXumzYTlFWcu4AweDlS0fGgXlNXhc6oUNT+lz7f9trMZPe1O +/za5gPqd0aJNLlML3adE3lzbcJkGFkEBeWa25KsqNDD0zcS2hWGS8pH4OFo5zpwh91bHpQh/WbE eMis/ebIFbu/mOFpQXUo/y9aZj7owjWcS3i3SolrSzJi2IeeW+Fi5tRPGgSqt1vbjVYEAD968kd4 Yn7UE+Lx3OP18Xn0QeEA8yRBXVeBRchWbPxsug6x4XIKv3zQpeqasFLPq71mtOw+OnWyGY6EKvww wuitjK0z3zdffVawWybfHA34Aftr5AzX/uqeQXGV3VyhKPQ2OudH7jyipMMv6+RWaL+wKc+O7Yof FvriCg1Gau3OazGKz+wZ25wH+rFAvT5akYATlLop2xcSlDCu+s8wXvK7YZFq52fpi5yksBmCC+Ma QhdMndMpcA93X96C7ldRIcdzCeNYrtI3uPrT7l2ByXDA0S5JwtM0J2RXTOdzC7mkUBzvGBjzyc8C DymX2miS9lQkFz0kZCauoMZYL/AsQUEcdt1J3dJ+gn4pcJNNXP+dmX4H0Ds0YBjIdX3/uK+6ojMu E2Z6QOcKLMDmvayjODVSNLtFiR+GM7d2O/odoOSm0iu2k+NLCH6DKP+fHfpa7Vik2GCOO6GHwp5j UQE6eJw87t6rTCFzDOZjA6C6UUMs7Ie3OtNZS8vRpsRjyDntzhsxYHs8l3hh4Cupx5k7w65lKcxa 68pHarydBvAaaUe8iRY5eyXcYz7zFEGw+ou1ZVU/m+1yFWP9SR7QyN8Vci29yxrWkGw4M5qbetsO WWz/TatqADgFbsdMDRepesgteJjzca3CSTIH84OcwqhPF1grPxnnbV5ad5gWvbYYAUWi8hur2PLM JY4NSSOeakwbQpdjpuHmeJt4pf9TDpxJRCHgCQd/eaVQJopIo18ol0OYUm5Igw97iVeqpWi99Efk 8u7Mn614n1GB9mYf4Duirr+WFe2YQyy9ehCAlHqE/NwpEo6SROGvXgovSrEjxQCNf9H/9SlMW7I1 hK+Ul9FqehtsATralwsLJSsVKRCh9p5RAFhDvR7i/efLR/ywZj7z78SDkMv/G/hST3ygentZtEon wBORR2Gwr8nFeMeM+9edyhA9UM9WjpNYta4K4lkV0C2o69uEtOAozbrvyyk4suO3hdkgLeSVao+6 QXcUn4+oW34uCbVEprA1PByekDzZbIpujSpQYIftXRSt1I6tAk5P/BS37US0u0rOdXZH7hVZp4IJ zh12Icxx5tcNVIuV6t5tCBivS4hqzG9G/8nTJQo17tLxKI/WhrUbz1eFXZ9WXZmEMJbVcsfU3LlA lrBghnszDEO9vYrjdED5LbasaiYkFIOmKyk0OcwplcXPw9GV2p6TwLvH9lN3NXnOQfN557j7yKGe AwOEgbOtdaqeRgRE6mmOUJe4oc7g4dWYbmfhVHBVAJLXRndUKXCems2jA6k0GhNEyfFJsz6W/zHi 5NgrJU0Zuh6SaVMuux6QBKE+YNfn2Uq1np3pPMu29BEVQwksromGLi9u4/Ag7v1zrH85IuorNndj sW+SRR3kn6pLD2q5lp9vAjZUPHe6GhTimH7yEZqu+zP136MJqK+W1twVfj3EmMLDjKrap5CkEbhO q4i+peq51LSgFD/eT/YZKp+mNZBiq5YJtOmhPv9km7S/QbgnRLV2YzTFBtguvgICgG276qWGZYqE Lk/K5VUz55JmWuZgpHRYockc2brBTZWbwnOEjq40LaXIMbqbjfrhc0La2HKX11zKSxP5QzXfeHxd mPkx1ltSBYJQM1WpWo25omgDDlTDgrFvtVM53AGr80ujV88NoGgb7ROx8wLKw4zgC7GkX2TG6KxC gdmXe5oE+eZbU/M31EDcIDzYVg3eHqSAUyUMj52UlhbY9y02aJXSynVbOmv37nbOHnkIn5e2YpgZ K7k9qF4OrZ+v8OIbm2OqSfEpWsNTVmQs1fLRQugFVuBkHwXk9cKs16zi9iDqSOD+3quPYmPkCGID nn0ehzygyk0/eeUfO5jYrkkAPtyiDHagRWxhIQsjitRSETVft5kBU4zdnVpScqKYySGA0kIhMUAo oKAnWlDYL3yfHqjUwj03PnRya2dcbpCYhN8ImNf3479NKUf5Q0GeyweFmAwkLs4ZUOPcOTZu1K93 pIjdhfh76oES9yjTbzGxEvi5D2CgRlWomBhNv1XpcuOMg6IZqO1YX/pixFdzv6P9vABPpw/AliTp uJUfGLVHOAQAbK3KA1eLVDCq4B7FVRs4EOlAgo/dHXpuIeaTFFcN/tdFlUK1ntljDZHnvpmtgsnW tw1fjdsz8v7T9IB3M0sgeeFdpivoHFl/JFUe+EMi+pwRA78wZxiRbSFvBQYDYut3OHIZvPAdso0H uAw8KXWFZ7sO8xBnBlrSLZ+Ef/xr3UAMkhhCqGHzeae8M6wuo1tbn5Ja3tw8ZSyiDjhFObIBL/ta P5bLD5mKsdzc8l6rQdGMRzwmJn95Qdtap07zmfpoH0wEmSbpRToZ44OVFVk6aksSNAkprHUESSvr wFFLGBlR1PN3aEzK74AeSFxE8LRR3LUXpsspkftSKyysmhgBDkOqHPssBHd04z4yUazIcHsoj1w/ JFzPCD/lKHpd2ejOh1LvAZQ5FAMCncaMUQWldbKpfuz9Q/yBx2xNYIKiIxYoG5DYTT3l4sL8C/Y3 XWvmbhbl6r21Qy5ulsu935nFjnVBIJditL7iuA+QcD253ziiu1EWZH+Ybp5T2Ta2HEWjUHpdnLBb 2bLqSdhlEe8UFfquaLv8Rg6RjdV8Bpjo1TFEtVIoebZu8yAr+BfJMDj8zX13Tl+y7DygD6p9PTdv riBZToMWxa9vfM+gkOc1rgKzVDP29q+ov95GP8BbODnV4dsD+nh3x647tYmSQlliCcy+o4N9SPek UEIluGt2NkWUTuQh2blwH6YQ5lLG2sae2j5xpgS+e82y47X/1yzPhCJLn3VhUmer5h7AEeJoOKek k+GH3w0whpKI4DfhLrxt2OS2Z3SxEcJEF9wNIL4RGmfhCQIYxZVSfkXFZqLXSj9YLBYMu/SzRQI/ e3bW6DLLaKwXA1HNnTuHnJeiVsRlTmfcPRSAHFpYVqOUb0jW1WMbj8siVTvZHXi7J8nfEjtI4muM xF6yjxj1AP938/5idulT/5+9yt1o2ofTPVwyixciSi2p0kxLHc2X/QIkYexWJU8T15n2Z1M9LmS9 cKcW4MNd2jK651H6pic1YSypKP/KMaiHIBvtjRceiocfIuwhHcIS0TRdVFreC7+XG0eIgoXO9468 SoRTRix5hwVcAdZkyWSob187UfjT0NXq4NS3uZ69CPdudeFN8xWPTBfMkyGoNu2oc4gFP+WNyl8F C49n0BSWLh0sL8NVDSDDlxZ1oBvP/M4aWCFCExwc67USC4oz/N1sFOEnc6RF4pf1rN6od7zbqPXD TmyL2fk5NW2ggpQIxcQi0Jb7EWWp9YuFJs0LBVURwzTnfhzE+/R9a+R7KNnkWU6JQ/ARjwWsbWwR 1/znQbkjVnes3ykl4mlY07I00q945wuHbhgXuWRTEpiP/+tA91Wz1q6OiBtA7S0ImRkanp1DPwAo 6zBW3BCfF/N5pYDFw/wLB2uV3ifGxhBdDiDrdPZ+6KNpx2jdfN7MdrypplfcD5uuhO+FboC/hDtH WR2RyQGe3UtZ9bNFX4WRh/IUPk16NtQ6aYMXZfcIo1Qf33srcfWaJyyblM9QbXJUAV6nU4heAVTV 4JiCYDG2CBCBGnH9aCp1aj4Gq9ehmkgD7fryFPz1ZyFUWAX1TaH3CnbXzJDiYfw9XcGbfEqy/F0u MpvwncctxW7UklRaffxPZCc3A1wsQCLIau4gbVLLfPCqCEgWiPV3AVRYev5LG7w9m4plNwdP0ss6 ibnMrSOprZNluQVwgnPJBXm2CYLgDfe2H1eEQYv8HGtxnCTDN2R5ip/jMlqBAtE9Lid/+U9suJXB Qyp+zr5Q+/Ue5bFOZECEU7rRR/+ut4VjEvY+OhYixHUfNMXLibkhuT5aIunrIL0sSQXnAstb9tBm XqFlEK5NnDjNcMx/Gzo2MYOm2ieKwj5HihPqcIIPnSIkGK5D62u7EGNpaXzDf3nBbjDt0h6apMwK o5nDZNSn6F2aE2I1YwZPoEio6GKalZxsESLgDcfO05ZljqwHf6DHMVl5LJ0aBs2FFuDEQ0spM0kB ZFyC+nyswU9M2Kq/w+V8sIYlo70B/vIbirl70gcrTmQSCSauBbW9UshEqjBtP5xeGpQ3SThonzeP wwpy5PwFvLO5wzOW0J18wHkaeLtzcyPhJdVwfhAFDxXDZy0iUA6JMZrWGRxkOHpEgV0FrjlI6rTD iBV/PvLLKF5ZuNdKIwELYQwu4q19suiORPWgRG3Vm1BZoM6aOGQNWfRgi0HoXTzSfT6dfT7fwMzK xbEbYL5hv5PGkht9p/XRXVfUUraZnOOcq/j7f2brrQoWVIFyCOsDRKJLHvESBctWKuBKhmSgMs88 3ez6jLw/3U+DHrTTrSzbP1NEJVLQwsHbphb2d2O6NvlQS0sEu3OjsAa5kz3H/QrMTKF+1WApKZ/h RGCBoIYTb0zZAteMgNV+QkEFL+xglxL7CK0WRuO93vT7oGZNjpO4OKfvT4J4HVBokKjEddfTufhO JVxcjHgnJLUGcQzZK6m8sifVHKjjgOts3B8UGwSqOjoMECineQcxPka5iHQ4k0FBIT8YOJVkWmsf YjQ3+DQbAWeHQncZw/i/tQqf4W9zW2cu5+JfVoaTsQsRCHwi79eUIx+lBQZSN33KIFg5OISyaGmt zgt7CMlstRQvoRXRfUT/rwSyyOcGXsClpbRFK04IaDTzS4DxE0OP2fr7XpbQq/cixrlzd9nO0Y59 n/q9hVQ++CiIuhIpfp0/9c7DZii2LPNmw+UQnidTp19U/+CjY0fN3Cu52JL4JW4e8u7RZwGpithz 4XCFuQrtK43imcrysVgLBPhQnYP70Vs04Je9StH07ryMOFAMQQfosRYCkVFEuo1PpWGa+wM/5ict dzrv11zKM+hxWlr5cIPjLRs8SDelFW6lgzQaXPcNcDM7jWNadPYNaetCjgrEhkcBrusUQK9B3GNq EytGNV3ofvYpg181jj0ZdpKAwfjoB+ZVyBD7yCWSTR+6AxrFMCy6BpVgAzdzf9Rr2m0jvkPFwka1 cOujob51VWD7H+z12AWRaDWrgygtCBTlAmbdG/uDL7YJ4lqkpsQ5GkGFMatKXloV257D2lKqz0Kd xtk60M5OYkw5cL2DalyLMKKYVcOaXRJnAkbCULD1XfEDlLElusTGFHYyTyyoH7CjeUWjTzyB2N3N hoDC+1szAuVPsV5JVnY8QjZwkB4TAva3duqHPBCG60VEriMKOTCn4cqy+RPkOP9KZ1nQMUSYNK/t 9EMi8OIqfC+rmgOnxAIcuNZ9fr8gRkPxmDwHBKtgQHp2OAWNVqGF6RnIJMbNHy3ex7qFEEF+DQcb lfPzI1GDDT+3t9uods7j6grihGriClgUQJUu0mPRmMHwy4Nbqn5s+FWWGpx3SxDCj9hjLXFcTthD sXDQV0c0vQsDNGTpgWuS7aYHkf13011BjdS9L0VabDnvuLy2DXN4IxpYcaIsYrq6Cksk4jOxLoih Sgx0xkXMqifFiJDXXwzrEQqDG3bz6YDv86x966RVyo0IxCZcmJ8sU7MXBqOKJj+B7aroEpFUzQ4r JtLUZwqQamAXFX1XzPjtENo1jKqoCqVYS1Q1+ycIhcCW2VCBI3xzrG2bjTDu8p2ac87apUtbCR5j SKxLBKHuBgHuje58OxEOn7Ukr7uuW8Pg3ZnatTP48XH9Xzdf5FAVYkFlswF2CF9e0tKK1Zm1THbp n4T4EZKr6P3o1RDnFm/toVAqy8h79VcuJZESiJZKe4cIbuTUChII1v4+ilwrUeqmfcKdsp8fMjGJ CwLq5bbitKIPSXiMJvFb+hAtLJBuPXvyZ5S5zS1lUk8xVlUPpyjZuH7Z3gSxxGK6caFJ9koQvBhI oLStVC5JJvuw5R0ZHEhDxC+x9VGUGvfRvV6tH2QMz0RTlCQH0cfv7yYrZhLmcqQ90BYfMA1BNoLX 5f4N8MRSO6hHZo/SmjEWXlRk4lwsEHHTO3rc+uGfqDJIc1mhBLD4zUN2DYjRxpCwU5QWfAiWS5CV l0dsl+psa5QbXduh5IdeayEVZARrbrc//3YUoeFK7zk0B2j88m0h3s70Yh64VaycXa50rEoeb9g4 NS1nEUwZvxGOhw38HEBuKBMNew3cGgryZAeHTRXvdrghAjfzzN0HXjcIsFOPkjlqgT2er8iykMnO DZT5YSRKvep5B0kPT7jwj4N0UHLH9IiBaqCbI9/GY84YJV9uEUIyWx/C81ncYWHIl4SVYB+TB8Zm Q6WLaHtu2B4quq3gwrL701VARe3Bhnypm/vqUzunfhJvi4raplxmYz3osHy5dfCNCPAlmEFbT36o yF3AMUn1VZk/rENqdkcKNxmlUyUhF06WoJtr/5RZRQUyATQoyJSjav7l7fMeEG6aCBInVKbRHte+ gSMCHyXcS6IoaNyg1WibMxsBwTp9tOJmhAZdQorXhCampHZISx1lFp5sPl193DiACzVqGUkDhJtQ CBdU2Me3WZNNRrGYHgmbXdXLxdzx0RvSeySNXG0d0exgl4gwUurA0I83EpDmWTPXDh6OIyxEzKpj JRsgPZEoF/1BDnTfjlfxOUUuVGG9DxA/EvlMcqyOso3BunIv2+fl+4bYnPNeBrspZnO3EWqJX34p 4PltsMsuWAuNxBDHzboHhkzsqzwwL+hfqLN0NlQjuKSuMVwiPfwiuU18R9Gjbv7j54iZ36EyNuU+ b38T4vDbACvk8vqWf+Uy2amfAVgikUcrXosR8ii2CopGhASbTeNdIx4XHIAo+2M1U0+w6YPQhjPn Catn0DEPxE+3pQVHKDZmdzC7scLUWw== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/ramfifo/wr_status_flags_ss.vhd
5
27791
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kSRtqFeRldJxNwMSmZylQKOWBvPgcswwYwjcaCv++uEtxccD4VmJ9SIrpv+AN+kY1IRh0LbzzvfK kVLdV0gL7A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ln9W15bwsrmxftclpSdCldSXJ0RM7hSOf8Kev576M9X9vVkxA94za0R/4IdNmceYoRENxtDrWruT 1UW/34cyhrTDwoh2zJHA46CoFn08s6bQ6jEQ8ODz51LlZvj7igIlswrKQNgOnMid6nf7Y+Bw9CMw /Xy4rSckqDwXAPZXmaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jm/xLU7uqDYJHrV0GSI6ndyHJ3oU8O8znzvl01OoyGyCtjZobPpFpxy/NuUCIgdqOHihiUhzHx5y rgd6ZaRQl5o8x0UPp4epC3M/CasRvTp2DmjhTf8mq2wxKVsNjr+UJhUqEOBgmlXZOWnz3YWfWx57 WmvXYLveUR+8770PQbqJCeh8cln0vNbYr9bBHrB+CyTo4RRc1DcLTk59qMIUZ+wr1pIecQ65G/+u UHo7mVxOMnpt/L7vHh8FW+Xkb89TkLkprB8eCHOpyJAuTIvMuN8TTM1ix4JbKJ/uRa/yl25Fs7y4 eFoJzKRbYqZZ1vHIiWb02ZLYuXt4ShDCbGR4tg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pMXUglZsvdvckG2gYoy06+LM/92JqvSmTr+VyEBnMo7/ATXYi6LkbsVW3XK/6G8RhHxjJdgZP76Q r8vzm5J19MmXPZNLyEGSecU0YBzI+ZXFm5uk4/bgXWbqHKAUjoacbX5//sRZmwtzGannuiaN2uKp lVrRo/jDL770TGnhmSA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hiLXLA14TYDfWRfBKb1k1x4sBG/sZp0xfVUc3VniU652cSjAs/1oENyUio/6or+5Ka1BhV+F6xYT F9UEyUEn4IYzPbkUwFUt8EwkM7DquyBGOE2SIQpd4t5zrLBD6tUTlPRlbfHdTw+DyqSeRDDZNcbh rR8E1Y6p3Jbf2zT4i/5pMAQRtz9/gVhgEioIjAPmubjIy0NNSdycqB/WykZnKJ/y7YS8LA64HQqY hIazxWwcOxOKM5HGziWn0oQzRH4JAtjYyx+AQvUK5m4gofGqnrkU4MoM2PERQoZvCSuAH7YXCXCw f7O2To8FnSZSNWLIrXlafuCz12c0f9RONI8KfQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18832) `protect data_block Rs36OAy9bUCh/vgldYhH3MraRvbG3jb0ykBiPaadl2PeegQuk10obQ2Z0IJFIddKz1BeZxEhEdCy bIFCoPsNgBEGgyXbddF8M5r0VJRs7eaSlLClF4IbSAd7PGZJFUPHcKVULjrVT/Am45OdP42IpwQL a7GHqpuFLBgm9BgQR8RyFMX9AbCspI8D5z1wByl54Il6oobfTDO/Uyj1lwPIe3DDOH8HE/QIuaEU 7lbtbF9qnKmv2KgTYGj7Onj7LavrFtQUgQPWwDVSUxZiSpoRCECSI5sROo1sKjV3q5uFjObwdHlj 7YB5+S0oQE2iAJRK1M3F+NfPNV969aAR/GrzRn2GyuIM5kOKiSvT8N3XidA89E25O+nULt84h3GH W8c7n5iw9cIC22w/7UfqtkDWKc53TLIqORadTcZCft8Q9j6TirCwRBhkE7+fR8vmFTda+eZUYMRW 4I0fmjLcHUQAhubv+XC+K5duI9GuT57q+zYU1r3xuBvNWF24JWzUdDr5pQSEodjIwtANGvOcPvuq xR5A8yVh+57VeOBdMkZBLOpzM2xSV2e3t9FFZa9/CqZRniU7URM2fEIOpMrEWQA58d5XgEBP5ocg D/luYueG7iSVCErjN+MN5CxC0/yE2SlQFUwOBYSYRGiplMJfJYlG/RQ2/IEpof5+xud/KYKrt7gW n7ploLh5562l+n2zVzKjiSBav/GAiGPII/eaF/4kwjcqHPTzkCEj0N3W1E7QQPBPcSkqHCwOeIu4 do+HWJlmMlT0rJm9OjIS1AKo5OST/MRhW3JSiEbtVDdg54+gf0zjo9BgXofHgXsOBokt+L7kXn0T aytDjJPeDubUdRzTneDLWGrqJ6IZ51ouB9sMYwF1TWtkOpsbBTYb8F/iEYIrhP+fYmaAMPMsYpRF 6yAbOpFt5vnvegefUUNBDU/P74KsRdE7lBJBFtTWGQxjX0SfPL/kQWCV02OBfUdWsF0MZ2S6eQnI Z3prlMj9uhd0opozx14a0WsasbvKMqj7h1no6JnkZDTDtTic2Sxk2YQ7yeeD9YlQPxTGc9PVMH5n Dx1hKpwhKSjs2SqivnzUaP9SQlHGBO0td/tEp9mPQ5RZQ+5PFBZvqwU0g61EgXIh1Mv4ho/JUOHS BV/LIXZpsQJTq/IjU4DRQfuypT9/71Je9FSs8VauRP71663NXo87bcQepTCEP3GVjvNF9gZ1pdhD 4EPgjeox1+/BuQK0EfZ5Op40Zqg39gPNXvTUjYbTgNl7AOon1yxzP0GdSr9wI7ANPFyUM35ExTrM ZeG4aSdvRgjGG+/ulnBOjqW2o546Y3HDCW2en/4+wV5Z7zsFiTjfmb7m3wz5RHnSqjpiWdk/hCLL StpzWaq/Jx5+0x3uMqMNhax5Qg4ip4b+WMddLgXFUIO4a6vdErr2TwLOyQaCLrxPGF3JPKyB30tx Z5U5xb3I61INXsHKbVx8R9QeTfQo/vi7t3yJSPm5wOTZ68M+0y8pqCM0mvI5Yifk83vsYvLlTiIh S0hvfI+bTzrB5eQwiljp4RblwvKFOeYY6yR3xxmDp2Ma5T+tOJXquYQgOcg9++ipUTwtVWssYq1+ xmfabV3Ol65xWx+o+pliEJW88V1KGfl0w+Ty0gQvGIOAG/ucTrjUcUSFaMVu8QLpgp/AL+2/O4of CGxahaCBWNrOT9C4QJeqeZdPK2ziQ8/Qtg+nmQ9EIXdVVcSPJa7Ug7FwSuasHm9K0EQeimNO+mai RpMHl8BlUqQsn/BohRhtWVjO0K615y+pmELlB1Zh8ujkyChhnaFma7F49T9BGH7Oe2SPcPRsJgoa Y6iwHX6vuCzEpE3A602cC84h00gDl3gB25R++i/Z3VQWzle/JwqGcf6KAVKehD2bFTGCQqt3/YhV KrMSfaTXYg933ji57zKi7v7ASeu9cWwgglF9eT0UJYg5A0MxjdkZ/yLRQS4LniJ6cS8j+FOKRw3u oegfb2+7okPBR+y4x2t9g95bWbOxIm/Ciqwvmt9MKdQZ19AmzxxhrXiH1VPZjjiwMDq9SV+xga97 Q9wc4kp04CpOlbXJhd4Y6N9shA9QyyV2y+2oY+mdeIZdtTJZsME6BDQHwJAMWx0fXNAaawD1BNDD pATKdKk1O0yLA0RoPNsyYMWCeBtdpC37u4hSATgCS6wKBk936/y8maEWJxfMHaB+0n2wMFe4X3WG GE7BOJ02It5c2ASMhmEZ3Fi0kK2qQwSxNelI/R/kwR5i5XKVGrSUCnJF+EgJEHg0cTnh6Kv0Lsr7 FlhWRPo8JveMY9RsVowS9v9VFbiG82gYgoeSqoawk0nJgG2t8tKgLoiDMwlwxsvqvZSIoWVtco+Q g/dbOGfLZmW/eHKuwR6WZV40pOUZCLFfF6N5S/Kt1DadGYhqlZ2ifHLcyZAnc0VlyZ40wqmtyRgl z7eCog1w2GNqFHIssrGDCFbFE5+8baGgTINv7R75TPS9r0zKKhRDpFh+G7w/SuOrlT8Gn4lqs11s 9Oi5nvQkoNUIHdOJBvwNPxnmcagk0rbIs3HQp2q7MHZoIfUAc8eKXnpruofWOC9aSPR2TMl48LSV CEt4egu9YxgYqdyEXyCH4yHxBt2UP+VHPj4VRkJ5pLg2JtABam067tu4JLsOCITMKksJ4tEXp0EJ 1UHL2sR5rX6VbW4xCOkkaoDabn3GdL4gMDMZ3u3PAo4+j//D9nuRTIm+hR5DotpTiviFE4SVUIZc iiNrxW+49MkXOkyvoGlGJVdXYgkJQ1e3+osFQHAn9PEb/UBozWZCElPrptoosaAHuBWaazscNGwZ NsavtTYFnWDPsixBnMigt5vqlz2L2DZOJoVzP/sd9tofo6s7GW2efo1xLwujVQq9pc+wmn0q1ckS cNzOGrAydElcy2mkm5WTmGAY+foKSGZboJsDDhlPyeMccT7vEp92rA3JNRbNNYjBDj58zbwLXG7N H8FhAMQK52DmMfEROedmYqvEFkx5mFzCkFbiTkG/mJdqa9vt/0E3vz+MI0+wFP57MDrSblKe0pdG 6ao2VQBJSWQysVhBZF3n6/DOEpLZ1u47rDhgcIbZQUhB3oniIETtssZAv/1EKqhgcTtMgnPz14+1 cGGNYbFuw80MXQGexbXAjmUV3VxOXU4UL2XopqcXKG0V3ggsqeoMWdbXFFLyLSmPrtt9zMy8H1Gr sokd8eyjquS9RIgMTlTLmhOceUcnAnPm2avHwV8vYT7Owz87NMm/W8yOXMoFef8oPgZx6SxO1ijJ T17C0tJRm8tWWmXlrNh43MIV6FRmmJ/DCUPXdEEkKb1kw+ujksDt0SkkkPHAEHQuVHBMOsVvkh9a VQnYySnz4Ua0h+oN8HQUbI2CW89F3t3LG46ffU0OvBz9MBMLExmZvGlk0kIWoEatYmNmj+eyhUj2 nNwj17YxkENOE82y1I0nwNiBbLlpgTeKLeWmvmiQXP+aNo14s0zRnociQdiSmlRR+bNVcLlSV0aM QGmXSmxhmcZQoEdb96GurZqw2Kt2GkuO9GGVFMpJV980NmNTbQXsz8mwV/m/0zRNQub33pef7U/n BcVg8HOLgzX8t7BDDNH9uleFBmsnigSq/851+LSR9MIQnJhGV5hhU0rz1JBTuKDIThjunEaZsYuB ADmtqwN4xE7VYtPe0H0H9aZE2l8LH19k1uljsLAi4m+v/Ta3nv0ZG+ku/yAFxZ3JWYy7OD3nwfBt KJrvIUnQu/HWmz+VTrxKMB5ZM/PeculaVQk7cpActgQ5xru5/1IKrHOhXgP8DLBIkI+h3ZhcZ1eI yxMn+rYkFRqvBImqcE0qIWd5i3CTc8lVYKNCk3Om2RuyOisQ+t9NQ/Ul5aFtE4f7Wo7aOueI3Dj2 BYcyI1cL06XTCFPjodCIv7BfxCRZ9A9CHbnlNHRYs7EBUSifrtZqMknUpBGkyHzX2QlKTWoCgjGP dxndIIblvqmeQINwaUzZhyyPYso7D2tSKVWHsp1dUTRc+7UBUyxODmg7fVw9Ucl9+VEL1JHgYbwB LlrY0I4fuL5BwHcFeuQXZi8ooWnYl8BXQiN5NoF4doqneVOdolf2meOlC+AzpVNBiOn9rrnSvIIA faKnC5mAV0xGdMIMu4JvwyZpEtj1pjZkOhsrqzx6SwQOeJFkrv9iYYwiSSHOuPfvMsPhGMra0NQC EIV6p53C7BF7rIciocitnUBx+myvVC8lcTvOU1jxxgSOe0jhK1R1Ib0yiCuclDWHSKvRmOW54ETg bmIKRKgn6qAgsyIX6DRjkiSsNlWSy+HuDzbu+IJEzOPrBanVhimDnZ7UK+stqbA6u5bySYTQLqzt fbIg474M/yUZtnV4vrmQmvHWJus/zS9ruz5pmV3cup6CsrGn6OPafFNyRw+zt0eQiOKmWcV6h7Y4 M+mYooGCj05bt0KZh+McLdP5nYsm4vYkAHtScJaHLIUF705tN8TNY4BWCsIZBToe/SeXVLT8ynny 7oL8gJeV/AbEkm0VV1YhjoRdQhHZdwcQkvocWQjRYLE2hoN/2MBziHV9E67skZWWXKTiWJ6HEmIF 3ns4GDP6r6zO7rMZI307b8R2nBu9MSQkmZFPSuUhKf7TEIUUdiRsdHaSshgjPHurGGAZSfbfT/er zq0WXfUCtHOxUo8FNiNHJU0MchN37HOuuFiBNsPZCFXWgAWeTMYONfu9xJO5uxU+DjSnypDHGMbo plXFIvp3t/fYWXb+gZqjz659Q19ySxj+ATJKn6fgKl8TBSg6SP8+XoVeeHQFNy8XGLxeEvoWrHku 32EJM8IXuCzY/zsob+2i5T0Fi1Trw7cauWPBgadq6GdDSlJsr5FqX15YlLUxyldXR+9GQ8BBF40f AsLe94MEgkHfHASbPqUlmPWujwDt1GuATxtyzP5pB5uHWVnXJDu+CxABNs9JmHN14Aq3Kw4iyb02 LghhDQjKZZ54a2oCiWSJfquSxPDVuwwPKtrC0zcB1SYZfNUhnEkbRMnxJF5HJYQ9AOdl9Q7SGwuz nV1UJ7gQtDJviPfkd2L47kZ2WTWDwmUGSMgqAAR2426k7xj/CVj1+yGskVR3sI7qM5PMH7+GFbjy d1Sy90JjTJy6656sMj5DBu23uX1lZkIkCmEah4TIq3vjAUATV3FlP1mFosdRa7q0ODmgDwpG8YoQ 8tDLr9fq6xCvM5ao4HcZCPZW8zimv7O7ynsL92b0bR/Ig8BRBR4XSNfXRDA85PwuWfTNQVaBjDip EKzOsNh2UgTyBiWEwaG7goMB5rbea2PxvR2TsRn17wYaDUUt9Gxpxev4sPiKWLoupVVhwDBwvw95 z47YbwK+6UE5cluW7iJ6rjD52o2A6WOqFd/ipbc+4tM8dc46Z4rtTVCg8Tejt3eoSxNlhU2auTlj pf39YHfTV3klsHvwUTKFRm0iZH2C0KZEUgr10+SaBxFcKZ+/TKqh+F+3wfKbMT0T08mlqfdnXVmU 3C7Y2Aql1qT4pwXlq89qXStg4pT1lNL963O2O7/vLaONFpSpUkSuzUmJHEM7c85YoAsz8aMqdVN9 0Zq0w+5qFoLQE8K/rb90jwNC39ZwPn1FMQ59b7LOWpfA4pC+HeTIRVpWwhL2HjlP0T1o2Gd7f6y5 Or/mkfypD8pekT8eTo+Z9U30Kc2v7z0P4FGq9lQr1scZhk9PGOWFVtIIMupGoIXL0u+tlieP8/Fs JIsOrA3yC0Pe8P6fcNFODP/ggk/9JLNv1AqMDGPw65iqy1JuIVexrUR4E8k3H6oVQwDhFo54JrfG T2faz1znlDloDd4Y103iRrkFa5RSs3YjGbWJB+g+gAAj/WI8EFC/q+lJh1nejPJCTwFEnz87lPIy a5dX9tIO0h1T5GJSCM5SQLqO+wW+oFplJ7/2SPacmOCBYL5lIoukLyU2FfdOiHJoWMR0n5NYgkaH XOcvSoWwAaQb4Y/Du7oFv9ev3yXruWXEV1/J0bHpv9Nb10cgYdEE7eJEJ/voT7roHMoiNlggctgm sMdKFuFPLNhuoMxBZjGuCYE15TnI5+6J7R2Q0VEzF1wiapLMwU2ok84NhIismh2pb8QlIftvz8MY lnqW9aXC3mOn/+i/HM3QnfzU0uq5Vvq3Y0BCJzXHkLunGAf28qt1R9Xc9IlUyYub0OglE7EhSO9D 4oyg8Qb0gMlOJ8pfoS2odV9yPpiCswGC/cAjVFUNrpR4SAsIgW+HvDMWzL8Kikp4n6P0RSByRS/2 vWNPdTay7fEOBfCz7NFjSGiMRMiJPzy/IeqZlj3ho3vb5oaTSdiToEfkUjOVbzeQtFmdLeNrzejV GBsUVngj7Fv+biQHhOHogm3tMN8dysfzVv471CciG8H8PQqxyoneNh9TaeKx4JT/Kwrg1OdcFudk qFMpVkluAAs1pcVfszVqhaCnIt0JBuWHuLtYqqmUd4AZaUsQYNijY5JKbwIYhLKgDOB8UcqU6B9z TBqwcYf3hQsZ+Ikw9GoCpDX6TY+yAeElr7Ih5wN9JNpleqfFMGo4hhBl1lQEO+u3U5eKlr1Q3elE WUvVDuY/M1nD2IH8ausSYXFhA+VR9bwetx4qXpOcp+U/yg1dYzccG8PSwc5ChQhHlbuLfR8g6f4k xtBTfoPAsh4NT3yvO/TliUieiHXrrQugqiiF3pcEV73s+E2E2aZZLDRATFHyiFZ9+Koc19Sk9knX F3eWjuCZiC9qWyEaotwQCM7E4PAOIGwW/g8aaveOps95nVGrDUY2DZdjyhVauDBXhBrAEyPn43od WYQA8WrL/furSWY2AdBKqmHDJiIE/oWidPoldFaqbyub2dr9pRewjFIYs7bq0tJqalA8FcMpvw6D WT8xPCPmBSfxIW6yGE3YVKgpp3Z4iWGa9ofqzxUxuOGkUmJtddwGM9QrInXmLXAw3JbluZoPacti D9ZJYAspdNC31cK72pvfxkwHGmXEtkqSyRRstIESif3PCq3auHjs45pEZJeSteotFU8oclhNzMD0 xqcrqZtEUtH4u9Jhu4UmeMSNgyvGhqj9E7U56a0IRB5XHPGp2kXH6pj3b4NvAaUvd9GXXCi0jHqg L2W/8xpu+IyRWRXHotp+FzTxy3DKuwoK6Fu8SYqvd20DX6IhdxRS0k2wBLcDIXuM4S+rccu3YDEL vcM2Kteh3k5zrn+O2CfW024XMhFd5ziZQcSR6yjd5uDZ7EGFd3ILD+RG0SztRAcbP2mkrfBZuT/F Q8FgMiQwc2A1rDKSPQzz/ztreJmOZnDk6Su6IarIWkjAhmROd16tLwA6sNVypGtAaLfDaEWlFH3j oOidvHcEf4Yr0VSu9FfBoEbYWSLOg1FeMPgEaEi75U9MpU4EARMYDPP+C1dgUoIa4/Pz/Rq9nBNv Ufqe6hGpHryKXSUIk4qbiqRojSeEPonH4Y5/bx94zkLtl/90ottg37HlJLHSy6nAtaVmLFC5ZLaa p6WB8CkGJcVW4RkjYCN9KyE1eM+OY/5Tu9roKx6PompLafAYAk0CPVJx5t34acuvQ2tlpm+FNezz SN9haQ288CajRY46a5BMRH0lhxKAlpgnlivZBJL5Qyw+Xuw5C5Wm/hE1z57/QBNfdR8OFvwG8L7n PeIGEltcY61U7G1BCfjpxc0569h8WEwomZOSnRgSP33BQ7al6GuW5n1++P6VPAK/v7i8mlNgmtYd FD534X1ljg4JSGk4iHZaE70C045K+2O0V1Wg5u7ePjpseFv2l8ptWj+66/YhZx9n1qM2QRSoBLpO ekbECg5hKI+tn2odMsONe7QEvrQTE7WHTwn2jg3gUUVGOgHgRdzme4APgRLhmt1SNUU16wvvtjcF cfOTjMv5B3TWrd2RYkE7TlpAUqUy2RyLE/q8q2tev0Fk7VrZfasUPIG2FDJYQquWH7WfXqtENj1u hx9hehTZ4o823C+fmwawO70sh74ruHgmZHE+qN2OVQUtJqbG2rVF1h8+tpXy6ETg0y5jkoSr5iJG tIGnTWYDAFin9U9q9x6wVvFvGzoPcdc9XCLg2dqFv42FzhFbiRJK9NrY3BE7EgRBl3RGW/FV6b7R ebNM7XRIHRf0vvnXIRL8RThbZJAx/RhgcNVzTW7tK29nrzeo5Vi3fUNZu/Ql7jvmWCul9HMrsQfw cLeumQXGIRdUnLJDmaMhUSZnjXlPJk1O41ko+d+ZnZCU0otlDrDtf000E8/oNdHdj489X/yNubh3 k8WwiQFD8fVgsVRP/cfK/GTQ2V/q7LKCwtoVAJg/yFdCuD+uZT5NJnCfEJIPrFnvP3AkDA4TcTzo N0lFHTWCVuzYQDE8suy+5zsYlGa+lx5giecov/pQo/3ew0VzQc9xmScErwbSIVt487D/6VafWROE 29EBLeR2aM1mrx986P6R+JaiVyAIlCwEhl3mltBhHcQAnD+ywilmCrL2fE88xqX3SsXbZYfmd63Y SNvi3+gRG2/7ZpmCLox9x/n3GxI+d67cwS1FPdrSfVZv4G8ZrJXE5yGrvQotcvVV1DlqZOXj4Nq5 nFfbFhKSVDFLb8p2xy9RizqT/0h9N8W8sSNb116GTJocWwF1cCbaX6AqqDO+ik//gm7pfIeIKBz2 N0bbT2E9tBUEP5qbuDo2U6sjdBYISDrSFKckL7IrJcTLYydzC1FQXgfnzycAS6h7CTWqqiJpCehU rtPIg+1/EH4gubWYz5j5CiAutwWePIj5BA0CS1T33jQEnHXRWricegdP1FGogOmGtbvBFGRqF4TU XiOwnkfvJqyyf3V6/tDVCyYvoE4YJKqpYhPFl7tw91CyujI6OP3xbOxRDUgGopNYzLfLYRR0+0qm aazsQQ0e3zhne6NzSxe4vBvCsMOdnzZbtm4gOObV4vV9iYCZUP+OS8ML5fQzWqkSq1abv3gtep0i cZ301s5628Yz41u/w74OhIdHJnu7MAeVyj2P7up5vM06C24CMggtL+Mcur2CmjmFuEsa3mbCQUaG F4bDG2inIcX8pDmvWoHTFjhoOtjde52pQncDY1qqOp1X0jzcoK7vuXLKzvedJUHGv86AJBTKl04B oXToj/4aLTR9xTT4/JKsurSkd/vEVBx4il6zTTDip0Uc3CuiBG4wRXn4IpT+y8GTZpR7sCJevPgz IesR2ZE0DjB4D8/aOEQQ3n6gXsAX7i36zoCtWRmAJMN46rPtalgoQBLOIDyajHGSMp4GKUfvF0un XNzTiNIAGJItVPkSVn/FKQYZkSPsXwkYZI4u911aEZ8qjpp2bzs+XZD78e0fvdlyf9625XA4FQKq 6Qf6u2AaaIGixJd29xl587nE/tw3RyKBoQCxKqYBHlDawyIQf8oD8jfmBhHdhZuX+ltrUPW6aqpc 7SMiNoY7vKpF5YKBTorXWb7bVO6qUbkrCmPpj3xwMAMRuc7x+aRC1HIbDi60CsflrrmIqMcxNe/t u1L80IBs3GoHrvExC0RKwX9xYHkD9v43RGwLYlFo5lWjWg8sR5bMhPz+Yfghu1gwWPsnmvLzeiJQ wwhLkxcYKGvgGDi1ksVDeVlqN0kg3jDCVeLtubjKwZmclodl/A6NotWE7eWIEiEgsS8JMR0jnkXz G/+Os3RMRRpFSs0vIsM4zSrcdUcabxlqOwiA8GPYzUOK876LtZ+vaG1+Ew/+zQMUJC9zhyasu7SV sKpiXYQz64sUOONKehdVd4oMFM22Ifp8w9DMfSP1QmKV6FyTPBvmB7A69CyVoUVmEAxBg0SoUJIs 9tuzotqRxZSzojASj8nkRUH58rN/fudcl3dGV8z2qr9s1InXAZj/OhcJxh9QEC2hbRr3CDsKPeC0 O8PnfA2EnK2aHibF0e0cQuYIAWvoEN7U7KoNRfw7Op+W/qP5hFNqHZWwdoFMFekRn1VzSXccDuFq 6Whysn0zK4+D5eEMZuOOsqeGO7JIB+iUI0W0t1hwUXI898KSaGLtwZYVUqwrnrAE0YEOwRP/2DiM yVpAtMpyMFWNevWUCW7D7rW30xmzz3k+zhBIFmkVWI7JKuAhFREwODaj1tghp/sRW9sHDQmnOQgs 7ZSg2aI4bBYNTkMkz8ieH6vtF3jV3xtzl56Ra1rzQL9U4dBzFyYPV8Gpf4tncLZymSM21fer/DAc PdlL6HweShxgGldKkrs5OfF0OdpSIRSWG4yqI6TIMxeyQhyo7SfwEmDkzXHA9dkFe4F1YllqiCuL d22pq9oXrroR+xlNamks+D6UCtKrFBjaelLZxofb72hL+9XlUeucNCkApr18kUWj3OcKl2o6uWQj DCUhsAvgL1K1PDCknqgakcvDOvnU4cqpE8Rp+gAFOjASMnxqqWnNew4WZWQiLpV7CQVB4LYQeOKA bVeeUh7/E23c4pqjHnzIG4d9irPMxD8IF6lPgQQUgHjmY9RcnPFx5SyAdsz6dVuki5n41LBL7nRd R/M5Zlmlkb4dRksUAq0kBHXT1CKKK2RQKaXmVQiXgWhEqNx2HfAl/T/t7ERWgLXhTr379FNf4iMn EAt/LvwuiCtXSSN9D07nPFAYbmXmPoZjtdfMK3xdd0DMS2nMT2YBIv20eA3KnB/kjfNrSLUy6cTG YtuMOcjrq0JNY14Prp/GYO7SSFPB/ryJgig56N7MBefms4ZG/32B3Yy75zlXsjI15dmCpxeQfq+t rmaqCYFKji16nSIzj6+8UKfhJXPGriwGa0l3KwptkhBpvg0UCGP5QYD5/bEDBWpeeRwSEuhxsBTt cnhAmypvXSjbpWtv9rlOtFrLmOvU4TZTmHyF8l6bMKI+gzb/ysQuenCi6HYIU8dPIlwLkKta3hIu psOo/+QLOVcLVyMcinuaBOULAMoAOUnkl/EhWPFFCb4Yhk14XepakFDwug9msghffWburxeZ2XjX Eio9fPo11ia42QxPBYJWzExiMxRgBCYw2uKWDXdRjCkNu//kx/v+JRaycGd07/qwiYskVkyFgC9y UGvivLGfNpDKxCxX2l2FpdUDmLJ20AQTD12krCar8OxOCTQ6obifgL2m1VCeJ8ZoSCPJKAsDIFE8 lm54UeUV381/68zQjjlZoU1qV4/bp3iX2uapuXi4HHRczSigaOr+Ze8k/EbX4n6+fLn0m08IV7kK umU59UalcKQne349vqEU28c+1N0ckh9B60SVXoJXKVDXAKVFi8Z//NIRL0Td1t0Z1Q8oVUTIuLfm vlKX3pthHRSGMmtnCDTBwUL7+HLiY6wOn5/3+QoIc9hkWmvtEcF2aiNeeLpedPavDO5ao9fGMjAc CbmFtJVV2td4pmt6ariVhrGswLoSuB/z2p16Y0weF55yeubQSN8t5iUVplmfl6GwRiva3DvuOSue kkyqj0soi3U7DmEs9o+lSXTFLSXuruihsSlLTSGugYDg2ZYBWEN/+LAfifa2WLbp6S5C4dKYmagt i0VOFfdcGXN/fjcG/2HIEzqojvUxr0gUN+8ybWlT1IzK2XTHbGw5M9NHndzy5UqNRf3KsJfNMOdI j8/AfjcEu9bXq1HJTTwPbI9dOSMCJ+U/tTBRJnhTmGEh7RZroA5DH0G9JJFzOtIaad6IUmCLQSr9 GY/WE0cZol+4sjeTCmEnkxV9af/c51s0qVG6yDWFlFQN0mO09Fy7xOOtBjZNAfKVYscacXJDJMWh f0KBbl5LTi6tcj69ZfVjjeeykdoWzVJ+R6BbKGzzFTT5ymJ2jhmbV73s/UKZhW8urotqRZEJNyz5 8lW9aEYvwuSUmMb+Bppu/66MtJUAB7/Eyk6NSLHqtpUp5IYz8F2EnLFX8sxNN2vrB10Zb2Wyvxel G6iCIrJRT2q8cYYhKeMpwvJAwKhMtu+gI6FJtYsN/SkfNENId1vKRkCxDdyDLQlOvTuKRUYntlmL HnPjhHokGDesAXIyLab4x6y3AfL1Jv6tqRBMbQgmL1PoKsHeyJHPMGEO+O09e3rddlvQ1moGW1lN 87WZJbGhULz0E9GTGLhRc54cQ/N94jUOXoZ2gb7BwX2w+cMjqBmfXJtgB5/SJkSg9I/Wv4q6rkB+ WVtzVrpYCwM03nbxSxtX3lrtA3tjp1pKsqCAXBJxKLG5FzvB5UdYvuheplz2/+ubH17jMpEayhCf Fb6Iq7BNCnehVYQJ2wOkwLzMcVJ6pdm1IUmnPX1LsDEyGYxdZccwNa6ECwlGGuQvFTwQ5u8VvNq5 tDKM5KIlvrdvuEXKdNuaIvbeB1xruRA9hYNwbLK/ZB+4LBi7IJBFr/LAVgdbzqvTVOs4lEyJKSFq k7WWEPx7CzMZE449kXKuxKFFjs52U/yKDUQgDCP/T0yJonr3G7vyj8pKaz7bJNT7Sxzb8NVGKs41 xHR86eo9A4AuYPjx63pQCJrJALDWnpNnR/RNc7udihMofbc7Yrrbw7Keyxq41OS5XMMHc2l66Shd +ICg9P1iErdDi0PglBzX4orh5rHZNRL7ss0/XpibBl8ewGFeZGbjZa7wofwW3MaTpyGPCfVb2qEj d+tsgJnf5Qc3MRirf7xQXFR1Ut7/ysUnKwwY6cY2j10Qzo37imjKPdGdxkuj4I84Kk72JykbCcK4 uWRaCtebvlWsFQpYbvqEEdCFNPy57K5teweORimgXpPFNbrGc0akT8cPCQLywLH6dWlit7rntIjn Je3UQ/ZfTmUobBwgEskHTnyvWWqrr9Do2ngWETeBA/7h3JsqfS+XVNzqfUgC/Q/sjlTUS/upx66y ZohgWLgUby8x856kpY7mbrAdQkcgKAb7yBmM0ROoufhGp9nv8yIU3drSigFDhWuyPfXREiimQsGY Ih4FY6VP+eP84GWiqslf0mZ4NIB0+ZHjDnyw0k+tLbxH1EGMaQpKOeB6KIQfvTAELvEfhMn+uK2d lcUgq1OzXIE0hKkyJptX64E9GK029RoDNp5eZmzTcXGeWZbaqjoC+66Z2CdqIr0HWuCflxeD5qpy lltFNnHTWAb4ap5YMWITmoCG+So42gg38Iqe11Be+NgyoLGnD8YdW2qU3UslPr72kk7VRPE0nVho 69fw/g4g7jtBqdeIsKCzRTZvyYMwL5XCyWU+gndTN/KAMdALRhv9xaR1hPiLGQVEqpsqqoFjNs2Y PS/pGOxer2GIu7QxRJ6YhZU2Di7TOcJPixcHYuI/Vx7zK0wJi+hDDDjGwNyFkXcJI7+500ukZ1F3 TwUi/FadEMrLrZVX76K0pt60lqvapIGF33pQW/Zn6bQrCatxv8XjjTBYKhuKDALkRx/qlZB1zGOZ 1vUMbWtfY62IEkWtPl5hak9eV+2ZLBJo4igOPqbF1UTG0TL+rgFOlfNGmpf/LNC6iTYcrWOWaXLj K+IzMc8E0U6ItEiX+ATp4vXJl4Z6rw4QDGI4UD6Dc2+ioa8PV8xgPFqI5Q6WmMOlPp6CQb8ob6kw dJ7jjAdqYZ2imjwrUlZd9gBfEOHU8yCy9sWwPW3VavbsRp07CuDezEMm3k4NcsamaoFQCpE1GCBO xHZeq9icw0RwtZUJv6n8a6rQy0ERA/zIcLxmm16U3WZ5teksXwZziwyHO+HJw4t86WY8/h7JmnB6 +FJlnkbmJzOv0ftotRrBeCU/MCpGCGeXXj3shSGXFqL/ult5pLwPGIxksJOQOla1iYpOzxe9qKhG gayoXxpPN+s4AG/3dxNqeoq1+t0fbjc5+f7dcNSrdLxvsSWRRIp9+jnmCXUAd96dMVp7kkw4f2ti tBXHtaF2KMhz9K4vKE8QNgwyrgFZEKz5wBnnOKiAsYTF79pOQVHTdouWSPMVBwWTyQtC4qIeC64/ vu3tTUkHB7e8z46hg7Fe45txVi1u04Qxk+ZcEgvnvHJtwklwVRBTpCXkuOZTPIiSkFhWugIGoMTJ 44UW70SaMhd3BpSDOtYcuA2j1trZ+JemIXVpIBh3h/RmOMNzsNvTNcewHNnLeYzxTr3YiVM8cxM1 INYaTM0wk1qCOaiJcYrQSMHXiv/x0rlTZrIsfXFXe4XOSmmflpBZvpPX2xj3v+HB9ooXQl2GcGCW pIKtnLKweidPqKdi8Vque3LBt3/nakxfQo6hsWemtpw2CpPvhCChklpveI/60ZdCED4ZFjdggq6w Pi7/6sGt4/oda40N2awj9suksVxWZomDqoSDrTS3H80TzkyHoiMkBvLZWSErInWnZHcXqofRGcX8 h/ItW5AAbXYtBvck0pddx7bgxTof4u35bCggDsCrXKpdEJPTc2Em/GER1cwmK9FlOZcdclu8vUdE xHrtpL6MRQfW2f6tJgtrBdNe25TJG4HIC+UonCgZPNs/3D+H1uuOtoO2ySNeaTg3rHSUHqkgWFjf 7qh9LGtUTGmk3QO2W8CeiYWFnpfcCIvCAu2NEOQFJT6AEIx8nUTv2SETF7YGtukCe6KbFpAbSbOh RvOD6uRT0hkDubEjt6Bqbk6BuDpjoZ99VySX9Hj/RBVE6xxZfuzC4hANpCqIU7fH2oseWP2Lffb4 lSy8yg6Opfkc9WeCB8KJ5dY7zg6z7/soBDxYNOf7PAMbOIe22a4mpbjvFd+dcwiMoA/dwEC+EbJT gAuIdFHlXKUNMx2luhNs4mSXpGgBCt6SVTDNcd6NLfRVs3gsQrl/9EyqNkcVivErOXoRn8rd3Sh7 aSpj3B3GnchyA2PsaOGPueIPP1skoviNzku7kjDB8S/qxCKwJ/CpDhKKEh86tlBy5/3CxlI+8gDB iWsPE+fPrXvJCVgPBFdwE9X7c56qxTtn8Q65Y98O7KLOtbT15qfg1BBFeus8M70LRY9EU1slKHah MZvxDEngGF/DTShkAn02TmbsVeqQ/2T3a0argcx00ZJCbvZIW9mywI8v76L0kc8e2zhr7VSQy/o2 lF9rN3TxZuqp1zJarFRPFQVuDEmdzDZ9QoMdhWL17u3CI6iBtDA6o9ix7eL2UG+/wfFWFyVHdh4L 6IviAEPOu7J6Jrex9p6Sgv8+vm8hg1HBw7CRHmnCTJrTexmddQTJzRHeO2cK7OPNw3W98J7/ToZs pPzIh6k/p7qDzNonEbTb2y28lzDvrfYAGuboyYhMJDUaisu3MsIj/8Z+oovQVLHfOGRlbBToBi4y fVMjzUcsLqJ2xl20faHdtIjHacCm9DlgwdADDouxhEH2MmCpQth9l/JhnZ6CoyZoWPHIy6WZRUXO WbiTv1VAa0ivQM0J8sJTTaRWTCmvGAr3w/Poc16gcyPnTK0JcqSgILwlOaSFG1mL1KxabmEWgn99 ZyBrpBzIjGdfHqdesmSH/PjzA/OBQDaPolRk6tlyd8zrPQ5FzbS3QRC0leyqIKUjdYyCi9P9npTl XdeDbtdkoK+iORVo9F6Gxp52jRNlxaN4jVjWwS663qHBK5tBLggqVMc4l8U/OCswaVeA0KpVVCGG XpoJSHXrQs+vmrYuWl2i36xFmoPcszkZ8LWAhnnB5VE07J/LBTTJujYr+95zrm4MVlySYxCuxcBo 7vTmRBahopUoP5TDk5CHJU8XJ6PYwZc8w9qEBSJvdAvTH37JeWVDAQSXAQJDVNJoD/4tr4Q1g8fn NXjrMRdOtHHnaem+JRQbUlt4qXzpVf0IAI/mAuVWio6EsmZiaorwcMdfeNQXQGHTsFgZq5t4RRb5 uWq/wPjCKXRJr6Uf0tA5hUmS9bxsIOVJ35DQ0o0VBZGA+Eyg5X+xFkVabIO0Zp2ZJ4lIyn5Pa/5t afeXQtqhAKe3vP9c3lOtofOyuNiYUBa4bz2fbGIKsupJaX9b1gtNP6lyKDbGCClBKT5/3SQf9qSZ VM3xj3u16iVck7hwGZa4mfv0TIZAny+pgpMLTUIlBcaWyrwGasIcgnt0vRO70yUd1Y06CiGqeLjJ GEKg5fqLldJhbtvW7+1PNTJdUQCQD1SJtPWxI9FAdXO6y0YyGd0xrO8FBTbG0DcfbgW0r7Q+M59E +2LzvszXoi4+JJMKkdtZkHtmXhWJYQZWACtOv2mM3HNM93f7RFAsQO5C8ncXcNkCEk2P5DNDcmIT PbfPWNhMnFuO4XkdNSmFF8iWDV92WEdbb3yFv1YEyvl5pTRLVzTsn4awe64hgdGWiDiIsTnoNegA zDqP8ZjNV9KXhbqefGwp7Y0ZKcS5Th8BVaIYW0OuAGWVZdfJiBufL9bmLF9QE1tpOU+IXIZziIhJ F1I7x3bAvJz5IsGKOJqZylYOOPo06a5MVed96hPawhKa1lnJTSVTN7mHT6G0q4/a8W1BADj+ZYpJ kUbPY4NvTDiKLddITY2EDjvq1uolTiE7Z7/U9ekdWba2mpdxMkyF2IObkBOcYr1+JvH6Q9b7fI/u l54xx7vyUBOj89afwXajXGO5aJUyvmYyMVk+PmD0FIkWcvGn9Jg4E4u2inEBnV0uQkXSABPUD6Fd YfSJ7kalrdi9yZFDG4Wcv2y4UBvXnyQIuCWuCA12FTRq4ezv4HVzZEQBcLYk6BhECtagJn4lxhya CGHTZmEU7AymWRPB7V8YMkC2y/GurWrnovIZwjquYEwjDayncVHJr8y+H2EbsJxiqAY3U0Wjxz2U n6c+4y/iW7a6R8Tt3MCD0/+h0kfCHjCHpAC1bqgiFoeNn4hkUBZuuaJ9hKhxqiuj2xU2I5iunWSE ktJ/gBxzydPRb/NzBDpkoC3cZFEjLNGwhKv+TujnBW7rLPXKnhroHSe2WcmZmmuAO4W3FNR+/ZGM R7marmM+ajC/FLNW0U6iuSk6ggwetuTjmEe/AMPeaF56tGwAfFDnEnBOPvgSMoCZhSa3pHU5z1NQ DW4/hdSiwSIp2+ekKrg4IMLoKIXE5gXD2mdVnSI/50/z0X6ALbbRZwg6yRo3nctxi90c/DnKmHkc hX4VoVNTGXQgxa1mH4J835DTDcWdWfBrSs7D2iZWR0UDBZsURT3SHDNK5r8HVcZHBlRgpEjGln1M DOwrqvEX2ZmtJ8CoWYq6wDYwxdFjnxz+yxKm9HpvoVv1G6+pRIq+HB4wQU8b4vwWUUZwPbT7bNCt pHVIePTYF/tYW8Cpn4nrqzcbCU9IszGC+mtAOXzcej6ufNYWIC11Lw6SDx6lNmsYm5BdkFm9o7dC 0DIjeezVPN9/DEfGD2v5mpJP6qV7DsTI5P+cD3ul6UN3PG4qFP6xR80jm7eBuwPJWfNFhYhlFrhE JylfRlKk8hRwgtIBJIZokt0m91un9e5hOOVxj3XQBylbILrsYZewRCZCRdBZT/TCg3J7NFdYfmrL mu8vXp1S8gZWoT8N9hk0gKkcvXNoNmEsZylczZjv67bN1DaBbbGqjjLGbnrQ3cltr1MgVNQvIG6O aLDqBbt4bFR7Nw1WyGUCMragvL+6KKHANzNbzU2DjeY6TD0ZIm+yV6Fw257lN18XShHRe5zwh8Uk 1MZ/H7evJouYAoEPoEHriDoS2f6LORBx7IbWWyApJol86nyfXi3x4mRKTxACShLFaasoWibky98e LeMdoly6t6VBasvpPD05Rcj3GEnT/XbQpC2LgdsQpr4OTYBtOVc/TSdcQe17lGTP+fG5kip3Je/A HFjmTGwNwUuY+qvhI5pnqCcJ/pQyb/1/6+mp/y7klvYMvQ620SuYCTWqOTUp5UjQPgcyW/lvj++g IY8skOlYRNHZ+4gMpNW3lH6IxcyV+lbRp4zKnU/WnfYak14ezNy6WTP14LqJiRo/Nbs/BYvYDhaQ 7zOfv25XaIPl3nC5oLmHgVzJrDTUt2B1U5EJXg7CiBWL97dNDYAEnHkhBszSJcKhljZqChimqczc HNpQTuaalXh1Lext/0Klc0OPK48eoS8ecN7LtksLLKoQOVF6WJKZ7luCF7PrRSVq5ilhaJEHBqz4 Y/LdxBi5VVZOaNtMHdicsnI7xSudi/Ub+oH2+vKmmju7RGXf1HH/CgtmstGUyHNTBSaXZqyDP+MS iXZa17CaR5xx6IWOx26P6jVTIsi2MdaBtdcKx2OyZOjwKVJjgP0HracQsjK9oKSOEqeTEA2nzOcG dXdtq22nsQJhxUOhpaQANnJn/F00kJRZ6Rj+6WA8BPdpoBD3I8QURFrv8htq0MlGRi1ejIJvdRpI y+yQBEbZa7cSuPtLAZoI4S/ADyU4PP6MCFiwQQ/G89VhZp2JmIxZbPDW/CXx1bv+EM5r3jDItVbp H6mUYYDJoOmMgIXFiMLqRYGB6rR1RXumzYTlFWcu4AweDlS0fGgXlNXhc6oUNT+lz7f9trMZPe1O +/za5gPqd0aJNLlML3adE3lzbcJkGFkEBeWa25KsqNDD0zcS2hWGS8pH4OFo5zpwh91bHpQh/WbE eMis/ebIFbu/mOFpQXUo/y9aZj7owjWcS3i3SolrSzJi2IeeW+Fi5tRPGgSqt1vbjVYEAD968kd4 Yn7UE+Lx3OP18Xn0QeEA8yRBXVeBRchWbPxsug6x4XIKv3zQpeqasFLPq71mtOw+OnWyGY6EKvww wuitjK0z3zdffVawWybfHA34Aftr5AzX/uqeQXGV3VyhKPQ2OudH7jyipMMv6+RWaL+wKc+O7Yof FvriCg1Gau3OazGKz+wZ25wH+rFAvT5akYATlLop2xcSlDCu+s8wXvK7YZFq52fpi5yksBmCC+Ma QhdMndMpcA93X96C7ldRIcdzCeNYrtI3uPrT7l2ByXDA0S5JwtM0J2RXTOdzC7mkUBzvGBjzyc8C DymX2miS9lQkFz0kZCauoMZYL/AsQUEcdt1J3dJ+gn4pcJNNXP+dmX4H0Ds0YBjIdX3/uK+6ojMu E2Z6QOcKLMDmvayjODVSNLtFiR+GM7d2O/odoOSm0iu2k+NLCH6DKP+fHfpa7Vik2GCOO6GHwp5j UQE6eJw87t6rTCFzDOZjA6C6UUMs7Ie3OtNZS8vRpsRjyDntzhsxYHs8l3hh4Cupx5k7w65lKcxa 68pHarydBvAaaUe8iRY5eyXcYz7zFEGw+ou1ZVU/m+1yFWP9SR7QyN8Vci29yxrWkGw4M5qbetsO WWz/TatqADgFbsdMDRepesgteJjzca3CSTIH84OcwqhPF1grPxnnbV5ad5gWvbYYAUWi8hur2PLM JY4NSSOeakwbQpdjpuHmeJt4pf9TDpxJRCHgCQd/eaVQJopIo18ol0OYUm5Igw97iVeqpWi99Efk 8u7Mn614n1GB9mYf4Duirr+WFe2YQyy9ehCAlHqE/NwpEo6SROGvXgovSrEjxQCNf9H/9SlMW7I1 hK+Ul9FqehtsATralwsLJSsVKRCh9p5RAFhDvR7i/efLR/ywZj7z78SDkMv/G/hST3ygentZtEon wBORR2Gwr8nFeMeM+9edyhA9UM9WjpNYta4K4lkV0C2o69uEtOAozbrvyyk4suO3hdkgLeSVao+6 QXcUn4+oW34uCbVEprA1PByekDzZbIpujSpQYIftXRSt1I6tAk5P/BS37US0u0rOdXZH7hVZp4IJ zh12Icxx5tcNVIuV6t5tCBivS4hqzG9G/8nTJQo17tLxKI/WhrUbz1eFXZ9WXZmEMJbVcsfU3LlA lrBghnszDEO9vYrjdED5LbasaiYkFIOmKyk0OcwplcXPw9GV2p6TwLvH9lN3NXnOQfN557j7yKGe AwOEgbOtdaqeRgRE6mmOUJe4oc7g4dWYbmfhVHBVAJLXRndUKXCems2jA6k0GhNEyfFJsz6W/zHi 5NgrJU0Zuh6SaVMuux6QBKE+YNfn2Uq1np3pPMu29BEVQwksromGLi9u4/Ag7v1zrH85IuorNndj sW+SRR3kn6pLD2q5lp9vAjZUPHe6GhTimH7yEZqu+zP136MJqK+W1twVfj3EmMLDjKrap5CkEbhO q4i+peq51LSgFD/eT/YZKp+mNZBiq5YJtOmhPv9km7S/QbgnRLV2YzTFBtguvgICgG276qWGZYqE Lk/K5VUz55JmWuZgpHRYockc2brBTZWbwnOEjq40LaXIMbqbjfrhc0La2HKX11zKSxP5QzXfeHxd mPkx1ltSBYJQM1WpWo25omgDDlTDgrFvtVM53AGr80ujV88NoGgb7ROx8wLKw4zgC7GkX2TG6KxC gdmXe5oE+eZbU/M31EDcIDzYVg3eHqSAUyUMj52UlhbY9y02aJXSynVbOmv37nbOHnkIn5e2YpgZ K7k9qF4OrZ+v8OIbm2OqSfEpWsNTVmQs1fLRQugFVuBkHwXk9cKs16zi9iDqSOD+3quPYmPkCGID nn0ehzygyk0/eeUfO5jYrkkAPtyiDHagRWxhIQsjitRSETVft5kBU4zdnVpScqKYySGA0kIhMUAo oKAnWlDYL3yfHqjUwj03PnRya2dcbpCYhN8ImNf3479NKUf5Q0GeyweFmAwkLs4ZUOPcOTZu1K93 pIjdhfh76oES9yjTbzGxEvi5D2CgRlWomBhNv1XpcuOMg6IZqO1YX/pixFdzv6P9vABPpw/AliTp uJUfGLVHOAQAbK3KA1eLVDCq4B7FVRs4EOlAgo/dHXpuIeaTFFcN/tdFlUK1ntljDZHnvpmtgsnW tw1fjdsz8v7T9IB3M0sgeeFdpivoHFl/JFUe+EMi+pwRA78wZxiRbSFvBQYDYut3OHIZvPAdso0H uAw8KXWFZ7sO8xBnBlrSLZ+Ef/xr3UAMkhhCqGHzeae8M6wuo1tbn5Ja3tw8ZSyiDjhFObIBL/ta P5bLD5mKsdzc8l6rQdGMRzwmJn95Qdtap07zmfpoH0wEmSbpRToZ44OVFVk6aksSNAkprHUESSvr wFFLGBlR1PN3aEzK74AeSFxE8LRR3LUXpsspkftSKyysmhgBDkOqHPssBHd04z4yUazIcHsoj1w/ JFzPCD/lKHpd2ejOh1LvAZQ5FAMCncaMUQWldbKpfuz9Q/yBx2xNYIKiIxYoG5DYTT3l4sL8C/Y3 XWvmbhbl6r21Qy5ulsu935nFjnVBIJditL7iuA+QcD253ziiu1EWZH+Ybp5T2Ta2HEWjUHpdnLBb 2bLqSdhlEe8UFfquaLv8Rg6RjdV8Bpjo1TFEtVIoebZu8yAr+BfJMDj8zX13Tl+y7DygD6p9PTdv riBZToMWxa9vfM+gkOc1rgKzVDP29q+ov95GP8BbODnV4dsD+nh3x647tYmSQlliCcy+o4N9SPek UEIluGt2NkWUTuQh2blwH6YQ5lLG2sae2j5xpgS+e82y47X/1yzPhCJLn3VhUmer5h7AEeJoOKek k+GH3w0whpKI4DfhLrxt2OS2Z3SxEcJEF9wNIL4RGmfhCQIYxZVSfkXFZqLXSj9YLBYMu/SzRQI/ e3bW6DLLaKwXA1HNnTuHnJeiVsRlTmfcPRSAHFpYVqOUb0jW1WMbj8siVTvZHXi7J8nfEjtI4muM xF6yjxj1AP938/5idulT/5+9yt1o2ofTPVwyixciSi2p0kxLHc2X/QIkYexWJU8T15n2Z1M9LmS9 cKcW4MNd2jK651H6pic1YSypKP/KMaiHIBvtjRceiocfIuwhHcIS0TRdVFreC7+XG0eIgoXO9468 SoRTRix5hwVcAdZkyWSob187UfjT0NXq4NS3uZ69CPdudeFN8xWPTBfMkyGoNu2oc4gFP+WNyl8F C49n0BSWLh0sL8NVDSDDlxZ1oBvP/M4aWCFCExwc67USC4oz/N1sFOEnc6RF4pf1rN6od7zbqPXD TmyL2fk5NW2ggpQIxcQi0Jb7EWWp9YuFJs0LBVURwzTnfhzE+/R9a+R7KNnkWU6JQ/ARjwWsbWwR 1/znQbkjVnes3ykl4mlY07I00q945wuHbhgXuWRTEpiP/+tA91Wz1q6OiBtA7S0ImRkanp1DPwAo 6zBW3BCfF/N5pYDFw/wLB2uV3ifGxhBdDiDrdPZ+6KNpx2jdfN7MdrypplfcD5uuhO+FboC/hDtH WR2RyQGe3UtZ9bNFX4WRh/IUPk16NtQ6aYMXZfcIo1Qf33srcfWaJyyblM9QbXJUAV6nU4heAVTV 4JiCYDG2CBCBGnH9aCp1aj4Gq9ehmkgD7fryFPz1ZyFUWAX1TaH3CnbXzJDiYfw9XcGbfEqy/F0u MpvwncctxW7UklRaffxPZCc3A1wsQCLIau4gbVLLfPCqCEgWiPV3AVRYev5LG7w9m4plNwdP0ss6 ibnMrSOprZNluQVwgnPJBXm2CYLgDfe2H1eEQYv8HGtxnCTDN2R5ip/jMlqBAtE9Lid/+U9suJXB Qyp+zr5Q+/Ue5bFOZECEU7rRR/+ut4VjEvY+OhYixHUfNMXLibkhuT5aIunrIL0sSQXnAstb9tBm XqFlEK5NnDjNcMx/Gzo2MYOm2ieKwj5HihPqcIIPnSIkGK5D62u7EGNpaXzDf3nBbjDt0h6apMwK o5nDZNSn6F2aE2I1YwZPoEio6GKalZxsESLgDcfO05ZljqwHf6DHMVl5LJ0aBs2FFuDEQ0spM0kB ZFyC+nyswU9M2Kq/w+V8sIYlo70B/vIbirl70gcrTmQSCSauBbW9UshEqjBtP5xeGpQ3SThonzeP wwpy5PwFvLO5wzOW0J18wHkaeLtzcyPhJdVwfhAFDxXDZy0iUA6JMZrWGRxkOHpEgV0FrjlI6rTD iBV/PvLLKF5ZuNdKIwELYQwu4q19suiORPWgRG3Vm1BZoM6aOGQNWfRgi0HoXTzSfT6dfT7fwMzK xbEbYL5hv5PGkht9p/XRXVfUUraZnOOcq/j7f2brrQoWVIFyCOsDRKJLHvESBctWKuBKhmSgMs88 3ez6jLw/3U+DHrTTrSzbP1NEJVLQwsHbphb2d2O6NvlQS0sEu3OjsAa5kz3H/QrMTKF+1WApKZ/h RGCBoIYTb0zZAteMgNV+QkEFL+xglxL7CK0WRuO93vT7oGZNjpO4OKfvT4J4HVBokKjEddfTufhO JVxcjHgnJLUGcQzZK6m8sifVHKjjgOts3B8UGwSqOjoMECineQcxPka5iHQ4k0FBIT8YOJVkWmsf YjQ3+DQbAWeHQncZw/i/tQqf4W9zW2cu5+JfVoaTsQsRCHwi79eUIx+lBQZSN33KIFg5OISyaGmt zgt7CMlstRQvoRXRfUT/rwSyyOcGXsClpbRFK04IaDTzS4DxE0OP2fr7XpbQq/cixrlzd9nO0Y59 n/q9hVQ++CiIuhIpfp0/9c7DZii2LPNmw+UQnidTp19U/+CjY0fN3Cu52JL4JW4e8u7RZwGpithz 4XCFuQrtK43imcrysVgLBPhQnYP70Vs04Je9StH07ryMOFAMQQfosRYCkVFEuo1PpWGa+wM/5ict dzrv11zKM+hxWlr5cIPjLRs8SDelFW6lgzQaXPcNcDM7jWNadPYNaetCjgrEhkcBrusUQK9B3GNq EytGNV3ofvYpg181jj0ZdpKAwfjoB+ZVyBD7yCWSTR+6AxrFMCy6BpVgAzdzf9Rr2m0jvkPFwka1 cOujob51VWD7H+z12AWRaDWrgygtCBTlAmbdG/uDL7YJ4lqkpsQ5GkGFMatKXloV257D2lKqz0Kd xtk60M5OYkw5cL2DalyLMKKYVcOaXRJnAkbCULD1XfEDlLElusTGFHYyTyyoH7CjeUWjTzyB2N3N hoDC+1szAuVPsV5JVnY8QjZwkB4TAva3duqHPBCG60VEriMKOTCn4cqy+RPkOP9KZ1nQMUSYNK/t 9EMi8OIqfC+rmgOnxAIcuNZ9fr8gRkPxmDwHBKtgQHp2OAWNVqGF6RnIJMbNHy3ex7qFEEF+DQcb lfPzI1GDDT+3t9uods7j6grihGriClgUQJUu0mPRmMHwy4Nbqn5s+FWWGpx3SxDCj9hjLXFcTthD sXDQV0c0vQsDNGTpgWuS7aYHkf13011BjdS9L0VabDnvuLy2DXN4IxpYcaIsYrq6Cksk4jOxLoih Sgx0xkXMqifFiJDXXwzrEQqDG3bz6YDv86x966RVyo0IxCZcmJ8sU7MXBqOKJj+B7aroEpFUzQ4r JtLUZwqQamAXFX1XzPjtENo1jKqoCqVYS1Q1+ycIhcCW2VCBI3xzrG2bjTDu8p2ac87apUtbCR5j SKxLBKHuBgHuje58OxEOn7Ukr7uuW8Pg3ZnatTP48XH9Xzdf5FAVYkFlswF2CF9e0tKK1Zm1THbp n4T4EZKr6P3o1RDnFm/toVAqy8h79VcuJZESiJZKe4cIbuTUChII1v4+ilwrUeqmfcKdsp8fMjGJ CwLq5bbitKIPSXiMJvFb+hAtLJBuPXvyZ5S5zS1lUk8xVlUPpyjZuH7Z3gSxxGK6caFJ9koQvBhI oLStVC5JJvuw5R0ZHEhDxC+x9VGUGvfRvV6tH2QMz0RTlCQH0cfv7yYrZhLmcqQ90BYfMA1BNoLX 5f4N8MRSO6hHZo/SmjEWXlRk4lwsEHHTO3rc+uGfqDJIc1mhBLD4zUN2DYjRxpCwU5QWfAiWS5CV l0dsl+psa5QbXduh5IdeayEVZARrbrc//3YUoeFK7zk0B2j88m0h3s70Yh64VaycXa50rEoeb9g4 NS1nEUwZvxGOhw38HEBuKBMNew3cGgryZAeHTRXvdrghAjfzzN0HXjcIsFOPkjlqgT2er8iykMnO DZT5YSRKvep5B0kPT7jwj4N0UHLH9IiBaqCbI9/GY84YJV9uEUIyWx/C81ncYWHIl4SVYB+TB8Zm Q6WLaHtu2B4quq3gwrL701VARe3Bhnypm/vqUzunfhJvi4raplxmYz3osHy5dfCNCPAlmEFbT36o yF3AMUn1VZk/rENqdkcKNxmlUyUhF06WoJtr/5RZRQUyATQoyJSjav7l7fMeEG6aCBInVKbRHte+ gSMCHyXcS6IoaNyg1WibMxsBwTp9tOJmhAZdQorXhCampHZISx1lFp5sPl193DiACzVqGUkDhJtQ CBdU2Me3WZNNRrGYHgmbXdXLxdzx0RvSeySNXG0d0exgl4gwUurA0I83EpDmWTPXDh6OIyxEzKpj JRsgPZEoF/1BDnTfjlfxOUUuVGG9DxA/EvlMcqyOso3BunIv2+fl+4bYnPNeBrspZnO3EWqJX34p 4PltsMsuWAuNxBDHzboHhkzsqzwwL+hfqLN0NlQjuKSuMVwiPfwiuU18R9Gjbv7j54iZ36EyNuU+ b38T4vDbACvk8vqWf+Uy2amfAVgikUcrXosR8ii2CopGhASbTeNdIx4XHIAo+2M1U0+w6YPQhjPn Catn0DEPxE+3pQVHKDZmdzC7scLUWw== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc706/aes_zc706.srcs/sources_1/rtl/switch_port/rx/input_queue_overflow.vhd
2
2174
---------------------------------------------------------------------------------- -- Company: TUM CREATE -- Engineer: Andreas Ettner -- -- Create Date: 02.01.2014 13:22:29 -- Design Name: -- Module Name: input_queue_overflow - rtl -- Project Name: automotive ethernet gateway -- Target Devices: zynq 7000 -- Tool Versions: vivado 2013.4 -- -- Description: -- combinatioral path to check for overflow of the iq_memory and iq_fifos -- -- more detailed information can found in file switch_port_rxpath_input_queue.svg ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity input_queue_overflow is Generic( IQ_FIFO_DATA_WIDTH : integer; IQ_MEM_ADDR_WIDTH : integer; IQ_FIFO_MEM_PTR_START : integer; NR_IQ_FIFOS : integer ); Port ( fifo_full : in std_logic_vector(NR_IQ_FIFOS-1 downto 0); fifo_empty : in std_logic_vector(NR_IQ_FIFOS-1 downto 0); mem_wr_addr : in std_logic_vector(IQ_MEM_ADDR_WIDTH-1 downto 0); mem_rd_addr : in std_logic_vector(NR_IQ_FIFOS*IQ_FIFO_DATA_WIDTH-1 downto 0); overflow : out std_logic_vector(NR_IQ_FIFOS-1 downto 0) ); end input_queue_overflow; architecture rtl of input_queue_overflow is begin -- overflow if the memory currently written to is one address below current fifo word -- or if fifo is full overflow_detection_p : process(mem_rd_addr, mem_wr_addr, fifo_empty, fifo_full) begin for i in 0 to NR_IQ_FIFOS-1 loop if fifo_empty(i) = '0' and mem_rd_addr(i*IQ_FIFO_DATA_WIDTH+IQ_FIFO_MEM_PTR_START+IQ_MEM_ADDR_WIDTH-1 downto i*IQ_FIFO_DATA_WIDTH+IQ_FIFO_MEM_PTR_START) - mem_wr_addr = 1 then overflow(i) <= '1'; elsif fifo_full(i) = '1' then overflow(i) <= '1'; else overflow(i) <= '0'; end if; end loop; end process; end rtl;
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@m@s@s_@b@f@m_@a@h@b2@a@p@b/_primary.vhd
3
3609
library verilog; use verilog.vl_types.all; entity MSS_BFM_AHB2APB is generic( TPD : real := 0.100000; T0 : vl_logic_vector(2 downto 0) := (Hi0, Hi0, Hi0); T2 : vl_logic_vector(2 downto 0) := (Hi1, Hi0, Hi1); T345 : vl_logic_vector(2 downto 0) := (Hi1, Hi1, Hi0); TR0 : vl_logic_vector(2 downto 0) := (Hi1, Hi1, Hi1); WAIT1 : vl_logic_vector(2 downto 0) := (Hi0, Hi0, Hi1); WAIT2 : vl_logic_vector(2 downto 0) := (Hi0, Hi1, Hi0); WAIT3 : vl_logic_vector(2 downto 0) := (Hi0, Hi1, Hi1); WAIT4 : vl_logic_vector(2 downto 0) := (Hi1, Hi0, Hi0); idle : vl_logic_vector(1 downto 0) := (Hi0, Hi0); waitone : vl_logic_vector(1 downto 0) := (Hi0, Hi1); waittwo : vl_logic_vector(1 downto 0) := (Hi1, Hi0); waitthree : vl_logic_vector(1 downto 0) := (Hi1, Hi1) ); port( HCLK : in vl_logic; HRESETN : in vl_logic; HSEL : in vl_logic; HWRITE : in vl_logic; HADDR : in vl_logic_vector(31 downto 0); HWDATA : in vl_logic_vector(31 downto 0); HRDATA : out vl_logic_vector(31 downto 0); HREADYIN : in vl_logic; HREADYOUT : out vl_logic; HTRANS : in vl_logic_vector(1 downto 0); HSIZE : in vl_logic_vector(2 downto 0); HBURST : in vl_logic_vector(2 downto 0); HMASTLOCK : in vl_logic; HPROT : in vl_logic_vector(3 downto 0); HRESP : out vl_logic; PSEL : out vl_logic_vector(15 downto 0); PADDR : out vl_logic_vector(31 downto 0); PWRITE : out vl_logic; PENABLE : out vl_logic; PWDATA : out vl_logic_vector(31 downto 0); PRDATA : in vl_logic_vector(31 downto 0); PREADY : in vl_logic; PSLVERR : in vl_logic; PCLK_DIV : in vl_logic_vector(1 downto 0) ); attribute T0_mti_vect_attrib : integer; attribute T0_mti_vect_attrib of T0 : constant is 0; attribute T2_mti_vect_attrib : integer; attribute T2_mti_vect_attrib of T2 : constant is 5; attribute T345_mti_vect_attrib : integer; attribute T345_mti_vect_attrib of T345 : constant is 6; attribute TR0_mti_vect_attrib : integer; attribute TR0_mti_vect_attrib of TR0 : constant is 7; attribute WAIT1_mti_vect_attrib : integer; attribute WAIT1_mti_vect_attrib of WAIT1 : constant is 1; attribute WAIT2_mti_vect_attrib : integer; attribute WAIT2_mti_vect_attrib of WAIT2 : constant is 2; attribute WAIT3_mti_vect_attrib : integer; attribute WAIT3_mti_vect_attrib of WAIT3 : constant is 3; attribute WAIT4_mti_vect_attrib : integer; attribute WAIT4_mti_vect_attrib of WAIT4 : constant is 4; attribute idle_mti_vect_attrib : integer; attribute idle_mti_vect_attrib of idle : constant is 0; attribute waitone_mti_vect_attrib : integer; attribute waitone_mti_vect_attrib of waitone : constant is 1; attribute waittwo_mti_vect_attrib : integer; attribute waittwo_mti_vect_attrib of waittwo : constant is 2; attribute waitthree_mti_vect_attrib : integer; attribute waitthree_mti_vect_attrib of waitthree : constant is 3; end MSS_BFM_AHB2APB;
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/read_39bit_analog_io/_primary.vhd
3
265
library verilog; use verilog.vl_types.all; entity read_39bit_analog_io is port( serial_in : in vl_logic; read_enb : in vl_logic; parallel_out : out vl_logic_vector(38 downto 0) ); end read_39bit_analog_io;
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f@a@b@r@i@c@i@f_@f@m/_primary.vhd
3
1609
library verilog; use verilog.vl_types.all; entity FABRICIF_FM is port( HCLK : in vl_logic; HRESETN : in vl_logic; ahbMode : in vl_logic; apb32 : in vl_logic; lastCycle : in vl_logic; APB16_XHOLD : out vl_logic_vector(15 downto 0); DS_FM_HADDR : out vl_logic_vector(31 downto 0); DS_FM_HMASTLOCK : out vl_logic; DS_FM_HSIZE : out vl_logic_vector(1 downto 0); DS_FM_HTRANS1 : out vl_logic; DS_FM_HWRITE : out vl_logic; DS_FM_HWDATA : out vl_logic_vector(31 downto 0); DS_FM_HRDATA : in vl_logic_vector(31 downto 0); DS_FM_HREADY : in vl_logic; DS_FM_HRESP : in vl_logic; F_FM_ADDR : in vl_logic_vector(31 downto 0); F_FM_WDATA : in vl_logic_vector(31 downto 0); F_FM_RDATA : out vl_logic_vector(31 downto 0); F_FM_HMASTLOCK : in vl_logic; F_FM_HSIZE : in vl_logic_vector(1 downto 0); F_FM_HTRANS1 : in vl_logic; F_FM_HWRITE : in vl_logic; F_FM_HSEL : in vl_logic; F_FM_HREADY : in vl_logic; F_FM_HREADYOUT : out vl_logic; F_FM_HRESP : out vl_logic; F_FM_PSEL : in vl_logic; F_FM_PENABLE : in vl_logic; F_FM_PWRITE : in vl_logic; F_FM_PREADY : out vl_logic; F_FM_PSLVERR : out vl_logic ); end FABRICIF_FM;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc706/aes_zc706.srcs/sources_1/rtl/switch_port/rx/rx_path_input_queue.vhd
2
18944
---------------------------------------------------------------------------------- -- Company: TUM CREATE -- Engineer: Andreas Ettner -- -- Create Date: 26.11.2013 16:32:15 -- Design Name: rx_path_input_queue.vhd -- Module Name: rx_path_input_queue - structural -- Project Name: automotive ethernet gateway -- Target Devices: zynq 7000 -- Tool Versions: vivado 2013.3 -- -- Description: -- Input frame scheduling consisting of 5 submodules: -- input_queue_control: receive frames and line in queue, remove error-frames -- input_queue_memory: store the received frames -- input_queue_fifo: store memory pointer, frame length and output ports of the frames located in the memory -- depending on needs one fifo or two priority fifos can be selected -- input_queue_overflow: checks for memory overflow and fifo overflow -- input_queue_scheduling: decide which frame to offer next to switch fabric and control frame transmission -- depending on NR_IQ_FIFOS priority behaviour (NR_IQ_FIFOS = 2) or best effort (NR_IQ_FIFOS = 1) is considered -- -- more detailed information can found in file switch_port_rxpath_input_queue.svg ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity rx_path_input_queue is Generic ( RECEIVER_DATA_WIDTH : integer; FABRIC_DATA_WIDTH : integer; NR_PORTS : integer; FRAME_LENGTH_WIDTH : integer; NR_IQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer; IQ_MEM_ADDR_WIDTH_A : integer := 12; IQ_MEM_ADDR_WIDTH_B : integer := 10 -- 8 bit: 12, 32 bit: 10 ); Port ( clk : in std_logic; reset : in std_logic; -- input interface data iq_in_mac_data : in std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); iq_in_mac_valid : in std_logic; iq_in_mac_last : in std_logic; iq_in_mac_error : in std_logic; -- input interface control iq_in_lu_ports : in std_logic_vector(NR_PORTS-1 downto 0); iq_in_lu_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); iq_in_lu_skip : in std_logic; iq_in_lu_timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); iq_in_lu_valid : in std_logic; -- output interface arbitration iq_out_ports_req : out std_logic_vector(NR_PORTS-1 downto 0); iq_out_prio : out std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); iq_out_timestamp : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); iq_out_length : out std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); iq_out_ports_gnt : in std_logic_vector(NR_PORTS-1 downto 0); -- output interface data iq_out_data : out std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); iq_out_last : out std_logic; iq_out_valid : out std_logic ); end rx_path_input_queue; architecture structural of rx_path_input_queue is -- memory and fifo data constants constant IQ_MEM_DATA_WIDTH_RATIO : integer := FABRIC_DATA_WIDTH / RECEIVER_DATA_WIDTH; constant IQ_FIFO_DATA_WIDTH : integer := VLAN_PRIO_WIDTH+FRAME_LENGTH_WIDTH+TIMESTAMP_WIDTH+NR_PORTS+IQ_MEM_ADDR_WIDTH_A; -- fifo address constants constant IQ_FIFO_PRIO_START : integer := 0; constant IQ_FIFO_FRAME_LEN_START : integer := IQ_FIFO_PRIO_START + VLAN_PRIO_WIDTH; constant IQ_FIFO_TIMESTAMP_START : integer := IQ_FIFO_FRAME_LEN_START + FRAME_LENGTH_WIDTH; constant IQ_FIFO_PORTS_START : integer := IQ_FIFO_TIMESTAMP_START + TIMESTAMP_WIDTH; constant IQ_FIFO_MEM_PTR_START : integer := IQ_FIFO_PORTS_START + NR_PORTS; component input_queue_control is Generic ( RECEIVER_DATA_WIDTH : integer; NR_PORTS : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer; IQ_MEM_ADDR_WIDTH : integer; IQ_MEM_DATA_WIDTH_RATIO : integer; IQ_FIFO_DATA_WIDTH : integer; FRAME_LENGTH_WIDTH : integer; IQ_FIFO_PRIO_START : integer; IQ_FIFO_FRAME_LEN_START : integer; IQ_FIFO_TIMESTAMP_START : integer; IQ_FIFO_PORTS_START : integer; IQ_FIFO_MEM_PTR_START : integer ); Port ( clk : in std_logic; reset : in std_logic; -- input interface mac iqctrl_in_mac_data : in std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); iqctrl_in_mac_valid : in std_logic; iqctrl_in_mac_last : in std_logic; iqctrl_in_mac_error : in std_logic; -- input interface lookup iqctrl_in_lu_ports : in std_logic_vector(NR_PORTS-1 downto 0); iqctrl_in_lu_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); iqctrl_in_lu_skip : in std_logic; iqctrl_in_lu_timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); iqctrl_in_lu_valid : in std_logic; -- output interface memory iqctrl_out_mem_wenable : out std_logic; iqctrl_out_mem_addr : out std_logic_vector(IQ_MEM_ADDR_WIDTH_A-1 downto 0); iqctrl_out_mem_data : out std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); -- output interface fifo iqctrl_out_fifo_wenable : out std_logic; iqctrl_out_fifo_data : out std_logic_vector(IQ_FIFO_DATA_WIDTH-1 downto 0) ); end component; component input_queue_memory is Generic ( IQ_MEM_ADDR_WIDTH_A : integer; IQ_MEM_ADDR_WIDTH_B : integer; IQ_MEM_DATA_WIDTH_IN : integer; IQ_MEM_DATA_WIDTH_OUT : integer ); Port ( iqmem_in_wenable : in std_logic_vector; iqmem_in_addr : in std_logic_vector(IQ_MEM_ADDR_WIDTH_A-1 downto 0); iqmem_in_data : in std_logic_vector(IQ_MEM_DATA_WIDTH_IN-1 downto 0); iqmem_in_clk : in std_logic; iqmem_out_enable : in std_logic; iqmem_out_addr : in std_logic_vector(IQ_MEM_ADDR_WIDTH_B-1 downto 0); iqmem_out_data : out std_logic_vector(IQ_MEM_DATA_WIDTH_OUT-1 downto 0); iqmem_out_clk : in std_logic ); end component; component input_queue_fifo is Generic ( IQ_FIFO_DATA_WIDTH : integer; NR_IQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer ); Port ( clk : in std_logic; reset : in std_logic; wr_en : in std_logic; din : in std_logic_vector(IQ_FIFO_DATA_WIDTH-1 downto 0); wr_priority : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); rd_en : in std_logic; overflow : in std_logic_vector(NR_IQ_FIFOS-1 downto 0); dout : out std_logic_vector(NR_IQ_FIFOS*IQ_FIFO_DATA_WIDTH-1 downto 0); rd_priority : in std_logic; full : out std_logic_vector(NR_IQ_FIFOS-1 downto 0); empty : out std_logic_vector(NR_IQ_FIFOS-1 downto 0) ); end component; component input_queue_overflow is Generic( IQ_FIFO_DATA_WIDTH : integer; IQ_MEM_ADDR_WIDTH : integer; IQ_FIFO_MEM_PTR_START : integer; NR_IQ_FIFOS : integer ); Port ( fifo_full : in std_logic_vector(NR_IQ_FIFOS-1 downto 0); fifo_empty : in std_logic_vector(NR_IQ_FIFOS-1 downto 0); mem_wr_addr : in std_logic_vector(IQ_MEM_ADDR_WIDTH_A-1 downto 0); mem_rd_addr : in std_logic_vector(NR_IQ_FIFOS*IQ_FIFO_DATA_WIDTH-1 downto 0); overflow : out std_logic_vector(NR_IQ_FIFOS-1 downto 0) ); end component; component input_queue_arbitration is Generic( FABRIC_DATA_WIDTH : integer; IQ_FIFO_DATA_WIDTH : integer; NR_PORTS : integer; IQ_MEM_ADDR_WIDTH_A : integer; IQ_MEM_ADDR_WIDTH_B : integer; FRAME_LENGTH_WIDTH : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer; IQ_FIFO_PRIO_START : integer; IQ_FIFO_FRAME_LEN_START : integer; IQ_FIFO_TIMESTAMP_START : integer; IQ_FIFO_PORTS_START : integer; IQ_FIFO_MEM_PTR_START : integer; IQ_MEM_DATA_WIDTH_RATIO : integer; NR_IQ_FIFOS : integer ); Port ( clk : in std_logic; reset : in std_logic; -- input interface memory iqarb_in_mem_enable : out std_logic; iqarb_in_mem_addr : out std_logic_vector(IQ_MEM_ADDR_WIDTH_B-1 downto 0); iqarb_in_mem_data : in std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); -- input interface fifo iqarb_in_fifo_enable : out std_logic; iqarb_in_fifo_prio : out std_logic; iqarb_in_fifo_data : in std_logic_vector(NR_IQ_FIFOS*IQ_FIFO_DATA_WIDTH-1 downto 0); iqarb_in_fifo_empty : in std_logic_vector(NR_IQ_FIFOS-1 downto 0); iqarb_in_fifo_overflow : in std_logic_vector(NR_IQ_FIFOS-1 downto 0); -- output interface arbitration iqarb_out_ports_req : out std_logic_vector(NR_PORTS-1 downto 0); iqarb_out_prio : out std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); iqarb_out_timestamp : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); iqarb_out_length : out std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); iqarb_out_ports_gnt : in std_logic_vector(NR_PORTS-1 downto 0); -- output interface data iqarb_out_data : out std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); iqarb_out_last : out std_logic; iqarb_out_valid : out std_logic ); end component; signal iqctrl2iqmem_data : std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); signal iqctrl2iqmem_wenable : std_logic_vector(0 downto 0); signal iqctrl2iqmem_addr : std_logic_vector(IQ_MEM_ADDR_WIDTH_A-1 downto 0); signal iqctrl2iqfifo_wenable : std_logic; signal iqctrl2iqfifo_data : std_logic_vector(IQ_FIFO_DATA_WIDTH-1 downto 0); signal iqfifo2iqarb_renable : std_logic; signal iqfifo2iqarb_data : std_logic_vector(NR_IQ_FIFOS*IQ_FIFO_DATA_WIDTH-1 downto 0); signal iqfifo2iqarb_prio : std_logic; signal iqfifo2iqarb_empty : std_logic_vector(NR_IQ_FIFOS-1 downto 0); signal iqfifo2iqovfl_full : std_logic_vector(NR_IQ_FIFOS-1 downto 0); signal iqovfl2iqarb_overflow : std_logic_vector(NR_IQ_FIFOS-1 downto 0); signal iqmem2iqarb_data : std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); signal iqmem2iqarb_enable : std_logic; signal iqmem2iqarb_addr : std_logic_vector(IQ_MEM_ADDR_WIDTH_B-1 downto 0); -- attribute mark_debug : string; -- attribute mark_debug of iqctrl2iqmem_data : signal is "true"; -- attribute mark_debug of iqctrl2iqmem_wenable : signal is "true"; -- attribute mark_debug of iqctrl2iqmem_addr : signal is "true"; -- attribute mark_debug of iqctrl2iqfifo_wenable : signal is "true"; -- attribute mark_debug of iqctrl2iqfifo_data : signal is "true"; -- attribute mark_debug of iqfifo2iqarb_renable : signal is "true"; -- attribute mark_debug of iqfifo2iqarb_data : signal is "true"; -- attribute mark_debug of iqfifo2iqarb_empty : signal is "true"; -- attribute mark_debug of iqfifo2iqarb_overflow : signal is "true"; -- attribute mark_debug of iqmem2iqarb_data : signal is "true"; -- attribute mark_debug of iqmem2iqarb_enable : signal is "true"; -- attribute mark_debug of iqmem2iqarb_addr : signal is "true"; begin iq_control : input_queue_control Generic map( RECEIVER_DATA_WIDTH => RECEIVER_DATA_WIDTH, NR_PORTS => NR_PORTS, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH, TIMESTAMP_WIDTH => TIMESTAMP_WIDTH, IQ_MEM_ADDR_WIDTH => IQ_MEM_ADDR_WIDTH_A, IQ_MEM_DATA_WIDTH_RATIO => IQ_MEM_DATA_WIDTH_RATIO, IQ_FIFO_DATA_WIDTH => IQ_FIFO_DATA_WIDTH, FRAME_LENGTH_WIDTH => FRAME_LENGTH_WIDTH, IQ_FIFO_PRIO_START => IQ_FIFO_PRIO_START, IQ_FIFO_FRAME_LEN_START => IQ_FIFO_FRAME_LEN_START, IQ_FIFO_TIMESTAMP_START => IQ_FIFO_TIMESTAMP_START, IQ_FIFO_PORTS_START => IQ_FIFO_PORTS_START, IQ_FIFO_MEM_PTR_START => IQ_FIFO_MEM_PTR_START ) Port map( clk => clk, reset => reset, -- input interface mac iqctrl_in_mac_data => iq_in_mac_data, iqctrl_in_mac_valid => iq_in_mac_valid, iqctrl_in_mac_last => iq_in_mac_last, iqctrl_in_mac_error => iq_in_mac_error, -- input interface lookup iqctrl_in_lu_ports => iq_in_lu_ports, iqctrl_in_lu_prio => iq_in_lu_prio, iqctrl_in_lu_skip => iq_in_lu_skip, iqctrl_in_lu_timestamp => iq_in_lu_timestamp, iqctrl_in_lu_valid => iq_in_lu_valid, -- output interface memory iqctrl_out_mem_wenable => iqctrl2iqmem_wenable(0), iqctrl_out_mem_addr => iqctrl2iqmem_addr, iqctrl_out_mem_data => iqctrl2iqmem_data, -- output interface fifo iqctrl_out_fifo_wenable => iqctrl2iqfifo_wenable, iqctrl_out_fifo_data => iqctrl2iqfifo_data ); iq_mem : input_queue_memory Generic map( IQ_MEM_ADDR_WIDTH_A => IQ_MEM_ADDR_WIDTH_A, IQ_MEM_ADDR_WIDTH_B => IQ_MEM_ADDR_WIDTH_B, IQ_MEM_DATA_WIDTH_IN => RECEIVER_DATA_WIDTH, IQ_MEM_DATA_WIDTH_OUT => FABRIC_DATA_WIDTH ) Port map( --Port A -> Control module iqmem_in_wenable => iqctrl2iqmem_wenable, iqmem_in_addr => iqctrl2iqmem_addr, iqmem_in_data => iqctrl2iqmem_data, iqmem_in_clk => clk, --Port B -> Scheduling moudle iqmem_out_enable => iqmem2iqarb_enable, iqmem_out_addr => iqmem2iqarb_addr, iqmem_out_data => iqmem2iqarb_data, iqmem_out_clk => clk ); iq_fifo : input_queue_fifo Generic map( IQ_FIFO_DATA_WIDTH => IQ_FIFO_DATA_WIDTH, NR_IQ_FIFOS => NR_IQ_FIFOS, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH ) Port map( clk => clk, reset => reset, wr_en => iqctrl2iqfifo_wenable, din => iqctrl2iqfifo_data, wr_priority => iqctrl2iqfifo_data(IQ_FIFO_PRIO_START+VLAN_PRIO_WIDTH-1 downto IQ_FIFO_PRIO_START), rd_en => iqfifo2iqarb_renable, overflow => iqovfl2iqarb_overflow, dout => iqfifo2iqarb_data, rd_priority => iqfifo2iqarb_prio, full => iqfifo2iqovfl_full, empty => iqfifo2iqarb_empty ); iq_overflow : input_queue_overflow Generic map( IQ_FIFO_DATA_WIDTH => IQ_FIFO_DATA_WIDTH, IQ_MEM_ADDR_WIDTH => IQ_MEM_ADDR_WIDTH_A, IQ_FIFO_MEM_PTR_START => IQ_FIFO_MEM_PTR_START, NR_IQ_FIFOS => NR_IQ_FIFOS ) Port map( fifo_full => iqfifo2iqovfl_full, fifo_empty => iqfifo2iqarb_empty, mem_wr_addr => iqctrl2iqmem_addr, mem_rd_addr => iqfifo2iqarb_data, overflow => iqovfl2iqarb_overflow ); iq_arbitration : input_queue_arbitration Generic map( FABRIC_DATA_WIDTH => FABRIC_DATA_WIDTH, IQ_FIFO_DATA_WIDTH => IQ_FIFO_DATA_WIDTH, NR_PORTS => NR_PORTS, IQ_MEM_ADDR_WIDTH_A => IQ_MEM_ADDR_WIDTH_A, IQ_MEM_ADDR_WIDTH_B => IQ_MEM_ADDR_WIDTH_B, FRAME_LENGTH_WIDTH => FRAME_LENGTH_WIDTH, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH, TIMESTAMP_WIDTH => TIMESTAMP_WIDTH, IQ_FIFO_PRIO_START => IQ_FIFO_PRIO_START, IQ_FIFO_FRAME_LEN_START => IQ_FIFO_FRAME_LEN_START, IQ_FIFO_TIMESTAMP_START => IQ_FIFO_TIMESTAMP_START, IQ_FIFO_PORTS_START => IQ_FIFO_PORTS_START, IQ_FIFO_MEM_PTR_START => IQ_FIFO_MEM_PTR_START, IQ_MEM_DATA_WIDTH_RATIO => IQ_MEM_DATA_WIDTH_RATIO, NR_IQ_FIFOS => NR_IQ_FIFOS ) Port map( clk => clk, reset => reset, -- input interface memory iqarb_in_mem_enable => iqmem2iqarb_enable, iqarb_in_mem_addr => iqmem2iqarb_addr, iqarb_in_mem_data => iqmem2iqarb_data, -- input interface fifo iqarb_in_fifo_enable => iqfifo2iqarb_renable, iqarb_in_fifo_prio => iqfifo2iqarb_prio, iqarb_in_fifo_data => iqfifo2iqarb_data, iqarb_in_fifo_empty => iqfifo2iqarb_empty, iqarb_in_fifo_overflow => iqovfl2iqarb_overflow, -- output interface arbitration iqarb_out_ports_req => iq_out_ports_req, iqarb_out_prio => iq_out_prio, iqarb_out_timestamp => iq_out_timestamp, iqarb_out_length => iq_out_length, iqarb_out_ports_gnt => iq_out_ports_gnt, -- output interface data iqarb_out_data => iq_out_data, iqarb_out_last => iq_out_last, iqarb_out_valid => iq_out_valid ); end structural;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/ramfifo/wr_pf_sshft.vhd
5
20160
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block I06Ke/HcfREfnkxxTkdiDpHDXkOiLmqh9dWloLxgvry/Cwdcrb+9YOPFy7RKjuJ7aenemnEPcJKA t8EfDvBDlA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jyZ1bfbGRjkLmvhGXJCwpAScYeDzs53TFtOtzdB/IXBsURz5lOHiyuPeLzHZxLoeZGkYqia9Rtl4 rPZ/FntNMmT1IJeeNeUvmi3G7I8KNONVW5b04hiMFNjvEnSqFoR76F3oDYihf/WxTtwqrk1vChpI 4SD0bSeRPo8OrM7lwgY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VQDKpGQqGvNkC4qq87ReEdIwKLQo/LhNO+Ky41dL8ga9efpmqknrGhXEuwdHPoDTL82RsKAIrGDt 3T3ddD+ATYI+feqfS9mSGUJwSBAfHxtJanpEi5v8cnmaErm7trEmS/JdBVPiGhEWMmJJAQP4lJtA 2vK29IraDOVgimHczC9JfPkQ+h3OBAtaOjZU5DMB1b9BqfpJRdKqY4ERAaiFJj/Fj+OcFmvMjb+y rHOUuOWs7T8BYpV1DFxp9e/yDrQAKDqARyLwS1v9JWS0qvJZHQWb4NBHnF3vHR39X0Gae2wQm0BU AHyBLH0nWQvZP7Lv5Z3Vfx8Gh2e17BO0Jc3vgA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bNPZp7ZOi/5TOfRzUDe9Vrq+L9Y5/aPZ0nSqJszH2NaHZlUxrEuKGTWLxyU9pwm43PH3RUqpteUk 3oTHwKEtU2OLyFwmFRBJ/+dn/7Nxl2zxHs39BTgET9XcjIlossjI9qstrGq4Rp7D9zZN7s8TICxG hsF9aezId2CWyyNKM8g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CEjT69Asl6fQLDA09LW9QA/0CKHuxjGwx1E+6nqTKNgV1AWNE5TUDB7lZrDyJADlWIBfm4MhdkBX 5GtHY6EWaP0pEzX7bas7n+Mb1aHa54FonXYrWKz5GI7IL/kvvuIMc4i7ggaczR1Rp8EsOzSyam0V MDjx7uaG1NsWIsc8Rjs4ha6FVsEotLsKYCCiWLka493cy+mlOc42UztDAEYdcEAY+eTzgJR9fJvh vUBMmetLuA3d21XYw665pSTwmB5CLLit/ZUo7ggDe/SqQeuo9+vqCCDHECn+Qa+YcTWjaqbUjrkS u0FDz2j9+pZkmOcRQt9Fe7goj5x5XAWwmyz3IQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13184) `protect data_block gxo6Gts97rrNGAD8PtPYGKb1Q/Ylo4WwIujnVBrar8MnxBJGv4X9h0yCfVrbPnJeuZDW2QYht7TJ MGYnTgLFhu44BjzA1yreirY+pMtkNBbblVVbk3T6kZwV3hX+rSfqut/q0rtB5hU7+W6cI1ho+5bJ RGvHg72fBXp1YriZ78jHb4v8xQCcvaRibDjQMRq/hypXL1HQ0CeKbQG32VVQEH10R5UTVhAarSdL IbvZU77CX2VLZqXOJCPYnJfQ0E4T2gp5GCUCuT4opQpMMeXvPg8yS0FnC4JeT09jHrV1MZ9bakxM 9IGVRkCLImzlLXEWD4tOxiIEq+7NXRVtltYtcuo9Bh+Ie8f6AXmSYbgDd5x+UeEcuspLBKsVVQUj PTegAc11ms4smabEyImGVzGqxK1pjj/fcOpqhSTeDILWB57YINnFSN5OijKsbOrnxemuw7V4+nFJ Gx7e31Rz1Y6PhlH2wH5oWA+e5LqXpg2ExXeRCJuu/z8mGnGiKmf3W+VFeG4Gp9jcGRg1SAqoLD4K 8j2O0IRleXCXD1XbDMZYDlcBRGmuXG7aTUi7sKvWVvziRr2Ggu2P+FcU42iBMTnaKwwUNwMMsv4k hmgerrKFUg3aIEE9lSiSMXgK10NTZkaoncbfPOfc8AHLYybPjjm9FjyEVrws7+7F8x7R+VDmgNJh lFBOERf6Bv+j3OECTBLKFMQIShBH2ajMpPoEwMwZwGTG+aGoAlQmCz9lCkZAzbtEMwC5KhsnO2zJ Yw9hRVrxF6UPW4a6QhmTe5le6R9eRkiaQI4MbB2stPmB3xLxhZ+vpyrI3HzTTgHsPJzWeUvgnhZ7 kjSV9x4Am8lp0Z/BYvA2Ly22F5I6qVRePKbUroTKrikh2AE+3YcSu+7KOsa/5/B83a2c8GC0LM1I iw8Q1fYQKYgEPJ4P5ekgwxzUzOkpsbAIoE3Xhwse2vfrVjev67POGYlwyqOuNQHAKHIdQW1kPitf n2OGNELouVjg+m6xJJE0HwNiBRyJ/lrJoSuWCWjzyHl3Pu3lEIvgBntHIOYG2goJeyN3OSrCu0cW 8BJoJPdRGVeUb5PkIKo/loTVpIjEJ5vyLqLUZFXCC5jFcxli48GBamP7gSmVe+yua24KE+sh6VOl v4uQHn6pAv8EdkOgwau7GFvf/Via23PRrxYfOXmCA3lEZLhyb+juxGOrp7tpW3Anfzsp6I2sHypN QPl4yhBJ3e9RzsORmKn/7JB8ZMp1bpeFrqT7UtTkhkbqD8S2kvNkbGYUKsJ3Fjwrw8Ul5DDs5e7t d8LpEdXsTcvNu3t7+PN/oxkexXLZb0QH/XHCsbw+XnOp886Klw6XTIiTXb+VJwRhXWJbCIZOK4uT 5ewgkKBsCSByq6n2B4G6IF+aSQVCP+t4ADU8JjP0woyFnw+ZBUdU9hY9emShdkPdcUWMsItvGt9I Ukgk61rQg03yy2miCctPTcaHmgo+1KU+08JKgtzS0zauG9/rn7d/rL4Kv0NxMu9me53g4tcqTS07 WK6fHpg1OvCTMVIbc39koktYaqFZQRiydhAxj3zCV076KvieILTVuwnysMymhcVW2voj1V6S1760 ZIVnYoSM6R6sE9tYt+ksZZlKmAl0PU09OO8VaazHgngs6GdeNZJuMAgRou6SBMQ3Q5FaLvp6ZDUv /On0aXQkX3Cv3UDgQSwPbQHn0eJbh8eFwnUW5Sr4nUyQpNAovFG7QSrBrnI+AqRza1YJ4w+jeWvN 4V4R12q1QYIiefvMLu8N048Fp7XhCjDKJSQHAbQJtYpOMv6Bt66n7dy+vVbEgkvwnn2ag6Volq2r fMUbicvdsJonZHMgvd9ZKMu1zjlf9oUd5pqZp4SmITzhsDx4MyUHv4hBsjRf5JS3v+0jV1AP6XrC /7X0fCDTB0i0QjI3Ijxj6rNyQ0SoPhD7AIgD8Ba2Jqhbtc77mva1pLG4Yz/Yfbm8V+7Lq1TKYjX3 PV1YxNi7H7hM2Mc9SPO1fdCe21992FTad/95rFx5WVCO31tAp7GfsI6zf7H/peqnZ8YaCt/sdMJv 38dEGFGHbIcO6iHHwCvS8VZsQwDQZSTpTxmPTmj9G69CgG2RR/iUnfBiYfNIX9t8fvQ+6/8Y2Rj4 fPnghmyZnzjzEriPKTJ8ucYhBjvCAxwns+2LkT3bCwNV52aTZUCM3aEwHToprde3VWeDIidvwk7h vC0G7A25uUw04J8mZAVnOBeew6hKA94sxY+2CNLBavK4ks5fveVTJ1vqHAcuus061y3r2rqqgIt9 JB3aBCS5gkamL9oNfKAZZ/Nj6DJjDWNqLzRpy9VFFaSR4rVVjBdiSWve7xgscdX/1X5chq15w3RM o2wiklDxUZqZcxiTb+G+/maTt5DpfdzRAM0YpLuxXKvcFnieTFa+UWK2iBlfJlULZuEFvA+kUo4W F+Eol63mDPsrVVtQqERAXvKdQJLHDrMdnMY0a+XGv/hyULbVK78GyJWMmggOffejWdlKSCyB4/a+ w+1uSy+v2TKshwsxwlstRLwUKrONLQd/Nhkh7WZzuHo+8HyeV+3lzumVNIbN29nguw8JQcm92UyJ gUEYxkCmEcvQQMLN/5Y/tpEwFZxypWOXed7Ss0ZUd3wsh1I5xcjjq8FKuA9/BaLWqxJ7AqAT57Z2 E1ZzTtG7PfXpSOs6DpLn+Ei+ciLB5Ter4/yKCmUZYIt3RmM00q6iNI+OqxVDnMk67Ub6qAQCbYJu qPvlHixhGiFheodq1p9UJRlr0dD+pjGZdMzsAFLyvUNpJ5mmqDkgYwUwAARDStKKysqIygAxw1fm fdJabQhOQ7i4HAcbbu1FH1XS04uX/IXYw7kAOq5WSJ3jkL7l5DzeHtD0hQ41zwgrC7PNOflYLdgE YbwCEO0ZZr+PrILWPZB6ty7qHzZgAlkc2ATsKByO535NDS22bJEZ2edHh3q0IOJw6pVNg5L61yrE VORny1eesQrkEmktoKw8/WhXSXH4gXm0B8rApr0/oWkdgPIGxULa7egRgpkC6Qcbax3QvPserztY TwuCOha0gksBJgjjVbwlCW+5lxk0VFH9fWQKby3XhUgY3LAvkMZlxRrKYvb8ciiLwDzXjRDdPiEQ HT4rM8q92f0sdz9RP7wFSUnFRkDkA6fh7VDdNsv5CSO9gP1eLYpC0MBALpbttbhRcyt4246cVDEW TzfyKgC956OFCkyXZVjvMptcaAeb7wjO29tkcoUWfiWLmyk6+vGx3jyd/gWHC+9ylAulTX4hkbA7 Tlo96h8qXr6QhWA6wYGnzYLew3s9I/aDvNOUV2/KtnSHj8sOKiDOtOa4eh3cEpOW/c2uLnnDlmfh hoaofQ2t7Jn8NmWbZG7Grc0SEz6JLFc5KBusX6hVgWo5K9khIT8E14s6F8ERDgVbiL2CeR7O0yCp nEhkgcW6UpBfPd9MoKgNRuwlvGgYvaMOIc5Vm6CF0Ge1ZxLFk3qrtEw6BhUT/q91XstXgmxTBunm uu5SaryPkPtI1227NnaSHq2+EKgt/RDNJHpV5TOow4AcvoRxOYqEyfZGmwWEebeBgTfwpIvXf9oz BgjWTHIsNFWU1Gumt47jpmrL+ZsjjpgnwJ7CuPeiMNiUNLCtO3u7XwYKTdJMSnG6/fdqbHAe/vLh vhY6VEUA8rf45wnPuRLUZ+SdxyuceC+koRrDkwP8fsQGdhz0cFjD94f0wvQsTI+ONvZOUnzTCHM+ W9QJMjEk6IJR+K3pDDhllVStbXFUZRe0TC8XakjdRTms2opheHUhmez/PtHrlN76ZTd7W/SW0C4R VF/tCEGLvo1eIMTwtPFA8M/65goLajDbkWvMFwCe7pw87pkNSPaADNmXXx9sD4vvXaCwCnYCXI4E OdtCEKcp8V1CGlRXJwBFd6tqjs1QYNxNTWsGZPH7EqyLI4Wc58tfjsmPA/7LTp1+hVIWs6tLG0gT z48ffe9zrBgJi20PjryR9nMMumTE6wdz/V7KenbUp1UGqblyyHH74IuXZNzrxDsSrUgroV+FtRnh Qb9V//6NIrcLGe47BLz/d6+ZGRuAEHxT5DxxfhxhxtEAX0mEcXxovC9HvH8vB6qczzMf+gtIdZhq DYXFnvC/dvpVzWKMQgSHI8DwlW2AIth3Op0zPzI/dUL5DoUzTy5ExwjM0qeDNEPnUb9QCVqEzCIs zU1y6hgH6aPUGUp5LP3dGMZPjga+wH9u5hbM11LJ8Wsqkd4vxakCDo9tGwbX7Tb6DSQ7l/Vyo16g g7F42lJcxpGbS/bVOMMoDfc6mMDnoQzD+zXdGPL3QB/ItsvSfB/zLIuFFa85NBFnDCOi4hbZNJNC dbjY1P1A0Esgisi/Ac/hU3CjWR8UddvYHfn53r3JIq9etWO81vZJMitczFlwihSsm9exJoDvOFGf /phrKNkaSZzeeSpCJJkVnuvfT6ItpRbCFw+SuqMpCujYkhBTG3x7r/CzOIq5YCZtp1WghKRM+CxH 273zRk1qzGw8K7jdBbSdsTBUfFC4TpxtiPl+VmuAtr3WFVH82AR0d1d4sXe8ff1F9aQLv73fF/0O cIoj1WaFPi/pt+6oILusE5+2mwmrcBf4Judn2qrcJvNYX/zeJvdQFfRsNPULzK0aHGvMFFLlBc7p 5C+SC6GmynQKd+OM6pdRPnhlaf0AKJnOZrB8LxyWeTfQ8WcSd+qKMyo1FT6a/noVuByv1QI8nL6c qIBdMbuSCMKN7VfKiQlHih5R2RdRH44Hknr6KCcu/4h+P3qNrZt0LAF2lj6mtQCEpeJrQBP7X7es b/pf1to0VMvUGWRqvPnJu90YQrX1oOU3lirvGpzPDR94KKYA27lBk3B62UvfO02QSrCeQwquaFuD x0IHNuLGbG9WODSD4wUVab5a9CVMALEAmE72GkEITgz5wCd69pF3AOLCrSUbW4KL/Qus5il1D2Ai rlIYfLeVA4AYIbJUV49Q600utfQN8taEtojLYAt0zVo3mgmpX4dQHAWT3pn3AGkHsjjTC+LfFMt4 WAznfI5WEg37WxT+XSqWDGRSAzl4ftZ0e7PktMfayQPbou1KIsMRhI3TSoaOFg/+GXhkNg0+SL9t JNbvtgS7BDQiVeUKTSiBBDMuRUSmZ6fPJt8HBZW81YIFkfOqnmNqxymecl4uixnI9EHUTdGz+89L GKc5N9doqyhrHw4fXncnVig5seeKKDXGUq+dmCuZeK2wGC1X7DgPW02NGRNPnA8hlDt81H5mWbqt O3+LKYlsBzC1FCu9DvlfGUvd75oYD4wXxYcB8fmO6ODRDWPJYVgDaAacSTaFscFAhFYvaqBf1ikP R65nVHDrWtPWv2g8CIHauw7cKrxZcDBA2Fq5IExk2fHI8nQb4mcYhbfRr9z1CXj668ubF+p6SAfl rh58H3KjHm8hdAOXyY28MnKBXuSTZtk0WBk6KJiZXSNDN35/IeqPQerq/NFdUUUNYtbzWyTwH8he uCBnrsZ+QLcR8eb/PEagY5Yve4VkCCM8BIa8ZfC+D9SYUNIW9qCj/5PukbC1+aIQVa1qLSC40eYh w3JeMV4L+Wl+RMPRJD2V/Q9r//BZDggV1L3/vCIwdXLyybriyU8JL9NJgBAkT8aoMS78PV7knMCC ugC36dcNzZ1xn18mA73JyCEySuAk2I+Qh4L7fhFe4CI4c1wu3hOxPOfr5T/Tw6EMYF+AU+VQYQ2d 55R90mcVycHQ8PyiQvmeo+E7ZRUsoaEMcJkPiOz/c8HHAsYxjcClJ4Q8sfxKQH9CW091wpU+Ycc9 vih1xk8Uoy/cpc8ZNJ8nRZzzs8VQEMcoy9stHCUWzMmXRjjCVuxzMw6F1KPdduZHvjQ6yYgE7Rhg Hvmp+697i/jYgiUElVOC0kpCyavY8BFQSTPRyWwsT8Eoz+Wt2nJXMcPHi/XIUacYkTbBHR2K7b/R ZUJvlqaw7qmyVFoU1auJKy0vUXcbgWc6RvInhRJZdBz0PVZqFEuvKMUOiPnqxxA7mJKiQc1wSsKm Uruac0X9+dQIUJ7toMsWYIdYrnWyNbCqtgrW5LwK9xv363ZqfHFet9tCcgYve9IaIO+A6KHZSEWz Z57QLQ72RBNpe0nr1cJu18Ors/Lv8xubpJhU55cal2GPQoqHQe8lLhYYr6YCJZpDn6RJRfV9aq5K J/walQ7k43iG5krl6+XfBbILJZViyf56L1/pQLgG8GAwHE+TWRWH/0DsKnnLYWJZKZO/3PFYqVxE cTzqhNG3FrBqVyfn3duXpdsNB2oYoQu8W0cU2hz6jUzK7VUGWN5RTFUQ44oI7aMuiJEjofUtcfUr lyCvzchMx76GuLDjDOvtbf6Z7dc+meeXFAkBuKOKQDnFe9dN/NPrZDzTN1B5j2Eazlo3xZiNH3QP CD96MDmMYaDORLjBBXbGZ49mD2+IpxGPpeDb9llriSJSRvpEdGwvo8QpVMJKBn4cR8sHQfLiPSsG VElXf2zeSwjF2070cH+OenHrbUVnR3+pNMZENz2LoDDrgQZIlxd/2ibrc4LzBzHg+NBXjPOfr5NW /8L8Lcb6qeoAVABwJfKp1LQqtzATdiGAxz75lb5wLvt7JqKhDkD68ZHd1A6HWK5bWtW/luOvY1/L nwN5gfh9HcQr3Ov028GU5q+5dT8s4+edmLXFu5Ak1c0lYsdBiIpuxDOgcWUDXlg3AIEXppdJ4PKV Ij+s+6ORnZCeRfGzEdsu1rCwVhtTX+b+XlmJmF4sPn9ZpAydAMHEYP4frLj72KzHB7gim9uZQSf5 TyjVKyJ5uO6BBP1dPLr1B32fF/+INXs1tvnirbt4omu97aaDR7odro5k/vm0Q3xxxnQwkCbd3dbN 81dhocJ1pOkF8YM8s3RAdN3E/YqKclHK1jrHYoeT1tsxxy0cznhPF6G6q36W6t7jOBwQMtPDpcT9 QIfmZ5w4W/rApE57lJasjr06BdYx36uEj42fH53hR5bVDxYE7r5vF/ojCvIMl08bEmzMFYG5c6qi Zg5ZcZ2NHF/JA2s1dDk9YibN4VDYa5QbA8CJ6RGwCEaP7hdidTMPH4U6belm1RNFWBwTz6BDbIke NOa6Y5l14d1l9OcTJ51aiISiFUKaE4XhEtWrt/RGXtbHJZyfAXYl7/GHYkqaRgMJ/k9qsYexaueO a0Jmd+DHpQCzF9uZzSIZBof+2uHS1NaOQP4errf69WOZ1217LjqUDCSbyjRf42naWL6uMXJmw3af 2P2ZBeh2d3b3Y/xpyWT4sURG1bm04k2Pvj/VONszX/LCTtYyy0lrbTighSCa3sZNi3cgF82UvCx2 sfRk3JeqFKtkzcUaUTbrpvPqiOrrqhO8PbPwb0NbBG6UigvraVHu6r8PKLs0sIrCinTRVP4j543u 6FcUUNgvwcM0Y1+r5wycDuYueY/axDCgfJs1Y1XkXj4r6MouAZF6YXAjVA3DOKGa9QkNX+Ky0NyS cwLxbjrAg9OBqVJPWbhAAbBmCi32RcCZwr9FwQ5DB2IKsnQLSrTzqZOyCY81LgG5I1v0sx/w80yg f/IUmNSO+cgUuV+4VhSum0sU7TCkem9ev6Zdiy1eesjnGVNEtH0ZsXBwgRGuQ1xrw79fOxeqpL6Y 91GCWBZLuFP+CFGXEEgxmZ9QlvR1vtVWeyaMbGDo75nROIvi0ulc6B9854Tu3Gfvu+4YoWZBJa9J HubSZti1OmtF934P5EoY4dgfe5sIxDqSLu10kA7sBclGkMbKG+8hTGneRU0bed50aD9trvj6y02c qEiuasNviNPtbJF1ZoS4nIIGN2viLXkprp1rbJ1kvC3Q99f92zBSNIcmtF+0jh20TsLHM8MnJh0O NIh7ci9t3jHhMWsdPl+J5ZyBoArDeLYKJtvZwGcDaJsBvH1w7NaPEJ9SJ2mb4kaMwb0WQgEM0MMk /JO3F26+Xl3Ox9iTo4181ADSzZKoqktbAtJBBND5u2wRHS3VFowjBvtnxEZG4c6d5Rti2XhwYHYJ DdH8RoX6fg8scimybiTiKib56fDh6NcBMAZhLBLJRo7ZRcYjIDoNTzs9Lxl+DPYXsdZbEfNpyxxu epicaRlq+va48lV+SbQ/2ZEY9sNZBMWSraS24kStnCxsROD2ksV6T57j1UVZMsKGdPIH9YaIcgT0 9wYH1XD4i0PblCVAZxi4YgNWG7xr1bJRGqcGFJhW+I22pxRDcolj5E5hQ43jWLD0SABWfnsJIBkK wrWOwHT31b10t1Ds8FR7EpahdnZgcRFyqI6gKEFz12q0j8VaxgjYawmKeJObNc9p9ZBEzsdBeZkg T8Rx0pPY6HrdEYPjgQfbHXknvj3K6q9SD56hIEc7tnB0opDg7SqRHTS8VpGZctlmnO1c3shjmltg IqZ+SxSCpgw6NonwnyE0iw3/gsKPhKVdQ7CHiHqLfYvOBVrjIc8x/k1bhJKU3mpyyVW/it5nGXwi hhoUDD3WQWqCa1HCMQlnvMhUZFqQVVLo/pzbpEOviwubs7BQmd4iW7HLtm8D0wBq2oyI/iSH0uWI HlNCdcu/PMaMTnXXnsdjvzKDoItqq7ZDfZIV5KUKuR5fI5vNLceqVEBcUfkV0P5IyFe9vzgVYUor PvecJCLle8pv3+xcLm7n/vk8MHnaTBBb5qiwrI9PyepW6F7iUW14/WMqrjP/Uqxrd7GvMidYbKvq gAaExjlYLbqoueSalUL/pjj/CFA0E6tHnOFxYEfIqMtN3/TwsVEtUusFZfd30VuO6DVj6Mt2AWLR FOtO+PC+eXNV0AlWcCif7QgF3ErZ/OEJ3yHuo2NKsVpbSHiHMCX3r4vtZHc01dCh/hgfkDIAcknD RD//i8gxXvBh8wRMzyzOyEwK1+ZW3Da56KjsjXfNoyOC8DzgKH536SUAWeF3wzR5lV/7o57O4U48 kOz9vnbhZWdQmsOGoUnWpCVb6LtadsbbLo/kTky1ZVBLN/ptZI3gM1H2h5JWT1v7UB5YQZfHHl1C RrwQY3Vl/FT6sDDPlgw3GsGwrs1uoNaMZ6Nss6HlHHYksAJh1q9qs+yWKjYTLV64QAaM2aij7vMf UNQsMuSqx1wMnz6yLvX0hMSSMD6laS9nLvzDL5kNUa42xcnkLKjGRL9vPS35AniFH1p3RDO0kw9g +GeY+NS23UHs8ELYruLADJTyqRfaDllrD984t+rJZ+qUc/K5TQKUxbUgpw3YRL9KOpMP4KPBV3xl R3GYmJJVGTSxQ2eYsmwR4iFPQj2FCY0g2RwvgETcuUCVpRWpDg5PBd3Ohq5Jk5SfWYfKyot08KDN 8YxwYnk/KNMEEetueIRO/R+M6jTFoNSQKFFJwdRFSmucNOS7/JpIDFNJ0zq4hdg4ZTjNM8GNA4Ep mEZ6SnVMevEEHxKihxLVN2L1C4ACp6Lu5G5gANq0s2XX1RfhNb2KRPAHFfnXKzKRLi5TjKtbHjDh Gt6BWz5Zxbt3cnLD07Q6C4cCWmT6xrpiiX+d7UiMYf7tmq/YTX8j7FRBnPtaC4u0RE2iTjx70dmq lLpEg57B/OyWH5Yor8OONLib8cjPBGBXukeOBDI7wbhhkXiXnmFuxnMQQHqKAv/xiMCbIum0+R4L YoDxl5GyuMkCXODrluN73f8ywTtGlcFahCw0tSAhY3AtwqeUzjbX0DKH6trZx2TrGchCZroJe+3b 30GT5pkYsnx8o1kIROmSptg/m9j0LoIY6wsrnlB5VCYJrMGX+YxEeljToNDoJn0NjV426gnm5HVL 0Rnv0tQflB9yRqW5O5uJPHpQdRQiduIF1eg9CC6sREIx9VSIDkXbkwg3z3Zdp2bqy/9UCkDHc8xz k/KucqXuIwhw5IbGPMJ+G/+qKS4rNaH25Uo7LrqB0/an9ggKUVOzgQpfBOsUDc7K2lwhAxzkxbuh vxnhOhmyVqPDbBj1FXBKHxYLc3C6y5ZyFWeQlDOPfvc5QK46nMcQUXnkL1FdRZFj5VkEsrdLMuR6 7OWu6ac7z18oURzQbAqg1Q6pWovpsCfeHGAYguPuBntAYT7PU0IHmRNhALCM6DdNRlKuV+SWARRa 7N3eztQ7aiXlgcwS9pkaiJb7NYx3H2Nyhduxvd+Ck3q3EpEvOAJs5BishI0jwSjYwdlRZFkbQ5/Q FqW7Ao7I43X77h6CsiZ55idt/y2TOBscU+DPtwxB46P4hAXtI67/Cc+CCdCd1Me75zAndNXKmdPc fwwCQbswlH/kQoSajDJpKOeOTFeodRhlE6w4Ocj8UWW5+sFfofg+JW/jgYWYI9F+B/D63MIKgaf8 QGezfpDBpDSzSI+C64Y5xOGcEtIubnKODNu9QXFiubkLtyETYWv0mmZYz6Ky7ZCJZ3ITUf7OhBNT ZkoCRwIHfqjiF+Zclq3x6svMLjw/RqtESX0mIGN3QT4Cy6YML+/X2vcf8Lr0X+WH+hRgn5TBABCp nLpyJ/0dDDMcwVrcRVhM1Qy5ae0ShrWTZOVamZDYOnH9IErRKzLnqBu3oJ3oVWLWWpJ71HG4veZY pa4mFaYeQBn38W+KHzkCLE4vQNSFgQ92mrFvO4Qem2XoTMydKe8xvZYSGFGcsxSjUQvc8WFqOKNO I6E9FsQCgEG28nHEYipDmsE0yb9J8xSbNDouimlwHIv9Cr553tNV6A7H0lDz/Y7mYFQoREWMDefY peUHhUrS94xjaruFQs3aC80fLZyWlhF0a+HN0VqA5ByS67pIOsRqFkV4exPrkL2ajUKLl+PAtnYD ka1QY8fCUfNxAzJ3J0VqZHZkwTu6qXoVVoC2kd/RrIcicFhM49wxB3B0levoIAaVeO5YQQPdbL2V KoNdsytfksq77miP2xM1ssEne6AAjrPzND9d0ZAV9U1zzGX79wwc1nAI9AQOx6iyiRTzWlZED5tu bZSfChO3CRhpJ+T9FTZnMfe4OQbIhxoK2dAMAYA85ZEU5WlM9qC1/H+dQH5Hs0LqRicNovqXlB5e W6kQwMtRn12Eug52QXkds8XwcDWqSeY3wp5fTKcaxDD+4PcB/y5HrGQPXxTvYTY85qS4G4mMu5Za bYytgiAA9nOwGvTEJ4RiGhnB0g1aW8NQQEbmy0jwb5fiZ25Xarg7vMlki3FByhAU1G1qh0Lpali8 poIef61vQZFsydDFyfln9mcc2E3P5Ekr8f2Aa9bHRATk4y/kZ6xrcUknmGcDp4gP7M7i4JK/sqzk oABYSNeqiZW4I58jYXcDfzajM4/FOCY0TUKxwEMIfTWmnxbaliotBYYvS6+JwR4Wcgm2IHHUTIqN LLfw8EXb+g17zFixsTkg0g+zsifP2ZXWM+dTF7mnQ+biXPiS25BVyQ8bWBd4zfgvnSYfaWWr7fst ZnaBvXHeK6PTbpwUCnRc/tejlKBR+Wn8JBVEDxM09UQal19iRtvDkAoNyhsSYaAkfbEjLSg6Cw8J 30VmKXYnEaveMm+9ct1PqReTKJ8Gngt2DSrC6cBPjONqwiBbbZ5u28LbdI9V/pkWYXl5f7oLYYed McGkCKr9h9LNC1LIYG+vb7o15z36WzvUyX/bYSRTWTE/isoypFHVvTmuhjmEfEvZgjsOGV+4hAeH zjZ+A1GAEtQ2o7CfVVypFLvmli8MU+iRPdOcW7NxvQAwewH51n8FuqNo0TYx6NbBFRL4ZTgh3KWM CCLDICMAzUkYG74ppqLaduFom5gt7790MUsN0Q3BHTTX7I+jKd/EPcyTEeUCA6NX3DPBn5iaNatA 2fxESuQdjIhi4zcjXNR/KV4+ImrGX0k53oDH2lMMSrG4R4HJCBVPRwqtYLkulnibGDTlioqdnEI4 6pRxLhdJu+imaz+kuhsJzpxotsNz4qwj2fqXUN0Qebzk7P+rdAsskaaEBYLkJh2j4lilEF7/A3NV lh+vHmx9HHvAYg5pwx0w1aS5fPSj8cZ//g46ZVNfF+RAY8jTsFGALCdaiTvKgPkqZCL3eIyAbF+T wZjhoHTGJANIXbRFXnG2wwH/dgs+AdNIkV3DkOOYuuczSmgW6V9rcftbwvTJQVMOYz6m3n7kIoIa a41pcQkOq1H7RXyTGmIm4TZ1uZwkfzvhyPMwJfDbXWqzLuYNRD4doSqN/aMomTqhDQTZpU1jQbHl YPfEG306DvPRRu2sp8Ul4EWNL2OI9GcSO+ecLz5a3qztoMm99+y2b71UjknySrDkbW3Fut6ds/2v xodcrF/8hs40cK8gRL00zyGketRsIKnk5Xm8E8wuriLMumNsxmz7XMlrc+eZ/rc3ZOJsTUk1mRHY UCiCOS1M9gvTgNc14LnWnMKAMU63Xrg1XVwEq3SGzEaJRkI3btl0P2Bo91YQnelBDTvyg5vvb/DY YvDdP8AnC2XbuGeuaKhR1F1KPfU0u1J6dhJvuW+RHlsORyXBDW/uuIpH25wcUg1qrX2lurBalB5Z +ZoTB/3KxfU9djLb463To6s36JYcr3Hn9EddbmgA5ylngK1LPfgDKPiokwa0IjEkObpMEE7vmGSA f9+NAF4agc4/LC4k3omsJSoDRoq3M3a9aJ12CNNSyP3gKs6Ot9WIputM86c2AWXA0GtNjGJbKmSw 8ZV6t6m/x5hqS58kxyxzeyO2acYaALZnR4cnx6gZVBgeKmiGVSM86vd6kclJOHLV/LwQPfqi4+Gm criPUhlzeUBnUg6BTJD1WS/E5+yYvGiec0SJOcZPwsrIZv9Y39m3ZOgiXYwiw8wjuz4B1VdqflVt amAk/xcP9txXoAqicPPRlXknocQBD5q5UlVelrGBZuIr5Ybx8pwdS8I3g04LgxxLoFGpnvozCCRH +wz2m6fJlzEvxdUocPpxpIPbCKJ/o1ZtGXmgZTXwDCFTRbGEJFkW/Tw5bYf89KfIUbyuQkfCIYpn VO+T91JrP/xNBl1fuPyGUf69OHV/+SOT6/ykDUn3rt/PeE5R5EznMIkQBRO0tujd4+bv6MjiBB+o E+dItY+ZUoabLVdbt4l46r6/lQOSoxKUT7dlwGWJD/6dctCzFwulxFX1VHtAr9hbGRPDwELUq5a/ oLrfF18OvV2bfkjXymtSUFo5POmwmxGsFtefc2xNTCIvrJb8RoVhmqZIZG1ylKYRMbMa8hkc7tWS pT89oLI/5CA57DSbR2PlEJc/HVymMwBGe9v1GgwjXbaqYN6UN564+s16hkTXbh61O6g5x8MZU2xj chR/WCQO6hrpMdpsQpPBL0bpo+xXjxFu0H6EVZbZwn9nXY45UDDitF6GLL2fjYcM2T4yNGDXJOFx yY2Y41yMyql0+zaiffqh4DrD8gq5+QyZDWGOO/432MlbUTpbDy+nyMgGmBpiOsiUIPTU0hGomPEC U+kqhpjP5vCGMjc80pkzc3k3gkcEj15h0ZjcSHz4Zwu2IIie130gKwUfSgapzOFHqSFSICcR/3L4 EfMp/5VkaqilE1S2lcU6lifHjXKYkRfXUb1S43Mv56PLpMcDrkDNgbYxsFl3htbw2Bq3yF3BjB7c XNQcBIQWeu7CCDvqRtlFt7mOcyiWHoe9H2j34tno4A+3+WSAgdD7Y2yzHeEnLJxstyC1CUt9Ct2C t/h7NqxCNquXeEIVtaNS/9DtpQL3LS8ahxP8PQyyBUyAVUdlDPzuFWExldIHbPwfJ5svvfc3NArL SfNtVwNyILSoPtt0Fg53AIx2uH4GSFaUddhKFX08EbF2LkQRm5JFHQ54X/iDg8Uc06HFJkYPArHW HIw6jjTND7c3gK1sxCXxO7Oqt91H9Ugr/TeLChM1B0GPnuPbD75YN1P+P/bv/dFcQ4X15g29Iho8 bl3wVLe0I4phm4RyBHAl2Cy09HcDk7sBoM4MYkvpW9ZFjx9ogg8wXoQ/tn8soj1dIfN2bys9vzm1 7qp7GBuiHCyKsApi0zmZyY91GhVCmzHi7ZbBaDc6gvi1z9OcchEzbecox7cbf4Mi3yDI7MG6HDtg 7w43RtvlQuQYJogXoAJUME0q4IgHVft+chVmnACWdZXrTRx6QYDvZBQA2fYX3g0cdPHpMzzu4yVz 8WbEeqAQ9Q4HGAtf+9dYJvc/QAgIcsw4hxmCZXMkXW7IvS9AS534ji2pqk0uY6JfBwsVHcu0bS1C W499On/88+xx2r0QFGbcWqIYmkmfn23v0u4RPheedV3AQqVSEh3ehlTPGPtNlLA3mOsFd+gafikY J5F98Z7B1lAsm1KCxzvXYabyqpqjMtXg1mr4E+1ir5HrZDi/rZtOOna/blVUYPIjd83lm+o4+KeL b/DEkOxuyxO4vgpWbjpNGm+aYE+uuSHlSFJYVHxUtdCt3Dak2ubBBRvcoc/VmX1NxhIaibLHh8LS jyzZTtELdPWfudKZueD/j08I7HBetSO4i44d611+LsMLaabeIleShoBzCCtfoXqbcJf7sx9uD14S Qe0Yx8HmbkEPZd7cTGtLXAqCUmczrD8zET5qV98Bc9YWfmUcMKZ8jf2+nd/q2T9IYE9B6HGXH+SH a9jgwEu8khT/vh0EzSf7RYnlSsxj+Kup8VUZvIFKEj5XRVrSHyk2hIhQm8L79PDtDz7rBLTiVnsv J68vuxrS253cTqZSWSdpuLzCmMHO09Nquq6E+r55yssoK7Y6JBenx+mudil5PPEv8LTbNN3ZN+OM 3qva8dSuBsRnwW0hun1A2Mjs938hmsB2OT9ad8gfhA7E5R/XX99w0VOZbU6wfP3yIejtSs8M8Er8 QsCwPyr3X88q2Zq9sMyNMGZan1z7eXfDCKvLUl9v5lTCyZW8DVcazEXlT9BMbOQJmOJoN2HQQZn7 ORpSvdRgzU+uPOyhlyk4z4if3O3J4zUggYvCDv4s/lbG77zAxjugxuyCu3b71s3XcngjuO9n8PR7 K8zyD4hIgTPaxLh3HDjbw4kzTYssC5AB2VkXEmJ3Mb8sF43FLnx3q8GnY8Hxnwpj3vtbFf2ZjkSp IERu6ffdcvVBUH7nbgnH97YglK5GjST4rfjOkouwXe0pZDADP3bZWuOQ8U+6jail77/xcehhg6JX vAMdrTAVP7RYpJO30Vlzjp8T5sV5XtPQp3AJYiw6qDyfoXjBS5ZWe2qhQ3rUK3eljgWCHwF8zZz7 pMEK8mpi4ZlbRafnRW7dj9omTKFxpgWyqVertNjHstbL3SIsDDiyhbo5YhjzhiIgPuTeSgTllwAg JLKUKx0xo+sJfDR40+XDp2nhvaBazrJ/75ZHametv8siWjgmT1MennW7S7PMD6ZRriDDKPopE2Cw NMiECgdP2lnxzP40UHfF+AYMbKibHr+PhsH+heMPrjGzjWQop5RxE7YVpglqXEhi2JXUdffjmPgZ QyZjK+xd3r5f3SJ8KHc4JidreYQAOxKIZMU+7PNkp05X9fE+ev8ChIovYiDw5UBibFhIMhmw1m04 UCXjMKFaUKBSYY2zESiykdaXU5KJ2gX153wbTmFuTVnwKK/7aqFN1Lhdef1VhwrmOamz+zGpBYdO 19KIO1sbyhiTBh0WudKRkfCG+Erv+eZ5TBosoOu6y00Q2xJHCQJ2d7uUrJ7v6cwV4HR0ciZdpSLP NgXSY+w2LUBPJzZmfBR5jNfKVSjjwBwcLCyc7j2n7WSw0a8XQeVEfXYF1zU5S/S++BK1Gt5G69V7 OnvUnRE8ktsa+jZ59rVFSqD/tED+y4a245THboGJFofNomp4BRyZl/676bq68uPgQTiavKl57g9/ uZm4UqFKF6n2K221HV/n6ZmTR9/FoJTW6WEX4/QYLE5nO+EZTJwFrtT65Yv7CXkKeRJnlYwQn1ya geg6NKNy5xxRFQPCq2Rga1dWl57UozWquHZzw6FydevD5Z+i+uoCWXPWybD2pYn1/2+EdRbp9j+S Wy/McETY2QNB5vSm1cmaEgtrjivqDLACoJGi8wVbt8e2AhVBke5Eydqc/D8VbFe/dGJxUEjbiaOP 9IuggiUL2EcdJvOd7u9jyVIeeLtk94wUTdYtd70bdZc06Mfq/4Ta7KZATgYLIKwGlSYZf/OdRvm+ EZtVP/XRbzedYxa/2HGBUzWSOh6IjcWOPt+j8JVlsXgjk0Hm3PV6zoWIymMv9hlOo/l47MietDKq 3OLb8jSAiqCpbfWNugfwMmGf/0S8S7lAumpynU2OfddsxjALfxDyxgHrCWx5pfUWW7hJo3jKpIUJ qZgtpn7JLOYcFmGuI63t0HjD3Us8kn8z2szXUKh6fFSqyLAYn/q+a5GbrGhvFT0qYWT6dDRSyvOm LKCBeG2HvyyHPB2II28OSjcLz2Ne1nsEsjX2xNjMdX4BKxGj/y3yMhk3NEg+tjHUA0ZFUuCBEme3 F6qgZ3DUX0EVBeCM7hTKTTCIUeY1Xld8P5/mIY3pS3pnfXNSzJ7/Lv7qMzHyhi4kuaYE+xoGoAuT lZrqQ7ludsh4NvXgKvzesa2zaYY4HbTlx8KKER0Xv728UwjAFPxA3mIe2HccyfWNn+t07+wgogXJ Yu2cZbjFnYZkhj6IRCe7ceNASPuo+kIf/Mjw4/YuVQuqcVgg/Dqy2e2zX9VyZT5nd5wSDBQf8kd3 0s2U5XPNgHzdTPri9i7pBvYvfwBVazZ306XkAvhBBFprbCct8l36wKQ5V1bPipAo9Cj9mePO8pRU lyzs/5cO6ZC01N/cKFkmcTmsaAkpKURl6ySK+Np6tU7n2V9Q5Ob/b1Ux8tongePsyTGWm/FsgfRi AdQOCr27eD93uAG2JQpzHL4xEaZMQyTn/fQEfyTo0/JPfd/gsZX0hjqmsQkd+QDaoi7Fb9qUlEnD eksL35ii4rhxAu5N7bx5R6sUYIoyz+nd+eYsAS1sZrYogtBu3idn4Chifz69vEQqFyClxL/8zh9m yoZUboT5lBKsvQTG/wEVVRYxPMDjVq3xu1RsSCY7oGEmxvK5Q9mr5BMfPQDnskhGAvPeefaUI+oO hbzfMZd/77uszXW632L3ONKz36dOnFChKFuqGBglDZlWU3PW/E/u9Ya6kGmtcYTsw+LVZfN54Xyj 4cVk0Etpo9xGmIRK3NQ4NOLSiP/9UudENx7DC9hRBWBjOfaj5iPsTm7hOCv2Yiyw8BRrwlwlssXe hvW7GrR9jLB4HR9ZIfb8JBlLSck4Zzw2z86YhiQHVTlOaC65aXWazvu+rKeaURVXbsHZQgz16LI1 18oCNHo2m/+J+cHtIPLTys4aCvqH6gzwxalcM7Uwg0P0oceX5vFYNFXos8sph/DgRb5AwLcXv7Es Mtaqj/RWRPKwr6O4YbwIi3qlU4nTzINA8kZJE8PeGYO77OJE1SNYAvD3rzuZBQlJliQT0OzSqBzM etQFRSlFZloVmjGc0KuySLvaxWDywWE5IDZqa9VyZsVJfPBUAqY8s428aAFtDEslCy6ZBmGY2v7y zKcIzbQoihVoqmyHRoQfUrXO3IwL5o7jX6f8drlVQERdx5heGraqfiracz+lnk41HzRpjGGmm+VH cB9xLK95hh9nN0LrOA58yjj8fHb0juqu8f41/Jr9vSMMA+kNH08n3TRFfsz0d3VL3a1r7QpSs7gb fCktQmVJDlVvrUd5wnjrwGS1q8LANl37PQ3HmLXNm7fxzTjubKB7bjhk4gZzkhBsSNKrtgQUmG99 2apGoE61WV9834AeiFU4RMI= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_v12_0/hdl/ramfifo/wr_pf_sshft.vhd
5
20160
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block I06Ke/HcfREfnkxxTkdiDpHDXkOiLmqh9dWloLxgvry/Cwdcrb+9YOPFy7RKjuJ7aenemnEPcJKA t8EfDvBDlA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jyZ1bfbGRjkLmvhGXJCwpAScYeDzs53TFtOtzdB/IXBsURz5lOHiyuPeLzHZxLoeZGkYqia9Rtl4 rPZ/FntNMmT1IJeeNeUvmi3G7I8KNONVW5b04hiMFNjvEnSqFoR76F3oDYihf/WxTtwqrk1vChpI 4SD0bSeRPo8OrM7lwgY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VQDKpGQqGvNkC4qq87ReEdIwKLQo/LhNO+Ky41dL8ga9efpmqknrGhXEuwdHPoDTL82RsKAIrGDt 3T3ddD+ATYI+feqfS9mSGUJwSBAfHxtJanpEi5v8cnmaErm7trEmS/JdBVPiGhEWMmJJAQP4lJtA 2vK29IraDOVgimHczC9JfPkQ+h3OBAtaOjZU5DMB1b9BqfpJRdKqY4ERAaiFJj/Fj+OcFmvMjb+y rHOUuOWs7T8BYpV1DFxp9e/yDrQAKDqARyLwS1v9JWS0qvJZHQWb4NBHnF3vHR39X0Gae2wQm0BU AHyBLH0nWQvZP7Lv5Z3Vfx8Gh2e17BO0Jc3vgA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bNPZp7ZOi/5TOfRzUDe9Vrq+L9Y5/aPZ0nSqJszH2NaHZlUxrEuKGTWLxyU9pwm43PH3RUqpteUk 3oTHwKEtU2OLyFwmFRBJ/+dn/7Nxl2zxHs39BTgET9XcjIlossjI9qstrGq4Rp7D9zZN7s8TICxG hsF9aezId2CWyyNKM8g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CEjT69Asl6fQLDA09LW9QA/0CKHuxjGwx1E+6nqTKNgV1AWNE5TUDB7lZrDyJADlWIBfm4MhdkBX 5GtHY6EWaP0pEzX7bas7n+Mb1aHa54FonXYrWKz5GI7IL/kvvuIMc4i7ggaczR1Rp8EsOzSyam0V MDjx7uaG1NsWIsc8Rjs4ha6FVsEotLsKYCCiWLka493cy+mlOc42UztDAEYdcEAY+eTzgJR9fJvh vUBMmetLuA3d21XYw665pSTwmB5CLLit/ZUo7ggDe/SqQeuo9+vqCCDHECn+Qa+YcTWjaqbUjrkS u0FDz2j9+pZkmOcRQt9Fe7goj5x5XAWwmyz3IQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13184) `protect data_block gxo6Gts97rrNGAD8PtPYGKb1Q/Ylo4WwIujnVBrar8MnxBJGv4X9h0yCfVrbPnJeuZDW2QYht7TJ MGYnTgLFhu44BjzA1yreirY+pMtkNBbblVVbk3T6kZwV3hX+rSfqut/q0rtB5hU7+W6cI1ho+5bJ RGvHg72fBXp1YriZ78jHb4v8xQCcvaRibDjQMRq/hypXL1HQ0CeKbQG32VVQEH10R5UTVhAarSdL IbvZU77CX2VLZqXOJCPYnJfQ0E4T2gp5GCUCuT4opQpMMeXvPg8yS0FnC4JeT09jHrV1MZ9bakxM 9IGVRkCLImzlLXEWD4tOxiIEq+7NXRVtltYtcuo9Bh+Ie8f6AXmSYbgDd5x+UeEcuspLBKsVVQUj PTegAc11ms4smabEyImGVzGqxK1pjj/fcOpqhSTeDILWB57YINnFSN5OijKsbOrnxemuw7V4+nFJ Gx7e31Rz1Y6PhlH2wH5oWA+e5LqXpg2ExXeRCJuu/z8mGnGiKmf3W+VFeG4Gp9jcGRg1SAqoLD4K 8j2O0IRleXCXD1XbDMZYDlcBRGmuXG7aTUi7sKvWVvziRr2Ggu2P+FcU42iBMTnaKwwUNwMMsv4k hmgerrKFUg3aIEE9lSiSMXgK10NTZkaoncbfPOfc8AHLYybPjjm9FjyEVrws7+7F8x7R+VDmgNJh lFBOERf6Bv+j3OECTBLKFMQIShBH2ajMpPoEwMwZwGTG+aGoAlQmCz9lCkZAzbtEMwC5KhsnO2zJ Yw9hRVrxF6UPW4a6QhmTe5le6R9eRkiaQI4MbB2stPmB3xLxhZ+vpyrI3HzTTgHsPJzWeUvgnhZ7 kjSV9x4Am8lp0Z/BYvA2Ly22F5I6qVRePKbUroTKrikh2AE+3YcSu+7KOsa/5/B83a2c8GC0LM1I iw8Q1fYQKYgEPJ4P5ekgwxzUzOkpsbAIoE3Xhwse2vfrVjev67POGYlwyqOuNQHAKHIdQW1kPitf n2OGNELouVjg+m6xJJE0HwNiBRyJ/lrJoSuWCWjzyHl3Pu3lEIvgBntHIOYG2goJeyN3OSrCu0cW 8BJoJPdRGVeUb5PkIKo/loTVpIjEJ5vyLqLUZFXCC5jFcxli48GBamP7gSmVe+yua24KE+sh6VOl v4uQHn6pAv8EdkOgwau7GFvf/Via23PRrxYfOXmCA3lEZLhyb+juxGOrp7tpW3Anfzsp6I2sHypN QPl4yhBJ3e9RzsORmKn/7JB8ZMp1bpeFrqT7UtTkhkbqD8S2kvNkbGYUKsJ3Fjwrw8Ul5DDs5e7t d8LpEdXsTcvNu3t7+PN/oxkexXLZb0QH/XHCsbw+XnOp886Klw6XTIiTXb+VJwRhXWJbCIZOK4uT 5ewgkKBsCSByq6n2B4G6IF+aSQVCP+t4ADU8JjP0woyFnw+ZBUdU9hY9emShdkPdcUWMsItvGt9I Ukgk61rQg03yy2miCctPTcaHmgo+1KU+08JKgtzS0zauG9/rn7d/rL4Kv0NxMu9me53g4tcqTS07 WK6fHpg1OvCTMVIbc39koktYaqFZQRiydhAxj3zCV076KvieILTVuwnysMymhcVW2voj1V6S1760 ZIVnYoSM6R6sE9tYt+ksZZlKmAl0PU09OO8VaazHgngs6GdeNZJuMAgRou6SBMQ3Q5FaLvp6ZDUv /On0aXQkX3Cv3UDgQSwPbQHn0eJbh8eFwnUW5Sr4nUyQpNAovFG7QSrBrnI+AqRza1YJ4w+jeWvN 4V4R12q1QYIiefvMLu8N048Fp7XhCjDKJSQHAbQJtYpOMv6Bt66n7dy+vVbEgkvwnn2ag6Volq2r fMUbicvdsJonZHMgvd9ZKMu1zjlf9oUd5pqZp4SmITzhsDx4MyUHv4hBsjRf5JS3v+0jV1AP6XrC /7X0fCDTB0i0QjI3Ijxj6rNyQ0SoPhD7AIgD8Ba2Jqhbtc77mva1pLG4Yz/Yfbm8V+7Lq1TKYjX3 PV1YxNi7H7hM2Mc9SPO1fdCe21992FTad/95rFx5WVCO31tAp7GfsI6zf7H/peqnZ8YaCt/sdMJv 38dEGFGHbIcO6iHHwCvS8VZsQwDQZSTpTxmPTmj9G69CgG2RR/iUnfBiYfNIX9t8fvQ+6/8Y2Rj4 fPnghmyZnzjzEriPKTJ8ucYhBjvCAxwns+2LkT3bCwNV52aTZUCM3aEwHToprde3VWeDIidvwk7h vC0G7A25uUw04J8mZAVnOBeew6hKA94sxY+2CNLBavK4ks5fveVTJ1vqHAcuus061y3r2rqqgIt9 JB3aBCS5gkamL9oNfKAZZ/Nj6DJjDWNqLzRpy9VFFaSR4rVVjBdiSWve7xgscdX/1X5chq15w3RM o2wiklDxUZqZcxiTb+G+/maTt5DpfdzRAM0YpLuxXKvcFnieTFa+UWK2iBlfJlULZuEFvA+kUo4W F+Eol63mDPsrVVtQqERAXvKdQJLHDrMdnMY0a+XGv/hyULbVK78GyJWMmggOffejWdlKSCyB4/a+ w+1uSy+v2TKshwsxwlstRLwUKrONLQd/Nhkh7WZzuHo+8HyeV+3lzumVNIbN29nguw8JQcm92UyJ gUEYxkCmEcvQQMLN/5Y/tpEwFZxypWOXed7Ss0ZUd3wsh1I5xcjjq8FKuA9/BaLWqxJ7AqAT57Z2 E1ZzTtG7PfXpSOs6DpLn+Ei+ciLB5Ter4/yKCmUZYIt3RmM00q6iNI+OqxVDnMk67Ub6qAQCbYJu qPvlHixhGiFheodq1p9UJRlr0dD+pjGZdMzsAFLyvUNpJ5mmqDkgYwUwAARDStKKysqIygAxw1fm fdJabQhOQ7i4HAcbbu1FH1XS04uX/IXYw7kAOq5WSJ3jkL7l5DzeHtD0hQ41zwgrC7PNOflYLdgE YbwCEO0ZZr+PrILWPZB6ty7qHzZgAlkc2ATsKByO535NDS22bJEZ2edHh3q0IOJw6pVNg5L61yrE VORny1eesQrkEmktoKw8/WhXSXH4gXm0B8rApr0/oWkdgPIGxULa7egRgpkC6Qcbax3QvPserztY TwuCOha0gksBJgjjVbwlCW+5lxk0VFH9fWQKby3XhUgY3LAvkMZlxRrKYvb8ciiLwDzXjRDdPiEQ HT4rM8q92f0sdz9RP7wFSUnFRkDkA6fh7VDdNsv5CSO9gP1eLYpC0MBALpbttbhRcyt4246cVDEW TzfyKgC956OFCkyXZVjvMptcaAeb7wjO29tkcoUWfiWLmyk6+vGx3jyd/gWHC+9ylAulTX4hkbA7 Tlo96h8qXr6QhWA6wYGnzYLew3s9I/aDvNOUV2/KtnSHj8sOKiDOtOa4eh3cEpOW/c2uLnnDlmfh hoaofQ2t7Jn8NmWbZG7Grc0SEz6JLFc5KBusX6hVgWo5K9khIT8E14s6F8ERDgVbiL2CeR7O0yCp nEhkgcW6UpBfPd9MoKgNRuwlvGgYvaMOIc5Vm6CF0Ge1ZxLFk3qrtEw6BhUT/q91XstXgmxTBunm uu5SaryPkPtI1227NnaSHq2+EKgt/RDNJHpV5TOow4AcvoRxOYqEyfZGmwWEebeBgTfwpIvXf9oz BgjWTHIsNFWU1Gumt47jpmrL+ZsjjpgnwJ7CuPeiMNiUNLCtO3u7XwYKTdJMSnG6/fdqbHAe/vLh vhY6VEUA8rf45wnPuRLUZ+SdxyuceC+koRrDkwP8fsQGdhz0cFjD94f0wvQsTI+ONvZOUnzTCHM+ W9QJMjEk6IJR+K3pDDhllVStbXFUZRe0TC8XakjdRTms2opheHUhmez/PtHrlN76ZTd7W/SW0C4R VF/tCEGLvo1eIMTwtPFA8M/65goLajDbkWvMFwCe7pw87pkNSPaADNmXXx9sD4vvXaCwCnYCXI4E OdtCEKcp8V1CGlRXJwBFd6tqjs1QYNxNTWsGZPH7EqyLI4Wc58tfjsmPA/7LTp1+hVIWs6tLG0gT z48ffe9zrBgJi20PjryR9nMMumTE6wdz/V7KenbUp1UGqblyyHH74IuXZNzrxDsSrUgroV+FtRnh Qb9V//6NIrcLGe47BLz/d6+ZGRuAEHxT5DxxfhxhxtEAX0mEcXxovC9HvH8vB6qczzMf+gtIdZhq DYXFnvC/dvpVzWKMQgSHI8DwlW2AIth3Op0zPzI/dUL5DoUzTy5ExwjM0qeDNEPnUb9QCVqEzCIs zU1y6hgH6aPUGUp5LP3dGMZPjga+wH9u5hbM11LJ8Wsqkd4vxakCDo9tGwbX7Tb6DSQ7l/Vyo16g g7F42lJcxpGbS/bVOMMoDfc6mMDnoQzD+zXdGPL3QB/ItsvSfB/zLIuFFa85NBFnDCOi4hbZNJNC dbjY1P1A0Esgisi/Ac/hU3CjWR8UddvYHfn53r3JIq9etWO81vZJMitczFlwihSsm9exJoDvOFGf /phrKNkaSZzeeSpCJJkVnuvfT6ItpRbCFw+SuqMpCujYkhBTG3x7r/CzOIq5YCZtp1WghKRM+CxH 273zRk1qzGw8K7jdBbSdsTBUfFC4TpxtiPl+VmuAtr3WFVH82AR0d1d4sXe8ff1F9aQLv73fF/0O cIoj1WaFPi/pt+6oILusE5+2mwmrcBf4Judn2qrcJvNYX/zeJvdQFfRsNPULzK0aHGvMFFLlBc7p 5C+SC6GmynQKd+OM6pdRPnhlaf0AKJnOZrB8LxyWeTfQ8WcSd+qKMyo1FT6a/noVuByv1QI8nL6c qIBdMbuSCMKN7VfKiQlHih5R2RdRH44Hknr6KCcu/4h+P3qNrZt0LAF2lj6mtQCEpeJrQBP7X7es b/pf1to0VMvUGWRqvPnJu90YQrX1oOU3lirvGpzPDR94KKYA27lBk3B62UvfO02QSrCeQwquaFuD x0IHNuLGbG9WODSD4wUVab5a9CVMALEAmE72GkEITgz5wCd69pF3AOLCrSUbW4KL/Qus5il1D2Ai rlIYfLeVA4AYIbJUV49Q600utfQN8taEtojLYAt0zVo3mgmpX4dQHAWT3pn3AGkHsjjTC+LfFMt4 WAznfI5WEg37WxT+XSqWDGRSAzl4ftZ0e7PktMfayQPbou1KIsMRhI3TSoaOFg/+GXhkNg0+SL9t JNbvtgS7BDQiVeUKTSiBBDMuRUSmZ6fPJt8HBZW81YIFkfOqnmNqxymecl4uixnI9EHUTdGz+89L GKc5N9doqyhrHw4fXncnVig5seeKKDXGUq+dmCuZeK2wGC1X7DgPW02NGRNPnA8hlDt81H5mWbqt O3+LKYlsBzC1FCu9DvlfGUvd75oYD4wXxYcB8fmO6ODRDWPJYVgDaAacSTaFscFAhFYvaqBf1ikP R65nVHDrWtPWv2g8CIHauw7cKrxZcDBA2Fq5IExk2fHI8nQb4mcYhbfRr9z1CXj668ubF+p6SAfl rh58H3KjHm8hdAOXyY28MnKBXuSTZtk0WBk6KJiZXSNDN35/IeqPQerq/NFdUUUNYtbzWyTwH8he uCBnrsZ+QLcR8eb/PEagY5Yve4VkCCM8BIa8ZfC+D9SYUNIW9qCj/5PukbC1+aIQVa1qLSC40eYh w3JeMV4L+Wl+RMPRJD2V/Q9r//BZDggV1L3/vCIwdXLyybriyU8JL9NJgBAkT8aoMS78PV7knMCC ugC36dcNzZ1xn18mA73JyCEySuAk2I+Qh4L7fhFe4CI4c1wu3hOxPOfr5T/Tw6EMYF+AU+VQYQ2d 55R90mcVycHQ8PyiQvmeo+E7ZRUsoaEMcJkPiOz/c8HHAsYxjcClJ4Q8sfxKQH9CW091wpU+Ycc9 vih1xk8Uoy/cpc8ZNJ8nRZzzs8VQEMcoy9stHCUWzMmXRjjCVuxzMw6F1KPdduZHvjQ6yYgE7Rhg Hvmp+697i/jYgiUElVOC0kpCyavY8BFQSTPRyWwsT8Eoz+Wt2nJXMcPHi/XIUacYkTbBHR2K7b/R ZUJvlqaw7qmyVFoU1auJKy0vUXcbgWc6RvInhRJZdBz0PVZqFEuvKMUOiPnqxxA7mJKiQc1wSsKm Uruac0X9+dQIUJ7toMsWYIdYrnWyNbCqtgrW5LwK9xv363ZqfHFet9tCcgYve9IaIO+A6KHZSEWz Z57QLQ72RBNpe0nr1cJu18Ors/Lv8xubpJhU55cal2GPQoqHQe8lLhYYr6YCJZpDn6RJRfV9aq5K J/walQ7k43iG5krl6+XfBbILJZViyf56L1/pQLgG8GAwHE+TWRWH/0DsKnnLYWJZKZO/3PFYqVxE cTzqhNG3FrBqVyfn3duXpdsNB2oYoQu8W0cU2hz6jUzK7VUGWN5RTFUQ44oI7aMuiJEjofUtcfUr lyCvzchMx76GuLDjDOvtbf6Z7dc+meeXFAkBuKOKQDnFe9dN/NPrZDzTN1B5j2Eazlo3xZiNH3QP CD96MDmMYaDORLjBBXbGZ49mD2+IpxGPpeDb9llriSJSRvpEdGwvo8QpVMJKBn4cR8sHQfLiPSsG VElXf2zeSwjF2070cH+OenHrbUVnR3+pNMZENz2LoDDrgQZIlxd/2ibrc4LzBzHg+NBXjPOfr5NW /8L8Lcb6qeoAVABwJfKp1LQqtzATdiGAxz75lb5wLvt7JqKhDkD68ZHd1A6HWK5bWtW/luOvY1/L nwN5gfh9HcQr3Ov028GU5q+5dT8s4+edmLXFu5Ak1c0lYsdBiIpuxDOgcWUDXlg3AIEXppdJ4PKV Ij+s+6ORnZCeRfGzEdsu1rCwVhtTX+b+XlmJmF4sPn9ZpAydAMHEYP4frLj72KzHB7gim9uZQSf5 TyjVKyJ5uO6BBP1dPLr1B32fF/+INXs1tvnirbt4omu97aaDR7odro5k/vm0Q3xxxnQwkCbd3dbN 81dhocJ1pOkF8YM8s3RAdN3E/YqKclHK1jrHYoeT1tsxxy0cznhPF6G6q36W6t7jOBwQMtPDpcT9 QIfmZ5w4W/rApE57lJasjr06BdYx36uEj42fH53hR5bVDxYE7r5vF/ojCvIMl08bEmzMFYG5c6qi Zg5ZcZ2NHF/JA2s1dDk9YibN4VDYa5QbA8CJ6RGwCEaP7hdidTMPH4U6belm1RNFWBwTz6BDbIke NOa6Y5l14d1l9OcTJ51aiISiFUKaE4XhEtWrt/RGXtbHJZyfAXYl7/GHYkqaRgMJ/k9qsYexaueO a0Jmd+DHpQCzF9uZzSIZBof+2uHS1NaOQP4errf69WOZ1217LjqUDCSbyjRf42naWL6uMXJmw3af 2P2ZBeh2d3b3Y/xpyWT4sURG1bm04k2Pvj/VONszX/LCTtYyy0lrbTighSCa3sZNi3cgF82UvCx2 sfRk3JeqFKtkzcUaUTbrpvPqiOrrqhO8PbPwb0NbBG6UigvraVHu6r8PKLs0sIrCinTRVP4j543u 6FcUUNgvwcM0Y1+r5wycDuYueY/axDCgfJs1Y1XkXj4r6MouAZF6YXAjVA3DOKGa9QkNX+Ky0NyS cwLxbjrAg9OBqVJPWbhAAbBmCi32RcCZwr9FwQ5DB2IKsnQLSrTzqZOyCY81LgG5I1v0sx/w80yg f/IUmNSO+cgUuV+4VhSum0sU7TCkem9ev6Zdiy1eesjnGVNEtH0ZsXBwgRGuQ1xrw79fOxeqpL6Y 91GCWBZLuFP+CFGXEEgxmZ9QlvR1vtVWeyaMbGDo75nROIvi0ulc6B9854Tu3Gfvu+4YoWZBJa9J HubSZti1OmtF934P5EoY4dgfe5sIxDqSLu10kA7sBclGkMbKG+8hTGneRU0bed50aD9trvj6y02c qEiuasNviNPtbJF1ZoS4nIIGN2viLXkprp1rbJ1kvC3Q99f92zBSNIcmtF+0jh20TsLHM8MnJh0O NIh7ci9t3jHhMWsdPl+J5ZyBoArDeLYKJtvZwGcDaJsBvH1w7NaPEJ9SJ2mb4kaMwb0WQgEM0MMk /JO3F26+Xl3Ox9iTo4181ADSzZKoqktbAtJBBND5u2wRHS3VFowjBvtnxEZG4c6d5Rti2XhwYHYJ DdH8RoX6fg8scimybiTiKib56fDh6NcBMAZhLBLJRo7ZRcYjIDoNTzs9Lxl+DPYXsdZbEfNpyxxu epicaRlq+va48lV+SbQ/2ZEY9sNZBMWSraS24kStnCxsROD2ksV6T57j1UVZMsKGdPIH9YaIcgT0 9wYH1XD4i0PblCVAZxi4YgNWG7xr1bJRGqcGFJhW+I22pxRDcolj5E5hQ43jWLD0SABWfnsJIBkK wrWOwHT31b10t1Ds8FR7EpahdnZgcRFyqI6gKEFz12q0j8VaxgjYawmKeJObNc9p9ZBEzsdBeZkg T8Rx0pPY6HrdEYPjgQfbHXknvj3K6q9SD56hIEc7tnB0opDg7SqRHTS8VpGZctlmnO1c3shjmltg IqZ+SxSCpgw6NonwnyE0iw3/gsKPhKVdQ7CHiHqLfYvOBVrjIc8x/k1bhJKU3mpyyVW/it5nGXwi hhoUDD3WQWqCa1HCMQlnvMhUZFqQVVLo/pzbpEOviwubs7BQmd4iW7HLtm8D0wBq2oyI/iSH0uWI HlNCdcu/PMaMTnXXnsdjvzKDoItqq7ZDfZIV5KUKuR5fI5vNLceqVEBcUfkV0P5IyFe9vzgVYUor PvecJCLle8pv3+xcLm7n/vk8MHnaTBBb5qiwrI9PyepW6F7iUW14/WMqrjP/Uqxrd7GvMidYbKvq gAaExjlYLbqoueSalUL/pjj/CFA0E6tHnOFxYEfIqMtN3/TwsVEtUusFZfd30VuO6DVj6Mt2AWLR FOtO+PC+eXNV0AlWcCif7QgF3ErZ/OEJ3yHuo2NKsVpbSHiHMCX3r4vtZHc01dCh/hgfkDIAcknD RD//i8gxXvBh8wRMzyzOyEwK1+ZW3Da56KjsjXfNoyOC8DzgKH536SUAWeF3wzR5lV/7o57O4U48 kOz9vnbhZWdQmsOGoUnWpCVb6LtadsbbLo/kTky1ZVBLN/ptZI3gM1H2h5JWT1v7UB5YQZfHHl1C RrwQY3Vl/FT6sDDPlgw3GsGwrs1uoNaMZ6Nss6HlHHYksAJh1q9qs+yWKjYTLV64QAaM2aij7vMf UNQsMuSqx1wMnz6yLvX0hMSSMD6laS9nLvzDL5kNUa42xcnkLKjGRL9vPS35AniFH1p3RDO0kw9g +GeY+NS23UHs8ELYruLADJTyqRfaDllrD984t+rJZ+qUc/K5TQKUxbUgpw3YRL9KOpMP4KPBV3xl R3GYmJJVGTSxQ2eYsmwR4iFPQj2FCY0g2RwvgETcuUCVpRWpDg5PBd3Ohq5Jk5SfWYfKyot08KDN 8YxwYnk/KNMEEetueIRO/R+M6jTFoNSQKFFJwdRFSmucNOS7/JpIDFNJ0zq4hdg4ZTjNM8GNA4Ep mEZ6SnVMevEEHxKihxLVN2L1C4ACp6Lu5G5gANq0s2XX1RfhNb2KRPAHFfnXKzKRLi5TjKtbHjDh Gt6BWz5Zxbt3cnLD07Q6C4cCWmT6xrpiiX+d7UiMYf7tmq/YTX8j7FRBnPtaC4u0RE2iTjx70dmq lLpEg57B/OyWH5Yor8OONLib8cjPBGBXukeOBDI7wbhhkXiXnmFuxnMQQHqKAv/xiMCbIum0+R4L YoDxl5GyuMkCXODrluN73f8ywTtGlcFahCw0tSAhY3AtwqeUzjbX0DKH6trZx2TrGchCZroJe+3b 30GT5pkYsnx8o1kIROmSptg/m9j0LoIY6wsrnlB5VCYJrMGX+YxEeljToNDoJn0NjV426gnm5HVL 0Rnv0tQflB9yRqW5O5uJPHpQdRQiduIF1eg9CC6sREIx9VSIDkXbkwg3z3Zdp2bqy/9UCkDHc8xz k/KucqXuIwhw5IbGPMJ+G/+qKS4rNaH25Uo7LrqB0/an9ggKUVOzgQpfBOsUDc7K2lwhAxzkxbuh vxnhOhmyVqPDbBj1FXBKHxYLc3C6y5ZyFWeQlDOPfvc5QK46nMcQUXnkL1FdRZFj5VkEsrdLMuR6 7OWu6ac7z18oURzQbAqg1Q6pWovpsCfeHGAYguPuBntAYT7PU0IHmRNhALCM6DdNRlKuV+SWARRa 7N3eztQ7aiXlgcwS9pkaiJb7NYx3H2Nyhduxvd+Ck3q3EpEvOAJs5BishI0jwSjYwdlRZFkbQ5/Q FqW7Ao7I43X77h6CsiZ55idt/y2TOBscU+DPtwxB46P4hAXtI67/Cc+CCdCd1Me75zAndNXKmdPc fwwCQbswlH/kQoSajDJpKOeOTFeodRhlE6w4Ocj8UWW5+sFfofg+JW/jgYWYI9F+B/D63MIKgaf8 QGezfpDBpDSzSI+C64Y5xOGcEtIubnKODNu9QXFiubkLtyETYWv0mmZYz6Ky7ZCJZ3ITUf7OhBNT ZkoCRwIHfqjiF+Zclq3x6svMLjw/RqtESX0mIGN3QT4Cy6YML+/X2vcf8Lr0X+WH+hRgn5TBABCp nLpyJ/0dDDMcwVrcRVhM1Qy5ae0ShrWTZOVamZDYOnH9IErRKzLnqBu3oJ3oVWLWWpJ71HG4veZY pa4mFaYeQBn38W+KHzkCLE4vQNSFgQ92mrFvO4Qem2XoTMydKe8xvZYSGFGcsxSjUQvc8WFqOKNO I6E9FsQCgEG28nHEYipDmsE0yb9J8xSbNDouimlwHIv9Cr553tNV6A7H0lDz/Y7mYFQoREWMDefY peUHhUrS94xjaruFQs3aC80fLZyWlhF0a+HN0VqA5ByS67pIOsRqFkV4exPrkL2ajUKLl+PAtnYD ka1QY8fCUfNxAzJ3J0VqZHZkwTu6qXoVVoC2kd/RrIcicFhM49wxB3B0levoIAaVeO5YQQPdbL2V KoNdsytfksq77miP2xM1ssEne6AAjrPzND9d0ZAV9U1zzGX79wwc1nAI9AQOx6iyiRTzWlZED5tu bZSfChO3CRhpJ+T9FTZnMfe4OQbIhxoK2dAMAYA85ZEU5WlM9qC1/H+dQH5Hs0LqRicNovqXlB5e W6kQwMtRn12Eug52QXkds8XwcDWqSeY3wp5fTKcaxDD+4PcB/y5HrGQPXxTvYTY85qS4G4mMu5Za bYytgiAA9nOwGvTEJ4RiGhnB0g1aW8NQQEbmy0jwb5fiZ25Xarg7vMlki3FByhAU1G1qh0Lpali8 poIef61vQZFsydDFyfln9mcc2E3P5Ekr8f2Aa9bHRATk4y/kZ6xrcUknmGcDp4gP7M7i4JK/sqzk oABYSNeqiZW4I58jYXcDfzajM4/FOCY0TUKxwEMIfTWmnxbaliotBYYvS6+JwR4Wcgm2IHHUTIqN LLfw8EXb+g17zFixsTkg0g+zsifP2ZXWM+dTF7mnQ+biXPiS25BVyQ8bWBd4zfgvnSYfaWWr7fst ZnaBvXHeK6PTbpwUCnRc/tejlKBR+Wn8JBVEDxM09UQal19iRtvDkAoNyhsSYaAkfbEjLSg6Cw8J 30VmKXYnEaveMm+9ct1PqReTKJ8Gngt2DSrC6cBPjONqwiBbbZ5u28LbdI9V/pkWYXl5f7oLYYed McGkCKr9h9LNC1LIYG+vb7o15z36WzvUyX/bYSRTWTE/isoypFHVvTmuhjmEfEvZgjsOGV+4hAeH zjZ+A1GAEtQ2o7CfVVypFLvmli8MU+iRPdOcW7NxvQAwewH51n8FuqNo0TYx6NbBFRL4ZTgh3KWM CCLDICMAzUkYG74ppqLaduFom5gt7790MUsN0Q3BHTTX7I+jKd/EPcyTEeUCA6NX3DPBn5iaNatA 2fxESuQdjIhi4zcjXNR/KV4+ImrGX0k53oDH2lMMSrG4R4HJCBVPRwqtYLkulnibGDTlioqdnEI4 6pRxLhdJu+imaz+kuhsJzpxotsNz4qwj2fqXUN0Qebzk7P+rdAsskaaEBYLkJh2j4lilEF7/A3NV lh+vHmx9HHvAYg5pwx0w1aS5fPSj8cZ//g46ZVNfF+RAY8jTsFGALCdaiTvKgPkqZCL3eIyAbF+T wZjhoHTGJANIXbRFXnG2wwH/dgs+AdNIkV3DkOOYuuczSmgW6V9rcftbwvTJQVMOYz6m3n7kIoIa a41pcQkOq1H7RXyTGmIm4TZ1uZwkfzvhyPMwJfDbXWqzLuYNRD4doSqN/aMomTqhDQTZpU1jQbHl YPfEG306DvPRRu2sp8Ul4EWNL2OI9GcSO+ecLz5a3qztoMm99+y2b71UjknySrDkbW3Fut6ds/2v xodcrF/8hs40cK8gRL00zyGketRsIKnk5Xm8E8wuriLMumNsxmz7XMlrc+eZ/rc3ZOJsTUk1mRHY UCiCOS1M9gvTgNc14LnWnMKAMU63Xrg1XVwEq3SGzEaJRkI3btl0P2Bo91YQnelBDTvyg5vvb/DY YvDdP8AnC2XbuGeuaKhR1F1KPfU0u1J6dhJvuW+RHlsORyXBDW/uuIpH25wcUg1qrX2lurBalB5Z +ZoTB/3KxfU9djLb463To6s36JYcr3Hn9EddbmgA5ylngK1LPfgDKPiokwa0IjEkObpMEE7vmGSA f9+NAF4agc4/LC4k3omsJSoDRoq3M3a9aJ12CNNSyP3gKs6Ot9WIputM86c2AWXA0GtNjGJbKmSw 8ZV6t6m/x5hqS58kxyxzeyO2acYaALZnR4cnx6gZVBgeKmiGVSM86vd6kclJOHLV/LwQPfqi4+Gm criPUhlzeUBnUg6BTJD1WS/E5+yYvGiec0SJOcZPwsrIZv9Y39m3ZOgiXYwiw8wjuz4B1VdqflVt amAk/xcP9txXoAqicPPRlXknocQBD5q5UlVelrGBZuIr5Ybx8pwdS8I3g04LgxxLoFGpnvozCCRH +wz2m6fJlzEvxdUocPpxpIPbCKJ/o1ZtGXmgZTXwDCFTRbGEJFkW/Tw5bYf89KfIUbyuQkfCIYpn VO+T91JrP/xNBl1fuPyGUf69OHV/+SOT6/ykDUn3rt/PeE5R5EznMIkQBRO0tujd4+bv6MjiBB+o E+dItY+ZUoabLVdbt4l46r6/lQOSoxKUT7dlwGWJD/6dctCzFwulxFX1VHtAr9hbGRPDwELUq5a/ oLrfF18OvV2bfkjXymtSUFo5POmwmxGsFtefc2xNTCIvrJb8RoVhmqZIZG1ylKYRMbMa8hkc7tWS pT89oLI/5CA57DSbR2PlEJc/HVymMwBGe9v1GgwjXbaqYN6UN564+s16hkTXbh61O6g5x8MZU2xj chR/WCQO6hrpMdpsQpPBL0bpo+xXjxFu0H6EVZbZwn9nXY45UDDitF6GLL2fjYcM2T4yNGDXJOFx yY2Y41yMyql0+zaiffqh4DrD8gq5+QyZDWGOO/432MlbUTpbDy+nyMgGmBpiOsiUIPTU0hGomPEC U+kqhpjP5vCGMjc80pkzc3k3gkcEj15h0ZjcSHz4Zwu2IIie130gKwUfSgapzOFHqSFSICcR/3L4 EfMp/5VkaqilE1S2lcU6lifHjXKYkRfXUb1S43Mv56PLpMcDrkDNgbYxsFl3htbw2Bq3yF3BjB7c XNQcBIQWeu7CCDvqRtlFt7mOcyiWHoe9H2j34tno4A+3+WSAgdD7Y2yzHeEnLJxstyC1CUt9Ct2C t/h7NqxCNquXeEIVtaNS/9DtpQL3LS8ahxP8PQyyBUyAVUdlDPzuFWExldIHbPwfJ5svvfc3NArL SfNtVwNyILSoPtt0Fg53AIx2uH4GSFaUddhKFX08EbF2LkQRm5JFHQ54X/iDg8Uc06HFJkYPArHW HIw6jjTND7c3gK1sxCXxO7Oqt91H9Ugr/TeLChM1B0GPnuPbD75YN1P+P/bv/dFcQ4X15g29Iho8 bl3wVLe0I4phm4RyBHAl2Cy09HcDk7sBoM4MYkvpW9ZFjx9ogg8wXoQ/tn8soj1dIfN2bys9vzm1 7qp7GBuiHCyKsApi0zmZyY91GhVCmzHi7ZbBaDc6gvi1z9OcchEzbecox7cbf4Mi3yDI7MG6HDtg 7w43RtvlQuQYJogXoAJUME0q4IgHVft+chVmnACWdZXrTRx6QYDvZBQA2fYX3g0cdPHpMzzu4yVz 8WbEeqAQ9Q4HGAtf+9dYJvc/QAgIcsw4hxmCZXMkXW7IvS9AS534ji2pqk0uY6JfBwsVHcu0bS1C W499On/88+xx2r0QFGbcWqIYmkmfn23v0u4RPheedV3AQqVSEh3ehlTPGPtNlLA3mOsFd+gafikY J5F98Z7B1lAsm1KCxzvXYabyqpqjMtXg1mr4E+1ir5HrZDi/rZtOOna/blVUYPIjd83lm+o4+KeL b/DEkOxuyxO4vgpWbjpNGm+aYE+uuSHlSFJYVHxUtdCt3Dak2ubBBRvcoc/VmX1NxhIaibLHh8LS jyzZTtELdPWfudKZueD/j08I7HBetSO4i44d611+LsMLaabeIleShoBzCCtfoXqbcJf7sx9uD14S Qe0Yx8HmbkEPZd7cTGtLXAqCUmczrD8zET5qV98Bc9YWfmUcMKZ8jf2+nd/q2T9IYE9B6HGXH+SH a9jgwEu8khT/vh0EzSf7RYnlSsxj+Kup8VUZvIFKEj5XRVrSHyk2hIhQm8L79PDtDz7rBLTiVnsv J68vuxrS253cTqZSWSdpuLzCmMHO09Nquq6E+r55yssoK7Y6JBenx+mudil5PPEv8LTbNN3ZN+OM 3qva8dSuBsRnwW0hun1A2Mjs938hmsB2OT9ad8gfhA7E5R/XX99w0VOZbU6wfP3yIejtSs8M8Er8 QsCwPyr3X88q2Zq9sMyNMGZan1z7eXfDCKvLUl9v5lTCyZW8DVcazEXlT9BMbOQJmOJoN2HQQZn7 ORpSvdRgzU+uPOyhlyk4z4if3O3J4zUggYvCDv4s/lbG77zAxjugxuyCu3b71s3XcngjuO9n8PR7 K8zyD4hIgTPaxLh3HDjbw4kzTYssC5AB2VkXEmJ3Mb8sF43FLnx3q8GnY8Hxnwpj3vtbFf2ZjkSp IERu6ffdcvVBUH7nbgnH97YglK5GjST4rfjOkouwXe0pZDADP3bZWuOQ8U+6jail77/xcehhg6JX vAMdrTAVP7RYpJO30Vlzjp8T5sV5XtPQp3AJYiw6qDyfoXjBS5ZWe2qhQ3rUK3eljgWCHwF8zZz7 pMEK8mpi4ZlbRafnRW7dj9omTKFxpgWyqVertNjHstbL3SIsDDiyhbo5YhjzhiIgPuTeSgTllwAg JLKUKx0xo+sJfDR40+XDp2nhvaBazrJ/75ZHametv8siWjgmT1MennW7S7PMD6ZRriDDKPopE2Cw NMiECgdP2lnxzP40UHfF+AYMbKibHr+PhsH+heMPrjGzjWQop5RxE7YVpglqXEhi2JXUdffjmPgZ QyZjK+xd3r5f3SJ8KHc4JidreYQAOxKIZMU+7PNkp05X9fE+ev8ChIovYiDw5UBibFhIMhmw1m04 UCXjMKFaUKBSYY2zESiykdaXU5KJ2gX153wbTmFuTVnwKK/7aqFN1Lhdef1VhwrmOamz+zGpBYdO 19KIO1sbyhiTBh0WudKRkfCG+Erv+eZ5TBosoOu6y00Q2xJHCQJ2d7uUrJ7v6cwV4HR0ciZdpSLP NgXSY+w2LUBPJzZmfBR5jNfKVSjjwBwcLCyc7j2n7WSw0a8XQeVEfXYF1zU5S/S++BK1Gt5G69V7 OnvUnRE8ktsa+jZ59rVFSqD/tED+y4a245THboGJFofNomp4BRyZl/676bq68uPgQTiavKl57g9/ uZm4UqFKF6n2K221HV/n6ZmTR9/FoJTW6WEX4/QYLE5nO+EZTJwFrtT65Yv7CXkKeRJnlYwQn1ya geg6NKNy5xxRFQPCq2Rga1dWl57UozWquHZzw6FydevD5Z+i+uoCWXPWybD2pYn1/2+EdRbp9j+S Wy/McETY2QNB5vSm1cmaEgtrjivqDLACoJGi8wVbt8e2AhVBke5Eydqc/D8VbFe/dGJxUEjbiaOP 9IuggiUL2EcdJvOd7u9jyVIeeLtk94wUTdYtd70bdZc06Mfq/4Ta7KZATgYLIKwGlSYZf/OdRvm+ EZtVP/XRbzedYxa/2HGBUzWSOh6IjcWOPt+j8JVlsXgjk0Hm3PV6zoWIymMv9hlOo/l47MietDKq 3OLb8jSAiqCpbfWNugfwMmGf/0S8S7lAumpynU2OfddsxjALfxDyxgHrCWx5pfUWW7hJo3jKpIUJ qZgtpn7JLOYcFmGuI63t0HjD3Us8kn8z2szXUKh6fFSqyLAYn/q+a5GbrGhvFT0qYWT6dDRSyvOm LKCBeG2HvyyHPB2II28OSjcLz2Ne1nsEsjX2xNjMdX4BKxGj/y3yMhk3NEg+tjHUA0ZFUuCBEme3 F6qgZ3DUX0EVBeCM7hTKTTCIUeY1Xld8P5/mIY3pS3pnfXNSzJ7/Lv7qMzHyhi4kuaYE+xoGoAuT lZrqQ7ludsh4NvXgKvzesa2zaYY4HbTlx8KKER0Xv728UwjAFPxA3mIe2HccyfWNn+t07+wgogXJ Yu2cZbjFnYZkhj6IRCe7ceNASPuo+kIf/Mjw4/YuVQuqcVgg/Dqy2e2zX9VyZT5nd5wSDBQf8kd3 0s2U5XPNgHzdTPri9i7pBvYvfwBVazZ306XkAvhBBFprbCct8l36wKQ5V1bPipAo9Cj9mePO8pRU lyzs/5cO6ZC01N/cKFkmcTmsaAkpKURl6ySK+Np6tU7n2V9Q5Ob/b1Ux8tongePsyTGWm/FsgfRi AdQOCr27eD93uAG2JQpzHL4xEaZMQyTn/fQEfyTo0/JPfd/gsZX0hjqmsQkd+QDaoi7Fb9qUlEnD eksL35ii4rhxAu5N7bx5R6sUYIoyz+nd+eYsAS1sZrYogtBu3idn4Chifz69vEQqFyClxL/8zh9m yoZUboT5lBKsvQTG/wEVVRYxPMDjVq3xu1RsSCY7oGEmxvK5Q9mr5BMfPQDnskhGAvPeefaUI+oO hbzfMZd/77uszXW632L3ONKz36dOnFChKFuqGBglDZlWU3PW/E/u9Ya6kGmtcYTsw+LVZfN54Xyj 4cVk0Etpo9xGmIRK3NQ4NOLSiP/9UudENx7DC9hRBWBjOfaj5iPsTm7hOCv2Yiyw8BRrwlwlssXe hvW7GrR9jLB4HR9ZIfb8JBlLSck4Zzw2z86YhiQHVTlOaC65aXWazvu+rKeaURVXbsHZQgz16LI1 18oCNHo2m/+J+cHtIPLTys4aCvqH6gzwxalcM7Uwg0P0oceX5vFYNFXos8sph/DgRb5AwLcXv7Es Mtaqj/RWRPKwr6O4YbwIi3qlU4nTzINA8kZJE8PeGYO77OJE1SNYAvD3rzuZBQlJliQT0OzSqBzM etQFRSlFZloVmjGc0KuySLvaxWDywWE5IDZqa9VyZsVJfPBUAqY8s428aAFtDEslCy6ZBmGY2v7y zKcIzbQoihVoqmyHRoQfUrXO3IwL5o7jX6f8drlVQERdx5heGraqfiracz+lnk41HzRpjGGmm+VH cB9xLK95hh9nN0LrOA58yjj8fHb0juqu8f41/Jr9vSMMA+kNH08n3TRFfsz0d3VL3a1r7QpSs7gb fCktQmVJDlVvrUd5wnjrwGS1q8LANl37PQ3HmLXNm7fxzTjubKB7bjhk4gZzkhBsSNKrtgQUmG99 2apGoE61WV9834AeiFU4RMI= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/common/wr_pf_as.vhd
5
27402
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block V5Lt5UpXNvrXV7kDzX+VYpDXf2swykHFmwaZqN+kD2WHF5WXsIR3Q9RlbZVmP74+5YWxrjKhuZRV YD/H6Qp0Kg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ES4/7IHq/EFi4IOvDvJ4Ggnt4WXBTfOvbdPp2TTReVgfAv/Z8d+q2v61xgWNlqy9ecmpXdqzGzUZ PAnTh0Ecj4qb+HzLhJVstKi+RA7LtxEPJiEF64MyU3ePbL9G2EHFjgLJyBvb4YuCU622CjY+s4Wv LWClu1L5Xe66ZBIsMpc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Yqv1SQzT6DcD1EkGaCCOo5uts6yn/a+kzOK8KMRIumOJXsu+Kdkv8Bed8kvngCA0p/gpZ/qL1PYM 6GXJdr8CBRRrMNznfyvUuqgsJXr9YWw3Th89Sr6hTrSnzZ4YK2EJLG+efz33B2i0VM2UAUiqq2ix XTcC7PFudgXIl1XNkIAqXHtq4YRAQm5MDCvBzqc4KGGzdwrXsHxHnsyv3h+Rcn/jR76R7lafeJCd PAPme7qBNlxzM+3lHLXoTAJ8gaUpmLTXBss0TWigFXwxWJR9P+ht7pbtUGecqrkTYEZQaddW8Ww1 CbruPvD+0+7U/6hIodujE1fI5w7hSelFnIN2gQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block e21NYxfh+NL5f7nviA2qFEpDfLAqnKhmtD0XpL/LG4LHuEMNoOzx+BVU2T4Sub4UZsbJUsHyTePd nVzHXRty+nDCN/FwlIOTc9jUAElkAVh1cfof+fYz/7c9gf+S/wFzp3HFmliv4F+83v/ZOY4kkqlJ sqVxjSlwkxoLPvRdscI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pCExxKLZ3hQgr1beezULVuF2Xgv84x36KC/vGXB1I8PK5r0u32ztmp3q9HO+uAdvd0alZXtkq5NZ Lcxw9+lStxduLn77rhvNuskhj0BBl8jpIKTcx6nhRAq13Eg+iVk+RYJxqKDDfOMYF8RJrEMNdP8q DzW3MFKshGtIHNIkNnsI5mnOpFTipaWgVm4F4fV9Bk7A8B/T84lLgpvCjM1X6Y1b+mQKPsygiN+i Pxjd608fZbo/qhlOUXAcPzGbfIzyRI41Y4LN1716JmKIWSwdwLOAFVW6wfO6qqvV9d8qAgsMobxK XUpW95V7rw1zc8tWxWlOsGv91I0vp/MR3kO4Hw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18544) `protect data_block ScdAPD4RjHQZF8x+HWKPeVFbgXVznLdeb3O7WoxXOcq188XAv2FOdgU1Y2091+nuOVAShPSxsC5n Q4Ev23A0IqYkiQXhBiREFGnH7JYnqmMb4Zw1t04KlZmS9YghJSyqGY2oeO5wa7j5YHnl9dHm+Uxp cRK5Hkm0jhp2h9E04K9N51fw6p/TV0FeqF1phV7ecX9E/JzTnLxFAriSyA9NWug429L/W4E4K/9g qLg0zc3H738NTWMZs9h4ehlWwnkIhhqsl5cOQnjOMQN9ogMZlDrdPOPzhPvFc4AVJL5h8gGAagER GnlmkGDA3azcytBdPDJ1jWGW6ivb8/sa2I9O1P2WUK4Xfomf+opd6AP7Ywxtx+AHuGFXwQj2as1c O9DaEzQYSUZtNpsuSDC32L2WqUdJUuGgNGFyZ3Zsp8EublT/UNVX6GkyWRy4UPjsUSuS/EmgnCjR iF+BFbpFze/JdxfsCW97a7DMiP/XTnIG/4v2+GddJ8HaHQfRzZcv6DSBApgTyW18NqzoTDs824Dz MtSmlnF44SVAJ2tosBFTqA1xHUIOhY+E2FBmRH1iZ3NHgq7KjNnB99bB/wBzlW2h/O3R2m9gp++x sTd48cZbnReP7xKCZQTO5eLhIrCoEZi+DXt1w+4Y2kM+oMfOHeLdHyImrTH7qcY1Qkm+WfJjrO5l u8mAAM7CeX+CICyfcVSASNl4nzElg+lNFyM71SRBx2oMT6OQlv0eeMAiOwAnm+OxYFTODTfQGp0I cTIlvuxz5CQ5NPgp1SUSxVzUVSJa9kNeEFcslGv090/N98gjR3zUoN5gfYhKjimh+1T5i4mHoXIx OB/AlAadViOm+nJ8Xt6SO87F7veS7v37+Ubn1DnQVJhybCXwQbJaWtpIe1RJlr16iPQ1lnlruqDf r/D891ZkVZRUv3wDu7apZnMEkMg9LMGNVBKdfjLSEp60T6/IgrkqqcNc+07wYx1niIHjGV+zg/+N gDnKl6F2HozITPAGgGhHd78ijMq6uADASqVAVNsffWNnqtTNUkavAvZBNDlWrY6JckvpcLywq8xd KW6hFIWJPmnq7Ldz0eAgQgxi9662PUNra+4DwryU6/GinFZSOK/GMcqo7qlV8MPVYny8WtJV7S+U wWFb9nJtYm+xvn4PcbRoJDvsnk4hYSp1SOmgX2EHw465UQuPom6IUxMA57ZLqCNVsBPzaphqtZVz GSwJTq26vptBauKgvCqY2auF9S7K8OJ5UIodvrwJ0cYAtg9ERgbbv546By8T6yXx0lDJoc6e0Gv9 kO7BQhUN3kPL67DX1lyP6bgRvx8z50cuvc7ni08cde81Z/Xl9bUetfP6KWRLiJZ0A4aIRhnv2QRG f/rnyCCW8kbhUZ9YhkCSBoC93mOp5OKqItt8QDunO03JPg46nIRqQbglpLcAuyAgdLVFPJatcHS6 +IXKOs4K32VMdi+bxee6xKUMTozmoZGN8OOk3gBW/7KIdewWZyxszyOYxjLkcmqGEwoY1lq2H0Yd vvkmUTn4cfKdTjijDjXwVKk0hDCtZ7PhvNKr44nKnsADtRcun7nII30SNB3bxOcNyeWV7vpaaK/t DPguJY0Icj1PAy4Q5XWYtI0NzXTZcMAH70Z1jzQWGTtAuce7I0qkJoQAq+bAJKSvVZpJn8A0BRt/ Ai9jxAk8XZmixnJTDDDEpzCPzaZqspcLycbNev/bu7OOaul5kYGR6NLP8hqBUCZolDWMfGGSFy7Q quDLu2CmWJg9mtzDiMo22lDG3a2Y6HTqOvhanGbgDfpogchpMAMtOh6P9cszcCjfZMSJVz3ZxuFp ZZ/sOn4lGA3oV+s+flP+uqe4fxma+D6uh4Ah5N+LXTr5xQrtwjqH2dCLM6sdfmcUe4/SeVss1RWU ND2iPTuWyombz68slSKOXcTJLHLDmMoptOCOflz9Wh64IOhHbFrV+G0IxB6cYKHK/mSKzWK2n0xh 5gUsvzJhmPOxMk/DTmb/YR7GnEAdfoYB1alWE8rvsGOIf7DL+NKurZM+9BgAJuaufhwI68dQKoeS qGtbFeJv/7y7TcAV0LvkTgkkrqGCruaiHHbEz0Ns8cL0VRlbLrAdadQ5BbYqW4y9CZ0PKtbMIYMA w3DNJkXHvQUcOSOCDTNWxszSWonXPsFfv4Tmj4buO+3RAPCmL99Ur9t2meWkuz9wwlbeRcKIMrQR mhkiasNTZR10M8vd2N7LEyc73F6bJ4BT2gegsGbz582wd8WIbYb/dApIC9hcAg5Dxa8js1vYIsPz tpJQ0eUolGmn3VT7KH2FoYWii/MzLN/cLmzAi+WNeM3b2O2eGIV9mmtUppWnMrqnzgFHTg28C91y pn3Hg3I7gzwV+aj25hm5nufgC0JacGmCtL9x+3MM+TUaHOFEY2WyOcx8HZqsXw72pPDcxQKKMzeo 9hDZlTZ9nXnLiWuJnVSmwvzrKlnJNz1TWZxBxvs8flPmcXnw+wscXiIBMQH92IXfIe+/+lPzm4QZ O0YyG6ZThPCh/aYEPNzRpQgaNy1uivTm/782AET+jWagsJSpDmtwJBrUB/0FUxBYoErwveA00opG 1JoIc/L2EL7aEaaUROzC/289na3jxps0DqGIqLpCD6ZmQbK92m+3u/vSLzf+J8/5GTWc74OT+Q0I eEo16mMTlosFETpWTwD+XM3GDlvF4A1pVStNd4miF0Gsio+KtnbAoxGti30o5iauUw30Nn7EkLTc L8iNCZiCjT0U8XrKIu5BoF0Q+vlP5fBgRtpKUpbHIPWcJlTWmOdzBHrxEh49FlVMqfFTM1g6+dRA 4Sz8sefKOFKBZxHbMXr/H+bB8DF+UPQSGkeQxVZWXdBpQxNoq2Kdi7gWMsBI2JDwNG3daAf2Q+X1 czWx9cdT2o+3Q9y/gdXcS6MtPU0SktL//L6o4JFdu0WcgG5HYIQ0Ca2ug2r/dsEyoabSQckaKP7z aYMODGQuLF4V+jTBgE9mAY6LceNdIRkwGQo7DqadaNcSO7eH1Zjqm9+uVvZ5u0s0esA4ummB7Ivs RZ17E0U7UA/cMWgfOn2rBglkGxSzkXRittu647xkYrU5xdL+dlJRfceEqgIoeIkyLBbRML6leHwV llv4if7b62gg2qaSui6YQsqsOGBUUU7y7v4QfasZGHjL/QJzxD/snYYDFRNK5p0CyyOt5uOfXHD4 1GO0O0G+Dxlv6ZakNHrdz1VOkcSLo0NTTboh1goCihy1R6JIqlge7eQt2pFVyJDmvdUDtHqz7RJA iS4RW+CY/q3kZsQ1C56JCgzkDxye9YRTgrhPMcZ2JWUkrSf0fTk5S/6LOLv2ZI7Osu36k+g/WLJJ D3OxW8luxtxEcpFqFF1Jlyux6oRduO8DXmdeGKf82P+mqDCTiTBMKbYoUr+k0iSabU/q20pWnqKk YH5EX5VK7bd6z/9VlQBKmhAeJ2wwFZxyNfBdPjwjPTpcG3RBQL9wpZZOvBtVeUeJPTvFedMsXXb5 Tu93/0IDfiyPKrs6s9+cTR29iGgPD0Q/dPBPaS0xzGRJOnlV8WfFMHhTfwqriBf/s4hKJ7gTYPNJ VN7OcAmN1AidKJ6L1zMY1a9HSbjBhIi41HfG2iDdQ/mqYxPfbry3YT6lCwJbLqzhojAYt6+UzFyl Dbhy+L5GgVVif1JQPr9i8iMq967veUICGMq6xjCVy2czWQDEl7tZxzRT+33B8Abs/EbqRP5iExoO CFjvKB54TQo66E0C+cM9UH08CHoMLE7y3SHeAMY91U54UvBHNaU8cHQgdAmkHdOaX8HxCDbMqJFy aWiMNO2tSDr5Kp9//mD+20dSSuPYsKRL+CDdvUz26lv/Ju2cTt5c5QV0yK8nJ+h6tP/eR/5Knqpm JkShZAf/rDCD//5LDPLlS54cfWBmzLbiKWzbyCsrUOGU8xGu/b8qAoK18DvTR3Ilzr4vddi3pHHW Hujou9/4ZGwpZZjyfkj2zIwkSmG7lIflmYW6aYcoZC36HeA1SDNEdgQaP8O4in6YU/bFQJkmxcuM kEJQSHTgZAK4ycuas9XgnpH5Y9bYqE3RDHYrCG0Z5qWqCd7xq4KhBd1rbWZeQXU5KWzaUu1f50EB RIJIP+TT1c507ntPMDzChG7V0BDviYJZVGA9oMtfXeIhRqq3esHLk3wmu56f4Ry9dSE+rqd3CkBJ 9lxstKl190bWdLMlmdrMhulM/1M+AfRlw68SulgrZbojyPkf6HirLfB6utRZFcbQ4QmpzMsR4LJ1 zzfuh9aAMwiD9JoucBRQGSVBPsFNCSt2HLPF9jT88Efw65wDe8vKPNQy26jYTAxb0MYb7TDpEBGv ZHK1nFP7lWjisockwCZjG0HKLMLw3Yh6nV74Q+aP4bHwsHmtSp6F4wkOo6PwTtMo9Hf8aihI7Ms5 WYDkLIa18yulDxKhgz80Gm+IDr2P+sxAkDSCdUhguS3Tx+GzHV0srhJRAy4kGnJKWlhTUKcP6um5 2FnM1JnnW8Yh8ZPMBeVklsbCgBIZ2d8y0YKTXRlx8fSFPmV+G+y+vSdsL+cN4qTb2+52YhKZ/EeO 3PG8ztbglcvAqVjsMMqI2xLQJlVqhlIeApfAd55U86WHSUerhKXf4L9cx5f9wh/AyHbW87WXo5/+ UpoH1ZuawWjZUAm28dNe1FFUupdvnO7x2T3o5rfSpTk18LzYqpuA0uEykfC7OdIehfBIvhF7UU5a VxuAZ+nIOjqzB+isJqVlwjY+IQGPEuFw49jb66PWSvSXip6paCCLeqUxdFZbTQqnKK9bi8JfgSBJ q/xDKoT1XJk8mAGWA3WyJiNJri6ytQmXLLnkuugNJNRdlbw8ZB3tFO5IVgs/XSlRz39nvy3gyIOd l/GMybJlwslCgDhIn6RwZysBpKmanK8xBoxxh9rU7dWbZ9Eg6d225K5e5x1nN2e4ZLaB9udUPQ6m TxbGExhWHCdg6RGEjEJlsRiWZg8xDcXHDHVg+i0Z9qZDKdpXanrZ2+wH+YgaIgZj5Hk07NCYHhCk kWe1lfeqIAcVGpeP3eLcMu/2zil5OcBK1an3oHA+uTMYc6ytIyF2D84D6BtJJ94LroTlJZIzoCyj 25YWfYEpv4qAoP6zj3foaqYw3NckkClzJ5yN5Xf0HIOH1lUIQ10xNlk+cS0ech7HooGl1KrS+64/ g4OXfHfqrv0tj0x5pFvCTSiVKv09JiQurOCjsgsZWNNXZHznFfUqUcAbMPLQxxhFxcXIbhrXAQzc mqP3Z/ynBT0eS3DXKlb6R1Pv96EGCK0Pk2OT/2cFco8SHydzD6h8xf45n42CUcu3YqzG2doP2C01 rXPqG1GicEDuZV75lrq2rNHAHkCqkZm28vgV3RpF1FO5C3Zwt0V2Uo5Zjt+eV11HY04hHeKQrQ+k fuCkIFc0x1oTBhCRLVnC2BIBhAIrjUqxcwZhgz08AU4iZjs7BDnuiU7ReP+otgShBy2wi95/sZuG Sn2lpWK/0oxaeP2XW8hylgZyRfVccYjAVZCiiQM1yDgpNfzu4mZMlE0jLT4vEi8w46INSo0vL4z9 XLqRrjKFlnWjG5+kIZF1abKnciJTGkjaKMIWvtMEKzW/oXQ1TrsZ/1hH4kRuvCCqNgQvuI2LPgbn bOGMPssL4NJzBnIwnavmR8Q6IFEpeTJpn/6/NypvgvkzMsbQuQwQuXYXLWKyA+GaV8bSUGxlgfz5 LvsV/ULIdac0Ncd4ps/Johf4B7O+SE8PD6t/HGj221AX5bo4B8+DqgTcDELsxgqJqFSR7Cy2tF2h QKc4cLKSIITa2xkOIA0tJF2NeZQKwNEfiuvVbr0/ofO3A9xqBt8UWYesMLaHtzq2SwxrnOV/NHno VgHBCZKrqP6mXTCLNCnxPHIa/7t6HcR1hJEph8hgV8okjKsQgyaJyqFmhDU85ponlVKfCYVCrI8/ TDCsm6DiBMMTlMkzbeXf8KIGG1phtpQlSJ0LRbG7zZakFJOhWx6XxJyG1Xbwtcq0qcOYgp3inPme kOYDJvEShKyrxjoVy9u+RvCXXNm4yA6G+4EtJV7G7DjPhsGGSKc/gheUWXALvr87D8/Da0bmMYzi esKwvYGdjHOJhArFbOoyr4MFLL+zKlbQGhGtXvg1X/tlK54yXxMfdhcbWTsCvQ8GhowyYSQ1BKIv f1k9MKOGrZd9bSszd/MLPDTMxVdlCifnQDnM0vKpEAvKibpCXVns6kHMv3zGx22R8U2N+TyXJ5Wy OXorDRTsFAmZ2WMvgWilXQ/TX4WncMU9KERM8LLASnf4GBrsWLAINYnjvB8xYXnapOlx8gTKsOYj /UNs6UaZs3BeDQt4TbiatVVQO5DZAeRXiIxhpXLyWU2weMvh48Xxsy6oRmI7tIXE8VX2/3mM3CoH +PxJd6VTtHLxR+wobSnj9S1P1+sNYFzRpzJbFOUr40Q6Bh1H+JZO4ynXZ3CzeQJaKGw5ggAQKDtD 3qhqGQKoSepH3cIcCqazDxoSsa5hAfTtTf4sFZ7DmB2+l2HF4D4tSCamaEgmtlH+jDI5nhs8RpqY 2GN5C2kd5YaRQ+uURxHvF9L76IcLhRzpztEm5MBIXx9H0vYJs9ixWDU9nMXcs3jp6+IV9VZ5xNSR NzR09wL3tGkSn06r30Dse0nrEwoXkBeFxX43x2gW6gPyBn9RJKJFFFV0qd3twwI95hpeavOL62oH hUV4V8Z1urInE+iAOB8/UqNsE92c52KJyppy0SBNOiRnppQTY6uvTq3m9xUnkWC5OVCfnCty5BB4 b7etukxrAB+7FJbVu6KQLfNA+0WhJJC4sfr9E3HL00T11Gf6Zl21fHlDrrmT3emd211/93ZOoYra ztkqi2Pizdmr7SVVXzFx0OTvWrvvquJxcUW7YhW9eZSsbS42i3rQB4h2wV2uBijyKa+9nd5X/lGl mJrAb8NtPzYQpn1dWMOPaTCDohk3D936g4hm1ffpRJtNUwvuvr7MtG+8Sf3VAgGdpL+x0hxvGSh9 6cWWYC+PW4hP2iBm7JzC554dOY3/wtJ2RmsQqPkfHJnw3j/wAbLDHR2lsWKxxNySBDzz3FF3Pjgj KFI8O90fLQeAziRmuvCKRMvhR/CwXjbSGoOn+vODG8+JEeRNQlUAcr0XNUVzf/zmbUB2V9n0KVxO 7kD/O4VW/hqNKPrIfoK7NyJ7d7mozYKZWoYBHSn5XC3nt1iNnHgQoVJAJaxe6VW5BT2JCOs/mtiy 8iwfDr7AYn+QxJbXacI09/2bqXr2hqZtGw5Z8esLg9ixhrMlUQY23W4soXVHdOjX75hys+YnYcs+ iueu3kFN+hOyHJNuDsfI0fq+xDhgKA2J9VE9Yici9OYMhvCfYAeeuqGY/CM3TGX+1SjRUNjoLRrV Pg9723/uHiQXplE5zEqSX2XV7y21gg5JT/qoKs2GkfZWkZwASE1dGefyY5nMxgz1ALjHVXBPgi8Y ixIySM5xjqMVWIdKURPledDHyl2Bd5KATtSjzGcH8QfhXW7lVn1KtKMXUY3M9qSIryhP0VNjYEuY QFh5SEXeNzpMXVsU1NWiHGue/akajxz5co39LBFu3r8OIllwo2QxcQxSOSsSv3e+dUShXouPDmZh jmxPLy3bzwp0voJ/7PONM2mddpZCC/x2+Fz4aZq0giA0zvI3TYzs9jLpJkelCZSy+yAlYCXpjSLi W90VUFVXTXEmb68inOwHxDQ6het8NycagjoTATzavMrJ4E9dd5EspsyWAEN1prApXbVWnZGO3d+k KCjGwUXIcRPVFd5BUUsMiC5yE32VAE4cqYqUtPrv9ba20BZCtGzd1PbvaCSyJq7TWROKIeOvlVSL aRjjJ5zioe+95BuzTy6xL8nZ21o0Ge3GeENk1xWM8q8Be6xcl8kam2BH0tAz9Z4YZEzrk1rNR/LZ WIzl/1Yqs7gsL3qUXd3BGo4UjAR0S0rSXN9rJs44tgM3u7FoClpZJ9MsE18tGc9rn7WxQ99QEj15 s8uBqXfQIQhcTrOSk661aFSgthzSg4w+KIo7LP2XTTQ3M3cgGsvuCjNKg3A6wgqw8OO8tg5cUXxS wPL8hm0zkCopgbYdDf694j0nBS3dhIPjIf6PlsbCfLRXsaQoLeL9rujNLTEcvSrQMKpqGPf6Sw0h xFvBjUoiYfHnq/ig9YS+1fA7515fg63WbC2C1qxFHhrRy06CRVhP8l6L5Mqv3BJIJPqEY22H52sj 6iQaCPnLKgrI6YOw2sptqByMdblWcYoB5Etev8pb2Z6+5+aBKGB0YgAURMHaMwjLho1tmFvYDZZe nwZwPr87brkC1zdY6J/lLqthIpeQ036/7x/r5MoGk5ZCKE9p+C14gpy3dosEuLBx8brnBDaVVvuS yDFXM+6ID3Ik7pMBSExTe9Fc5E+OVtsyVYpyplua0d3+eqPLaEEg7OWEshY7qiPRrywowBXFpgYr LDHaBEDasd4XbMaOJbEKFF3YzgptmqB+A0veG27t55YRls4MOBV80kHXdq4zj0gmc6OhylchWrzS w81QF9zuoaf/IYSysz2NK6tpXln7K64uLb6EyiqLdZwdQn+RszXpwj8JKpR6BWRapsVxNwLPhY6F exyZDVQCY5sJXlZumqNLuo2j+Dzb7YE+mXu0bvxn9oEudxspOl2P15fnGhVp6pw90K2m1aNtJT4y UhUy6K8QbOhHXt8uq1HyOlg+rvOQh8o28yFpWLgQZkAtTC44oQQ6ODE+Va0vQmAd2TmdZfLdAkMp 1opXKf6oePS9cRmHs5Hu9B+0b3uKutwe3wNlVfvyGPneEdmdABQHywG1//wQ/TpE7b3HYgfjo6oV 20PJlXDOQ6z1uI9Yyi4GPPY86bFDei0YqmP0kSrEgJAjlSDF8g8LLFaUTe2vkSC6Ow1L7mcQBtEH OATO4BcDlqNHjgH3c4cR9xXzggzi/YPfVGvASxPxIXlCz8wYwvUnoOIfyBaYHglW8h27rfrhu/pv IktX40092wiFXDJmRyNA1W3u00c9tNxkqxBbSrhzhcjWwjwJ4ALkPjmbf4awjORuwZnu18vA3ulv 9VW/8gWtNp3ZdYH0gvbFuzl9fzufST19o5rVOYza0vsusNm7OmBMHSvD06lnwBJ4doTgeUl3AqQn xUHQnRJ+8H7uD9CU60AICObwgeprroPp6YeNBWQFhP5Pf2BfAzNbnIqrpaj/11MAZAc11+fqetb4 pHRGWziaRdnBFgXSpXjJj8lvsOJDbhndhe4NUPcN4yqASRJSH/POsJWAclaX8HBBY55oaHPRtjwA NjVwkT4OvvrL2t4yuminPEoUWZ7AKJCHZHVip/Nh+lqd6/XaLISu5UHWxJfnhHQm0IFkT6Y3UH00 YAalJVwo8kQupmYtf7/+uGkJmbqTGY4Z3lXoXCWhiP4f7MPHHtV+dGeyAJIlg4GbAYMdJTH81G3W Jlu2GcYyv/8WtFxFUijbNuc9X+7GbM/yYAp4Nigsdqa8DxQV7t+A2iw89+OqY3KuX/Cf98fA1Irg BVKc7PO+eyFoEROe7gfQpmVCAPXHJsifJOgUNn+J+bffSj4whLIA1fEP4FASlSltdvIVBIGMKGgQ c+XF4ZZklzUU+6NMDKnUBq8BrNVCd0tLVhv4pYx4O+2g+Yr3k9/CE9ZyPc4es47vy0VHfuqPqj+P c5M4We7Ls0O7S6A5Xxt1McQ2yoEK9ru6xmW7PNWl0WpIa04WYBB4XR/aHR6ScI3I4xZ20t8sb7ij uIMneuYsHHRDHfRLLajHPPhLdmOpfS6nQyEcRu1PrAdqC7EaKIzidk2e/zJusBdPUTyj0KbJHo42 hHWmpwij12rc55FqsUNKJWnXPdF2EusE84pwAv5KJ+n630CIhMld+hMs279wncq+MMjduGjDFLCd imcphTPs0Ms1y2nD5ia/1mGh25k7P5NAQjyQPg2UKtJEfnI1YMW5rP06pq5lleYKDB5G8d6eGQXM 1xfvc4Qbe047YnGNUWaOCjlr4yXe+xMWNYPxg7qd3yDCpqvXoeizpbXT3B6BnTThlsDfnH6F2BjQ XWaAuICahBD15a+OKxK+Lb3gu5n0KG3f4qQ5jks3hfrbzP/a5CURv3zk/lEhbXF5TlyFkwwD9UV3 MDlhoc25Go2dXGfB/myymSheyMBUUI3IMGwYJMW7Puuqr0b8Z1IN6GwPsgo7mExp2vHZ0M3G1Z96 zuCDVhg/NPlPITVCXwwOlW/64qfTQizszyezAomCUlVosZJKN+M7CD/m46XNxLtdYYVJnnZbl22Z mD5ekX2x/xhWpR1zPXyRxhSLDM/E+JyL+TwJLPanydzAZnf+lr1BpNS4YwtCmsMfHbV9c7iI3gxb Lutl/BX5gKRgnLv+LeQuwZV+SOxVe7tGfrmm17qqQaZO5Sf1rfKorRwzZXDgjWzMnRb6ujdH6nPP 6ZkmxDlW2dZEoU8bShxJjEIe3u9nDJdl/aMroc+CPJap7C1q8KFUFMdc5qajPwm9arVkLoNfzpF1 zdjHh1hHY+VDP0tBh884+fguNPClqGWp7+gmtzGNB1zDIQ1yNdI7wFLrDaZ1SOPq9aSs9LBx8n9S 11473e+b2VpqXApr2Ox4ImQ923mdkOef6f0XorLbd88j6j1BWfXZIVJRSqvXAE9LG0Grp0b4LV1d P/HgdU8L7fQeu7qFEvfA4of1AeIIKtOSriWhxSMkMj5wqloa7JYQI2HkDAkZpxQIWy5zuvvfpb6d SDd6bjccKxoR/FFprzQudOk0m6HnikSl3BEkI39WablHw70wLZwhX49KI9NDUypv5wm9JzTYL7oo ZmQ84aZ2REyPlnYne4iUGBJZqq7KdiB7R/whU1Qqgo3loX6sM3UAXfD8IKHUrE6OrlqcAEFa6YMt Rv0isBMZN4OgHONHwAWTIUAlyn0177m49QwrmE9nSu28U6fGFPhMyKb6+ZXUmlCzlk91doEdr0Lw HpovhnLj3ZC/FLXh6F604FhSEGwgscpKMhNmDdI4f9VfW6+XmC/1tcVSGAguq3u+fwnI2hpAP7f5 q9iykGhL5c6iNv7Zk3dH8enKNA9JsAMemNjCmndQX6V7VFHLGOOe9wkbYN3W75FPFNb82k5M8TNg SVQwbSSKktQehr2+9RrPLKKiTkwr5Sn56FR++TOvPVODs01bIfsdEBbhUicv+E2pjRttuqB0MS6+ HBXzIEORdMiHRvaJfgTvYqMLoSnJfdOInglPI4nY4xxsvUS5zZi5QaShWZfhmYbdNI6Ru5cDxVp+ ZrppwmOXL7iiJ3bNOsCduDiydJpMvuwfK5ZNZGTJxN1dh7jNtX4/EAhm17sYKVWeHe8id4l+nbnw yFIpkcYs3w7xXSdsPLF0cEU8pRXw7vn3IycXddiDPk0PZQWH1iKJpU5/lzfKFP8cJYPYNB+W4Yye SjOcnP50L+3vvYKnMYL5BOi56j2LFI+wnD1oUdLdO7AWtXg96k9Zl9dEqe1fWyKbmEtNcre3XxMl 3F5c4kW+4+E9aKLNHD+XGBfTfbdx/B9vLw3Pqa/d47QhR5oz1+D8m6uPa7pbbNvORS8o6PwLtpuw pm4ZaAveZL0LLx7ZdP9MLdjgc2ARYGOdruHSHIeTk9ES+g94a62Ie/yug/ApwQeP3dUvfzaq/VkA 3QMrefaSeLU33xS9OajIwzXbAy2l9x/lk38Vqe3IxHYbhd1FsJTHWHy/y/KlggOzp5lu42VC3U8v gAuihmCk6qx1GVsJ4Rd39s7P8NB7G3PVNUJpJ1nyUDX5ooFDaxRopId4hQ+8ln+cQpgJSAVGqx56 S2Os798m6Fyfd0WUu2BD+vYn0LEKNAqOMkP218fzgDeD9/7Pxb9oZBOMq1OON61NlZbQSE5DGdTS MqIYTuCcCQfbh+nKpJX97j3FleWWZHivTHQvolnozCeLbb3N7k8hTiRp9eqHW2k11/5HIV9iUBel xsnnGqzOD9LYNxxL5EHDwZQmS4dKyvl++4kmwF4iAu4KOqMpGVwjjPqo8I2o4s0XKngZnNDapl7l l0OxbbEu9ud8Mx+R7XJqbRa482Sp6V0i428HMnLiN/ujIxYL++WsdDMD9i7QAU/GPESG8WX6FSNK dh4L8lq5bbYKWuOifgOAahYZlvn6gOwzCOlMGnlozVa+Oop/2zCD5NVvd8SfTycjt3lz0Wv2je2j cK+wHji7iEAZA6Yt/VWqsPHEcTrSKsBJmdj68yz+SVj1LnKr2oFMUA0IWswAVfyx2x5epZPCiL/e zxUX0bj4T/qdNzDQOBhxL7HRJjr/ZoB/gE2mYYk+3l4PZH3vIQiIxc0c95Go+AO91p8zVxCOOvvb bbX8kY736YM6wGN+uyxfpm/txRNjQp9TttDA+nQZY0VTcmlnWE++AUfJoOGsT97+NorOy7+8dDlM L5xuuIKPQ0xzZCI2lPpK4bNoeoh+988gbANmsEn1pNCjMY+pYOe4dKd5o5jYH9xFvdo/1FOSh+T+ 2QP4zxY729rHyddjCuQJ+5phkTyXFVA8EgBy0x1kGvzEFsWrC3QR3Hvb9iPyJEIcDNr9p3PazrQf iR3OZQisYU3OIZJDgcxVRYKL3dJhbFXhh4yvC3xM9JdExYSNQGGrAnPyK/dywEO7o+PWCVM70kb+ elDdSnS9BawwroCfHwFb4vfq+STYP2ryod6ONd73hCAdKQl+0p1rJysfZVK1Sdps/t7W0neJsoEc WMCovVw1dxFV4vzUtl+wa5YJmn0E797RnV6FerZx6udd1n6W61iIbupsj8pL4cG8OZZD984EEdvd BFfQY37vTlDwWGPAzq0Xt+LXwiXdQr3Ydl6e+9w5iilGchxGWNE7B/+JByzg3aL7qSO1YUGMsXns 9sW3JF+Bekb/CnvkfF3MiGhRdo05TyhKo0IezP0O8dC15+QnabGrAfiV6EusRlyFCHdjB6V4mK1M 3TmkHK29eyUSIjCe3XVkgO/O67m5yBoqm/ydnlSelwU08diA6ztcumTt9CFrx6mKAGgn5MCkayOf X6K1X65SKSttCAX53a+zvDhAYBK2EfXpQsXW99c3/TFmJHz6vgZbWtpSMQK/mkWowcevB1j49oPr iIIBLIe1P0sYgzdD/5MVI4ltrylrvsJifkQm9j4pdYA0dtqA+90Pg+LJa6wTmwAHDf8IReqycUea tJs5niQou2ysR/oMAZXFe/HJOj/08VKHYLDqHmB4eHT3c7pxwHAR2fz1isXZ8avM8nhAs0W4hrzF sbC/J2cw1r8mGusFB5f5vZUqygMOp34eD7JKMIrRdJ7TWuPkz+eXbbz4+23dBAW+/3U5+s39WaW5 VuzgoBb7ok+ej9okXreN30zNk0T5OVEnDkjHX/wil4RxG5m19+wZq123U6flJWKOD2jYaY4xJcSU 3jPJSp6xJOicO7dgfkAkdCevK8526QiFmEYX5mDcSTBWFxudAS9TkDZPRuZpYdVGdJ3KQJqnb2mW IPZROxMrQd83tUtg8REK5MbwrymGKrCJzP6N3UKSGcHguf/Q1LllxHK8imv/LKvAh3Axlwl6V7U7 lhzZMs/ZuAuVKkYG0oHKm7hxQfXTMVe5wUkG8L944XDRe20k/ITkR/2xggNFdPfSlzCl5uPJ1E6N qqqiXjoe0HXthPdssJRYBDuH/Z7ixnTsFxb/H5rHJ4IUl3dN5kwFh9/iM6dV54Gm2FEjTvwywoFW QNyQ9OGsc4FxV/Qw7PjV4z8jyYHshTWRflnAgoZOfQtjVGBjw7EYq2ZGdNcyZSx9rxPOfSkTYqB7 ahVvVMm/6BcopFax2dDLx+/bh8X/1g2v5lwANDuanKCag/CsoaW+moih8ZyvCmOsoEeSIMla55hr 9kKHvkC+dQdUa0QaNnD6RgQbbq6X0+z5yElb/6T51r3Oc9Jos3tFqbfme+erBKsNiTGVhQdC35yE /O7YZGcuk4jnRbKpf0cFPVNpjvRFO0SIjW6nD8Xw82YbF1Dr7yD94rNzQYWeu72K5b9qVVo5kRx1 eWjTheCsUrcaV+VINRjEFDo4KwmsiXnxKo2wh1Eq0WyhIhzNQKt7loxi4UclOQRF316rE1NCZcnU VNW1C2zb01WZEWcA0SR9sxRx0YkoUha29gDq3izJGpOqEQlZgjZ7FhIetfnc/n/4QyXXIHEIIKhL LV9G3UE98204o28rSQWHoR8qdoVtZ6W8i6peEq4ZFAZguiNVbQ7qzj8sJ3kMwhlbtfhizz9w2vJk MuJya2LJSNqm+PmobfXY8SFQZNMVmzlaAb3r22aIQbNWQ8mAO8FUVfSxcySJLC8Nqv7aVrWcorPk sMdznKa95ldbCH3wiEQHMw/w490iMwtyjbovZNcfSoqUzzyabQzsc2HfeFlvg84x3yLvs6jSY6CA vMygKrV1H9WoXE6lV0PsMZFn5J9QQxes1B1tEMnHW3hLZTf/kaYaZQZPgLczGybWaOTeQGaP5O+u wiLkHQ+wMic5ZxIO3E8zfpKUKL0UjFc2z8V3yzdGpMEvn1/7LSceiSLCNNxRfmU8ExUNJ87EwMfV WQ19/9eVRtrclcfURic4ZO4US38au4Z2Ygaxl28Tm9p1UuTMvsh06StoPUFlrFq7m6fCR56zev9L imV2Ehpms0beNbV2J0Hug0qguNP7ZIetSVRVPqkPi4WKfUl2WxX5GyDmUZFuIyTm2ag67CBcDLPZ iqiGULWn0XKMfNEq9064Ejpdeo2V6+9NJsf4RfMkLWlyBGiK9jXees2P2dsEAV9hFBYg756Oom5O hpaLLY67Fs5lVSlcXE80AuufFmPjEQ1fvdokoLe6tmK4+6zn2Q1yfgybIScUDfGDi2LtZV33HQbS EnH8HT2wOf/DPrr4JVHyoBqMI42qDUC1YxTyM9udZTgNY/tPVnoWa2psr9BDa4CyFLEmDddCvgc4 Lmvw7FXz6Loa90VNCTbTAORtNo0ilo+53BcAvKxQkkTVIRFzIBVejPtAM6vNy3fhG9V5QxZ/wncJ nzP/5M8Fr/b8DYOy4PJSaJh2dBP/qXy0md0tfoJZsT2rjDFE67epKZ9FCCylZlA2Nhc2uRbb8Adc oeysWvrUWdGddTwBLe+0qgRDcKUK2+TftWhSFV64e0mgDT67yPJf1ygRiWHqiHKdETPF4DP6uJ1D ml3yPprWdkfIf/Gdwbz/O4LIWta5RAjNZuax4FuUYmgrbFjW2kMmfLTRae4AD5+nkpbK35//dydf TwZWR2Tb/45m+7o/IlAz1TYRlrYRVEWcyvs0xmcDln3s4P2LPEP0ZxxcUDpfUWIrEBEa7ejXaOA9 1soma2hgTE8bDpi31cMjxex284spgQkPKwqAIy3PQT2io8/UD5o/bzGLeU+rJ9TsO1x/wGC+b4+w 6nKW7mG8KZAK01r2mxymMFv2sRF/WXuXhxqeUhuiqMJ5yaCpBP9gAybOMxRoQSqAnzQtrkebKRrL J1/yGQ3P0UQwFJL51W3MkgixLC84StId3UiH6ia6/bP71gUnRi/W+5QjRUQJuXA3/vxd9xd7tN1f HhEWISLmh7x/si1Gapk4I2tX3gRO5JLnSmtYs2jyU89E2mT7Bp8yR7f5W07gB8US0UTVGlPvR71I Cx67+V51U4ap4mX001Tq3s1zrBWsGzi89sseVqpf64fCkNTOSxQmW2QQtDCBaHOmlYbwEKrD7BfX eTK9EoB/i5z59ffSHgqyWniNOsWr/X2BG3E8nCYsVhO3wYtuNVpRXHSP1WkFQFqSZkCbPGh8mfTu YFX3LThonfxgLGKV3G6DcWeMGbxMjmCcRCYcMbotV5qOf7MrjssFubnE8wkfcLk6vTHEB2KkAkcu +M+zhQEppuuCPbyjWncsLFsjV0rJb4gBsxcGTgT9jchZrSxMLalqCQCDxyhIUm6BJiJfPIY/UMln s9tnwBP9C4jIl8mmgmsPS/EfWEz8zQKB4Pe6NMFwYOTtmh0PMEercaIfK5WkdJ0YJ3ohzVymwQs1 2dwVsw0oahGHaWUIa1EfKUZ2IDfQgM4Ly8oMM8/zJNWUal8vQBzpesB4ylIlJwsl1bgJiYZmb3sK IM7vJ+1KQ9YgtkUVFg2rDL7MQ2p5xw+vR/l/g+GlXKrl6WMOhqfMCk9yAvNo25FSaIb5TJumr4Is bah7pEVBMdeM2+vwhiFou0tryKz8SQyTopsPLZvPYuZY98QVhJVOpnEabrIgXrowaVz475GUqjlS JXrRJW6hxe/kraFyz00BTTBHWSXpPv28JbKd6FgHeTvK/zx8h1SIZegzogXNXSjQbffhOJvTm027 G9eTnh3p81kUGqTdTLtwx7slpNv2y7qe71wVHW0I9fDSZidG8tNGcKJgs99YSYhE5e2pSZ2zzEfg SXpKwY88uxVfiCcN3MXzP5KUBiKWpAAlZ2xb9iz3yW2vCF0djHtqKfQVS/HRZ2MtCxnQEexnnw3A H+5RGtibXM9infdZIGBj+nO/x+uceHhwNayDZJXJe6Zr8zpFrV/58S+KpHVjhI6fvGcdRAwAMxRm 5DUjRUtxgbAQFhuMJDtI8nU8FE+xOu2rLsimscsn3M0Yv0CTbsgzpdwG1ByaK0LpyQN7M3Hh/6ro lXfhWp/xM+CBPgN8aiEQKEnJxaJ9F0CniJXvgg6B2WpaVg10/wKw51ml/OLnDIRyhydhnIGdngyi sPShsR9SFqrFD8D+JorqoIfWu9I5r+ZpRbOqIX9Th7cVH4EVMEKJiHlIF6zufvuP05FMgt8KKQpg Dm+J3rMe4veRMx9RxqkGwAAjUhwsZ7lZCBYKbWQF2E8DZGLhyA9tqvpxJsMUVYmCtlqtwL16+onK NL7UTyT/HijocEeoKGd+FOPayGfuqYLv+OuV6P8VA8qe5oSLS10YjO/8EgdPw036bKqcl8kxOILD 4Op06OnIYelfrnYe3jqGb/aG14yOfh+crKD2rRZtpHMWjW8TPwiWycS1FrX9sYM9htdkm7Aul9cp nGVBP769ARbCCf3yZ/fq4O0otphluSgArb4MT4NxquBTgbMBXihgAt/1QM8OGNubWd9zTZVTmK9O y2kjIKBSbhmqEG1uVpQbBnadLRN5ld7CWR/LTKbQICcO3ByBE6mHUHg6NpxJh9t8eTtR7hgEVFCL VEzHNWgoUD1j3iHhvxvK6zpqpHqcayCb20WO15UloJSUIbSwmPlX7LqtlAQUYxvs6ENrxb6t9gKm DTybvHyclDetEovN/KMUV7AQsSiba651N2DFEk2mE7dxJxPgsKdI6Nk10hX1qu9OmiqfNVlhfsNj FxN4rcbcuK+z2MeSAJYiDrNdfPVqVvSPYvIDZNQck8OaNaMEjzMjrEvxRnAi++jI196QHfLi3QFs ye/6ZrEhIF5GsqDhTRM+oS05ZlcCqmGzj60LIhX6znGcybILhczsh7TEsUxQKF9yVu0BGrI6gSa+ l5z/Xsb59V2kFJJMYFrBO6fwHLUdvcxMAk8Y3cPnJc2w48H3VLSCdkfDVMtm1PiRpQCnXBnifkZn AchoDCblo6IwjVQayMTCcWBxKVhO56jNVOIAZ9pe5aHZQkwkWywh+FwuBb25c8lqWVNtmui6GEH0 PDRfIZaI9570SwgXS1BX1O7fPF9x4JS7r16wBev9Pnol1hgLXndYp1jqV8c5/2NCXxKBW246wd5d MU0TLTZznj6i2DPdaWVl3Fws3h9VAYbCduNVgaqjC1qf8xbYRfwxekw9kP1Eq5CCsaRYUZDsCAKG SEvsBa+4aQNNvATVz8dcG/2TRI4E5odAW+7L9anFQ0U+BEwXDIH70LSSxf/S7asx8wpq365cFiEN Qz706LIhDY5lQ0CJYDBJF9ZRhlmktE3Ja3FzvSINICYrHMgJ7qaMN0TLOLULeXc2zmxzq/YsuqHW nUQ8SyXGq11xQlVEp239UEl+11awumxZmz8FsyInTsFmaH3irxmJJTAid2si04grdFlwH3hhn1u2 HuCSnAjlpGjbr6EIQmtLsuDifQH8bRPPwq+mbLEAHfJzEvDXLOTo28Vahak4BLBOf9WY/ZkPK2vW dmIx/0Yek37Oc6dCXBfU5N7m1MqqNFU0Lun+yB/80ebS9sdr1sok8hKiGWB3Ef04Kt8i2nTcRnGH d6f3iNtHPXf/lunKNAhcNcpodwg/k4Hhef2vcgdtaiEep3njjRzy9iO6Dm+pGvGVKw7HukXFKfXW zOMg2uVH1jlJYq1jNtMF3gEde+Nm9YAmx2l84NZE5Y8uyngZEvQULWwr7hGU5ELlzD4LKgOY0uuE +Qd+3KpJiyR/bnS9A1PeFwYNthZ4cSdSJ6a5qvYPLOjNcyTs7IGxZ91HILrdEe9/CXyYPgHQgKUp zGTxUdTefiu6KLbMSH32euoGOOZCnxrhUdQDh6SEQDi82KE9gxDXTQFdDFhPY4aDUfIJfiDX9HRZ rQxaYC7aCQ57K68n/axVOehIp7J+BEa/TdoWtTsHd8FPUbiztDc3pkbrm/7IQd+c1nKCcy8a90EI BigD1SNZ+ZHBJU79x95yHkSKd5Rc1D6JmINtkyL/m/ze6GTmZuBcIlQFAfQBB2+CUeHh3spfxwZ6 kNxPbf7YevUPzCcBQkQedL66HknwIASryuXrmwgCNMVeIdvl861T5eT8B32cYYsL9CP3eMyOfM7j WN7UqZ/6GLeephMFOHTGKA3iW7JMYj0ujZvAV4F2vvlsMrJ4D8Ei+X1DjJf24KjfNihVm2ldWRpv xoReJZrXG715uEcwm1DJjkgEygGKu1fgvB4vkIjNpl2We4J6qS0oKkduyVKIYQtdin/KmSjTKuK5 5pXLOQZztCLFHfMMXqIuMjDOdFzSttb/g/H5USeBxKxTi2BNaiuozf1C7CE+JlhjFCEjT9Z9saJt Zl6+k7jkpAwfONoY/pRQlhTRxZSME/bYi22++xETqt+E5XAyu7S+EsvFECYZ2BfFd11gA/SqJD6h m+jXgN53e68NBelcqmVDWdZgD3rp2xC72PTOJpQ+chlKCqtMgm8PFqutNMaEQn4kYbEc+oGke8At +ZxevYCxk7BDHPKGfNG1Xrx6JlpVe4bvSeaMTbZwB2y5lT4MbjCPl08IXBCzzuvg0Q5l+f9/6AVF I5LzJ5Nqx5ag5UGueN1M8KewtLR3N1gRSKpUhWv8gFhYuBvUtKD192JhEKsMNj7ucksWRD6t2wbg e9jiAxCbwrTpsotlqi6ZhpBCopByLE3XgXwQ6CtU08+HGfi5cj2o69wPUuw4iD1WhDYsoXcf/iX1 Pz6rdAaH1aD3celx6fwMb4t9PtNoT/BszLKuBFQN/iqGqbyZGyvr687c3yBN5rrX9FQBDXXrBxOT rNbVq8UleYUFZsnBb+9E1eUHnFCi4p0mT3x+7lkkHcBK3bVZyqxM8qd+73wM0s2Z3BvriYz/ZcTc fo3tJfpPTzL24BWY4MLs8MA3zjKKnQkl1pFwmv6dfVa2QriQ4lEzn11CJicKDOBKYsM5H3CFMxzq QLZxOdo405L59aWRF4yNOwi+bZLATo4aCEy8/HQBrwn6nnPX1k3sR7QqrR0Y52yxhvpehJK2SulP u3Ntaqtx8stmJbhGzJVz7km1c/YIkqCKGzfsE+5zvzJfSmMEWgHMGd3YILimQ09NHhvuQpANxNns pMok7+XzBnvhx2MQnT/qa8fdT33yuWg1wISknMnyQzEJd15A0eFUZ1tlD1E9qUS5HNgPCQlI93fk DrUvn/pCzoZtfxxR91lCxsfXpFZ1Q1NxYm+dZX9zJm7xVO6W+uO6w0Ted/a1k3t1lpbgYyQkEFYQ L5GcVgvcGsCv5AZAxhfWyZ4RAssy1BQpevvFsYXix3oA3DRiMxHn1hNGb0I1cesmy6DqRB5QwqKV kRWCCPEaKW4GjRYh9cI1+FS6qqMr1/sVsSQWc0zV4f/j+nbJYQ4POaUaBs4U/w4kbPzSasiLkFgO UEOqaryq2X4NNFxQsAp3v3Mzw3SJCIvQMqdOTbl/8aD174ocbCBCyfZZBWpSgRabO6hxRhLulyVS sEjA5TdSyi9vpMIu1UEgnltFyP86zB0Inr8MzkWe7W1dTkPrfBsokdW30oefGuNb4KqyuBPrmFbh ++rLI4FlTPFVejmDjd9AgpYxX1nxRjoTP5qAdarJ8Oc5ZtuC8S+dPvNSQ8hnyuCRbVHBr+bchrIi IJoZQ81wei5gsdpnwrr3QehEWajJ6Tsb3dorTk99tySannpq4VvznGALUyHHxY66lYRDFyjWCIp1 qu9X/sOfipETTI3bubPxZmT3gZofdSbafGnmfDgWrSEl1nELP4IPvRxgbFj1uCa/MH9nqTm47a0G ARcBk/65K7YI7pQ6gMzL81Exph5Ow84FA2iCGRQVmQni0U6b00tqT8hHpv9P+98tYqx0xLVpmw2j epBbdzV4YsXSSid3//cZ1EFOtpXIG4J88BtqIARSR5r6DLi4VvFZn1T9teBrUNqWuYjFjvd0OxJW 9Yh/pJyZ0r41oykImuqhaRhnRDjX6WiSdukjihx6bJLGlmE6ELGzt9BlD14I8Rl3ceDxCw+IM17r TrdYAJyrPtj9kqnyuat5RrqJ/eg+SR1KLRCyQ9ELFyAm6sXvhGkLtRazofOuSUT97lV95QiMHTs/ wl79fm7Lwi4I0sDK9TZAhowF1iy+8XdeHnyRDMHVx8Ex7QfZ0RaOwj0nSwXEEP9Lg9TyzM2fDLxV Mp3ltR+0+wfR8uMbK4PFEFldOjWrefzzgkwmI21qU1tLKTQTWdOCOZh2MFj0wsdd7n2jLc393hH3 6nPk3KASM2d7QFMIliUDQxCle1lXrH+VGlSH/enD2G0wtpzneAs8Uz2iHvd0n5eu3Qu8myVovqV0 jqdOcgI0YVGqcHxqLDK1bFpnDb95v47iya0Ambvzw644lL8QHDr7FdCkNxOOZQmvkP0cxDyyC1id 9870GXFw8TcwAAXd9DGyLao0H8jzK65DZjUhgyoJstSTEy0NZLfLvZ9qI2ku/B/xbLl7BxSLxqGL MtdMa+wgICi7OcowsRY0Sp93VwasNI1KV9LxgZUSXBPFFny35ilCOGxISpmdRKGyB0Fsp47CrLLN nvdea6+SAYFpIXWfeLLaOkuXmVp2iAFOOjDB70GduVLoj8Pv8HoGcLkQVG5IQFeQL9fmDQ8WAUCg 2R6AXVyiT6vFt8QVVc4+820V2O01KuYXGQfSqguEimZGY0AxhRKLLFQ8ZgXV1Ykv0OT74lyLGOLZ 7ZAi1F/gIqD5kBsPy96yMku/DCh8HShxioNnmtV27pJmJIWPTFPkiuJuKVVVEA18YMXLxI6f0eLb dmBexaXsxwtJZf5t+D+GFpp3OD9d3deVeHtUcty1iMg7rKrdT7lMkQGRgS44/F6rpfwN+72z/3Fe 6e1s0A71WOwjs3xj5h/eS0vlJiY2FMMtpLzTTrBa20XIT84UYRjdiwb8wHhlhr+x+rlkJbYIEOiF 8ZTkMNt008iiMDvMjIbz8WUAiHvrydX1e5pURV+J4O9Mb0o/57rVeSf+rZiyVteaEnNkiPuz73B3 v3HxD+JP+vrmO2HfCPjCCU6jHklJaquTiqOXFWZnJYqtUzazXxZMEYCdjhj26fGOpBVo/Y4xPGIA FADk+i4vtj33R3bct9BotEerOMUFEV28C7eaSa3qKOJDmozZB6bb81D6dZnlLeLLKmuz+WHSVimT 06WusSG7y54IsXZq5DrFCeojjliIhSD8ExOlISWfKfoP9FiGo6eMIt+uGFplXG41LjH43fmQ33Nk Qd7PT6zHWieEx3oXI+jxHOb8GCFzpUao1R6kefuZ5k8kzJTemwUVyesVHmEDJlUsbcl6D7UIzvB8 zaMl+CAA7aEYsA/gUiUsBnWZ54EGoyKbwcasEBnI5M2Wgs/GNF7/sDFWvdIZdK6kYAjd2bjeQyFt olg6dY3u6yZ1CYM1kmctQ9huaE9KpnuO8rZ0fcFQwtNwzkSQ9x2wWS1LShiE7bZNBIonbGeWml3J E/cndn64NTLI3xrLetwcsPqAjzg7FTvCwft3FviwguB9Red9xJQkVmYdVRZRjeGg7TfoC24BNNs6 soByfX7K2mkLobnIj9aGp2F5yJG16vF7UxJOIoIET1rXJzl2aLrnP76csxX45N2ShHtvp81GBdSm 5on06dNcLoNIppdRJDOqzZDjRcUjMxP5EwOk4fvzvYPg6LPTQWcMsxp+kq4h3VzrdSmMAzK1pwN3 oPIrfspfZ/WYqhRKTGgNCHvtgnu7ys+X677IaiRSBbDdnRrIBlN3ISNkJOcvYEBf6hdx9hrtHKI/ 0Cv99mTmYfFrKCXsSuiz7mKLzPJ9KB1SkpD+QS55LTVi/xFTdx0TTKIFtIbx020bqhw9JEBsF7vY 1dOOHRLV5Tgv60AMlATcsyIUXvxe6inzD+5HDrdtXvkjEe4zzFRo8e1G15f4DCCMLpgtu6rKVP7B OA02Jw4kFphgMDmrWnF/MMu3leN9BE8r8fNrw3eWLPbxK0Y3zvX62P9B9eA87qJn33YA8dGmovdw s4WGoAPSh+LWvKw3u9/bTX8UaRgxhvcozeU0yUIqzar5EpFclWzftmjOSaWbG9WgsDqZNhI4pm2g 7CQ/z8004OJb6IVLj1rIs3nnVLHO6AM8I1P3+l69rmMNxPVh1OMLW8Zbjki82oLFqjemQ0Ix6elY zaayHKuXtxh29ndZP7s0Wcig6rKnYfhtZFNM9w+wUNy4uZ6AwvxOOKwlUBeuJkxkvwnD+4nRGVBU +qLqLIcIngdb7UABnNV044hQS0ds1BQfNbfHxSaesmAko6RZXIyrxyDa+PBX8CgAIu187YhJl5f6 aUIB4m6g8Oa47mcd4hQ5uMNVwtniktYUISJ2HJwu22rez6Ru55MSV7AunXUUUsI+2RAkog4EZel6 ZQw8phSPwPCGzWkIyu++WYaul1v+aGS79rJuuvIVkNQ3OswItROwOKPHTzr4jv4d9pUKttKcUIPq TZGi4wxtGzoLEfXRKNtdfeRohrbKlBb2HOZoOSIjCS2+mRPsj9dP/PVmTnZv7xcel28zxGNhVvly mVG9vSwxT+UjzbRTfQL4SLDlIk0KTXs0rXspEzHE/cQhlxoRJ4pIUmGJH6v6HpPsMZS2mWBOrlz5 Bw8B5KLt0wi0NiVbWCs89Im7fh1xylKXPxpBgrgGClIxRPakoU0S1JDzorYNjqsLQrOLlQ0R4oAz C3S0RYCmTmTnmx+hUkNYcpWW5gt6FufxhTGi3ozBrA3jSasBaKpX2mPHEN/gLJmizbmgG1hnelwX YeQ6ckPkVhU8xsjt3YBA6KPRbV3Q4HZ8rWZXW0Vb2/kBIqnWpJHgF02O5K2E4KsNwD9Rz+IfQFiC JL3jS2R4J2SCMs3OszW46pQKVwtVNAP/qRLb3hd3xLpWANwOj7arG/1uETItKCI6/4NHd/+2rMhv YvZDHPeotYC9/h/b5LK/GUsRAFqOYnDmY4nr94UfKWl+qEEaCIWUa24u23UCrzR8ZKYRIuWCLSwQ XBBZYUcrF0YMu2euOBaHoy4RqtPz+6mWbxHp1mQ7hdcaOc1oU3t0wLO7MGjGue5wVvx8pol771it vV4eb2k+HvoR8bEu/0AsrBGxDogudG6Cw2hgFeuyyla3GjwhDsTqUBUTECnRSMH6zK8IjwZmnlMf wS46I+ViEd/BfDx2egFl8mCwcaz6HOfQflQgi52z5mYAtFlrdtObhAJo6twMN29nlXk82xWGsdQf qWVbQT65pPGv6jMNszlc1TqpsbgnVpfC72yB5V6doC6p0flsEIe+ZZa+iMBxG59Bvhd4vENmL6vt co43iY+bOm7B7h67IP6HLzciUIJ26J+mHjwtYq2bmXTai47YOKMKkUvX5cSPxfziakXagaCHxFYc vbq2SorvYdWN6qjWCnlj/JbbewBftbWhSDXUn47AlpPIcWA3Y2wLfIQFWl3vZxM6lH4CkUyvt52h JQEz85gTTWuzy7huVzXaAdNlLRQfx23Dc6z/hnku3fPuwsK+9E4CejGBzaTK/cmPmb6pfE0PqKra 9NXkKwdl7JTM8kPpLz9XVL7wg7Mf1r8OTXhKpJKGyJ7MKqPjRefPVyPX2unPG+3lFLSZv++yEAt6 u/Bdf7w9jaVP7KDq6PQQXBHvGYNsYcs4ZapXwGT+i+tuzHUa0EiuMiArDCz20cpR/1gSRIrUNbTG D1+In4g2O1gKSjxpYUNk+7tb1MHU3agqWalpoXumolzlxquNPrGMQifAI+djXrKjQVlKFFXgITi3 i68W9SfBQ396GQMymc24Vfto/mrZZdTjeCkcU456kF0DYAaxqJgxtDg/1+0Le0enErGYOUDeeW4i FVqxIt2qzvCYQSgvkS2XKOOYJq0RY5/x4c+RXInsz880xwXV+kEwsLNMkKSNvZF1UifIVT7kxRtK +/ADnueBTtdvDfQLi2rlns5Pl3RiZ0d4KL7irwfme39wXp05k7mfpZYwQAAdeOnx05TC/kbRp7vq 2eovCH27O1LcMVxuNlXx0m+jyoHxAa0aWuuPvVabhhlto9/2kgcJfuVXH8bstj3fAL5MYB1Zn70+ 5pxqNKmcySk6M9Paew6/LQ10zTzjhDbCzNiOoflzYP3HIhokXdgWyqMvna2uZMTCBc9+HzJ/85R5 IOzhZZPkNy80kGEqzvtPtszl4agCMd+o5OxTOi7jt71BSJUCbQR40+x70J2TK65yN2gGmNIjloCm 2eTLIeAKihWVhUH7uRW9JSZWdw== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/builtin/builtin_prim_v6.vhd
5
37128
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YqLs8luoVD2LOx7hLHtumeWWjLsgVYZwDzNhcuP9ppuB1zekOAbOVLgm98uBKeQo1HKdKN1Ib1d2 FfyN3T5alg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SHVUJgndtshwUv/pYQ5e5nU3PoYWTAxANZeYDXWQtEfdNrwBd3FxkD0UV37/Hq4Wqjo00SALlJ9O bjlG3fWqCDCJXeemzliXBvXbwc5p3JEPm4Kj64TxKW1ytdbquoCvUqMRtjFC2281qE6bUPV0Yx7N vNYO3Uriyeg1YeXRr7I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SmIAZg4+ZL3/Q5FBXzxTp+qRw6MWAhXcZUDyiIgoJwLlzQ4jhkw8MZYroUxwmdlUWQTS4gdEjG2U wPsf/C1w2gYUW5KmMGcsMrdIt60AmN+4/pt42er08WOnLAetspyTXiLzOUMPcEYWOctUcNkj3wJt Dz31sxqFu6E8W5zInwFODkt98N/sBb7gr/yKmoLw8pxm4L7IXpwqbboWgn3zZhWAls8LXLjORq9E FwrrgI1V7kH5XgCOMWDjKpi76h463pH1DIb06tIEzOMVezTKimdwjhIGqmxvF5+qFzFMnIy2HLAT ca84by6hFJ/AfmxtjxDplAKw+XGUDfboE2GIfg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VsVagsSS80a+xvWFkXtXcXs+PiK/k5F0A55U307sLelkeGBRQjYsjXGIKCNxHLDCva16Kt1637Sp duxxnmAIDnHPgvNDWi4rmh6C4KhlVEw3oO+GV0QA4wgNgsP2SxFSqL9OinZ5vjHkTo4QQMmMQWyW TRmOG27NUoLnXexpmvk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I1RqihoX5DNeyTGlmTYdJDyQxTwUVKenNZKneGJgeDEfnaq9pi/V8xuyN4wP1+lb375lYNUlYpnT eyO1JYpe5q2bKlBmQIQs5Er88JwJOp2J3wNn5oZzsIM2wXsIKwWng5xLUFxxxcTHXFlqwFT7mPbe oQ5ZBnm+Aw/ROZMx5JTG8kjvAQeCILXiP4Kdk1GrQ7Rfg6FAHuMty00z9NpAAogmElrLeGHMbb3+ 588Pbm1X5j9q8he2g4LU2Nv+gteagJAUjrxFmFUJ5e4Z0Cw/5IP1cXjBk46iOtQjqoSjyYa3w5gm ouO6vyvoKxv69isxhAclp9J5n6YuC7S+jvamFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744) `protect data_block +IfSizmIIiHcz6llzlkv2P+SXMT+eU5tCmVG+s4w3sqA/Izm7LWO5Xp9Luqd22CuuukMfUmpQpXa JqSiBxTxvPwWC0T8ydsswBN9wcRcHGiErJwDDyii/7dZH2xsfT0PzLJVzNpNyWxhA1eW9nwO9otG lNC3CKL0sWcVovlUJOJCAc0BnB74c5Q/4Cl09S4A64F4WAGPxOAVigGcuHNUZk6/zJyXLf9BPMVA +wEOk7IDWc/+L4JscLa3obmt7yI4SJ1BiIhyeqDNW0i6FLww5ygvlw49I7n8UzPGUHV/rb3+rC92 +XUi4l3DzSMC8eZkyA1hw1Ed8LZOKIWNuzQIBHlElNkjSspc4AIdXQIIRnWyK8N/hYcvvgToAASv W0FxiyyJiR1zWVDBKWWEIqXUd3aFCFcQFlQUNK/rRMU30aNWeY4u+q7JkbfPX83XhhcIBprxbAKs /OBLJ3wDfsnFcybWdAXg2cf1umlVTgS6Sm0NA/uq9Jh6VqVRnB5cOd6pnEGb9/pcPb6A5gZjSoDk JOkP1VlCKddnU0RbWiAMLkqJVSuQuFWkJNO5vip4okfz1RwPm1O7+Qi25b8pApfSI47XxfG43eg8 bF9FjFDXmP5L70gS1GlztalJhWlthwd4mSxwhoi+3AmZ2GftDD8qhXSkGolX6z5tKzJRcW66A7u4 NRebdF71Le3cwMSq/h95EIwQ3UomU4UJdv9D9W8dVHEerQOUO1Bq58Sp7w1EQmc0EmE7hrHl2WCE iLgGxFA2jVQz1g+Mai25dorkapBzhmn8mkgJi/CkOB7o5xuN9Kph8+PhPEVMmAvdXomiopR2g4Bb bS2UWDRVBThuRED2ll3I6O+C1cfmAgPlsUN63pvwzVje2ktTc8F5TklgdrEtJKWnY1JdUJ86f3Fm XoZooa4A2MQj7DexGMI8Ws1Zu/ay+YTzytwKmeZtD9iElwVUATz+cNsUqVNZ6ziqBMv0HkWeZJuv /8+tPrJfd2PCHTFGLPtntV7Kb3ah/AHGdao3JaUnW0+ZAgWTh4pEA1iqm4GyVDKYfUhkINpEyG3W h7bQJ1j9gmLwSEuwcYI4RBCcf4GBLSwxblXqJppEVtQOSrSFEbnzRQ7gRTYxvzDuxX5qoI3SPfjc v22QT5o76hsE1EORkJExZ59SwBo6L+uTRRBucKnsChIknvgPVDyJB7l//UnVm/yd4w9Fsgks+h5t 8YpWatvt0DcIEq6Cu0iWcEGsflX9DzF91SNeHHIouWQYegBUBGKBX5iYlLcUgiaqM1ss/xOIwXyt bVCPD6y3wG25o0mBpxnmolNwBTAi/DzzJLALQlyjD/H2DeXjFXVcsv7A/MF7edKEchVAQ5RLGAve ikUjK9IjeVkVwXY3E+c7K88ojSEYso0XT3HFpwpO0j8iSya3FKSOKWIMU+gPPCZ/kwdqiHok+tXA EYU2H95B05CU5JITuE3ebOKd7vkkJfGeAP6gjvtocUlkxSOLxMecTy0AjVk4kxjUIKA0B1ZfYBfe OAGFHy3a9WwyoBK2XaWh+Q9pSbnoMVPZgZgKrYK3bBAhubKMysCGF5ZqgKkU0ohS/A2x4dh1eVac 0rvCVK0koA7o4N4aizS8ngJ4Gn9AL7aWQ4jfyNOyZxn9NCj8VZ2TlwDBO92tL/XC8rw6wOx70nOP ci47/CYz90Br7MGlG2uZgUIbx3zKSu+HdrNgl/EgOaWGgmIdO9gqrZ2CWjo48/B2ZK2FnaB9APDG djb1PsFVUfEEfhwze/Envr1GmbWprgUkn6fmlQNrjzZy9/BF7aoH50Vq6GQqu1tTuhYoqmHQ90sF Yfmgea8w1aAf4j3hOpytmT3oOFyjQeDWyxbkmu0MQkGAKvNf92dDm/Kw6rimfTBEIsu5C/AQ7AcA PiNlOEN8bibj1pdze0YzXAdoJ1GwBBcr3FsEDBe9sx2toix0a69N1LTm4hfVNjSUliZHJ66ZUi12 W8RwN9rFV9/E2mvD8mVfp7CE5mhN+AAyEWPZDq4hSER1TIXnb1XNCNo2zAriWlI6aR1Qo6tgEJy5 tH2WNKUdMw9SHcWFaJ5yMDunMXcQQcBxTa2bbAS4sDLJf3kP0EM29/CysKLHmgEfUlOA/vHjgEb7 tc0rfD0f+cVGShqzPrDtgixDDf7WX0lZPpNgq7ISdnJ07VFDQTzVwCtQj+rc3rR+CHeea29xvjxV v/XllqbHsFaJdfKeEVbYgFzDXt1DbG1hq7uQmULuW01Q259/8AwbP/2IxLIdBqjX4lPB6QwCcFwR mszSKFpbT7Q0wTPgjlO1oOYECB2mE57ZOCeLM5xPzNQod8+weSTWMTU51q8Q3F5vWBeOi1NATS/J MLbgXOcuiPq8qiB6MBUZdSzqFUXEgVDsGppxDdWXAcIeOZZ4GeR40aM4mfY1hKLUA1Qw6ZoJoudV SEDjZ0G+XA3PmH1XVNmi0znwcUokd3SQSEEQNDtXw9OD5gpJVzah2LTa6ovz3LRab9u8jHOPvXFA oneR7SHp4YUvZORSSuX0hWgDBns+ffJnAY1yLWdVWyAnhtiewnAqSoAlctpFlLdYdhrehPDHHHhY 0cXB8kVP/O8xerVo1QgVOInmLtNnFBODy2iJpvr0L5SD/aXhY2RET1PCO+PZwCJXJq/0C98BuiME tpqtG8bCzfxQgo1KOOYxXgWSZAC+L5fAn0itBO95OadyBghwLNziPLEGjJVF47YaoDk/raVY1s6m ionfMa07y0Cuy4bWiTvGzJWh1Rt7HkyjQ4v5X0U5yMD6629xFqzjKJw80qun6/hekY9DiUll5TXJ D7UnOS1HAn3F5Y9xEbTzRqefB3XYwYpJbA+bQwEDAbSIyXy/APUWlqE2ulsQLMi3ks2VlEEw8b7U Su+EKmj0zC3yme6Y6hB9VZICdjVznP/N7chROWXWLECzaCZtBMzKfuqJUEor3NU9d4rXmoEj+ijP SOvJFjjBhKGfSMXQgj3yVviSHiawldnWTFZPCeo3ZxE2YQwKWWJCMDNOel3pO8gpFWkn5YS3zLdK AmPAYSaQYmpr80Gry0gVBiKgwmk7dbUDJU6HRO0K+6SsWEiG91y6Bd7ZRegdoTd8AM6x4mXRBOi3 gCfAnEN3SM+yXpo1LRCESPSpz/HEYC53Y7EwybSe2Cj1TyLs6e58EACij4kCqGRVLn+8toXXzJoq okgcVeYR4pW28UrnjNu6WCZrVXz/1sEDufaX5ZysRxN8ehgqryXr0dKux91ibjCzgmP7ZGajjYS0 dDnakPx8jomlrWptf14fPfoD2pMtiezCeVgm31MYt716Dr0t+F5UZYPW3OfHnXZGkps7LIMHRQJO ZvoUIQF8ca8S7/6BVhj19hfetQV0DXSH008fRBA22Rw9/ZIM86Ra4em/OAWv0oNc4kYUyjS7+0t6 WObx5rLsJKmaN51XskVxt0DghJj+M0Kzi5FB0vivC7T/GpOy2UJIylwwfnD0L3IgrD0sd7vHOHjm 5kO+/L5/kFEXViogF4QypoQn7B81sdumZSjW1Vv1FVu4XGQIaw2JLciQkUqtfINPicNH18mhUx5y jjKPCLm3EQ+AS+az4OUryE4vYsi8R0YoJ6cSaBz+YeKL9h5S1sdZPKZJ8lEjJ3mO5PO28bjwoCbR NoNCkkNUXGn5tghPNxKaQs0jHcYgajVGfSOcTGRe3oZQr2OjJcowbJMfI0SEDunMbhCNgSZPlFrO qQ6+o61zxhVMWjgZuPWJOiUjK1Ju/z+FAzDRwj1/nG0BRx7Y4e084lLTRR86UaBN3n2X00XoRJbd mBp6QCkhSdd9W1+W+4b26Oiqo/Gjwy3TrVRhLCWSZx+EGNXjro2yjd9gZQ4tAfNzTFoVW6Lszb/x 6A1G7nN2w6Urzg5cPOHgQsB4J9SJYrU30e39svjf6uGyo8cuPrQKU7gkjaClD6DZcUWniNUDblQk Tw7K1408SxEZ8G2zdwuzx5683xPn6IoaBPc+zTcrpcFyFlLW7PUYcGyCjBgUaYKsSUYwEgHB0xTJ UEQyNGgH/SMetiTw8itHJkheIEZ0HDYiXGk5cVHEVqreQ614IGT1Cp++CmZHDYj+6Jd+Xt1l+HiJ Ia/pTPcbhaEcNWR8PqyL2EbEzLST9RESB9974q/RYUXCrRlsddgOCkwwWZOJGEEg7umzZialYi+Q WBqXeDjwQwPxNfr/cRUCqu6QZyA8miU62Fpss5QWKpn15FHQdL2SDybRDCrq7/6zgQqioX7rYZpj hp/pW81ByifNf6yCeBHRU/MQ4gNA7cQVZmekt/rPb/DDTU9iyiWkECIfJ9buBEJEejqcdNgCp6Ws lQkMZPyCyKmo6WauK/vxfpFC2PHfiwMgf5yuUR2ydoCTglEWL3u1CyF3QmLJt2b+7VIFVFsEWOLX VGzFsEL1d0b+cTS1ufQr7qQpRcK9cUJqwa1aA2JPS0so348S8/SgzE9UflKULwQVc7ezCHNqRGwl Uqlh9uzqCB4dtfW9m1nGjXJd7LJhm89eTE3TOsvco8r72z41x19VZl1opRJgJWw9snE2ZYWp9VYw n9OTvASVgEuRMKWas5Xt2QfYrpe+jz7/rX2PMUjvNBnaHav9aRMKcwPYKUwBWvjp78odAsIAN9JJ qVYKjVyz93ww8rXMx8Ktusdx5dJijqSJNPBE1DHBYd6OalAi7tsD/So8sGJ7Bsznm04DMbHndMFX 2Lhpcv3xRP0CF1LRfQyXtYfh1iLdxwtYs+RVZcKGUWtC2WxSdNDqMV51+pCpJIvip3iuiJVZFLM7 J1jLRKv1t1iKayRcCQ+yx/qL/99SDC77sBgzI9Y5QooMj99Cz1ZAqv65/bX6n23ZqtO79n+MbyEr 8BVNMEByZHgpWlcySzaUnOcTkt5MSVv4xbVIrf5sQNxOf4YfdKkz7bnCA7YkmOWJTLju8lGfOphU eSD4dGCzRIZqYAOTYwZ58Ebf3G0bQ4RS68AqsQj43hfL+umqz+Hhfel6Zfc4xvNybO9bDOwH9LF3 DNgf3gBXTGR1xKUG6aBC4MzB3SjcNB7hWlBL4aPioNFNLke6Te4l/UuDwtvzD5x5BtbEEbpJLw4+ w5vLcH+GDN5l9KnuPYUS+F1iSLgJAwgPJhAwVRq4ttaDkgDJng1/jB9MRx00zcfTWKRSvc/QR4Bw uzDeBReaGgd4WCFopb4lc8GML62chJBC14QxRNGgDBIZn9E3XmcGtI0CkjpvYdKviESzqfUCeb6/ MSXFYwbUxxnEgTMxrYQnywyMa+UIjlLMaa8JewDcOMc3m3JsgItlSbDdKC0ZRhyGpswNhelsK8Dj qRcEAvxHmVb1mw0/ajLdUvEG2FN95tihDgAfc2L76+BYwS+BrL/XgydNlSQM9OeXqV/ZOsGSAW0q giHsb0PlUL7oijE1PV4MRDVpCymFq8A+EaaWi4lTwKeVpXYr8hB9QUYib+e4qMXHLerNVtU08X4o SHBlXzkinjM6Ux7bNyWdAwmyOUoeEmZEMW5iOMJQXiGQNwPLh/ujcBXUNljspFBxpAtltxUvtYFc 4e2PDyLnTf2z4De3qU+h6xoiXo2uWhujh7R0AVF0tbBWvkqVXJgDxIgkna1SJjtgPdFEO06KdLwk 3ApsG7xaRhz0/n+fAGjrxbmCpAE1PCEJjrpm+eYpXJO7hrZuWuhfDiqWWR5BocRb7F56AcWRE/lu /L3igmP2GCEks4IFX6GjAQP4gQJEfXUAol2QQ6nMuTq/JhY1C7eKLuxu0q7iU402liyaE/07zrdU +v/Ik0gAnV8+ArdzJAlyjchw4BBVJMpfCIiPlzZOjh3JXRR93PdwB0NsLWtkmgHxLTxEDlBaQymp Puxmul1qIgZzOskhy9PHvpPEAC9I/z852ouMgj95oxZYitEeIowpDT3rkb8VoYNV9Y3SGkj0X9Zc 3C/lDyeW9mUgRDSFDPlCSQV3/z4q6C1OKIsjgAkSQs1GjZ4dHEQXSOM/jR/5U6uOmMBDt4tudBXD 1wgnK4yUHlrfXt84IuksiYgsNAI0Rx46yFcGFI+e9D3noI4oZYgizNRtq9Fkg7mxCR0CY4DMo/57 hYeMn9aYe47d83uW07hbOS4IHB/PeXTSm4POsXL2SKfbBbuDPFIjPfafpyG4oL4WGwzLI+ZzhqX3 wX19uQK7lL4CeWOB9OVZjm2s3VU0Q9bWUvavlkyOrGcHfSmpA/uGSR6l5VHrKjCkdH3jNUbFqUJv Kaw/Bnj6uAZanYhuiZSj8Jm6Bx1GUXYfXWziM9iRLs0SJl4096mv5AZQrOX6bFU0p9vbKP2+qebu +V9wYQwtdP6Y3qFA38ukcp6+PNQjXLXy/nuWGj+bA8vlPOHzCrCGVG14n7s+H+E097IcEJ28Jwup KaPcjMJG3+Z8fw27tDpioZXmZIgPvbMmeb34mSg9aXqzUYNr6oOGAg4kdh0rfrPTCNQId/7mEcW9 atwlLjs2dpPd0QEVGAnnpTqXic4VVAifGEo44SZS5kAfbDsVWTbQ+QlQPWqqZJczjKWDXOb0fc13 avYLSm1tfGIT72sqWyuojp2uY3a/J9CicDZnSMdVkE1INfAuIaJViMjRZQGrUtfNpZ8B4zLIPNTp j5thGznqQoa+E7MuZLfwVWXLPaXtaj/4VLZFdqM5xIf+Gs1SbLYSkMvI22WAbjl7joqr5WTOcd7J EpLu+cdp1xYmCQGia7o9MmP39dxben9QLNciPkcho/BFB1FvvkjeZwcpeTUUWqr69tS4wxaEUmpu KQs4uBl8xX8Nhscbiqrq/M72sqaUUkl6FwdcIF9cMOFGhDvBIBNcWzF0d4IIbIJDR/Bv7tGbG8kM SLz74oMHUevI8HYYZhgPQGfVsttynuyLwjDk6QPhr4Dz1lLKH5Hv/V7q1/htea4P3tq2JTexsnSA 9LljacPXzw4i8VrjjHV7+5C2C1hpyklMX+1pSSYIBPGdpLdASdvPtWa1eLEb3M3VyKHqSmU3ClSp A0ZzquP1N7+qmb21xeEAbMZjYHQFO7A9hF6LQcnFD3iLu5I2x0T0Sm55Ft4xK7QSWjxGm/NaST9D /nSFDLnXplG4Ll8xAeGow7gyJ3AOO57VzrVs6U/BIsTOYlD5CJXHBdx/o4lG46vDOST03dxwIYJ0 GZUXt43/6/NjOPCcT0MEumj2CHqmMRs5ATW+07IM8YF1qCRUh5+EQ3Kt7BQ6kAwU2GJdVlcIQEGc 3T2QqXbr2ZOg3JW7H2jTeoyqWxUjfKluYyhKNxlJw80N6HFaa/04tweS6Rkg3yK8X5zYc4RLdnRi diIyWV7XGSFoU8ZGXhQRX9gkbamyQy9KVRPNdZYJMXJRB3zsicDPF+YRjUfcW8AB5WwhvZLBFXJu Qw8CJXLjvOfDYk76Zggj2i2I7RkBusC4texIKKPBoveP/68jiRJdMHrohFWsXqzzErf2zoxoXyi9 eKKVdLk7VRuELyYs0m0BDMCT3j4oIE49zy8lCsywqNoBfE5kUuHrHoHaEOm7cYEFoKacSvCzj93E aTsnuhnuSGnM2kKQRXLbmuZJALwUj9lGMxNHHM4XdaN9YKutCSQ0EOQi56mT+0fczGYDkneKGYe3 pSXqZjUbVOUNNimHTT+b/b/fR+n5vfGRGa/fFI9Oeo2G9drn21KRe3aNCN7MJSRGyXhFovEiCC6w /O1lhsQSkJ8dmbMHAi01p9QxPkdR7XPaFgwHpN04RCwS+omNmrn9EGjggH0xRDF1l9DxWzOTWTOQ ACv0jQlpsTUyetZ2i0XBXS9unDC9e++2qXLgiWEvCHsozj1OrTn6u47gCSNgX7NH3Mc0+Ykbbkkq Xbf+ZHHLUvPihtJhk0lnKvSqXLbdxHKJ7x18X7/jhmTPhC+4O7Tul6VmsG/lspAcaZ7o3otVWiLM nBLgFHRcBIocGLEGIjdIiSkPmq+H6I2/d/YZ1fA2DlQJi1aUdvQHLKMpHdOe2yPORh5iUnnyq+aA DAl6D6EpVaqefhy6JvvbPFPc0ab39HbEjr6ZeuRoQibMkRudu+SsHRINw9xy/aKTvA9k8R+VyN6k S+dlBAvy9sp2Z9zfaIDi/Vt7a8oliA75UwWrnxTVTc9p+5Dpi0ihwn90YOWNt9I4HPOPtJiiUO3L R54GYjgQDGSQ12nQcnPDaisJe9wVSRgBtgRIG1/3F7OnMioOVAPjUD8pyXkAUUMdc6A9cSHjukPi kUnvcRV4Lp4AAAmSZJ89R3fzBfcYpZx42xZmrfdw3dKeJM8slJe2/3TH4Ks34HE1DmMLLaD0yrMZ 7jW9vHGbKvcwPiSu3vumZYm3sJ8OW+U9XOVm05Y4vPh5eSKmlil3kDRM7zMwhLzUp646OzWKZypD XZ6oSIrQuomHPJ2FJVRrXjqNF1TIk0C9Kg2hfyvqraMySPV1VoGii7qk73FwzCiL+pMzRIP3LRgh 2Dq6WlcJPZpyNPHNXv/n/EgWbusjTyi5p8h1EiuSrZDKTp2caAKGovz7mwerob8xP+m7z8ucaFDS 5lezLgKux5fzWlguKqJcAmZ2juMYxHTrB/3ebFGnfTOUrEIqXI7tOVW0zePYltjhz5BcvLnecNsm TplhRsLEjifG9hEWnxQQeULr+sYFy7UaMdghi3XKBzBSl8FvfGj/mrpuCwfk410g6RKRpDQdeHYP wrDtZZ2cpRLFQIQTEnU5+ngb6GIKcRjhcbHTQMHfjhewQJ4//VvU+wuoNtQiJ6vqAVsZk0iDqS+J tDl/C3DNfoNqM3j0BcNCVQp+spgGKQeAok2XJakZiJYH1AgHrwoJfsV166NKYsjIinvo64ewOYLJ 9YPYf7dikf/RQIXwWNm7HAIib5EeNdby2732ZH6CxYeSbzzXwYosty0gPePdzR8/F19HByGrjmq5 8Pw8DUrnZzgfWngBxLSSVuQKcR0mh3QgjOZnNquOlGX8CjMf6DuTbD+6mAJPilwf1F6CoG5zXusr MooY4wuGKzqkGowRnYd1avCypfEdcd+dO/FP0FjUAM1yfyoelJdpi1+Ocel01t1nqrKZBR1iwaNw 9rDh7CvpZwKeFF0mFmkX49KZDbQmOwgsOhD676deNLFjTclf8AS4E7WZEYnkQfh4a7o+3YSs68GK rtKTjGoZoZc5nlRclnyRbIccYbslgMjReaCyet1cJR7HAxq89VSD4esjHLtHqHDo00VkDw1kgp9y Hgx4kpaUyNQu/pWqUxlfSs08zgm67+fowpo9gmoRTjOM5qt5MuVzx3aKOu1Ei6t+JDe+bWVIILo0 6VNPOjMfWaK+Nc7radz5tjeC56eBnuJGaivft7yZ056mMtmn9+xonZEH9l9UmQxZkG96iU6RbG0q ZbUWOBy9llLMWOeagOIa2Eb1MPjT8FTRoKU511sCnmh9pqjXwDUZbNx2UqnCYqU9FZ4YhMo8fMa7 W02SNU+1dgYRcJnQwGHysbnXlhAURzy4Co1qo1ZgIDJ6aKSMfa7JKdMXwwMZXMQatdBotHkCfj// Ek0oAfDHI0xbuVrkRaVwF05VB0b57/m40fKhVvDVZV1DjZQoq0DqlV0vsrLjVDLm7mexcSBLgBr6 /icedv0u9sPV3IXoWJUIpjZnxKf9EUS4bVIVwu6z87ByJZV5XB1VRAW/mABSGOduvdeNEuUEdIlP r9vMI9OFDzJ3GGrREXCUC/T7QaHU5YpIVNUPZuZ/ypXoZGEgZVc8qPXmBHFN2dm5howgIPe5JKoh WzBxlLnAMLSxLh1N9ELcp6Mo4tmADAp69jQTy8hzLhH2b+e7VYUV+XJuSQTnR6ZNk2pyAuRpLlEJ GANYaCaQcDGTJe4jpyirWSujJILIq+Aw/lZBmwp/zFPxT8xjAkNn7+7BX6FsBsRzoBeveZ8akivY DVSSg6Zw8VANKa85pGUDNqexasdxqsg9d/RZAfoIoT9/CQbTHx4Gt4QzhdBaUolAJz6SsiHxv6hm dDAslGYgb5azhLyixgH0B2FH7P+Lz3tjvw8wgeGOl8X1RQ+sRNppBKerNaKlTY7rq9SEUOn9VKPG 0qlVde6VEF633f+uK+Yn0xuKiocAA/B0eNPG+xTkSBDls5TaMYtq7uBTQi3oGYWLljy/5v9ONy2C GdzaS2cCc+QvXvVW9bDb5Yhi6d8+pbLCdE8Jzj9UJhlbQEimy/OjlzjiJXl3Ioqw9FeJXGgCu9WG gsPJE/B9C7aElwXPVGUxtwf/0TQnru12SqiM57DbZ0zeoxU880VvFX83pZg3Uo5ME0BA01JJYj8B QGEeCj9W0ccOi0B/WZG0dbYRDrxo9O3RNBIMO3UD48/xy1yOM0lljzxkbjvu9RGvTw6dMAXJjSDt ECB/tSTaG9F8vhCar3WB7chBMlv/lpC5zj1PHuAGAnnKL9jow3A3gjFQTGqBTVP06R/7bXhH4QoV aZVyJ2vrc67lX3gJymTSXdOei/9+fnx7iSkV+dnDQQ2YyEW/YZpKChoHotjp1shU9RSowlDTrlof PzRY2lVjlbgGwlXXfeQ6/dnwjvXcSFaXidt0RQYkn0EjIi+qsmz2BvKCl2fHAa3M1+JNKczB7Vrh 0AWX/VlWzBvpAHNsWGxje5cLibNKw6+o90I3cHFiALrC1lEqwu2h4OupW94CSV30apBGw7LnfAyz vaIeyrsO3PlIJceZvv+uqzQ+dzrNZ4YgvkVR+1dLhJr5L6fEy/QSE/MSQjAVNo3qp8ZpyLoJUReu iObeDQi3j6Wau9cO2nRQFgRAfmpwEqIwnVOq/9C3K10KisI6Yw00rVKXtFYBOv6kgR4IbXz71/p1 pTz9sE/Sz97S5Hv37Ow8Mbs4PaG+VtP9WTzzLkN2XY8H7wS8E5rX2+cVNvt8TpUpz6uM47S5sB+1 feG9/Yl2HIfRQvVhIMHr6JFmNUCxhC2p/LScTmF+aTZWzjxmkci6byqkB9ksKkfHVV2qqPerHLmq u3q1zQVU3T/8LS5i1dvOzRKdEQNmD4kBrqpCAsZqdpXS16lrxu0aXBgtgNbAOS14Be/7YyvJaxLW 52MIZFfYENEX4TDAy5HehXKXg6wWVYlPNmURFPEorikWR3yfpI+xcitYln8cgyEU9NF2yBW4e4JH l3V0vuujSJxvmm6jDEX1Ouk5mOnV8RiZgv97ftGmbUQZTWuvrjn382v8x897kn8AyTmo/j14JEf1 Bkdf+A1U3Nx5u+qZDinyC5ZCFiTISb9/V3L6/BoFd9Y9DJqP8PKt2S0I+ZK7TKHwNhDEJyOCp4bf Ww4bMARxT4J/7kRaj6J6PsbqPqtoptQY9Aild+eovI0Ckm0ISVLBAvC3R89UlVz0jD+UR0eQrudV ZN1IfCA5ZtTdqPmHlrvfZTUb1Xytguzc1zM4cKLWpr8oBGo/+rvuS83nnB6wnS+85vsPCCMyrTEM Fv62ii22nJfa68IrGn8x7ZT+TpMS5QRC2UmBvTv1cRtSbFHWMKocQM7gwVByk8MFNEZn1D1BuA+Q 5zeLo5lotHsBoM7cEr0Hg1zzbZ22WJvcyMtHVApQs1SOzyhfHeMaO9jwtb8OD44MKYyY2E2MC9BF sAjcljpc45SlRZyx1Ur6TCxDQ9vqvC0/LvEHkiohPelC+UEhCqCeMrV4Lta0IfPD3QarOsRYEEHD bwNzWWUGLyV784hM4BgUAjKefOkADA7WRwJHX3Tm2LEponTwqa0rAUdzs70771+4JnXPIPYmGQ/g S13qC2fVy3kMrHvJ8VLput4wkzkQzfwk6o1Ipw7C126XaaOAadqomD8kbkIy/qlOr1iBflCngp7N F5lChmlX+yILlw4073QoWLHecwiV34u4/TXJDn3ByrqZDVOwguVch4q8Qb0AfFfZLZptdyR5Ygys YlHR9466qG9V5zOdbrmJ1JkcbkOhaNZw40N46vW7o+/+cQSwVTRlrf4of4ISafRXWmWqGHVcCnnC lsI/hSfPnuBRs556WpZIxiHecymrO0VyiNEZV+KeWbzNUKEHgtELVk3HYLQb2aSC/iIY7IHaTmhf tMPjXM4gAwUuBi3Ql9aoDpHuculi9/0Dvk7qa9cXuTGx41MnvjnaaUlfuCgsSIDSSVM1AZTd4fEc 2ol+/4dr0e1WgUUJPiN4mqRarp8YHKLHvYNDeaer/1d/JtKmowyOUu1p2vv0RKqU/NmlVXVIani2 MQLM1C0DlkZqJdou+KgJLjrEY2XWkWY4TzuW2IzhlsFP28LU07cCkB93KYhvyRVuVHVU7cZiEwII iSYGQWP+Ef6xlUTM7Do5mTivXO5HnlGeYm4PFJl+N4M42TCA49pi5cQOQ8CixlJT9/agBja8Aa+P Dh9uFKKmSZoT7EnCK+qCxzEGGkIo9gifcpB2vtRJOJTfiFFh/fOL/DMkjgefREtdtTmTk9W4KJAp /eQQ2Oa+5/PENa4XS8hfiXsDk1pDnBPWCdKH4VK/S24/pwGuQfoPHSBqNy41TOB5SaLo4+nX5gcF wNdLbCM+/j2tx+Vk2ZZAXtgYKtr2xeudh9OSl4s6ZBXIvdKlATO0YGnOKnofNPMwS9XXAIEHumjB pBqKbsgc4XLWLN6lZ9gjn/6PT4bdCwC9S9vLuFXaUOQlSSwhTTFThg2T9ecQHgKIfWsQvub1O6zB KWRnjp8ZlEaEw8MMFWuUoabV4phoKLagmqpvNMfNKYn151CgBXxH0Co4AWrz2oLErYFfi8PiVjRo GnltgkPBLS7x6cLVEpQywAxcgyrQxfaNe0qpSAhitGHwTzKYCs/7qMPAMDENltncUxHF6KzeeiEP RukRPKNl+qOUQV3sMdCIWHZy6I7hJ/q5XuQPWRQNlx19iEH8oMMkU3XnNxub6UVzofdLQC3WxQ0Q GYO58ulrKXT2FgKHXxM0sjeTRDA2NjqOO3LmhV5/xg+LLuJ35anS3gnoraR/Uyx+yMu8W2kr7TzW +gE/JNP2IOitwVqT/CaaVG32cDWpHN2pUwWfh0FtQ3o4rdlk4KHo8bxsdIchv+4ArZbqt+GjPTnQ B85T8sNppXKH8QLiBV8fewyWpP5jUS53pLEN/gwyueMhyGExvZrrJau4XzkDA3gZ3gzilpLB9CNL UQCycVOYlPGLg8oZGENyt78pXzZg1ITObsvR37tDrEKVnftUavUzaxsRoL8v6fR9f3rsbSPfVhwF ITwMMa3AY2tDIc5KFFg+wVvNCz08emPPcUqScEQKx38+8Gvzf4SWRIZHm+RToGCZHZoLUNOxZOaU h5katMA8Skv4FipNckUupgJa2LiT6ULZ8stlGGlmXMTu2YuzN+D0dxgZYEcepBK921YJgMqO/BgS nC6mpJGCm8hzJqLzx38qBGOa9XufPqu3QS9EeWObKTDxUNyyHkTOMZUqkhy+u5nY8IbCcILEUk8v 1nhEMCNuQrwIX4QNO3N6iw8dGMC3+6XBQQfP7BVsbw0NEA5HT3mR4pR99vLUxkj81gnAi6jnVCPg TrkEzlVeuJLoHA+rrFjWzxlU51nwb4rcIeK1Z9NkJtbdpXPyPEOq9dCrwF3573ZfIAdDn7ulVzG+ 1WNQpAxTgeNpzTGsXx9QY3KLSsWjLK08aFFsIi8j/pG9XPIhG9Hb0FuETlDQuRIcarxdh6EZhabW pfyBOBDdWC3Ea0YMeMqsm9O5+fil+s+rHda/XJk8L4OxjHc0XG/m0rohW7xO+MB2sD7ROzYYbYmm eMuyN6z+O3c1ICuRjGVeAZkV+Wszi+z2H1HKFf/4C1LUaGEaqU3HBYtQKVRBJgRa2ZVVl6fgPnVq wBOUg/Isi2QAh2heRaLknN1jESxk26ikvCPVnnUBgu4NjYCUUQzQ0zbqGfU2150KconMrRzzLIoL z6lQOJaYyHg47w7k/xkxhUUKFLhGus5h2mL/7/L4483HeCqe+ur9q/wxKAt2+mzmmfiU3vkd6au1 vGavmfFiYKp4n4PnhS80O3zVBYwQ1o4yjYrF+05SjmCfMkQBP+dhYJ1cTe7qBzIZIBhwylCb/9V2 c3zamPRDGtWf0bbK3iezPAitSX33aiRkwOZ4SZQ7jJocX/mxAHjmjctk1wr5QUxifsopNdPRtPtw 4xEr6zSrxLAngJYL0ixIuOpgbG8UpzpEltiJfXkQEK+kxTvVAdZ0bea2yVOXeb+icOmbcjkZ70fn viDF4nhL6j284hbfdDNT0pQ4RNf9JLraHUVMc+PJvMJDyXVuLHIZ9Aerc8krCh+Vo2DbcSEZ8YH7 TGzafXy2Mi/fmbUBr5atDnMrQRRlkgm+qMPiqQ/QXj1aPCiXX04WADBom+5m0r8Bn6m3MDleF3Qc /hcSBAlDQnaN+g+mZojYy2379yagWhmp+FN4VTcPxiQm5DWIfh9OxHxz2Gj3HUOsc0F2rCQU51l/ 7QnQa9fK1tpITTg4sGR5lp5utvvey3kRU/Rqu+0JApcyE4NwmOPvYlfUOy5FvVWO+GE6Nch5gXmG KseFbyPXq6OAyfG6A1AcejosjRty7efyzr0amuRnbyIEOCvl8KvaBK8xKtc34iOSrsrKNnZgzcbf FmiqQX/l1I4kE4Gr5z8vO2ZiI/6O0cG0jKN3+FpreCdATvS9xCcOjAmWrAwMajWAm//4BVDCTFLB peCpIePFvc7YxHse70fk3GJ+BCP3F//ziXdWhHy2v3/sh890Xcl9K/wAvSDkYwtv4X7+oUIuiXTV lxTuJe5GROeDrqJk/RPYXFulDjC5sog4UgayijrBNMlESzaf1N3La3DSK4DgFqr8HjXOVdGRcoDV FX8YcG73IrUFZRi2smWtPXwYbFNTXCf/5L/pnS3oy46LjZ74koH6+VQRnXGGDprjOJVoQGBzDUSB UMx6ycaQN0rnfaNFiJllEjbH9ncHxirDttChT8kck2Bp3x+MT+ZjdlBofY/3CYNaDVTlITNiHELW UKkpr/v0zFFYWEFbbto5fwLXFTxxaEoZ8Buqh4AuTZbGmDNcb66XoLnhatG0VEYGzkVwC3R2uYn+ 0laGKNb3VhJSZ24tkx4hsT6kMmEHUJFY1arNko32+3EPHxwE9bY28W4bb8Os+z/LO1ISCPS4+RNu yzDf+ca+JikfUcgf9MbqGGodbnjGNe5CUswQX5o7cGZbb0Wqyvl+0J9jcSDg1Aj1lwZ19VpmPIWk 17AKIX3OBbk2TuRIss0JjkT6/SFQJqMGifQaPuNVUH9wst5RcTNqtBq2/HMIU24O0x5YqeO0FPIN QudTURtVOtcsBzooGE+/sK2JISEFXhrqi0d7Wegbn7/BKngtO+Oy8YZ8347miZ77qvvrfVq9xJlS 2zp2dqG+U5iC1sHeFqJFYtB9DeR+/QEmRJkFSFYF5HYTT5Dip1+4D7JgDmqEBFFBYR2VnYfeKZPu 1qXkK7KMaI1urwnH2cK8OKY/hr4DdgbQT/bM8ppMqLxtRF3YmYHyBeX6bgEcwd67CgxrOBDOZQBb VdW647653IiK+IGYxkpnpiMWrjtkK2ydYZpzRpXyJVGfmqxmmxBy006Kvk8yPgGZH4hV2zXh0jcX DzU592tFocYMz5w579UPKodTVJCtJtyziM/ZEPSPC6yGnl4tsaXBghypiT0VBrkWSfWsMjNhwZwM 5cJiQmhwqShPlVXptM3GVD9iyKNhAPG/y74iQArZZxmRAVKWvyswwk7uXC26KQHAoU6eBnVeKilJ 3DsATJZtfqpBbO9bh9jUcRKeO7A5KRjwd/K7+9OyQwQonolViqiRfaD5Dd9JAMA7GfSG+djPepK6 pvqwsU0W74eIUXjXcKL7Pp/3QL7+pofKm+3S9kmxGrfUBixJ3zd4fF88sUfJSAiL6WW6tzCxM5ko Q1fN8bIXAwblCcaPCwQCI7knh1/ySYS5KhrF29iJaNF+LGbsVeHVEXVhd5AmnJMMFV6szEykc4Cr gP3X+0FrputMj4Qr9JL5GMeSf/W0JouS88rlHqfoMeP8sxA+Nibv3YegfIIl7dXzn+M12/XdfEjY jrQDDk6IHUB9OiUDfhJ6M0gFFtO1xIe1XzJuDyDQs0j9xmaGPeUQKMqCm2wIL5JGG0nrT4+diefY DNu3HSIZKe9FxuL9A8PoEi0OEpqUe4RHowf+TC5XAqGf525/bx9sxClI4BpcLxBzR86eGi2EuRPa Jol/nBFYS2xalw5iV2eufhoV09sQvUHTwaHgzgmmjmTJXC8BUDDbR3HHboGFB/SIQQW3JRq+KXzU YrkOFCFwroXIyE3xPgH0gDaZBcDlTTDKkVI5WxDMR7EyExiSjQ69rg14tRGnJ5VKT1QG7V3XwC9x TTIxC7rXvfAqaXFly9LU2b6X7C7g/vk3A6SSJ/CdgL4BwoM3H6mtpBxoV8oQXZRUOuk5hTLJN0kX fTHA7wKx3Mz2Yd9Szr/h4tGGOTEyUid5RleAD+kfy49oQDjbWkKL7aT+0AdPkf+r+bgy68huUzgL J0zzaSyF2SoZbINf6uuJ8zdtKlrtSy0osDLqc9Qyt1iDziG9+2AJNrsMxd+pJDrMHQJoyxwBP9Jc kr6xo6noW1ZoQP1a6tjZyoD+SFZIsZvUcNym/E4kAHTZuGmJhiAUy7MV6hOhmRddLYb3T3m4VwRE VYnbV0dm5ehHVF+A82QUkjMNpWBfoOUYf952H8BZItAYwUXRJjcOttAP0hdqXF2ddYmM5t2oTeG0 bVJxlU0vXnSkB5ybbNCf+1ahiFRHU8vT6g3MHGafphHdlTo7WDglKAVYsCuq2/WoY77NBhxmSz0r VE8IonMBbBvV33z4ie9fqmyJcwnG2hKJBwZURtMMJZPRVyL6MNIQZjOWLqia1yc1fgTg/OwoMNtq A4Vry/mwdTYs19PEgDJsrIDTSh5nN0Ws6TvEIv8tWt8oTXhQ5yXbzyfysGqF6YH5Nb+WF+KNRd1U V4DYhgllV1svZIBLD3tKqqi5MOWJrHRwayEny99pHDjxu9Y5ltVWsgIsa31tpsnvSYE84A4oYErs RGZ6a3BIqJ3zaig1Dhxn8GuKNb4rZMi9+vgiFqPH1/wU8EdRp4VKtflJpMly/8PvvUwJNdOvQp1w X/9jd0sG82yXXuxfEMqOFIpT2YdoVGXuHwwEtVNzSMjJIcvsPJW0Cs6Sp6mw1Pc0+J16wnVNY3ci yUp2rb4gZZRwmNW2OHEjIK9sD21VTKySSiUsXDUqsQYky0aonPQNpveIuDz965qVvIWVb2Vz/KWl B7Y5/uLJqFwCs4RSUbw/HEWjYkum0v0RDqnCTvEztAtfv3Xtc3A34D+tSY8YhV2d96nFvIAOUf9i wvdSlktEUTvOf3ZBSVQXRc7Vxz1DVlS6lGhGGrIX16lFJ6jqakWJ50MoscWgny19Ubefyjoe68fr qkiE+V4jM+9FfCwFyKL7MwJkjYiJuib5W6P0+HafitCkYczpRmfqxLU4koVGnrvie7kcohr5qwlJ PY/f9o9R15d8nffOOgSWHsUcFyjeFGKIEljiwPjTk1p12lQJEoDG/6IG7cw1zXTmZVeNcMUPOGhv xuv5zcdAPpNMnH2hRWORdUQwsKmQtsPRixeoyYRyAJlHkIxIGPWhm9L+D+pC2K9Af5QVcV4Nh2Gw d84UCvdUXa/dJ4SdqAlnEspi3PZk6PgUBEIi5hXm2r5Up673PXi0wdznYhvWrZNRfQI/YLFUg4KT JolinzZNKe4/lVvUNeZ9E1/88di9qln+njWq6g2upO28LMLn3eb3kYbGuWY6BQmowTUsdCavVP1F oX+dHSZY42ESOUJtOcrcV/55ors3y7ftdLzrjwqto194kYaAUmbOCByC816OOLDdH3KFXmmiFM47 gKdJS7vQMZ4zN+4knlfLY8b2WqH1e5vJia7bI0uhDsUtNn7tUWFnmkdTzSkikz90hZUZpO12r2j7 GfOIWqvidziDGgtzNEgn/EpdcnF6MvheLsS9KSNr+gJj6fbHNp+n9KJdfatM4BO3YGnQvYGwLyfc UNn9sCYk/KS1pdUzKKzZD0ORrsfuGfKjMhunD4O45TTtfkMjL63ZknMHOCdoMoR7zhnp/kFe3hEv /KMk5+6cwZclTgcCDoFPXycg/RiORbfuQJ+HjdVr5jL5TikOl6/eBLGCrTzzRw+yNm17S8kjzx22 5xcJu1ZMvyiHuJJEcaP4f5p1MidXsSGfBT7VK/9MlF0Qoh/RH5q6fEdYitGegUxFmS92x+e5WNzQ 0QcPUCiaw7WUFivYzgOYVNtiCeTV5p8NIwTnUEW0piHxVWqAgIG+lTH06TS1XKvzrOtsHIY4bFpn 4iW/Drogrmd+uZvJPI0PgwXryDMbL2ZUeD1AHPL/eS6KkpUKXwn7369VveRNJOQeUsJPVfFCr79V 6agZnF9WeVknh8Q/2pwDHtiPPRQ1xd6A2LV/oXZTOUqZZhBaPkmye/9hRmYb1zCDkESFvL9QDbgZ RMgBYNBc85CIdKR1Bw0nVtFBuf40XO3Vju/SElNTGTYFpNwnsf5BYue3AgRduL4lSx6ltjVccrkI O2bLmDKq+RH4bT84ZYSQSCfQKmGDCbGfgGC63j/TjixXuzHL8LyBPVUn6Cfz6G8niyv2TXmpO2Ta RvUd3I6PE4rJ0j4Mb/88DlgO4/nUMauvJ3a4p93qgWI6NvXa9NCROJSrZEuEIZL9mDG8k1npBv5B 0ploKv3GS0XJfmIjJ2cO1iBdsBzVe9UuRm/f0hwv6D+eAD9YDCJUQykEc/2RvigB48GP7oAIpCzA iA5IKEZFYA9ESpV3m0cUfqoNoQ5rzpbE80J2kanoURi9HJkcGnGRd7MpBk7T/0A5netUp2jQE6Cw jzHcz6w0BdXkh2adlwwLaA7dMK2/7JvypFGwz6JmFzE/7fqQ9NsCZXSsQG7fcaIWhDzC0sZf9ZWC 8qqrGCzxGQQ0rR+nK3Y9S/3F0RX7o4GyctI75plH/L07BJ29xCp4kHm+OJ1BaoCe5PwuUE48H/Ww R/F9xvG3vtJ2z49SZu+LPVGxE1ZcvdTLvyPmkZJZeQ/GNmSfWhSwN87Fft+sOmZfhfXSJgBm9TKi XNIKVTYSVhVSObJb0rkmAIfEmVeIA+3ZtVohwk/ijdWde/o5b0tXcozpH0Isu7u4sB2S/E+RkpYa sOaerE1lEEh9dZue2vxIGJSj3p4R78mITeKm9K+mYGTlq1zp2kyiiRaXw3o1joBH8TEg+cXdmVTV 1ZrwNvE4XDvhFRrkSqvIPhpZpNT5z9B4CpNq1Bo698RDZVTMVoBlkYbSWbJIBydcD5M6OSWz1oHN 8SzF45aFbUy8VQhSk7He8r6mpQXcsQwoelHisR+LrwAuUEqBZCaXZrJH5/Yz5JUsC4Gch3CGHUBa CqMgG7vMHgsN+LiUPZ+lNivRpt+jbApsxXKz+RLFWuOiPEVTGHvZ9E0PNUmPK8yBlTELrs8KNG0i NDfWinsfrgBIRNYkj6T19fyt/KZ5jYGwH7Koi0Q/mVPlJnLsUiOF05OIJAMXS1D+BFAOJ+dLvXUQ P/JKATqMwC5bi9WB6YlUVRs24AIwlrsTSvf3kUIIRN4bV2PJCrGIBXJDYGujbSOAf5L4+WWU0/aa Vj9hbHPxo/FmomAIxbtwJ87uwHl9z8JP90EjlAs3IYEW5+Xf8Iu9b8yNy8o5L9ctfRb3p3QWAJ2Q O49h/vuUy06qHipk2djMQI4dU/w31p+cH/deMt0Ku+fgfCZeIwws4MnyMnwktr9C+XvfAgVwCeiD nPlbl2XPokD7kUa5PlbJRhEm2HQYMcqJ4SkTgVCbGOcOnWvPIh3wtTnkpGlc+lSifO8RCXXNrcip LkMssqtZEdiRRtd/bSLoNhDr2YllYAL6JCZuZ81DxxNbNWtZ1gWUeoPFoFMwUrbBjAAXeSwpEXX9 3dsV4JacN0Bd6ItQkiDzTsA4C/yS1qJ7+qNdIC404W7KaB3ZfEam2WNCJ7iKLzprOlH4TLfK189G lGrjj8ifEEgI6uBBl7QissIPUztfopVcaLf5GlxK8wAdS0uqe2LeUBrXHVuewJr/X1R0sJpYQ/2r tUJeDxWIu2idF6+7J+UnzD1EA/fT18iZff9dmwQ9S8buheFjxHwm7abibTTb4r5XoUUZiesC6t5P nfZ66hm81md2061UCaMFP2vgLRDMU99rVNEgZCdYsy5tCLCBtipc9gDnyTtnBqW7Fmiz99kqeWBw qHzJAjAa4K1PLnIUDNdyH68TyQ+fZmwP2UOFI05x7OU9t3cB4WCfxgygIb3JBtDVXsug+TlSo7Ne xb33wPkosuZebIrvuvBBgvDHCWsJDTgNqUnTfoXR8Ia+8JzU7nm3JWEfjFVUbDvQpXrSu4l1jBqk j3fNKF2jWYExil+6EvYBD8g+ku3Hq0hmWQ9X971IYNQFWUFT2YgMQcwTliW41KSsn/HxAcSCT3pX CdRdPlna7xzKJoRUc/uoWD74daaEmL/Wf345hoq06MCEYP67birfhKnxpK2q2KucyqMW4BoIduaB vez4LR4HPddGslTc8rhkhE/sFFJygVAJKIjh8SMvQZevj3kXEEvbo6Pu/lV5H5U4EDr9J28fvykZ AKJYYpgtzBxjLxilsfiLLfjRAAE7NxlTx2CixVmLXv8yxBr15nPnt8ysOUm8shbnmRSzUgBvbDe3 35eCuJnKXTc8+9c2KiJlg3Az+aIzpBKm9EpHn42Hsznb2HYJ8FSPM5HLGfaoNWmnNINB+2e4OEpS tHufkJN7cGnEJRvoOnc6sXfU/HemNSDZ6ujXfg2VI+jpQevXUwp/2h8Avsl3998ewI8xsXU9S7/o M39dJTs2MluFRFvHq0fRT49fOCyh4w0gLc5lvFiR/36W4rW7Unpp6WpwF8xtlAzxh7XD5Fv6hdil 0Vzc/sdlHewfxnZYnh8C/ylgQjInEbJfiQWGUnwVyDu2pgv2wyD6O9hEpGtttNJrX2OzeYoL+V+P s0x65kRQgQh2rJvyzgRe51SrX77MvtgBoMxAXrXGr5OS7V1wJBWhCSjSJ8YbtwVaxXGDYwXscQGy mPiUAVQUyopTN9lD4TIYnWrXw3wIN8EDlFZ7WaEIdiPdG3yyv4s8cIbPRD3bxq3sh3EJNY2xinj4 29AdXa33dKpv9j4erXsTHu2VgdPyT/3rAHbkQPRbeusMtVrM1v4Qepr7VuJVhjerJMw56nDkXkeC KwZoqDT7tJhPH+dCqilo47kx+354A4/pc164rujuDgPxyYKFVKY5qt7CYqH57lckwW6Fi+k5bn6a EmO7PmhMWauKC+GOcfzgcZxBdkIgojsWYmWyKraQyYihIqhm94RT1pUQ+jTnRbd6XCmEItMcQ3E3 9Ifq2vUrACvfr0E41YSExxKxdmlf7zDEkeC918WqLooWHsNXM/P/AbJ6ILKYNrP5AouACOCLImyH F3VquuSvn9jnmI2Y1/DBctdPxeMaqjMoRZ+sItc6xOS+LT+fAsprvsZ4A2GckFIi372ad7WuPyjT qjdGNnheggd4I9lWT1538B1Wu8Sr9CMPtDjT6hs6rNjHeYKMnq9R//mv4evDNZjRVTKL22+XIpUT wUMlzotRHYmr5JGl2BI9EdYJJ4s6l5SxB/HBUNmP7UvKKFzADh7rWpS3bTNCSB9AtvFasfzemXI6 oWLr6qfeFwGUPcfaBhjAfP5qTlNxK7z6LBlP15sDNiZ4F30/CLAcEeSNOHz5FuArc2vSAVlEp8ct uDe3OEnFaYKJ6dBVQ4ZekEbU73xFPpau0XJdZAs2WWqfLG7X4tQUoIhkm4zmF8hqkUUEISWON+Oj L5nQUvySG7y1UOLOlEELRLE1G4+9Jxrn5kbvPER55VivHpKWWmjlC1ohTiagbEbQCyZUryvsYJrb WP+LNj6Ais1e2tKWYAwEiIT2GLicjS5mCpQG6BUnNKjJZVn3QiuN+1u9ouGGEgwzWAWDwveufjag tSVq7Rf716m0AEDsJfpXYdDOIH4VzZSPH+t2MiQCyKZ5qAf4D0VO1BdtJcNunjd1xf59slhdA8Wq 8/OZzy7PZajGR6QldTQiq2afY+ykWcXTHhFcn5TS5lbMLoaNk/KIdcTUEhfE+R7ABAa0/3cMJN3Q 1s6i541Ym80b0m9AuiVChCCA+BfALdfCQct4fxYwdhfJ3LyjjT8H9RBUwHlDVzBdGTowQsIRDkhR k2/Fd1dALc+R/A2UYL51KEiIYhB3vgRAw4WMQL+09EL0XvkiNE7OeUQqmDQODliUGPVytPi/KCCO 4zMBmPC7B28E1ep9D0A3vRmI5Ep6mdf1YpnttmCxCe4mGrUfiQxkwR9xOHRfOnrm9FHH5LI92WOJ 1CRlfk9GKLODpdfzzERxQ1HfZcrm2Pnub35uJesMR0TLY20U6eQ862iC/qaTfQsMKWQAzRDuHMat DohvwPIgioDW/RUOMkBUsoAaVHJu9hJgM1NMBPHPCt1mJ96tIrXbD76zdlEio/+B8jU8Jx4q6ryK 5/H9DSGxtYJ8l61tgGNF7gJq94XJubzXhsrbljq105jcp4ktcqNawtCu8aDTW2ACwBFVe5s+kFsD 7YocjhGmBi6iERTGOvdH0vZKThloDkC3J+QAf0DOGUt21xr+IScJElBTFrkg9CmwntI7+Nns6hEA T2EyImTCMEHwPeSbytn1EmFrfbbMwDnxEI/3N/QpQiilkNZ0IAi6d3TKYxxAmmeGXbKbgGUvblpZ cfFMg63RSm+rcLBfbuxkRAhQRcrwmCXXm3k23Egs3Q/xJExJ9UnAdpjHJk4IjASIEo4eHsjtHO7h afY5Z8+ClPFupHrzU/0Ju3Sk7QNLjE1A7J5zCTFCSBk6qw+fbwh+8ePu7w/J53HECJ7mppjDU8ri uAwPXfrX4jqx7B++1u5CW8JYfBkEW9ct5x76rEqJ6VFvTq7Vup0i4BC/jX4Dsf9tbMkZx/JgPlGm 2Xl0mAT2J6cYAAgJ6NwSJsx7E2NpuF3tZ4yjq5pEh1j5psyLyD4kxbo/5QxSY9NYF8qfE5X2nGTR QYZjVQhsrVEzF/ztDbPkpPhUrhCbWPIYqYlwBBAL1MMvc4/u/a05Mi4O1pziLvjRLhnrRQo4kfnw SdzFhrEcXrJM/dTYxvcYcELpOySybN0+m1rDs2nx8FpsrqJ+/Qo/bIZwcViROvwaohN7SYOkhIKd 1EgCtvvAqSOKdu2U0yriUSe3OHyHbLt5F17Xim1V6YJ36YSsXuWgkNy2HyRAI9BYpdB394oD5WTS mG6OOWC6WOHeHgSJI+kUVf8CeKhBRVTcPTpgyN5SCdg2livD7IX4UiVnl5hUnsDKJ83k3yp3Bbvd /aohddeL6jbs7mEeHpOi43jDdzNKvyYL2NegQG2sy7pgZv4p1VCeBK5k4TuOQnCRdhgvClVcIoI8 NnvXGRK6NUB49MRu2u1WjfsXx0aAGGnqOqiHGOBlLkR+dM4kjxLwpEXygpImIkOX0BFSpERQGF7c 6WpCr+1T3HheIGUWtPVCodA2y9Pph4pI0s0ICT4CrwcPGtbRzPNRYOmDisitRp5P4FWm69r7wc7o MLKZJUAV9YBwTCvhq/5AaOkQvAZM9hoLk/4fmgHt7KoEs1t7HF0TTh5AAg5lvXpStbx2MrX7+4RO 2FHap73PNLQRE4FJ2cB1JbA+Ja3PU4pFcovPBeahjm+sBB4uHFaUNY++WfObEVGrFzvrfh8xYCyC hSVHHmBHXfv3+Jdfe6p+aVUmkg6v4bgOTjuj6JJZUWVveJw4+qSbv92d8lX5mpWoYlnyXiwLefyi rRQfSuXV0Y82mEhk5OG0OHq68uRTYdO9Fcht/4c0Nx5xpyJ/8gS1oEdeiOUikM9Y2Q8jrPsreqpi LwKQjr6PxBErj6EgFdguF+ABb+qYDaO3yBsmS0TlWDx0s/a8BAQE8fVOVdhUGZvSx86QuzhJJWdb e1zciVhyv4aKd5lerW1aGKYe7Ek/pP9F53dzyH8kw7X6kaIduWUuJN0DNk9VUEXUTsyax9DxmU9r NGRaipjSjsLXj8yRl2Qu0I85OMJHoDZQlsKENpEiWBljwFB6u/lmXIzip43039PGFJhYsWakEu9z mBmsSzqeIArqSrPcCgw7JAQAemTbSeEQW/0N22mIdkqdsCO3tTqq40M4t5M8T8TI5ZYKgPmke3Vr StUlXhWCMqCtKJWdF0HgOKdZ2eWG7L0lCdvrc5cVwuFoq5qJ6TZL21EtXBnJmimTcai+EjtaVue/ +gPfY+J9I215ZNZtCXR53+Fe4yVbOGPds0lNY5a5+Z8g3hb24x/aEFhW+hTyQGPdIwOdF5xoNdcE OqCm1sBSJ8p1O6mI9x592Yp90Pxu3iPPCQE22INPBOKjZSGv1nLGk1OEpFUXURV0r2y4mI1ydBbi KRZRdbHY4ybDkYdNQjimco6D9gjyPGKdWLaAXDfJ3QkK28yx3ulupx/kdstam2Ujnrk4GfERzPlv KOX2AtgMCiHJcduNFUqc/MqrLNz26EPNXPwDQeBtnE097JVDv2AF7tijYreSvKOoJ808wCsVCs7T LpKQe09P7NeGaBUUNeh2moIJxqHTJty17/TfjzA6qcJApejqU5eB9moVYUqxCODR3Fk7RmbVCxnu /v+w7BGAl0kRXdzu3fGviOYR+LkfyaSYybKpkD0peYceWLUFNI+ojH8IP2hN0ddpT7xnZXoF0ScL mz+Wsdr0Q+cQcsYDcWR4gungWS+wYkxdwGxUljZaHMJvjxEVGnKWo5vM8MSrKWRMoQdgdoIzm41R 4cV1r91FrDmt7BWtx0iSoHohySMJufpFdAkBVzq/zjrm00PbBaNmgxlH0lDjWp0UzZTFgHI+Y29J anHCDmC4locw0HefE3RtwE6+H1jdgyYPMI5hBA/9WwTRYgpbREd6qUyupH/TyBuStmakNhhtaUS7 dlqIktsf2bh0UwaFg/NDIHpy01XwKqgK+fs3j3gCS2l1nw3SOFfhkO8ntXeeK6dVCiE9VRt6ZKp7 UvRPrCKi4TdyFPxoI7TGj3aZrLIgTynzNWG/2W/KI9OQWXLRBN129+hkUEFiAa96tXpI/8DmHjhC VSytrynzJ0/uzLt6tGa0KI+9oB8kjbrzhS4ZUEZswya09hq1+m/diN5RuE4XucsT8Z43kiFddnKo PylUiQLPWiYRvmBDcGilHRMjvIitC2XbMKqMiDs5li+zCD25AtSz5JJ50NOXM5YFESRUutypDpXz yhzjp5UoMMW4OWxcvjwpMVfPhTj6JXJuKeCtkEeA6YGDXipElM2CqOx09GBb7NDF4rB0wlOT1V/Y 6+M5sf2gcGzXVAG/SmOzMYgUmb05sT5jPpDBx7wv3aDz3KgGBVCM9lhLCOZ4x8c3pvpzwBy3K6BP XIRdUfq6/8Vc/CwqPRzUMYvo9RoDg+f2IwVg8oOwdeh1TnbRWhxypxUMmoq0klrL4TsaHzY1C1IK ov5mKRvLZzSVMW3F3fRl7IYS6/v9WfSULwofZWO+3QESof70nW4uNSbXsL6xtFGEsht0oNpzPfM7 mb0UnIxeL2sjB+i09A/WfJx7CukJdLOQoQj7sD60WhdUakAswUDmcNktAk+uVcdgMkL55wq6w4Ph qKjMfVIWAvXKFWiSQ/uiLPexPVl98UxRpNAu2RHzvaO9EqhKctLkDslZni1I71sn8VFnkrYmtdUt J0nHjCqIY4fhcsOiKkagZg3ZaNl3R59De+xrC6kxzDtphqQv+Loc9Adp5o//aKna+Y2O24M5D7px hyvhH/CEO0yecc+Ew3UaULDtg8AISoTmunDpBgamwzxSPPvY2lSbPlo+3Hp0gZVlTSnNUzo8gkF0 UY0ayszg/W40MdG98ssr50COTw8ysOr/4eQ7FKhoG4cGM0k9tzL4/JuKk7z1lPl+nOSwnTPgNwGl wpfIp7xX6AG8N7MPFNpBAJfEHC2OQQkoafxT0ZyQxFAh7c1OzUafReVOXqsHnWpB0Wnz2SfZ25JN oreNQURhPa3BwFriev+5t2R7JVHSAqg99f50r8eR6hWhHc7dqUXQPWL5IullM8+MOyM927ABNwvG twhQUWhCUfv1FeNYEVIIVEk2EsbPQNKUHGPqM0lTNx8+6r0UADlWO0xl5Kb3406fYjfY0zrrblHL zDNPfPOPBb0++G63C3juuB0PPiKNbWXMM2swNP+BgRjHrkQXLX5br9lqTD32DLKrUM+lsmvGtXcT 2GF22YXWC2w8hx0EL+X5ZNbpeuCpZ20ZAG8cIpirdeCvJSqG/cW0aw/FEgZVfZ9E56IJ5c/RWhIV 4Lfdx1pWaXNdU8aPy+T9oCQuHJuVCTaB2CNg056srNxOWbE8+9u3vkdC8ngJ7yxMt8LNTCo1zBrQ IrlzzgtNozoTQS4dneO7kiC0YomR1jcIibrPGLGT6wSt8grSABmtw74rchr1B+6SDJUAnu/PRkQn Nfr6tf5gTTlxz2/m8I5C9lrPbSrFoUpXjYxVXCy3fAhd5uvjC5hMyk2YZTwgWAoXvLBOIfT8c4Vi 69bBUUhyy1nK7GTdpZViKaXvgdwX0uqItL2N75Rpbhs/VUkG84duKSNEz/H9tTsBrvW1Ww6zhvaE CP3WoPPrjxU1D6u04ZAS1TKUz0iGujrW3pXLP5S1RwQ9rDTuWmUdNGyK3OfUFJ5s4KIoEQWUkTOV WXewgeBWclEhAhzebmg7Gv0dAI2rPq88Zf6Lni/LdBFkPRub+kwl6XVyKj0TgS5NyMrolzBPfhVm IxKFoqb9WPwHWAxsz7vawbyA0nUgo1gFL8XYZLHPZC0WHh0Ps9MIMJ/4Jj5elPqqJ+PYCDCEmSSr njxjCEXPLSQZd1rb6WeG1nC0zsgR7LH7RGo/skw9nqnH+ZPEOgZLc5zM5aynNRw/ZH2uJS2Gzqw0 CzkhrskMqR7+239+90kKgtng8oYBVQGUU25ko8MRp7FI1ud3zOEBYZaKUxgXZNiW2kHO+2V0+bVh r+6KqKSk0sZL7B3+e/bQ7WWcnN0XQSFL6ecFKpJAgiju73KZSaeTpeGCB4+IThFQ+otpEYMQuDbG gK+UIAgQnfpz80lhtGvo06x5KT4YSrC1zef9TSVm4tJTvnwdEHAqpURin7xZ7PTfLuwXGN5RsIzR W06PHXlM6s3fkxhk2XCmtPjl7b/VcNiORhcgnoM2dqAffFlMoOATk/SIl5Fu7sfcc+R33+pr2AaH /f+hTGW3FKxIZWtbTEvndfaJg+h0URJ1oorJtBvijyaCc94MieTyld+78Z5zKbGJUnPXXef9RSPz u1p0w164cheMcZk2pRLoRpnr50kGRfGywMoh2DmhvJ6oCVKhlciOxImeFfE1mX+1kALiMeMll5bB +GTrCPQB5Gjz/OM/6WdAK+iHfiuc9zAdnFr5OTsWxBuBoMs0IRxIJ7d3aRC9o5tFVwd7G/fyCdze JzzRWo+dRAWBuMVn3Z09a1mbCLgE6WXnbmCGUE14b/g8LBvDiyxVhSdCP3RIEchi4Pk9z0xNHTaP rtv9zzGR9KydXP6zlsl3TnWMsDWdbhr+h6lXjikYHLB14mxLssfqEH5a2nmk70fxivRHqchKBJoT FvnnVSOHw4bM/120AQ6X19SlgzPBZZbKOp4kthWzG2bpa/MTVwxuGfqHyz08PjshQ+SkOKW3Cbpr 37G2e4WmKFjwoyR30KtabaT9AKDskLAtq3qHM6svZplb8GGenv90g3t2Tj7LMvvm64F74FaP3lCP kpgplvUEDHIVqcSmvSJzKDTLCeqUjidFqhDL83ncYZkEW0GceOpFe51sd+76idRFLtTjcEoVuMnj v905D6xs/HxGBZ1klaoYeozHKXydQxDdjw86pH1aXdGFMCHrVR2vXwfhPI7vABDnzcgjxqCvIuJH VjHdndJis+9us8w6OixkvNR9WRgdqrm7Cg0vRmRX76GwnpS09CtBgzsEwCLBKwfhd4ooMIFaFXmU iQmkgFslTsgHxDszRHI3a42NA/UEmZ4+g/N58iT3UD2WbQYeUWDheAjr+9QxfyxxL1V8rsALk7Q5 NK/1hY55xNO+MW0vxzdd/JHKfol3iJpOKh9xqclopZW1DenYlV5a1SwAiHqjnB5rQks4HceOW94L P79ouqwEM4Jo1Pi7x2vRLIuA1mrLMiI0MSurfss6v9eRZx+GX20aixcEi2k+tW7I320xgQf5Pq6B csQGDguCyS4/ba8Epl6mJOutuUreBbJwpghIVOfe1T1llqf9VQU2N8SIgcweHaa46cHaZajJC6Ul aQjrljVvGXn7+9Ay24zE2vrHYUlsMLrsOG8VHU1oeT4SickWLs9CfdzcZ7AJlckRkj+Hgmnx/bjj x/ACpzOoclQjQ5nsI9iyXRYUV0V5hpDKeXM/u/igz/uiNtcZlAXtVsFMqmwUsdD+0JfCA2wIPQQ6 QkVyKIrj3uWo7l1KEktbB846nwlXyh+RbEI+2FdP2E3iqIQFTYyoWCZG4loJZCnd5EeAncJOx1Yb PBrzz0CYK8a9ee+k+KfzcuBi7pCc3Q8O4OhkSLGScMr1qAFU/m/JtVsCmP3SVAFORXTihImQhhXa Gnd41t6g9F5we8AhP36xF+ELICn9j8ctAi32+uouLKUNN/4bb1rdnf8Gr/F+XKFZ/s6ovdFxUzs/ +cS/M16+EgJzTfc/vj0j/vWUGa+TJNlG08FK+eX0gcuXpi1m+Kqvn4evdYulpg2BAoU19oA8lEZs naqflRDXkZkh83fF1Sd19jWEhoS0lkEZ/BvqyS1IRakYWe8iOq1ae0ly52vNCqz+4rjQHPWtu/25 pxmZfL/12mw0nkk9jeMfyTCgZUYxBe3XFQW4VRyZ9qlh0k1p8VDB2P/9BvbiwdcnDDKm52dMdpfp iGFDKptkM64VtJxM0nnyUUh+RG8SM9FCiU9XgPJ1IzhYxRmDCA9s6Dg8Mnc6mvrZJnQk/q3SAanR 4rZweIR0Fp6T4J6S+sTfPxekP/PkUZIv7SVzd+UDq6o2jB7mgUo4wLWe5vzfTfoXVB8Bzh+rPXtV K9BExoUCoL72VDTo6AJsJkUorvkR+aWLei0be2WxeodfMGD0IT4l52zYiUe2GKGNfiFkeFBDwa2A l+bQa0m5x0ujAJn2sHK50eQZLvSXotENNFumDNWDaePSNndLVj6ovZbJoJQHfUDiUwFolL2m0RPS rJIZOILylOXgqoZNVzzYsOhuRyLDQcgVIyR5qzu5PHskekg+QrR3rOXIqd6g8v/Dpy5YSb5Sr1s8 18kKsGkTtO/R/5PyFyGYhNIfvGLCD+H8RXTfC443cJB2lHByDiR8mElxEj6j8JQFYJ5rfZ9fDHl9 n0qkLF8Es1JAL4j0mfriqAreNg6WvplneC3ZH5HYD8Xu97Rn7faJ5oqblNFTu7k1o0eSRUqR2wxg mDE26PlSy3UxcAkKjY8jBZ6sPTdAs8cOZtS+MLzh3PBeFVc/gRHQ+VUMp29tOZ2HJ9j4YK6ZK5lK gi6gqOhxsOtlrM8h7io7L6m6c0tWkzkZJWaCENR0SVHV8DoX4QGocuqvMdrZ4fqrHt7l0n+Wjqzo twa7kBlABd9sPOuJuCYmPYxBYMEksQU5oAf66yhFM03BN+Yr44whzQGlFRhfm4o/YLN7LOyurf2b 62ib2nmEpxGI4/bLV4/Gm6ReTo/dFD/0PTflk206zoOUxeyqijiEQFyPpuJtScvmN2+6Z+DEVwh3 pOp6OMzkmI+NGM97+P7uaBAtdsEhVrESq+hIqrelpJtb3XzqWirqdyfCv3zNmbu5CIRJz8GgjZsH KSXobtVY2tcCMiqEwmftIPVRBnXn4xqjEZHzEGkzwbctNn2wHCW293w6UQNAgC12Ilo1hY4Iz1KA 2g0qe/FXG15uFzl/RprqtTcC1TWtom1qK1Dv5Hb+Wad+Nh7GVN7MOo+MwLTEbm4PhIKt9OLwjHFY ppdsM3dSo8/NftM2aKzuXKWETPu58YlrbINXICfpEEx2+X24oRYGKFqBw/dBVLxKPxBCk2/sLYh3 uCmRiZEOLFGdgofa7BL0m0JXsn+l2CebPj23OQcCGI0YXIAaCarfXbQoxqK7ZuXMcWBETphfHYWo laG6XkLVyY7KDSAKCbIFRCtb+NXNrJFRqQQGI9ZquEeH3UMbOsoIa1bEYIL+iBEUDRO3BXMBIiyQ +S2KSqLeAsxwclQE6z9wqRafObVIoS/oWEd8jRVPgWX0Gy7oNikoSP/ICV1Te27gGc1IWF/LFzTv i0te4jXRV+ypNdINR+ANR/Ku3RHlXmUiJamVY0gWJu4MBGxK5e2a8Wr1KkJjCjIZSFuqCNckncBM N73BZGSNOCZTvUb5FVhJXc5dD5dZkVEyDHqaJPp+85oRlnOfIxEzClOTMRgA4vFw7piOV6iMjhYi x0RwUDhgFnV4Vdhi8yz8+Cjgg5HNTDRnWeRrhxEJkFIMF1D8v5rZ1bNKaX+PkH0PsAV8FdbX/sEA 1G0xymJnNP9UBQMtILkhNWtUuVjw6R50Bh4DmHnzskV1ymCfhtGuAUYuq8HkoFaxJUtnRFXX067j /6CRV7xWFmJLCdoTYi01OGKP6uBDIq0P1zgOcK2wCQuoKxpgZHU+AAprXoCMSfPh/mAMV2+m4TVn t26Vl81eLkEhHGCE7J5MK0J45CNAqFANMXtmizlDVHf88XVfOqe+YRJngWXMsYMNxv+o4goiplua 04AgpaYxD7Suv4lIIkmRuxzHv20/G66TucF6WVyNuG/2Od91Q+O5Sbbx9E+5R0EKUTFbJfQcs5r/ 51K1bWWcfvbVrYWw85kdRhqY5Dy0UzJS47vM5epq7YzrQi1pB+sFss2T4KsVkm0PH8SU6ei+qauy W/rFCyjk5yG9tXfKaKRBam9zWg1SeMRF7PrG6Ls8LeGbUmjLCO8/0L2HpmR4mXc2um2ks1MdChK9 XdJKypE/5H52Steh9e4WTfDBtN6HiqtH4NcKw4QUikUDoZ0OzsoZJAJDMeucjbJHWMch4+zKfcra 9kpqn+0qCX8CxfjaBai/wrbqIH4dz2sKQrNSBgmovbznfFbBb7XW3VfC3+ZylpkoVMeiSYNHk/OX p/5Oj+th4RtqjZ7/qR7txpe161IufB6Q9GYCoPeSP/tUb18YvTN2zrzsKntPc1vdR9qA8MmbX5de TeqjH9RQdIGGlpeEP5zrnbRjGoShmHZU7SeMZHjQZPjpA/FZ4MqD6s2WhxAMpYcKx8TN1naxNzkO ql6za3U/11Y8ElXqCDOobNORNtRe7OwY3Y2XJGRwtT3kSxqUEFgPJ3CvN41MybLE9mZw0amx3Jui sFZoWG+snyn4HZMWgzTrUwamx4Gi4lOC77ABt2sMVEdOsMzrb7Z+60V3TMx08rrZCnCvz4lDLvK0 6WSa8ghm4KClgchnp857dm2nZlfR+Er2AcNXId2Wx5lR7sVY9jm0mItuCZqrBKYC4fDDosV5/TpC u3PWXgm0frNQTfuZ9vSmQLwdL2mtNnk7WyUOeHL0RTaoBN/+/0Hv75DuJQgfbxdXM5r0iWB90bV1 K/c5XZSoYGExIaV5HyaCCsugudPTS6UsS9wtidIk/gaVkXMvK99SPVrXJiuArI4QzH4Osh/i3xr4 1IWYKNFsvSk9cb0uvmll+3A9iHeDaRqiSXVV2H8Gw0T/UiAv7hyttZB8AqEhtM3Sgs06UllZV8ru Yu5tg+bXZGByQR843m4Ts5bKVmR32lrrYdl98bobgCSY2rWI0PKe57B5jEtpZ1s4wOhbC0Mi6Kxv V6kgb5wV4vYE4o8j19/X9BVvNHy254bBfUXmrCxYK3m5MMn0I2O8DckBlE8AwzZOPW963ozf7WK8 wBJZMLj2oxIwYWwBSuq86+gynsT425T7wpHd2UxUBjRXpfsH7FvEDpfo+shWwNb5dxNAoaauZVaC VRCzXkGm4xzkKgbueRyv0/zvPWPHnfQCD74sB2VUZrxZ6r2OyCv4ptVgb14xQDXsGpY4xAL+vwPC Bdo6hXHEx9rPqkcphD9uEeOiQDFW3qjWc8pQxg11oayDv/HKXKCyBj7lX+GQ3fDU5F4VVIab+YKK E1iY58zxXSExR8HTiHRtBbu24obqM2kiJNmfns7gzQuBztm1bmvm98bdk41axttj+gcfJpCoRwbu WQb7qTuRaQDR5XXPI0O/V4ThzF0Fg0X1MzUAWc989U6jkt8mqJN1s8K8sEHZJsbFS4fV4L1GOAMY 5zhG99o01IPQxpB1vD6fIyDu5q4LNOYJsJuBioqtocDmZvt2/2a3gN+u2k+85ljZnwZtun2UFnqW IWTlnmyPP75Cr825YdUf1Vi1UdAdk4MHo/yVEHlbKV5T1b00K3ToGsVP8F0J00ZtoNalGhs+cgCY 3e3mrXPf8b9dbqPEvob5z85hbLvmOsabhOjLlq6dwxX/yBUGyxaRGG1HAVtSLgtgtrHTbmWrd5M4 T+fUz5mRSNkdmnvlZHPc5s9MdKrxQegZ/bwg1NRq2qFDrsp7l8cmdWrIOGkAZJM7mFFFra0ToHyA 1K0J7mIFafjk80XTXflBU51KISgNMb1aPi//gSRjI41d1Bs8CM30D9qP9EKt7Vprs+G5PcfN2Nds WfXnJpckUn/GKcB6gdy5FNHjEFDlZ+KuLxcVmWjYZos3J4Su+ZKAWzC/qCGIgw5pFv0b3uWVVlRq Muo0DIqlbrhh9mOji76tqqOTNncWG+onx5heoHtvbkwECvM7KVL/frdyrbnAHgOfgu7PNbW5pqKz SUKn/eYRk+2giwOWG1PS7IGOdEgGHLY5vttUB0bc3q0sMGnhQ3bYHg8ztGvozo5rCXUjWVkl4yPu Ff+LqmD4L9cc6h+YWDe67fVF693B8lmKXgrQE9OYUMSx0oo2L4w7KMEu9dOL9ghiYWKVLondkF2V C4dCcwPgUTwMUOJMB9cPbtwhwIFqHyBrYVOcUK7aiq5kdCJLHbCkBDLPD8yJZijQCOhodC0f38OR YgvrsbqnFs6IhmUrWlMKVr2VD924+SxvnCcLXHK3lY25+Llpd9OWEA/nBgX75T4Aq1UZeFBW+lOM iauXsktR2DOIDS/TQMy9l1S9lqvSJvhZQLlL00DVOTz7hSeizVQbIV2gsruH6+PSxsGJBHvTlwpq jBoC4VMLZFLW7g3WHiH+QgkbyLU0GMqd8ppk8bDulk+cGyALWwstnEXrRe6WHxJlhiL43L7lC/5v zKo6sWsoPzXfu2P1tntj4Fp+2OXSC+jMjDHmZcDJbRu8uxVvOxbKp3G2MNfjEhBsv0RjNue3Nqdo zE+ijVGu8nRKxrC5aW8SYZgK9LPPVujeQ56dHHcdfYtmk55Kf/0DXnDy0Y0hHMMQL9F1iAgd2vhW s1VlnKB0GqRrrE4Q2lUdzu42Wy9fLvdcotMVc3NLxUR8O3vApoGxacLf7pWf3h5ZwZ41mt2ntXZw sy/4nMXLHckB6FoxsfEIKwXxqQmrMJncQ34TlOdiaagB8zYUaSo8NU6ttZsPBW2PJnWPJiJdrCsl vWEQ7/JaKtAQB2PdLAZYUCnFdIB0ofXQbsPXNPRlssRCWcQ94ro2/m821zO9XeNufQ12PqRTvjLb SUwT08gIBd3BUzkfkCnTTm/OLvgds+9WNvGUw8UbZMBc4cxVOhWtrD5Ieq11SfefZf/l+5BrQ+PO f2dBp5kLCrrIffaI4JIks6sw4LfsN6F9sxGGUOUepWDnfnFQ9hzDgApcE0ySyREP8yE80zZTje1w fYVbHXfcw22z9z9ZKvx7qwSwnGVPqRsF9E5bG2wyjNx9V31urFmADi2xSHy5rIlCDEvG4Mhy5Cbu Ae0o2skNqCTrVNJooXzAeWBVi8fDeGyxs9+rRm6o1W3rGGofJIuAhMCdFX8jATyO4x3iQEMENScy 6KBvW70VQfCOFArpH9fLpig2l40fCc9uQO1xMmzL3jS85S9+ho07M05y8JRsmnZQJH0/VZdS+iuY aqUGM33+hID1feDYX62LMcQH+hGQlueuTLeLyGPIzIfBejXt/rnft8d3jxlVtXIG/r/ft2lB2rUI C363l3NKufOdhV2vfEJ2Q2N81KLZjuIh1+0B/vpcY+1WvFhncE8hqfbR2PjvWqoa1AmlPeNreHuy On/bAmFyrKMYapNA4B5NKbf9oncMlQ3k9CwZ1/S7xpfgnFjmHTZYX+m9q3rDrF4slBcjPjE74JZA 9A+sY4IRB7/85f02/Fx7eDKhncd1AGrcddZLGDrxidkg8jN0kduSFCY2Ge+5UucMHIGtwQHm6GOf ykMmPhWM1VNPx6m+jpEJHFcnYE0A6j9yF4X/mX04zchLR4hUTTjh2tLBbqcvGkgN0kjqtR5S9tWI D+8p0Z2qSKL/lXtVmHbftAEDUAjjwUWSEzqNehNvmP0mg0cj2g== `protect end_protected
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@m@s@s_@a@p@b@s@l@a@v@e@s/_primary.vhd
3
1233
library verilog; use verilog.vl_types.all; entity MSS_APBSLAVES is generic( AWIDTH : integer := 12; DEPTH : integer := 256; DWIDTH : integer := 32; INITFILE : string := " "; ID : integer := 0; TPD : integer := 0; ENFUNC : integer := 0; DEBUG : integer := 1 ); port( PCLK : in vl_logic; PRESETN : in vl_logic; PENABLE : in vl_logic; PWRITE : in vl_logic; PSEL : in vl_logic_vector(15 downto 0); PADDR : in vl_logic_vector; PWDATA : in vl_logic_vector; PRDATA : out vl_logic_vector; PREADY : out vl_logic; PSLVERR : out vl_logic; GPIO_IN : in vl_logic_vector(31 downto 0); GPIO_OUT : out vl_logic_vector(31 downto 0); GPIO_OE : out vl_logic_vector(31 downto 0); GPIO_INT : out vl_logic_vector(31 downto 0); SOFTRESETS : in vl_logic_vector(10 downto 0) ); end MSS_APBSLAVES;
mit
gihankarunarathne/vhdl-learn
Behavioral/s5_at_start_process.vhd
1
947
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:54:08 08/28/2013 -- Design Name: -- Module Name: s5_at_start_process - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity s5_at_start_process is end s5_at_start_process; architecture Behavioral of s5_at_start_process is begin end Behavioral;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/rtl/switch_fabric/aeg_design_switch_fabric.vhd
2
7462
-------------------------------------------------------------------------------- -- File : aeg_design_switch_fabric.vhd -- Author : Andreas Ettner -- ----------------------------------------------------------------------------- -- Description: -- the switching fabric consists of one fabric_arbitration module for each output port -- the arbitration module decides which input port is allowed to transmit data to -- its output port -- the switching module instantiates the fabric_arbitration modules and handles the -- wiring between the input ports and the arbitration modules -- -- further information can be found in file switch_fabric.svg -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity aeg_design_0_switch_fabric is generic ( FABRIC_DATA_WIDTH : integer; NR_PORTS : integer; ARBITRATION : integer; FRAME_LENGTH_WIDTH : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer ); port ( fabric_clk : in std_logic; fabric_resetn : in std_logic; timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); -- data from the RX data path fabric_in_data : in std_logic_vector(NR_PORTS*FABRIC_DATA_WIDTH-1 downto 0); fabric_in_valid : in std_logic_vector(NR_PORTS-1 downto 0); fabric_in_last : in std_logic_vector(NR_PORTS-1 downto 0); fabric_in_ports_req : in std_logic_vector(NR_PORTS*NR_PORTS-1 downto 0); fabric_in_prio : in std_logic_vector(NR_PORTS*VLAN_PRIO_WIDTH-1 downto 0); fabric_in_timestamp : in std_logic_vector(NR_PORTS*TIMESTAMP_WIDTH-1 downto 0); fabric_in_length : in std_logic_vector(NR_PORTS*FRAME_LENGTH_WIDTH-1 downto 0); fabric_in_ports_gnt : out std_logic_vector(NR_PORTS*NR_PORTS-1 downto 0); -- data TO the TX data path fabric_out_prio : out std_logic_vector(NR_PORTS*VLAN_PRIO_WIDTH-1 downto 0); fabric_out_timestamp : out std_logic_vector(NR_PORTS*TIMESTAMP_WIDTH-1 downto 0); fabric_out_data : out std_logic_vector(NR_PORTS*FABRIC_DATA_WIDTH-1 downto 0); fabric_out_valid : out std_logic_vector(NR_PORTS-1 downto 0); fabric_out_length : out std_logic_vector(NR_PORTS*FRAME_LENGTH_WIDTH-1 downto 0); fabric_out_req : out std_logic_vector(NR_PORTS-1 downto 0); fabric_out_accept_frame : in std_logic_vector(NR_PORTS-1 downto 0) ); end aeg_design_0_switch_fabric; architecture structural of aeg_design_0_switch_fabric is component switch_fabric_arbitration is generic ( FABRIC_DATA_WIDTH : integer; NR_PORTS : integer; ARBITRATION : integer; FRAME_LENGTH_WIDTH : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer ); port ( clk : in std_logic; reset : in std_logic; timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); -- data from the RX data path farb_in_data : in std_logic_vector(NR_PORTS*FABRIC_DATA_WIDTH-1 downto 0); farb_in_valid : in std_logic_vector(NR_PORTS-1 downto 0); farb_in_last : in std_logic_vector(NR_PORTS-1 downto 0); farb_in_ports_req : in std_logic_vector(NR_PORTS-1 downto 0); farb_in_prio : in std_logic_vector(NR_PORTS*VLAN_PRIO_WIDTH-1 downto 0); farb_in_timestamp : in std_logic_vector(NR_PORTS*TIMESTAMP_WIDTH-1 downto 0); farb_in_length : in std_logic_vector(NR_PORTS*FRAME_LENGTH_WIDTH-1 downto 0); farb_in_ports_gnt : out std_logic_vector(NR_PORTS-1 downto 0); -- data TO the TX data path farb_out_prio : out std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); farb_out_timestamp : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); farb_out_data : out std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); farb_out_valid : out std_logic; farb_out_length : out std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); farb_out_req : out std_logic; farb_out_accept_frame : in std_logic ); end component; signal reset : std_logic; signal ports_req_sig : std_logic_vector(NR_PORTS*NR_PORTS-1 downto 0); signal ports_gnt_sig : std_logic_vector(NR_PORTS*NR_PORTS-1 downto 0); begin reset <= not fabric_resetn; -- reorder req and grant symbols -- as one req/gnt signal of each input port should be connected to one arbitration module assign_p : process(ports_gnt_sig, fabric_in_ports_req) begin for i in 0 to NR_PORTS-1 loop for o in 0 to NR_PORTS-1 loop ports_req_sig(i*NR_PORTS+o) <= fabric_in_ports_req(o*NR_PORTS+i); fabric_in_ports_gnt(i*NR_PORTS+o) <= ports_gnt_sig(o*NR_PORTS+i); end loop; end loop; end process; -- connect the signals from the input port to the arbitration modules Xarb : for INST in 0 to NR_PORTS-1 generate fabric_arbitration : switch_fabric_arbitration generic map( FABRIC_DATA_WIDTH => FABRIC_DATA_WIDTH, NR_PORTS => NR_PORTS, ARBITRATION => ARBITRATION, FRAME_LENGTH_WIDTH => FRAME_LENGTH_WIDTH, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH, TIMESTAMP_WIDTH => TIMESTAMP_WIDTH ) port map( clk => fabric_clk, reset => reset, timestamp_cnt => timestamp_cnt, -- data from the input ports farb_in_data => fabric_in_data, farb_in_valid => fabric_in_valid, farb_in_last => fabric_in_last, farb_in_ports_req => ports_req_sig((INST+1)*NR_PORTS-1 downto INST*NR_PORTS), farb_in_prio => fabric_in_prio, farb_in_timestamp => fabric_in_timestamp, farb_in_length => fabric_in_length, farb_in_ports_gnt => ports_gnt_sig((INST+1)*NR_PORTS-1 downto INST*NR_PORTS), -- data to the output port farb_out_prio => fabric_out_prio((INST+1)*VLAN_PRIO_WIDTH-1 downto INST*VLAN_PRIO_WIDTH), farb_out_timestamp => fabric_out_timestamp((INST+1)*TIMESTAMP_WIDTH-1 downto INST*TIMESTAMP_WIDTH), farb_out_data => fabric_out_data((INST+1)*FABRIC_DATA_WIDTH-1 downto INST*FABRIC_DATA_WIDTH), farb_out_valid => fabric_out_valid(INST), farb_out_length => fabric_out_length((INST+1)*FRAME_LENGTH_WIDTH-1 downto INST*FRAME_LENGTH_WIDTH), farb_out_req => fabric_out_req(INST), farb_out_accept_frame => fabric_out_accept_frame(INST) ); end generate Xarb; end structural;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_1/blk_mem_gen_v8_2/hdl/blk_mem_input_block.vhd
11
45404
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dx4v6c9vYvnygASNEz8Wt9YQDwnEzFYVIAcFDiKIl6IJHPQhwIfrhThphA7cw0XGZCiW3Ti+sHaH g7gcATmSFQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jQUaZcrD4lrreCa/AI+vVRPjsaj8XGL+l0O3lQjviya17HBpMdVHt87HDt/2g4V6uw0mR2Tj74Tf F6Ad/nOtd+o/vFSACZ+A5+r1AB/0fClmu/2Fzt3kOUX80dg214rrWDmxSc8/eXiZzo6FSOckGlzd KIQOe45QmBQw6t5uWY0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block H1WIk0GYOBW3hj8vq7cNJi2se0CigSbj9zDeE5/bvzzSDq/r5nY7ZOJGMTOOWXaavQKPdpWSXTsG jTY8ATtNvY/EqGnQ8Hid8EAUXPZRWSWNQl+3r+1l57f/J3P03BH2ZYzcB5HTxd8umm+eVf+n+lwJ +KsilER30PDLwBIUCeujtE/Ul6S1aUFI2QhngbEFeRGceALTb/CFiOTqcGFC22YOCOBYNMnIRmFq 1qgJeeCv/Xz71bfhEWD2RmYWA3TFru8NKofca03HRHaxWyjMPbA6/s16ZpER58CpeMd5mXaMTBLi JzjtDS9hOHRwAO1Di9/qjHT0DFG0auA4xI9ZNw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EKj79D+0KVH/6ULSwK5yivwOJ/tIwb3kcjRk4TjrYUGSjCVc54FLjdAEd7KfBgL2TBPz7nBj1hHi TDQiYFXmrOR+2zUiSCwO7kU4ToSKXckj3tfqtrpXZplZIgc7LKaVD56u2q0Dnm0h911vSou1lQqS OTA8qJ/wAOLQ8/HE+yo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V1vgE5dAU3bFLw+cOfYP9905c4uMPNnOA1LzPC5I923eSOcE6YtRhf2p3os0BybYkU57rhzkXYSX +TM758npt7m9mXIefQATfe4w3Ih6C/vGXgt+s/7xP0D8aLU0sAX4Buxyx6EbvBr6KrCp6h/l/PmM zFS9uwgUh1NumTwqvvB3Q8Nab1NooYuz+0K650gJKjbQpKvp9iI9xSNBG8phF7sW2UT+oklxTuu8 K37kaKdnLVfhoYNWvQhXnUYU+Ni4pmmKzPXHfm/Km5pauCNog/Xf4bNKHDaynxRweVCDub9aYVDq MdQ67fEKSvy+g9Z1bQKqPfmzTSqi+3GGLQ+fwQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block CejqOhuB2eRTvExa17JH1CufcrBuHV37NqMPpeReb7RNIPYRU5x4ky0++THIgV4P5742/zqTM18K 3sY/g/27goUjfKXtLIRru76Ku7fv0h+wLvjnOXrCWIzLJqY35uZYp7Wzm5enuVxBxEx6buQy5Tr2 C5ErFQj2Vx3oKA6JnPwO5eSenoFsddS173QAbGUYj9/u90eIZFAecaFMgUXX95hpInlfD8lGDRjo R/06rGa3Zm6lxgfibSFuHKke8egKEVFu/hIkHYv8cMnoeB9YsAB5l6XLc6jM84xxv8eN6gbWijN8 cf26aIfhjqAF7Z14146m1bGebNoLnbbw4+I1P3M4c/jwLZTMSfzfQP17SVUcv0us9ZiKYoTUQsoU mW+bcO1mH97Gx4VMSG1OtaYRHd4/zF1jNcQdbi3jD35kabZNADgrbYH8wc3R7MvKDJm7rfmdfT8X aOzJWycLRq9GYE6kUzN+DXHKNqRlXgT8u+oyIiY7p121MXYEniKtQH2SkDsUQ88QMgbMVw0y8flk sS3hjZ+Y1+v4leVgiTxQkic7uEb0c6LLl6dR31z5Dw8iF3pCsbv/aCIMBxspoKyWD6McbjgiQGO7 rLDBja32IT+AlkYt3vNE+cXqirGe4pwbLF6NQB5qV8KJWmQjvx1CxXf5J/RypKWQVi3v8T+61V48 smu+T3YIa3v9cB93M/U31tVH26eILtBYEd4szvleDtYbWNgEnA8+0uchu0dAMMZ5yK5B9BQCeeJI /I4BsZZD06uloRQPJjEvHGU5DhKhawtNOpZzS8hhan4XA4fxU2dBTpwlNUIqV3B0k7KyCvVBn7mj BSn8VtEUCQiPlKyoduQGQY6lQYlbeH/AfgG7YiTdi1TxMyActvbKQJNPFlhv8jEjZFVhbmLeNvgI N1vjZp9doRiQc0XJEqxgoA+VPIpUZB/ODYGyzbiMJBIP3o0wM5JJShbbhUeCIu02fP/an49WOlU4 9ox+K0pCLC9IGI1fYjwu3dXG+RvBubhd0RFB4sjXyche9Z0qHDai1KKKWylE2BEdSLSnSDO46lC/ U4PcUX45SPrDlOQ+dpwhHMYSc7Rwsv6hgQfY8iQ5zeqWsDszmLL42Fwug0mMgZJ+zWlihLP7QTxy W6i23LiXEVJTPhRGkVAtv4GsS4DzNPRGnC9QDeaZjWv29Or9slgN8QS+TWI52N8n49U2jTwMFleB LT+EBeClW6HxkF+WkKwrnxa3Vyb9Be1fRMdZwr7EhclM8E/QpUXRIc8LmbpK+ldnOJSa/M8w9OtJ lQX2e83sfBpEDlfgkEKiqjyuPQR2n5TfAvbh4EIhjACkDgj3xhXH/oH7BTwvzVxaD0V/vpS+xoZF PIVtbC0Zc454rDCDkRjn2Ryj/7xekNlhFliFUq952W2BEg/yh6kxxHM6Ur5AJL2qlYjjrHSKN3FK IE/LkcswsSJpkIF1f/hF7LF8orTscFGfWFBrVTb+gAJCqEmH5FUJ1e6elIltBs1ifokEv6eVOzjN Z6KgSF17aMv3hRqWvZFcsjOw7d9yP29A4yd0UdNrkzFfrBAsCmVaNbMJcD478NKjo9bWMuOIBqBZ xhYozTrd6TNRtb62M5WAEHuvbvr6o9OE+QZEOpgT5U2lJEjZBsrPx4llSKVJiwQV+4ATcuQyqY1M 7anUcqF+KLq+LxTXUA4RAElHA8vTauwiRxU2IXMbUdmQC53up7sXrXKOr7jijVD/EIIsTUU3Fa4b DBUfcK/ZFAMnFe6x65yShUjzmAaqYflY+B3TozR36ERC75ZvWS0Q7juOaaOBoqP7C7hF2apaeBfj uNe8f01wBvkM00H0P1JhbYk3qxIwYVK5hyB8hPhKj4BZ2pCprgavVgtJ/8Nyi3cHaPjms5LbFcFz dGFWwkao84AX2jYKMsXw+A1LbeEHaGMLPe6oriG6anpWnjTkOffdO139q+H/NKjPZ4GDaWk/J6pL HSEQ8HAhG0aIKrcKZeF0NZWsI/d4DsIlagWk7MyROQJqkI2CQHzxBs77Q96Gll7+3NNnx3/LpPEe Njxf76S8uYe1+s1Q/dIyhCHBY02QtB4AuCOP3MISR0X7HtAcYDm2ubAjskezpOr6XB/eP2x/icwB kPdINDOWxTJRG5T94mbxujn0yVkXaMn/ycmlRKTtVulve0YBTm9XLcyTM1899SrJ7VH9/ugt8GGe u8kzWkhHapTv8vtz115zvtSq8vnXvzq53j6XJ4th7Z6YEGNBUo836XmjAeq1v/O3YUCK64d52cLD BXKvKtSZogWT9j9X7O9VWizMP8StahwgrrlxQChcHSiQXmhBElQS4Y2ZVMD21zq4VSmbSVrEHIJZ qqtoU3BaEZekM5zi5vM09SnIUSIOdYvux94EE2aSDf8z90xfnirC7Qs5ZZTkgbm1k05rHjJX/KVr Fxlipou3o4kXRElWl8XdX49QVfXeMRYgCQAtPt05dbhYSgWTS9daeJRzTMW4lflfvtUrpkLbVMK+ VjhX2E7xwBC9LsO1oAL5Cb0hpWqZ/AWi0UYWJf68w5yp9s6sGNHYrSPQSTfBUo5FGqiZPsMVb6fr Y8imIokm48Cbw+4pJ4owu3m4rRrkyqgmdm5XfSP4F838CyBWNdM5qxwiwsyTcx0h/Ra4ByuP6qtC P/EtC/PJI5HlXOGHExRUhjkXV+xwNiizvx5neRirBu/2SqvTKpfNgL/k8rcnCGfQTFlJD9it6m3f OEX+qfOlieR8l8vN7T3PIFULxS5Gq7P0LcnMT908b3fZhGFYJoi55ZEcw5hvpvEzvBAVou++5Ftx LQ97XxMmX/mOU+16+Cw4G2g1ZDzIdyU/n4HrYGShLgoPPZNgJoqUfddiGDyP0aSXD7pKog1VgWDn BN2o1YMwdHxeTEXbvC1591e75RypiL9oxV/fNW8RP96QgCqJkZLXrN0fkSCdj9jn3YzjCtDVVCk9 0mrvJaaRDTHFiXFunHXgoaWss2XpIDlIjUgFbvVfYHRaxNloWtBYtjch1D4Rk0Qb4JjLUc9OYtXS MOmtldT9XicY+j20l9jrz87sjKNu8fKDF/GCGo7+Zq+/JC4j7mc5zCjEAmMWo+hBzWktv0nLA8+T +h9dLFh62/jYH/PaTLWP8g2o+sI8Potmaa09F7uCJVskegWsVoi6Wte5NMCGM+WV2rvUjKcNHFZU 0VIfqqR8H633NVN0g7KxKg4sHUKb9w/LkkW9Ot/A7JJoKhlZscHF+3Q8VftGGu4wnBTq+pSPYi9R t2ZGAye/AsxljsvGZ7SterQWbkJwzsehc4q2LGTt8xr9NpSPVrzYS368YAOPKupGqbsjz8p6ACyr o31AFPA8/YyXYKrjwZLXKSiyftFXhuK1SNkNcLPK9+PhtW3iqCKo40Uqj1qTylTVYejJU5MUuv9Y OowZ/udR7MqGXK/RPl+Gaygr4FMNUxCCAsi+/DUX9e0JCoeDIxV1jQEoQFBNCxqzSiMWrQi0LuKN y+VZ9MUovcD4QWpunF7beHO22lwOJNkj/dRb/7xTEwP8DjtEWS5O8ukGrGXwiO4YoIwxzp6ZLTvf MMQ+vDzetTBz1Juv2awoJ8QoZisTvuQT/w2xXS/NJGOXzlSeM0Jb05byRnX08xi89XRNM/Pb7Zwe jRkXUZmObRu2cnf/p8JpVBzmiCfd9jLg86DzS6dlxKr/j/ibQCQXfMp7Dx2yvNIcJLj+wJdO5GCm oPLZ9XEW0O9ZLEFqEUPjn/OCktnxWAYnQCh9ctXbysv02rM+5yRF+Mk/Uxqh8DELmuObrzrYl5+s Xrfgh2SpD1G6vX8g6Jem2++XMCTM3btMfxAoU8QTE9zqHTs7hghdqX+wrYUWHDKrRrXvJOzUTDaf mUadWdxWhhVcpMqlnOBz8iHBO7USzMdCCd0/nyPGQSXTvftOp46ED5Y4TxBXuwVFaSW5x2LYDobi CgnJ36NXrlcH6S8qy+jCA0cvZBh1Y6mjnIG9ChihZZR1lpz2YEJKx5xyNndDQ6c5P6ucwmK/gWio beyWqM/g06cwNtN7ZzGxG8dECuerhouVkbmEqYfVM3MFjjk1bUYK7fDDAC34rr+0nqf5FWmZT6rR KkSzekp32ioiprv0PeUZOxYJgLdqqKbQN4Xqs/aQ5/53mi9oz3zrxwJG0lQmUonNYRUAWWVeVGYM yRXYeWlNg/kkLTI7kJHaRQAKpPPInEIIaUtGLF+tQg4IDSbxxmomuBTeg1pJExyA1JcgQy3oK3JI go+L4/R9POu6EyMMQM5unPhYDfqrUibrh6JUphrzYSHNT2+I5/J7UAe9mZIkgfmtno53R4/Ix8oM 4vwxrk2F9PuTHAyYd6pP0VfP7QdNsFhCwlbcz3TlRsVpYZz/dshIILWQdyvoDxshPfUx4vlxqWT0 wmo/UpZoFbuTFrL8uMxVdhYcHKbgFTikoG51zqEkNSdAUAREr15ZSMhsaVFnrFth5ySszcom9xqP iwwdUHVPjxaJPPGZpPy3mIqYOLG1clnb/vJrJZGeKYFIyZ9AEpNL8BY1PAEMWQ6md87e/p7Yo/wi 1xLHxKgiQSSaYrvg6qJm2H0OkG/NI7qOgSck20pFgwhPqSqS1ccjF4nkbtuvTj9dvIj5roYHjWSt UeItWUWcSedFDEECe6HRPmoqdMWGlMfPZYkPINH01+MmiXrycuIUNgYbcmvgVV29Pef/MWZUBENd 9m/qNZfRbsppvhWwSrajbWvK3Auo3nLkNEG/kj0H5yeYSjNkPzwV+b11oxycrgaH/OASplubDLxS io6Hj68CUVN7+WC9w4rRQIlq0M787GkGbsVLQEZpxDCH1f17YKcpe2Kl1Sy+6Vq+Zt645G4yIiZT 7yu5fTGvhX5bXfCr0J7i/P4i3v/eZsUdA6jXHhGUpf6aQ8IMRCJZgVRE19v+Z686Gm1oppTKkaJJ HaR6TH3UBxdUWyyoaNG2bFoTbwsyNf/vqnUMORCguW7mhDlNltGF0W2zxKJCr/JpC7c3Kbo00W22 yJu2nGW2DApk0gI4/OyYyCo2wuNpFxfQUTEd7GUCxvL+a/BpU+rdmP9DaFgx2McfYGLIZxJRlGkE gCW2brmqK7OT8s4N+5AHOIUt3IXlzO+SjU+r2v7clhOSCHJOSMHJfjhfyK+mo2uHEj9X14KhE3Fp zrXkOKo3xQKISTC2NVDQslBhwyI6Q24gR3M/y+Y45t7Rt4R3omzkAKWjIgAWoIxVUaC4Mjy22Ogr 0QmMKy1KadnGY4Qc3lRI1iiYbfGScnVcP30zBAjRYRoW6KdZUfYVJqpMemZd1NGvS7XJVfbGwaYR c8qnnzD1JZqnbaNlWmYjbWweRuhOILiilfNvOl+XTKdTPhRO7qc5OVYm3Qje2N6IKENpV5Johe9A /uc/ZBW5pjXwvgfE2bGzS1djHW/6b+KkRHKFKz9fZfJe0CwHCRbF25SHgV3OBf+uJfRV8Qq41Q1W ojpb6kQKhBeqcegImNWqklIwN9cVat2qSIbCPNgxtFrYwapayd9YOvrX7jI/h5+047yTHVZxFprr 7wNScY4wKA/gy4GgAQW+ElGnbbng1/NI/tcGU1vMaOQs3ZKJkaqagDyhcVO+qhsd6Wx1jWJxEe3x z/RAQ3Q60Y0NmyzgU0TNY86ejhYkJeVaOryZ0sB44dNu0dDnKxEIxf1SgnFtFDNh0jyvNi6y8t8s 5clPJRfSF/+4fPxPc3iJotcqKtSnauY+k7GZH2Mawhb+/fsV+6yvLd9mk+fznwiHcxMw1m2i98YI b+I3iBYd6fkE8rUs9r6dqZKfcwyV9ZOb/T7OijLHOpQS9Sn2c7hvOaJfFmhiMuJRnX2M60aBl238 r7vG2AfuUuMZiWWGi20XIYeWRjrTnE6wwfqdw8CrW4hriCeJIin8ag5aqFgZcNLVEZTszecLS3Vw JepbJ2FliSbZNfwz5Uhh7psYFs4U2vF87k/8UucTjVcH2kw8qE4Hs+bYuAYpjAmNStWg0hiHSCVV 3ohX8E5zQ7J5wMea26yX6nyT+X2PZw0nJMxplujbqDIp4L9cPSaXFfv04CtjiESWz1b+6pVPHxqo 1ZAo7DZ3xlsaKtd75NnsOkyrYNRHzC9huXkSHiJ+tklPnD3XoiOFq/6KfA3oiwIzOipVTnAQ2V6e 2+ODjeSbNK6Cf6QuDOuorh15ScdApkCOWJ+4BDzlqKPqJqFTQJ8SJybp4WgvicLixevVS4U1MJnh Q4/ghWvArGpnIis1z5zwhYMDP7C+NgE6TFB57FSE4w/TZG88cZB853PyeLtT/fiwY82P53G0N8QY ttS36NkYuX2GXVe3YFwWAfG3BBFadYCY5bWqCtBxnFmogBzNKlECPf4aSBY+yh2vcEdCTlg2HKAX ZjHCQY+quboCN4MSabiYRt7E8DNCSUgTbe5JTk5V6PoHt8QAFIoiJJ487s5ESQYSZteUPBiwdXJH jClr+ELa0NV6hWpxAmBqgyX8T3LUNSt+Rn2qRtvLIfhe6ZEr3HdgwNP+kERloevNHaIGZaJBqvFe oiOa8U7u3ra7LTUd11/fWHqYU75BkNbn/xeprLaBkIxwAvz/WacyOFir76TSw1dejOQAfH0Ku+nI GPCm6foRzYl2qZ3kQD4YvI7wj6td7/Fij8pG4hEmd4/AHVJkRkNKTcY+PSLSheA+jvrPYx1KL0Zg bd0qSEnMuDjvpL36nrFqySdjbcw3bBYnyjVzY/jI7LdVmmbadIbw1N1ulRlt35djb/7sGOUVaOF4 s3V2RxSnm7v2ndjTdlKxPh2e7mIb28NICfUF2hXeA/j1bpH3hTDxtlVTNDJ4AJSrkOBY3J8v+hNR RsiMijdfxVDTx0m4zYyKinc0SvW6s15vLkrRxQRyFq6Ani1Ushd04yfSyfNuHduLx7GMklQwj++S fEeh3G7kGpSLOeQxg/3+jG+93MLZrHAeqqninl2/aIt00EuXPbjnm1PGYznEdZVS/r2CpC1kQSpB ikwFABzDspkt0QPlv4gnMbhB6Zo9DrD1EkLBsqyD93hmMTLII9XdzG47Zi03tuzEHr+Gd1pSrvRF HHRheqKEoDcrcM6yIwiUW9bINFXsD0GI8ATi/HvWz2XpRLw/DgqPMa9JRAREGsJsZqCk7982Bxxq Q4GXLs/OMhDH248WHm2KOLL9cmiuzD06xNpLtNgv0s1DqoWfU39NAWZ4/4GMYWyl6jJtT3ToB5z2 XNjpXSlTUsHYxYZGovuAI+FDngpTeQ1r2pwNE/zf2C/IxbSKDrRNuE1l2jkmv2P610PnQTI40SK+ VoCVJTmrzFCyZr8WZZcAX3wociw9vGaDBbGjTfAu3aBao33sK+eHShv7ieF76Yeq+OzS20u/Cufi VT5Rfd7kS3sZ1A4iB4FewuScq+Jk1+PlyjYwAVXKqrDPHPLyATX/zcpZy8PEvFN40duALNpPB7+u gC0lsnHgVhLE2yiZVMogKo4Mq6Q3HPGxoQ5hZnIQnHeE/sLex5QOy2TpX3kCQEZ9ygQsvuaCF1Bi T0QEU6ua8SM0LzrRnt7taVPQvvd3BpMMb/paUrmO3yB0L/OHS5fDjDXLNXfTbWTumaulsCXz1ALI qUs4UqZan4L9pMfRalNVs3Qfg+lLSSEAjgZAxULHM0My3UUfMLiz+prLvvr1FKZfSXpNpLsqtM3C 5Sp2CI9YoL9UBnr4pxnxmmxDamooYRu+BrUOYECFjbA7g7T0R6aTfyP9Yf4mpe/ktEmcwx92Rltn cC7Lic4hyP/XoUjdPye9m9o1dZCZnhmADEXOTiQ/egq8yEeSTS5YNVWMPwUITQB+5HlRMfmYcGEn OcIrkJCUYtgSUz7CsKF5xdd+EwIEESkVEIeK050ylNp9i/nBDrIAZKH0wVytdAM17mHLxZtJa8l6 RGirZcJ388iZXhRUJxhOx0HvaeVbNBHrjPp6lJzGCRujiViInuijdCKXMM36S+ExYq3iKCZ3trjx srqCYlj8c9K4S2Wkdaq1DGHolgV5g09XJEIn+faeNBMItZzXe+vPODlXr4MHu9tUAtKZ+DeGErWy YMx0tKrgOcTToQDI140Xi5NXbyjF8cRMrx3C3GoLMqO3IQ+WxbHulpzHtgbSrQAAX7xPJb3/1xkf +1y8x/DgaosP7OlOkOjomjffn3S9/RZyKfKIaLBY8gqIG7xx3941IdnuZWnNAlQaFEU8/SfOTWP+ OlH3BAodXUOATgwtCzx7BzNGpKsYGDGsV6RDIfZQsOLu417NeGZNLPp/46Nh3Il6tVxOmVGC1TBk G3qa9SWW0OKxSbVedeyCDcTaG4N2kpo2x2LdnAy0FGRqlvfvChZfckfEHOPmIQacKCjRpD7liDgO gez1UnbTm7TebM3WnDagbVFvinnnbLABkFNjcct4uVtE6lsUR4do1EphDBD5Njca5jGB4WtJhIoP Lxm9/zUvgp93AGxPob7vbIApDR0S/qGb/JnNQh5Zw4e/oWZ6KvR+TL82VeP3pnMQgFam+mSG1Zgw qGMPz48Ijrs/wOt10U3oXtv2k7PInwK/LAbK/khzSFJB6GvkMHyoazvSPf+SSif4whsyc6vM2QHn IsZxDobp/2q8OXdiu7EfB4NIw8WA2LvAa8zy/+IWuZjPEduZy3I+Y/Cdf8rll7F6L9pLaa4q7Uf1 GOqsX9KPbX/ngFwvHY6RdT+goNm+RPFkXEqo37Nb+9F5LL96RMHUt9JVjLWvBuv2r6f0jYllXHLc 2eW+bpgcVI/UMl2oclEUfacj4QYiNlhS0+DPCSiNg1749clAw7jQ7LXeYnY74+Gbx2ZbA11RTBUn eb39dgKKNKCzIKj8AUyuRujP1t194CLz6rOIC5u8tqyEC9/azOYtLHX1GB7/aPKVn7XTK9tXZmT/ 9DMqgzRrFmxHOeC6QK83Z7+ftiTMvqjgj3P4MQyR53piymlr+SzTrk7nT/b7tkkE8VFZlMZPOKIl gRCr3LxG3asw4ytI9tL/oXfauDC+gbkt9Mgcie7fE9hDjmaSUdFKsKTrF78yqj32CYdG5vXl8x5u q0pQReXCt80GuBVzmvKWUSMcT0nOZhLWbLNd40xeDAZiCOXmpfqUuLSnJ0kn21vk/57JmwguRAXt pZ99j/wLyTbRNC3wihixILKnrtUEg/lUKth8GLfeRbG513J3WLhQrstmqWlqFsebV1fVrUb5C9XK Khru3zhQTWoaJEHDM2SLSGDzQxrs5mTSeuHgjW71NcMx7LCXuAQnqbif/ppy5MjYSR50gQYfA89M 9GNqWerlCqa/1qNbUM5LrqHLIp9nj5fnpOAQLuMTBPpFQLPrBqa55YA+WaEoa4xcxaHJGI6LcnOo E9H9ZbQaQ3KMNoli6YZ1bLGCWxe1AbaAj31ivyW684L2/oClUEru3KHy8yvUkkphE/en8/0vinBm Wm7BG+xM+YHQAAAak9KF4o841ZlNyPyq6O7dwBWElyjFNxFdTGVPsF+GN9a7ZpFYFr0DF3fJf8Wa e7bh4khCP5P3KcQXtvrHknI3Z6XsCPSiX8eZwEwJXQtKREyjktLeT9/IGMiVNpmzJ+1ubklbH5LQ tDTphwtliywKXU+VqwQMBNIPyr7XKKmZ/ohgUW58WtcKFOWFuZtdG4JC7flzhYVGD1juQ+PndLC1 xJhxGOx448H1hMgOZzq6Jsk8Tnun12kY69o9a7fXQzCw512oVCRpL4BGaEmrqaflox98o4h/Ted6 GkjivZb/0Tv5Bs3p5WaN0+WC/BOujNu/8vC8aDXPmu7LRWFfCo/PTLEwPLMUEs9YqXontX911w0g W8+02b4ZWMkmeIoRMXpZvr/UmNmV1pInXLNFeYhiN1FHcNyMHMg4IJsqsclPfddGKuyEJ5hbwzze UvIbhtwdkYB+OCn95r0TMqetD+u9+xRx6OHVW0v6865DftxZymnDkLUQTmbPjzIU3DgBRC3oANI5 BSpnU+z3x/kYkRkKfHLmbaIaPluUI4XC/iLLJsqV4VSFHIAxyGBSJSFarwgFttYgJxXwmFQPFXej NimNOa5b4Pv3/z0fygxxVTyrqUYBd0lpB8FfzdTvXLL4wEhsWs8HP2CZhGIck8DLAnubGlx1EKb3 ZXBBifiDx4TYmuijvEA7UzugFM22MYG7AkQw3mnJhDEuRNAEzuMVL9/0eTqylL7klW8Sf1jHBQAW Ky4niy5xtgbjL3O4KBckVhAiSGi/+wrXFwwdGDEWkI+H8SLHp1WjJuB+v63XPA8YJu3yvU+HshTI 5vHP86n19m9U9RIkgvxz4MDiFStvNbk68pOQtZ6FIQuH9ScgWWWJHCPIV6Bnb0cZ9kdEp1z6Zt0e eH2i+7u+7bs10t1m8VXdb3ddxG93pxjyt/BafA2DQfFm/4h9zSBsZBtyuToEyURwFuNqAybTaUQ5 UDWwuXJiNFsUZ80D7xMjGqfEAfgBM+W6e9jRZ7HnGfyCz+aR3GcdwzbyPjaXXivmwax2SE2i5enB F3V4VzmX1lfcs27PQp7AjkJ6UuBk2GCJ/zBDULw/YhW16agviL4tN8EkHY5F5nEibIpMCKCCTf3O uPiq8ThjdYP3GoXCB3eiB1qntWPQgOXgnEtH3deQ/wgGg4NJEhp5l5o9WMVSO1wmkAruGu7u4iN7 mr0ua+T9fo4Q6Sphs1BMZEMrtHpkiuII6iTYaR0yFA9ivu/j9XohYc+fA0AzYbKgbl5y4yiS/zPN Jzeh00GzaJ/OGPmHFXEw8W5vURsZt015I9vAHW855DAOsDazXBXkeUz7YI9VNQ9KXrr1YA4C9r5N 2wuT15Is3rdhsPKi10SOj0YuBvQXfSDQvhctM64ziRH4gK5Tn5POzTfY2MC9simWSz7gYHD5KXAo YmJj/9fUStZSKDAiu8NmHvg14iRSegPqv4uycy1vIA2U4JwJM/pmpw/GD2YFiCEbQy0pVSpHfo3l RBwjYEGdixGtE7Ty31qVNPhea0VEB0fm7/IzGIhebKINiuzwFjqwvR/F3F5HQyAunt+8VKiF35/r RGfw1ug94d3QQqaiKMrOr0XJglC1gDNw3lsy60C16sXhxuw4WYgf024Sz3LOtcx/ZQKO3WcOEQyr 9aMRxD7DTYyeKYpYbINyNvbptwmn+rj+p9bVyUTP++zBT+5D5gIay+kBUCYtfr068sO3369iWV/7 HZCsLRSKB/Nuwa/6Dn3rjbxizx0UIqnMgubmxisq9xVt1KPElWugKtRaULU1rYvd6fUjcJ5egq1n vF142OivZ9LUpKhp95f1SM8tstFr4I9+R2ItZan4BgAUcznCDJtNYtmz7FUoXy+WgtLv+2YbUvYA Idjmm25fFJFryTiq/man9wT/h1nV7uN8dLY5X5Qko2fAZxN9B2lxhSb0hucFZdiY79z5zevLOqKI 8UX45YDMZFMRmjaz9zrMwRNLigtI7Ap9lY7XRxRMnkjmFDiLMUipOYS39ukiAuaVEM2dLNVTByDx coZbZDeaPPbb0FWMkTmC3qEonxCMVZNKF3MMqPEuIb5BTe+kLQHhLSvMoRqcUhpFFx8qNS3ZLpTU 2zAnT2NB8hwqKdaCSNSWtLQZepUYQcz0dqy2y9dX5H4JmE2InCWG0PK4B0K/ucirlG8yKXRqn4Bj w5etH4fU6rNQOR0lVmsX4VCwHMhZilzzhxXux6yPlBfl3Z4o7Jn7+mqDRZtBO+iybnFgQ8K0JOKN d4zZBxpsk1VEDunDbNWON4ESH7SGaPWbAJpiAx/P5keHbGzOXpKvcOF+hiV7RVrhmxMbiIH4NWJo bN+yoYKQv4Bwuu802VwmxKuBfCwJdklVMdLzDskVnHUNYWeTSyvriQB/MSZUtPrF3G8w3UMFeUQL SJgPKOE+OgGJHi9l8wZqRR+c68AnU2qkl7IJq1kSxw9uTK6ezJWNFFPC1XOzPEbdT9Oxr/pPnZPW juuA6GlGk/fIxShhJk6wh+bVGarA9a3x/7+Re1XIXI3BvgkBz9dbDxgNpZMBO4vJd/YYSF5//4jH z4L/0qz8nTWCzOKvMZyWJehAMBf/mRxKkil7ooqBeIeMYzwIsFmQqE8IDuKaADCfaIZcTfAvxL9/ z6CHQkKJ2mhQzMK5IZ5bxhh0wGNdU2WwQui4lBg08NzuljbkhTf9CTCeTXbQ2ajgAHpoHyB4YYQe jrpGgU+6jrdZBQrxpWYp5mgkwgb/7H87eTL0QDmqLBeLZUCztyhiUY/xblE/b4K4Tt8/ANoRoOux fvCWQypJq4WHOEXXSFR45lkVLR0vFcxEglmKj+dhF/3KoyAtYuyjsHuRXyOfV8BdLCnPtL8P1E7o inKIFrMZa405qCTiFyo5Ol02RR0u0dYV1UIVI+9a8cNoIPanuvX9dY/2L6DcI3E++PMv/l4q9DRf pwQiFk2b630Pt3SkWtmkwG2peNrJkP7TqQY8UqClx3o6/SkB8FvCFx3TmGSSq6pwN+z5m/tFxD56 9CTEzq/KYvYI3sZ0nsBfte21SBo/vMUM0DPeNpJWnwqQfdfdPaZ7ro+uVfO86ehsjdDC7M4CNbXn Ezmy0so5zAWvxiUJFUJU7GLymSg7fwZwnlsndVSxy7vWDfUL2UMeYCnIRUkHvt992t45L/q5fIEt uUexmXPYwLj1wMiz/IrUXJreb+paaPlv1m2IwWBIjTOy7cVZ9m8k40V9b/AE1jUcq2IFIetqsu35 zDkKzdj7bBzGo6yRVQaCEO/AXy2zqJRH4yxaBIhXHzaBRTzwpTiXPvas34NxPypLA2cD+Gv6+LN3 UH/8RBzuj8+cCR3pBkNyYx3+axTXgCS+s0ouyyAxNcaBmvnwfS3FUCBjVlnS+gIxIVTpVdoSYBfZ y5siSRnIf+Iq19L2/YwqzfxfwWFuqmnq6SB0FeZH6T9fr1r7Mr7zAVT2fIu09B7wjvtc/fdnKTX3 5p0sTP177BnYDKEkT8hlqBPBNqebAVS3Rede8BHvsFgBC2w4rjikMvgiP5WZra4crkWWoaJU0qph nX6NL9icDwEj0TBCEsyo5PM1nxDnQSm8ZTN5q/W+cjCj5IEpABdvywhp/RY4Ehd7nzGrRRvQkV4U r7gTXD0P6/lXsAIuboannK2PM6DNl0NK50q2Fkj6y/7/u9qdF1isTiOnYZXgSf4I6WrdpDq82gIA Ie40pJzSY7VhpgnEYiIhTCs4UygJP+bbrez0fFHTWcGibbGHTYlMbDDYBGskRdd0+akYwiQ5M2M5 zXUEKKkBYKAO+V9F5GNXT+tQxitNW5eDxCVt2MYqnte3hb8gxqDMR1Ylygs57kSD8x4vTp+xXrif br6rZFyIdyvoNcn+QH1de8nP6BQhuvCiRkVAuuOeOzkZuHxblpiXBNf2EwC9FpfKh9L6t0G2Gms1 VFMEbKHOjnATZDny5/1zN7sNHdSKfogpwu+U9N5RHF6tgAz5iRCM2kY7hgToQQsvPSceZIAY8XfU Jb4X2GPhswXkqB92Z6DM6HMlX4BUM/EJQQfqmkKUsa1UXLOWNIXGKguysiGzNQDn7JQ+PidWfTei gJZ6o0yz7d2ypgSnRqYXK9XbRbgDzyRr8O/3j0tng/Olojr5vgdZluHBFIEJ49a6RsImV1t7RQKX ui42six9LiaMZ+fOVopnvY042uPKzwh4CTVotdAwYHfrIk095aqii4xvEhkbMZ0lm0FkR6UfRjDv 0EwOG9TwpGlaKsHj1DNT6NIHFfKi944UbsM7DbLQFapYAL5KZ8OfXhPJAMK4GMaXZPDVYyhi816j J1hNDIl60DSeToECD9NHKZurORbeUv0A1M9aOD4YrcTr7NxGAMQwi4+Zs9b+P99BNM23axf60rXx CJALwZpyPG6dOBb/Du/UaBF5VBomkQhczZMxckXkmcRP1tLZ1jpkdI7qhnOj4H1qSOWyWTrB0Ke0 xIgsCg4lv7mPzSp7Gqa7HqXxAH1OHpq282AS1FfMes2cNekUsMsjr+X8bNcZagKDbm93YfqnXpBQ M3oj4wAnZGK2xuT0Lk25E2llN0b8aKDjD1IuVdSSJPn5ADtrS34rv/MTUWnrBj03kxggg/KvkDio qYNfN6iRT/JyIy4LdIUpt6G4GPZfR5c4zxePOhHQAtc3Xh0jAfcLz9ZjeAzvsyQJ/LCDBAIzaLxT LC7dQ5GpsyO4G9cn9ZGeB26VQDpf3KnuhbVALOYlIrhyaf1/FteA+pM9nPAA8G66c5cQB3aHtO61 +iYfNC3ZpnuuY0rjHOKZsZnmHOBQhh7t1b5wqFgVK+u7A2lPu/KjXx9AObihKw+/ZuqRq9/ci8SV kHHyHI0rzTxafONLMD6TDJeydfrT6hB9A3VJfD7p6PgjSoxbj1DKhV0cA5dsij5Acb9MctdM8h2g ER3p1DoTGFTakZROyvPUnj+oeKcbhcisCwyTIikLlumOHwx2t95F/bWWmfyUszqr7MGH612bjenf ObjShtPI1FDyqsORl+ktECkaI5B7EbeRMSufzP8Cotz80YKkOEuvoLt8nW0K2ElQVxnX5YAqcwlo /KuQ3XgYNHnfvR2LkzLQpxv0F8H/yqB/xitl3X/VtgAvAjKzjN5PsSd7077uSreHJ9HxXSU+Bmfb z9MtlxCxCSJc7AQ2xUZy2Z5egiRXEPB5vYcdj+/BU3JnhssS+4rcIePVp9vAuJeMy1UuTJZEbuaW aghzjf8wbPwYK+DO7psyYoIZ59KTMH9eyFcHHgSaL3YEfNSeitlrCjRGdP6IKsLiQlKlE81lvDY8 mOABOjDOesoIHIjSExpMlT5H5uu8NHo9lk6g5Wiba9Y7M04lEta03p+52JAD2f6deh5LSsQ+9lkK sRJVCNHs0VcQQ2gOY1OhzZZuFn2XY6apJA9ADsDBb0oCiyQ656N6PYitMlSL7fBrmeK7psVBP2Lo GeyLi4tDZeloX1rrthT8mJNbmdwmQjvLFwKpr+uQUNQDD35R8NdZ/iFOU175rIZEEdyPa1kF7yE5 zPH+KDtAAsCqXsJLjgVROSD7athWCF8uKd2KBqPF9pkNEQIfa2EWhyBEB+mfFfGvRvqeoy1yYIL6 y3r9u15WL9iec4+HsRoB5Uorjwl3emXzJvHjhx3iKTv7ShLMs3QMo8jIZBEUP7YRxZolZY2zxsZE bl0XiMg0CzpZiVBhRvgvr8nDKjBHbC/+8htqstjB1RxpuukrDpPGhMGR1CM3JMTreH77Gl82M8fL 1bwX4BbYq8QC/phYOLKBzyRiwowzNculQbr6aH5BvFiUd22lI8Kg5Iv13gSRU5zkOf0tnAoU+sSc wUuQQEbS4achiSce7yO5ihnAUzLxvK//Y8cdGChAuZll1rAuO6aC1Y7nABv6orRDf+9SwTz70kkg BeKBBGyh4dudZXKtm7n9+8ZO1gug7jqW/qm3ydWPVXNZWj28h7AvidMwBtUGTyuyD5k1EK0M1SEw RlPathFM9wdHwBNX+XxnDu6N+qONi2kfaEmmGzJusI5RKVXOWKLsMfUslGNmEfqJXKKJ7FRacxJe 4lQ3coaVs74umZjhPNDtbty+bZ+hrlD/GIPPPUlUa/3yfnZhLE8n/wOi1+9DNP2SrajXLdDvxIeW Vb1BxDmM0o+PciIv03SjJ1enfbpvPYjcYxLwTVEnrOBvFOJ7KOSfjvjZcp51yCUEOT00DjwK0Iv0 BjRK2b/hxrq7b8xaZgtav59nqhQnZIS2asOfHHfZyR4bJImDS/lhNTgUkdsl6GWnCDlFbO9tds7P sx/3+I62ccVRTJHWdDaLsQaAjDzeHY5KIH/QGOze9KaepWAuQ39A5S3r1AneML8hpuDTecfu0ldH qpPKBKT4CUUefssCF/Y2wT5TrU3AS5bREnbaovXBieCmjQMHbTPBAZ0+VgUpae3qf9eh5uA8xYAW YmIWbFn38dMNhj4ljweJ8INUMnjpyFv6tD852cN7QCSlo0vkiPwys87kYj6jwmlFUP/C7gB2o3CV Tyq+tg0JWUfn+3oeWE7fZvR4q23XYKfzckjLPmDb53sjjnT69+qARTixgPTkTUYxnBYpdfzAj3Hm b6AW2dLtTqw7tbb30IM0g6L5v2PiMVHgeP3rADsTwStcg1SZ0syCay9UCpWsrefEqsjVxxqTFU7h 05+v/frQvehUZfYnryOhXApw7Vz4wo4iRyI39Cw0ykHOgQZ/OoFmx6jPC/YO9rarWGy8cWMyB4Ld FbHbC+SpTZu3sFKR+xo/TNKZLBpkFdAvygo8Kfm0bns1WGqFHhYgC71CPLFDYkt0ceEnOhJyeP/0 9N46qiXpD/3HvOKKuEsgplCAD4cXn98naxyHBhuBKjOVP7oiu/5arJlX8SE5ayQl6FTnPk6TtUXP r96JhCT3NWRXUTPsBFbU0AIjRg4j80bf38iXzX9IzMry9floX3v7cko83xc2uprKyu2XKlY5vj+f W7iFKgNkBjInHlncJbkJZIQ5O/V9zPF2KA6xA81UMqSxe9FbrthJWfFTliV8IWG8mD6JecwXTCUm EZs5YgPPDr822XCHsdJm0FNAweTiFi+6k5Q8qD1S9e9unXGgsnCwwkVaTXX3c5RGsgclP8n3Kepo CIO/RP1WoLIA3ZpoA7tNlctVfYLcGLGMfjGiJI8Q7x/8g3o7RqXXJBu1ZqdAevl4DdDf4XsZIzXU SNfalGbjy10VcELkNinvmq+aIGyiVJ8l42QhLKV455sjHqHLh//gZaZ5fl2e71ZWowb99ddb3n97 t4oNcuR/wz8NOho8HooW4sgR13wsM3Ts86ZUU6J56c1f4qJl1ro82bOZgJeuWW23hFmEZUg1ozDA Z9e18SWmueXfo63208ISpuIzJfD/uVHsOjqKw6I/EBKmlrLh632xEVFELjNC3p2lrN/oheBDb42e UTPb1f1UiokDmdj/JD7vLTD920b0oB9N7hX/Rh3rhMopbb2xlg6Czusx0d9ajfjpaW++dXjHYNaS haw6QlDkshrcdf7jArDS80i3l9tj6ScJ+f6nu0V2Ou/CD7v+f0d2HwfDVCfdYKDatMFcBIB5Radv XCOaUoLYgAolc+HNG15KI82TUL9ZIv4pZwbGqiX2TMgVCRksVhE4oHZWNcFqyfsq11lgHrpGPBaB UoFgOGkRcoP/lOJnVpgGV1BAHNy61RqgDtzhBL9T4FznP5oXzOGo6WxM9unVWtZmeI3wNduZyEDA CaSxzU/+tKIfxZDR/WGhY0hunk16zOcr02UUdi6fA2PBCRHRke5EcmR73BpbECxP5wnZqDJZVioA P3ul3ZYih2dFkbktIRilR2y7da4MDRmH1bFvWx/EIiPAP8gpEhC4rxKmqj214EQ1WOy+xiCSys3I OYkJi0YYmF7k4Mkuq0sz3hu8BC5ajoiWhDpXh+UTkwZzYNEpgUXb5kzjaCPH+Ml/VFks/0Ciuihu IDrvBzy8TrWm7fTrblWBI6/K1nw+/EsSZoUvfkdlB3bv0ac7F7OwcXZtzkhEULi6stOWXrTLWs8c 2KvWZgESuMaZ5oXKb1ca7+Qye1PUyEZlDijmwyLkTUpG6nLeNRkmSASE0WTluIPHyfhlJhloQlCR P7gGlwWWMIHgHKUniMIvmarPVK4euGViC7AgHl2aQzoQIfSYztAs6p+i5Bxw8KoZSEckdIdv89MI rrj0HPy2ewc8RnldCpjmyaIRUEVBtEXh9IEk1KKY5TIo/y2w+wUeWVTINAF5RT4J7xHOXBvRuDFm Yn5jA0HX7dWc7NIo8WJVG2PCPjBd1+yBXp5PiBG+0NDcyaBPVGdqh6DsToD9iuhKbHlQJr9CF5c6 b/ctTg1wtsAgUI8N1iHagxjnQ/H8qJGnU2h1atdDe2s5SwFshY4rRh2ziN5Bu+KoLp6tBHkAfnhF UsfoO9fu7Nze0Y/RBn5d2W3zUtLmeJJLVBkdA8J+r7EGjzCubtlP1m8FI3YnQ6FSyTKL6Us8Bdud TdSACmkHW6whBKRh8nzOsIUFN6V+ubjPAqG45FOB/vxk5/sKSwmKhGJPKYWH1AnevIKiZmxGk4BP W9qITjhACIwukM2tyv/GvqKojRbsyoYY6j+SPnbW4Mdmg9UzDKXepYV5+fXNvVFd3fY0TUA3FS3x XDHBq/UX73L1XhaaecBTqiamVC2QWzGpP1KI1BzlXdYZ5cpeiHd5LJqq+K1wmYkHh49xfwCXbvGm H3oIzd2U2A7NuYWMo2tKDmwmS3GyPeZ+rkJ2jT6UhptHvSGXZEmJDEsqKBsuSMkNI41yQCzLbhFV TeopWHigePwDpkc8PA28zN2eTos9WbBJaWnKHMVBqZ/lvjQ0gwGZBjrStSmHZUdNoArqEZ2xrcPD XWpthwtoRB5N1BBcj4TGCz6bV5nh4KG43ey0gMOLeZl/qcFxPFCI8qqLXQoD62BVDlD5Aa+tseWN 8V8w+zebHSWXQ7hal5T4a/tQJ3hkxDtbOL4c5SoOMigUt+Ne/tvqGIfpGsfvj6ADXF5ypUerZyVT 1AhjT+zb/bJpnGweuNPkucR0ftmutiU6N7a0rMKSJC4Han5Dg4Nktr5WvM0yze3mPCtxoD1MDd+m kCGTzblaUINeS6rwFtVPegamtQfKddIWCeU9JVpMdmJNyLouMsCipGG/6qsVbVODv7RMNxt1NZCu zDtnVT2fZv6nk992d8WLlXO+VQnfK6TdCOG7+Gw4VzxgxANfpl4MmppTicykA63Zoc3m4huIUWFF ZGQfkXH2Wa/LOTNxhpiSzoHGQ/VLypys4s/iXFB7EE8TOP7TeFZKzD3D08ZSOCc5uYlWqgXfBUCi l6w6Tf8VC3ICGj8vqAqozQCq88/zMbvQOw4JOWfj5RX6WSyNJgapelm9AU1FpMhI1NGPtbjaEYb7 fYDMwIRS88LOfa8ey0bsO6EzIgh8eR5zb+vWhygbZlJ6xzsuz+Zcp7ih9YaCkznPgL9D/U7LkAzz 0OlTvTm28ese8Hwxd4VgMM1MaIJmdeuJbx4Z1DtDNqkmvQbSrOoXgR5CGKjGI2+GG+o7hG2zqTC8 LcA/JLe3u0Z3GaDky6SrY8oGB8wkuFdGuVVykazjBaXwTqP/utwnyZnbjXlzTWwkRMMAoTx+2OYB Tt3u1i854EBz1m91gk3xu40djWtat1y1Kxnhhn4Q44GcfLm1P9kGe6/n3YD6qYyv7X5E6/My79tv P0h4Ibk5WU8+wT23B56OsQwPOGxu/fyb+thPEZCnCsd4n6SxJL2kQ66NSX6kgrkv8Y+qofhSTe2i 6IqvL+2MreUFKhNgu6nj5qvAEdK/HcKEX+MzhU1LaU09UMH2HfBQzLzAkjciGD/RAKw/dCOrTY5I QSKDdr0EhLdZzDMFbi57/cIeoOr85x8K4wwDNQfQpEclG2EW4HaXL1uuzbH5VjNcxNDL5+sWvf/p JQ/+Hn1FgKqeDs95A/YGon9evYmq03hmjnKBqs9XckStEgPFVjjjo9YlbaDpF5L+g4vS2ZnB09vI Wm2RFm91bIfRCjjs2/Q0uXyrTQp7vhWqFBrJcY2xxyy54UVBIbDJxPl9SIwqEjaqZ8oauDG5DoYO 50Ueu5fZxjpDd2n0loMYlZ4xvIH57giRkrA5JElixfndSRD8n1EJdKUB/jU6JqV0MXCK225WXeEL SSD7K1GsWZ5yhdQAfmhs0e/kV59Yf+6Ye0dBTvJstZrg7hISG8A/etpvD83eH7+V4V2xWGDwDV1L reWjJlkdz6uHO7JbRjYXu2LsHfphC5dmngTDkvljJKBRQWfQRP0vs7lX4GHtBbJSKpjNjYPMXuZo NpE5APy6a4FgIjPGw9NkX/Jnye+CTl3GLJIyMSDDHr0Z8Fb5vCcxU2KCVg+3tiMCAX3vtZ6anTD3 nz0llXFZP16kFFPQEfkNxxIe3bxx4YAI4zx1MBekJkLYNnd0O9lhZjmnweWTQ0/3QxJxh34meGfh XUiI4RAf+iGDCp0DSZ938wgHQcVr0PYol5wl/erDoBgXkpvb5ab3zieEHleW75dwR3H38YKay5N+ cLXHxZzcMgbQX6Da82b7GmtZIIm2ilDDkBJTBuS9XAcq1CVK9gnylI82IKLrPZoWXeHu1UIrpUtd 1Ny3eDBu2IWLCoWJtPQNHOhydMEl1BMFgIPwhRWqPbdtgUlpW9XGrZMgYl427Xo5S9MFjuV4KUbr OCHyhyeE3fE5rwBRwCF4+Zt/rI9RoT5G+XfulVRFHlaMh1wfwGeOUJpDfiipgfNuEbS2YM37TTFp 0zvTRS3Bz4jhRF5gBDDR+6Cfp8w9nA7r+0BU9HLojhtrqz5C/QICbg1b8Y/n4PQHINy7uAwN/qLa G3t1EfK5rJeFfW9EfGRuR1svT/yPCsBwIhhylPzvIcofFCuVIm8XGRKV4c+Zmo/2ljnh5oRn3bpv 7/Un/MuZ26ZxauBELWNiDvuK2VegBzNz0c2fIsMHjSsADVrG9KFqZJZ0hA+1LDOF8uiB4iGQLJS/ Ag27rDhHVUPZ58WOm6GiSmxh3qwkurHa3xUN1knDUZJRghzoX3yg8F9Udq7OzCufp9ryWc6odD6S HLbEa0aUieczdgh/9Be109JJDuq+pJClZzKy2N2v8h50MaFjfyRuRSAuRJxQN4GXmFtTA6iauyjo 4gQXyI2Wqh9gqq8063XERRQj6xtryX56tQQ9z8xNEcXZ9eCNwMk/LwQlZPcsy0aPfYObrvA8AWBt ylMp3Q9NVeZiwp2eMLvnXjxnB20i9/9XlFQOLYLlBWkxe1Vq0g4fH72edeOak9fe49D64iRrOhjx /csiAstDBeinbGaxtPaHySPw9ywqWjKs15jtuvDrznF7mB2HXOsUVd4iC7PVbQRBjGwNQhHXw186 9BgA+vJLAMwH0EpJKswKl8vJVr+l4eno9f5L1hrq5DovEhzIE4QJnmGG9VzrOWsR7qlB3JVHT1Gx dUusTM0Og8BLMYm/Aata1QUWELoNZyjgrGivEkeAROeNQrzJ3uZde+K/3uVmGb/6AUFPGhOjQme5 iunRlOngXI1aHsfM3LxayQE3dAy2/rWh+EJzxlJR+foIWAS4hlHWNKYW0WULoGvyAU3cjmUjBxSO 8IMyEcXt1SOx07kGamvR09Uz3bdF0otGGq8Cp8dzklZQ6sUEJQeSRVKchZYJhhAXqZyrtC1F28w1 U0Hy81plqeI+SP67qekWrYMJlpQthYHK5zx69K9QDsvBX9/wDxmRSv4vmcfoFSLntZGK9j+eraJN Ujx76Qo36KXSjGLoRsfJWvWXC6mQWWhxJDxWdTtGpneXZ+5UaWRk94gpZ/31qgsxBsQp0PNjCg2n 8ljqCtqW/JzvZKnGJfpHmwF+GhTbqsfpsFQlkYxtKJUDQN5Hze3mI702ideaYySAo3MRj92tfe57 dYrjdJSt8mHGNU8HI0bX9jWdiFQL5wceR9jnCkMuf8xQVvxlXUonY8DzYiYzFh1onoH3gMbil/SE lA4/hE85AutOsBuA+7D3k5/oVKUEtxCif5BoafRbQhKdX5LW708kgL7hnsM88xzk03yyN6fbuOxE j932VObSlNUEeII/ecUb1QLjjyAiS5MeUAoQGgpQhy01pOuPRu7C17ElFi+HUfgtcSemnNbPFZZ9 IH2YLn6tOHgjOeT7h8/20Rldok+vfmYt2hBtrsxHYffKhvTHpa4TCyt1RiHhLAIsCB2zXzSQx3Wh dBEQ5G64b4XSCzmnLn4WLKQ2d1MCmVKhR6mz5sqtn6B52hEhQQjdnZXUlNF4Sm3qnSlmH+NmwHDc TlFa4RMzjlrs0J4WalLxgxVVkT6vtGBZXEDMrGA4h16elRrlPnPqvMqXfO9dwmKQfcGRpOnEOvE6 M20yGCAHksdGce0vFFKq5JcPJI3fc7yay0tLH1Rl4nJdrnGm/42Hqcg4Q8tTXZ6gjlANgwQKQ7g3 kGyU7tu1LhoFdyMyjnJk9PDi5Z/AAAAhVhI7tjfcd3RmRstLI0aBfK8GIZ0ZWFbp4UGDNiO7bTqA dv95yNcwwEDzyoZGiYfSPbZXdOeApcehYC4G8ctRAGISSJnndpk1uj0bPNUE/SuEdKpiqGjQqvw8 CIB6mDijoq1b0Y2Q5JqzDdUDXv7WuptK6fzqZg3NkeQTykSowmUZLLRFzQi/Qo37t2PHiPl4nNZo W20V9W1E2Cy922QwEs1lUn82u+IWSm4yxOPFfCBaD89HHduaLos9tSYy41PwixAEKg3azsCo1inY OWCc2ee2qxr6X3xcN8zgu3nuSE3dg6r5YDQ8S8ONX34pwLF8lUzZsLPzoPAxCC3QGA9oaD3I2yP0 Yy8MCYnIuV2EsJguQNUqc9Ngj+Xq21OblXkkmW0GfPfc8UZcIz/wruoqLfAzeC7bgcfLc7jFjFL1 nFSMrZVJuRrkYfgBSFUKN1f8DNI7dZ0G1d9RtllBz+ZwQguyH5o8z2F50BtVl+il2oC4hGfV1KO+ 3BG96vOn4Nl/+njLcW3JbTxcDhhfi9h0sc19Pe3TEr/b//7on7pLghJdpc3juA2EEUQbaTCTvDcs GLv1P/fxSOm5+ivglfaeRSg5PLnDm7ETBjARP/KslebJywS6ZuE+A4ckFZHdyXX7hbkZjMzn2hz8 bDbyOiOKdSt+qdQ64n8lKAwMkJn0sdcPBhAwWnwG2sKQPY2cOhI68yF5xZOPWntlGTa8NsN2lWsA aJT3nOeluGCqqzr7tHadDRgys13ed1s4jguH0Oj/RQJJ/aH5g5otFsXGMUw9GNEh5fJkeAmaBY3a TOCmvKQelSrAKG4l5oeDJxReauM6gLpcdxj1EtmxAlgzQYjO6ToRMmUlXJFygBAdKHxckzf2qXN5 75MvTDbW/nibLwKPMgio3JkMdT0PQjR/PfDqsd0WoJ3TAXMV6EyDQfSWV/WFD9YjPUwLoNFyd2nE ySyizpY/egD8QkOJIiTyBA1ebFb8agmhvXF7qDj+Qob8KsTdeqoIQTYnxPevAFK0QyUCcAhuSvfV +ldJ24IIN6Y0pBzkAjttQmNJu3XoYHhTk+QnnNGHyV/I4DY9bNMjMxmrWwVIz0cqq0DrPamXaOXU M1Mth+NtdA0snCTmCQcls8SQQSm9MGTkXS+XzQonatSVpVc/Yjck+tnVoYVSTH1a2eZA55khI56k k/T0mdYaJ9jlu1vpsvAUPL91utJoJqmPbD2TrnbTCb4rQkUfweywFUUrjziypCpMSoMuyaRW5+Pc URmGg6tdikPKhVcKpGwFKiDn5MGDUMyxH964nYRbEEIBGkMecE5vgzlF2X1SFo7EHIXiehU2sARQ pS+mp1ZhIxquDL/8Bhg+YJLcaBzPXHCEUCqInm5WBfe7ORwA3K4Vf/669TYbzv54+U27BpHMBxNs YA5opl5DNebH8MU6zbLrENvex120ho1H99zkkH+1zFF9drn3krUqp/sDzbcwZixFNoVyhd8wRZzb a7NUddJg0Lc29okMUe8Yl7SN1vEHTj/LdpB6GlNH1hGZDJX8QmsbHqHNSwUF6L5FiNjs158Te0V+ /EK81G38nycmPLgcLzOKOOxJuikds4J1g66MEdDmsf8V+8s7njH+3IJKCmIwRyeddxGNDdxPmD/T 7W9ui19/OlDz13+hHvZ/aXSAqFch+TbZLnvbl1VjDEFpoB2KyDvW6ry4K64DznzkbkmpOvB9RM57 mAnYNmwQZt8mCIT8uJsHcPLkjqo0PogNHcQVE6Xcmyo9mXnaoZstl6/iAO4fpdk3vl97yViMHXZv 1eVD3YCglGtm/SRzvlVbXsNKM8HlKK15dEhFQhWEHeMunXsu4IDqX5q5n5Ez4hGYDf7B3+PsuVUp cLX0kf4olxtLquVea/Q6Yex/x4HeIukfbDAM69FzchdRCeyOZQZPbVxPWoBJIUKL38WjWfVu2ELp T0cjRPF5mg2DZhivgsdrnVot50MREGLBcNCyutjDNn6cpf/gZc6DCblbGIX8dpQOePa9HVQ0XGS3 TsJgwMkHiqTZxtrqeILzj4ZInc4OM+Z+GLmwV0E6j9YXe0UyST7nqF2GqYGrFzcfYSyhhuRUo0vS 5x6NlLEVlsI9eTEK1am64zLuPjdLIkTclksUyHbXsADghJIaU4IPeQMIIQgDNZIj7x3i/cmipaDN QGnqip0eEc3+ZYYzo3wQtZRbbKlFuigcG2U0aXiu87nEyAoHIRfcBlNZqPh6prSPXZ712Xs4Vega /YZFwDpBPy/nLNDoJJwcgvwxGI77XHzBgiXj7zECE2lDQ3SZacwM6EjMmxNu33Z/kAjgyXonm7vH u9UN/CtzS+CutjvBUiqQw++EwWsiy1r1o5IYCYGWLhs3K0xDfeC8shGqcKiN8deFRgK1Mo4vRKwB /5gcd2JaEZMbhrIyRAG8aAm4yNSl3uMGDRdpmCszwbTcD4Ia/AXYwI++pgQ6SVjtSvxzv7MiAgSo giYq9G4489msjNyfVs4zEpWChtIpJnucgVm6K6XKj/4gbzJy+2BJ3VpMQwSUAwDAANK/e6od4t3y gtY47RVjTRQSfsrPF/aLtF4A4YkTi3bJLa77qSbPxN+9FBxfJrpdS9eQ9qcmGU3UPqcgYdqLhD5g 0nvhGW9lQjbW1f/Haa9aOhNgv2G9+QVZf0IHE0l8o1SmSTROBOLuyUzPHSLvsQcAsV3wJGDlXG62 CS9I3LZfFU+7m0lAhdBdugFXjrr64gRFcJ1g5hbSxQEFEGWWyWnKvsixfpououWRh3m+KA8UFTYC c+x3cVzosYWGWqMEXUN8JJZL8YprHrM5/2RF2b+Tfyqx5a077xMiab/IijGj27rF3Qbb7IIhGZen eOM3Xo/TnyULfP8ilw3PFoSp+0dZeYjPhlfHHGCLDfUbyADsarmJndYiqM4MB+6FH1Lbpjp3k3df u/ZShTX+lRycd6omKGQaqkXLkAs8817k55BihNyZ3WxQA/FOzJoZcD/q4L0TaSUH11CUXrd1QhTi tPoxsIE3Zt/kndwCnSpDsCikBGVodmXz/XQi3O6lKsVjx4UKRFqMUfz6U+SE6L123sGjo+kjrcK0 HlABL5wyHBCNhGxGOfG+NCLS9qXN1W1I9JBa1EucoiQP1BEz1llaJhNC4tPd4cgjzo2zfHUSG4bP 3LIlb+o9rDgqf6L+bKbCwlrtY6Gb+UFokSRgk/El0giJY2oz5QoJ3lWXDQEMkFLsRhw//kktqnHU R/VR8NxM3PyWcznqrgYNdQ4CGTizN960kbNk6mdLuTuCtoZqWHsDvlUznret9IFdEbdXqH/qQRnf cSoUwFgUQjpYcqaTIPs3CW6Nf+X6bqSiHZA0BVsd5i/jIqWF/ZpOdKNYKEoIzon/7x3NHYPZI7Kj SS500fOpMSgwLAGTSuMweMlJ9yCGrsxqXibazqVqRJRuij8y+jprBRE6hTM5B1G7nDxUfdEppTex FfzdR7Xc/O3KQF6BEW9GgSVdZnjd51EHtAwP9B7zIb6dPejE9k3nvWzF5XZvd1p/CF+31HW0kuOk q/ErnzV48KJo5ngBNctpkJRtKhNa8fasTS2FdRnEgHMC+kSlBTZWcOrkyAWoUO8w5lTP7B0PdID5 P8ZwvBI13riD1jEzvquDhn3iyat6DuUIdYY3n0Wl7tfQ7mMkSkK98B2sHWcU8Yz/EEnAajQ0wW9d Hgynt9k3N5ggp6mRC67L7GP00IwNuCPFCO5BSJkhBZsIxCaacZpPa3icY1wOBRYxhjFp+EB4IyG7 i2jGOVxLMcXmUOJvv3gchSaoUhidLSNG20/jbvQfKz8YOJk8CRcxj2x+n5JE6wGLd+y8E/r7qiyv S95hRxbOppl6op3cLA6puijSfb60wQmJwtbusq/UhJFLy2c7e+3UUYVZD8QD8rOc3Et1L2coauCI Ksxc/EMeNHReL6JAR6qHxocwswuNDFTDITwMYnNtSkD4i5Crrcwqlyk6kWlbGE4PZn8aJBh7xa3I eqwh/3Ye45u9dT5TL/9CDD7EQ45ZDYhCae0SyCGqYQWHey+KNmoUXM50Ansir9LeqIqN6ki7aldM /l3C6VmPOtF+YGxkebOr4hfVuaQYaJDNJx5bTjuROrqJGfvjgIOih3JEaPZWyCsNbWzbN2Q7gUxG WiQDFC7qckbapQJ5Bb3V6JSUh73a8gdb7wkwpCdym71R09qEmWADl4VojiDzLrt9a56ijuMyHGvN NbWUlaxmaYLWtxHH136bviscyuWi3VWho6YcVmuOrnfhWM7Z4vi/e+PNG1LL3K/z+S3ILX3dmjHa 2jw+kwVyYS7/dIXoPSj/EjFZRktnHWSj3v+XFAPkO12PnK1hwZ1kgqI5fnJY/qpjkDQNi9Xcxs8w InD14KrzzOpbjUqYO8aRAjqxfi+usfACdg4HjJGBdAXRVIm6kWIo77UW0FY4lsUqnumredGQFGn7 oDupLfOgNZT8lRbE+gj7C1bh54AOPZWD44ilYdzTqvoXINDFBDCjKdkUA2e52uU+csmcdLuMqSel B1koy1F/5r0fPYAlqPq7FChoslhbwMOpBXNERJxtBgBabuv6HlIsQHWfrQUJ8KZg6rxzlDWZQxl3 2+arOG/J7QVc05W7SuGZ3KTIsxZWezPHfVFoPDxnnwFZbIFpGR9HJVCzUE9bPnFmfduEapX2M9uR HQ/iq6sfHV/Ndx5I+BQ016DanWY0iRh7v1goroDduAPFRUDsAFrnLtl6ZHVZfaV80ldQbWQXRRv/ YieG/5SDgQ5R+pGLCk/ESycKtTngc8qrHRxpHmYdZn7XeKDzsKEiy/wKTFdicbvv5X4xxW9LRtv4 WYrWROeKU6HumEAi3h3uyi3QzUER7tfDdZSmWiKaLWgkBSsduExM/TnLto97Tlz1PRWGfF5Coq4y 6xhLaYzEl4MWBhFJ+1WWfA1y3IiGmjNxptGRV1IvBARYNyam4NoHHmTfk4xaJmdWYGHqNraS9z1l QcQTakjeIbg830JkduXjvIjXy4AwEc9t5uclRGiAXs7h/YSnaeMb6ChmBzZyhCQT62F8MF6bxFbg g+UMuu99U/1VpU2iSpHfxe8VH9FmNSqU5WOpp2h7X5x2hKtzP0SsXCuNza7Zaz+g8uRx3BhISObZ BIHt+Dblj9GJOuoxElJksUksP7Acmsj4UgRKF3l5i4YMmzh6RTJqhNxNjbNSUSs8b6IVXLxgTG+h S7FjK5gcKf0iZlKAOkquXrTErt7/+2eB2SY5TwsbA8xoXJ/pnqF7gNhrXNupE6Sx5I9AO4ugKEhK Czez9yMVdgv2b83EXwntzKRBR3sWcumWe5hshPBv+38bBEdleTh10SgMHhLax3P8tOl94nRWG/Jb GN/OVyhNlJMeO/Y6Wcb0bGSeH7q1EXbUpnRxAkXEIr70pYY3hGI35mz2fZS5LWe0B9m4erk4me/c VDvPG9dNMenp7Cfo1m9L+HRztxiDHjV6DL9i8QTrJUxRNT/qVOaQpP8VdgqP1yqCUJJuJvIRA7wL x4wvSq54pJ2owz5v5ICxMw+BDlIf7bIP5GHjDXjnLoMLoO9A/3PT2CwD0upiUOg3rLRuCzXY3dCH BwW40h8FwYpKeUfEZemWSRt2JV+GswOunsJ48RnXPGkli8UMxKbywQ+6RUtYAOcfV/6A/86Rql6k kFXc15NwoyvbgmBfwwvyrNoH4yd0zlvGTK0qNUCQ7ILhLSC9dasXNLwUGa44165xZzbRmVwWjQmM OKpn6M99yiEfXM4KfvS0jtt8/tE+T1WdL7Bloctk4X3q1/KIbyFUtLHeSwYYFyKt+0X01Y8/51Ao 2SW2WyqMOwhK1zRtnOj0RvYe4wNFF7GJiyUkxkIhJs11ofKU1A7hkhNWuJbeT/mSYBOw/c2NSbVq phgZW9LFgTLV5shGG6zdY900ZoJC6oa7qzU4t+9717g0YNqWa5p+v5dAVw8bxv/nNXLgwDnoCkdr /73iI0zv5mFl2qFnQZcfUHmHcC0eZhACQNcmAncXLyka68pgYMwTBbORZFXIFlfi+2rdPWkzqLta Lu0TuPD9JcSNp9XJ86/ERkV1zWchDpIiLIq8VRptn9jGRfwCTbuRss9pqAjGgrM6JDf/8LqnqYoC I3k+CBKJ8+uyB/wfTL3sjb78b708dfqnOt66sD30dnGmg+mB9+7Oq9R3exbcAYLC8SOlByQleUE+ ZmDJ6lawu01QFoLfA3BWDW+m++OFbbeCj01mpugq/huceBFfVyvu5dtfFzcxObRsQqLG3Z3CKhyQ 2DOQlczGFaz6B095JDfeI39rirQetnJCeH09RoJjLTsIeJLobjZlM0D6Q77SCy0mu2X2w9KhYdBF PBWouxtx4kxbb0hgxpEYgAqEl7ZbdyXLG4YpHUy41XYaw0rrNHp44+Ho8dqnPLVeJ6kEDE98qyWX 5JmbuOu0iHdDXMDtqFMpQn6LjElDeoKyVnqnjvD7P6PJgoYhvvkKpC3n4e+B/B6l556HvEwDQUwg f5n6C+n2+hp2dx1A1pxMfElZsbO03InlydtNVzzhy+Kk2WN0bVOStu5JvDYmkwK12Lkj+xG/mwEY 0npdsFqiZtJOwqTAtYTTG+jKEVWCi3VSPjgHegCBnW751+ux3AOPJcsC5DLZK1c7PU9+MlFPwCiw dtH6FgUxz5KczK6aUpUgRJHkM+128QAdyWbNk0ek9FYqRp8wHsZWEldf00md+GCY63F9sdKfIzfi zvrCN3Tm/0YHbZyqGlwXiblNsSNyP/qDdcVKnrkIaPPKUOfe5bQDA3t09PRosjGXDjpByutqJChf hJQR0dzWa4zeY3mCguSgz2Bb2OTART2KVywsZ9GubHe2fyoREZiy6/i1Gp/5JGvpRLFQRQKXZcJt 4gh6TX2m/qfoVM3qM38NzwXiwIHAUdCoJma4mS7HXVC+conaU6gME2tQLdL9KsmX+Ar/gj4LwCCp qlnjF8CvzfW/jEFs1V0K8UEjiKLDF3ejX2ZMtnvx3wHEIc5GgkCn6yIDENc9bzxqUiPlbr+SK7EM YPwmYSH0yhDwNha8jgwA72H7p7Boin18Za+RK68TUQMz/5mQz6P24XkWY5sKiP/T9v0ro6o7PK1L nkqczi0roPwhZAhbL2464plS2It2IXDQDslAhT1BzoWajd4/RujUGWQE/kr18tkTwjM52WiY1nF4 EXEjeyxBroaZLAxooYNKFcJwD5wgccExw5NDIoYfZjE+vensmDWMTenlaomkgAF9ipAVH0ohPoJX buWeLOarU+JETFE1RdZY8F4CS39Kh3ctqu1u1DJF52KDbzaYEKtGnIxE1xIc7Nnd01pSxejnnZkc kcSpZJX+hYPIduPKqOPM5dAz4fekRsBnsqOreyqrmiv0Gh0iJqWxxSmYcE/N5t62ePsRoKsMaQpK miQvdgqE5hTaDHVXYgrOQlCQEpD0UDPDxKk4e2vNhXLMtsS8AYKXu3jEyXnnznZgMMmXf81RLz0F twNT3E0wLzwCCBUnwTSm7STI1NQSAthkKbeWhxtQE3r6neKbeC52teFGxbtEOL7OdK3OXS2c71VH UA2KWiWOiFtRJFDZIGO1tq5zkn5wOYFm9luR/vr6WovZRun7G23Eo4NSCpXNHOdgEIkvd9edaFTL zhJzLl3hTghq905AEesLTPKB4OazOedJ+ZoMhNuMTUfeGKVCUAZ/02Fyz39usZWyJgsj1ojeu7cU LWEs87neL6OvkMPMWtx1s54wC3sbWdj/twne2V2hkaY92HiP35SUCd6j97hrjeCy132r9e9iZXS/ fqdG5FvfQQpZZRyE1etBndFew0iMgnzRnxOJ9sGaSiFWYPCagtKqCVVBmBQd1rW3CXaXzqlLfP67 DFCoLKFqV3oMikj2SjDMkcKxkQemrzBoMjPxw8YeRVhG0M2lqGurGRRn0FV2xaCinEpbTUa8gdaM gVS5ohXr8IfNyUA3nKLerascFDh8wsL+MQR6cYebE0ty+OGGcGR0HBy3t+gB0e5krB+Io7jbKynZ ocYr/Tj09Vj/rjkrdOTPyrYCax00nKu8CGY3PjKIYTUkY0HUaERRFKPbrgTJIqNYZaV8FNLtk6Ww 98GBgICyisbDRu68yUvRnyNEIcN0NeU8XegXH8Vk+o80DJi1SCeGXt9Q3EhiPFs5J6v1b2ZKrVKH JctisAZdb1fWnpj8S5KomGs+vpXWC3PnJR/Wi1zK0dkqEOmxQadHo9i1kwnM2kHqbXBGWiiLPBB/ VAZ4oA4XRR732dgpriFXkdBBH7oEZnUvtYqor0lZYOWxcA3FzBpX0JSNX8GjjQEbUw9q5gJ+WlW4 vZoTKr1vIC572YGD/JdEvn1CFAcMbIXis6uDDsfHDrpIMDerH8OOw9RnNf74pvS/tGcEjIDb6Fgw NMF4N79mW5qwVC8nFv+f4KnYux2VbBiY8DxIuohYV3iGTE0T2WG9RSLRhC7XzE09GXbEK7ViVtaB /954mMbzC49P5pluYXBM0uPaVc/bTOhE47L8b82YqNjbSxy7qMkbOGOwhzuKsxM+e5trDqMLnR9+ aIA1Wvhg6CKBUFxiBh480zk8+qZyeLOCkESiJQy8o8XzdJ0qp7FdmVa3ReXl1zibq4Z6sGugjJoI pbBds1E35qPRk0DHdx8kN12nxXYl4fi5zN5H2rhRmPCQWqS74/dO0BW+ZL8mde+OT0b6ppOTR3/U yEqBuO3j1GQZkqU4HmLu38UDWa4MyPyDriEpJaYUCbCq0TibKP5romB4vApHbDkOfo+SQFS3ewRM uLc/jLgQLrcKliZVWlqk3rLaelR5LkRseqDrNS2j8wvhtvPHWiFRSlo1wM3oKb2iPnYA3at8oorg c28rnQ22NQVT+ZPOWH3a4siw3f0+ZwIcQmhckBgxb+GsF2Q606eerSZbaqltuTS0ecBwfskNqoQB h9QH3LNIdIOnuEpxQxQB0Ii2xCccp1uRokfrQQ8goMXQ8W/gGpRm2/1WT6LfBa6rRiZ/WNjQ3olZ RiQjnGqsR3jV2hqPIKsJkFEcscbsmgaztrLwmEMmsBnSgppnqPt6OxLBy2cyGfKYNMR/OnCb2jQw n11qnjhe5KlpYsi/I9zISoCx6omn3uyKbIUhF29VPbe7QFkshhrl28ilwgYQjFu0jjNlnU4/lXUO kfE2cgWwRQ3sAm0qHbvG4CWjRYSp5Lc4VV9ZuSIkJgbmMK6EWBfuoPE/V9GGHkNlHQu8ZcUYooFZ XUpWOANlhwsM3p13ax4wOnWW/29RNEtrddyxyeSX2vqdGD4jcAupkXagswEq1e7i445juGgeMb7U c/cCHZkWAheKOX6BCyq4JpOFiPcYt67PFw4XsYcWpwuq/zCOZ02isUPSUDL4JCFvnu2DMJh0npIB n6hHg/VQ1IqPissXjcdQnPGPJb+fZGtLfKjft6TEtpnZYyg/9xTvjT+ObkSrDpgCFi8+NZu+uwz/ HLWRqBrj1+b8BWOJ+LPdjPcC1tsi+CTUALFSzcoyfeKCfE1sX8x2C0Wp8QLuiy4l9fcQ9SmwoJ4i 7GyvBDu3b5UOniRmrfzfTTblMZk+o+SaJeQHH3nAWJvaxS/U4i2l9h5LktnLiD6HAd1bknWzF1V+ CWaHIYQDXBeHPz8oXR+mxZY871UfJ747JOZxr/IG4oOD20HXQizjoFhf7LJNCDXYDGW1KbTMSAQg eSPuCRGzVwPRfO7fM1ix/5zLtxhAYmtQs9Uv15C4hnfvkHnavYzQs3RckVpnZxJKQV9Q/2ZuGeUl CRq8k8z0HHV8YYxdYJDs5lTH3QM+qJoojC/HD/kQScXJgIYhHBr7A0f9qKmGzQALJrLHwx6XG+VY gIw4yOduD8tT/NkIJG1jODeqXCBZZwhSNlskUdKfYf/9ErcaXgcJOWRQlA8pFrNJvBSOXEn6AKPy srtkCKARuhJSyih2b7JSYIjC8ZqZv2SYg86eqgM6A19pl9PzLcyOycduQ/4sLZv1qZp2tGn4iUHY r4Guf+sf3hbt+jKLilOFOQlSaVLom/yGG5ys4WfqnyGFz+RdxGhKe8etXvDn4GhyQ5EUhNcb0YaY xRq1ieHJyDgG1aUD1stzQ66RL0Vz4pfc0vEz0lVGcpJlOHq9MxMUmUGM+2M7rQfmBt24eVSIoY9G wtg15YaoOeSuTsPnCoxQ56dKH12sTy9gdKCon4kJRL0hKjntTNHSHNSS9tspz9R8NzzgbS0mN1Zr HnmA+5nakFTMVU+rgNaCK62261ON5RwtIA5LQt/RXX+W77DtEvWTVlRIjmMHzTmgfJz0xn4FpCwX giupbaXLK6cizIHWx5ctjZ5NsEvnZ6u+/3B2PmSl/KNhT00XHfVp+y7DaldpyhqB0yiQC1vhRZyI voTTvQFpcfyaI3R5U+9UYEpDjDR10oTuZrWc6yjGrhPt5C0RlYVTE45fOsYD5rNUON345Ytz1zRR ijDU1VqfnzxkoFKWfcMetqbjNegvdJTKtW1zq3QgyD8XyS4PfadsRGN6a5h6kG5fvqEM5PvJ55Dh qKvrMOhMgt8BzWgutA7BJmuiS6xmae8IbqVAdbW7ZpOa5BU4eH0ieoynPLRKuegXmYWROB3Tx55v aaX9BLoDuyby5i1jdUPAr4O3C5+HfroYdQZSpaBS6R9bI3sbXzwOx4BO2dBLM51ljVfKHXzAr80v eat6t5UM6oR1zpNLte/2rBZYrc+mCDVwR/nqIS7+/ryOJBr2ABj+F40xZdoGlC1kJfvqLTOoH1Ur I1mM9vEIPqP9qGx39SKMfIQoNJBmPpwE1vItUMWe91KwWtNBO/G+A1Gd03e/RlYtABGNUZ5fGppf YHoXmY12xKCwEFMxSc5fSJ7dFcAi6iNoty/p32Aoxm+wjZUyGW/zp8YsxxxkplslcqxhcUEwkRLW lZM+dEgAr5gt65rv0AwbJY+NoKY+BtJq76jOW8SX4YqRaeH/H+9WHRQC7zYZQWxL+KvO0qOz+eeT d6eyGH4YsQUUcMZDreenDBTzdJEE8834AKEFzJH30BOhF1/7OMad/0JhFadjGe52toCH68/oBbK4 HG3tTV1yYAsFnf0LnNkYzmFSfuE4t5F1sD8ISIgdmImMY9WZS6NJizLzJ8uJbp5jITQAegwNo3x8 amVcGUvehtSYf1ZhlZ6HCj4MY3w3ce+jBNIm7sHylQcMl7QXnzfTZw2zYIY8Ids5FJUueZnQEGuk +99o0r36VRYXrwmNp6cR3wGvccEo2FHOTU+T+uNCdK1sMA9vekPAAhsh/rgJ3qkWp4XoyktUaCIu JVTd+1/qtbSo45xgy0iMYMGtUmdG0G+IYp3P5lY5HJ5Hxtkr9UMjGW/7AYxDuy4TzWiCYtC+uZPy spj7YtLZ3NfeQwHFrT7GfjQOroYPT6SmAaz0N10CDfZnHW+irFC49CqzA9Md9odGPHqyymc5fYdZ i6SI+u1dSQ3Jdu1wMgnHFBJLoZEUahMYeRj4xG7iINZB09g5ReY/Y4BrGaRaHZcS7Wa/Riz50xeW weAKhGZ00qwzxn5PKA/vWtJEihZIgtu2fXModVXHEd7SiyfgC7AsZQxpA34ldNjD49hNebYN2bUO x9sE8+cdKsfFZBKv8KGTmQvVmlhAHzlQLf4ixxzVG2bhmUt53GjDGVAWoPbsFZXahQXEP9jc1BX2 ye9WPshkN1oSf/G3N7l/w0GaBDRkBRWPKXr3AOa35SDrEtMHozpZsn9GBBAUamTTExhIi88fjW6w pWejdyC4vifUgvN1V+/bKLEx1O79Fpojl5+bIO0wPrGHF8SXRozq1/01cq3Ih2EOhdmar1k7FoEQ D3e+zYd/ZnnDhSU50zXmghmXkluFHjpR2l99j4ZDeC4Q7RaKhOng3+1YPy3+Z1lFtljsM+NJv8wU CxM5soew+9BC2loSmwi9FhFNfSIGFaeuITS+aQtUwmDq8M94vsZa7DSUJkuM5BsqnFHmwCDb40K4 lFft9VJruABlHerieBkMTxaB4FB+BNWo4oj73qp3wfvb9YO6EqyOfRmY/Ic5apcN/xSZzEv4/8Ho 2p1+7c6v5p52kZu+lXpfFSydkru6jdZvgbPeGdcTz8Qxq9vwUrft02QEazYfRskcx3gvuFNb5TXr 9jKwmfeC0deH6P9Av/+dnLaswR8/rYSK5AEiNEgJkh7rPtIwJp0Qopjc3+/4Ff8nCkiIMQ8QA3mh CIwRNQTxpDlnhbKVX7TeZR5+aJrfaRicoiAr5FPbv7cLEucJVl8AJ1mKIAmYBBIsJeDpoltkWSzL ufsT7AOeu1GfFlZ3Oc2ogulTWv2cieefXYXGTt3xQuVrJ+fyaH6jUSGZNz1xSGArLz8cDRKUanU2 IZugPXtmEoXWXUkEIXfI6Fdkl69y0tz/4CI2ZSLgnoSpiJROouxtxcyRKLXRoevEHlIDuZzttxTi cW+1HHmMrrl7qzAeDUcmw/mJuELjnE+nuQqPzY49L7ohPoSLlAVz3iSEGLF4FrPPzd9AOu5hBVnI oGpfqe2Njxwo+NOd0XygZ46/VY1C2s25/lfatYck0bLw6SrT7FVHkGCc4sVrBCphu2L+TSIaWNCp ts+v15yqa3jNf8F0dlqewqwypRirWjznxMazy5L9cyGmhfPC1HTrQkaisCbJOIvbfDLcSjfgnqzV qol2toip1mS7M08+t/qv+Z6fzQEVaScY+fYDJ8aprej/QJzrTE8MGOPezromc+QCsEmT7sNJ4m4k rD2S6VTraojNm7NrxIcAto7UEt+w82PDAhY2Q4HzH+gw5UGLj3t0wCbRK3cX7LaWqcIpNIjcnVub aRLmNx/1yLqSI2bPVt2igX8GZyZJuVvC5O9ZORdnnc7CHMjo4BxhllKQCjZ5miGjinQOpTYV+RAG ERn2AtDKHcBn2vRz/1AnO3RaE+QF6XmM/ozNqtncxTA5ppb3dV60FPXcliTumYN/ueYFWeT22C5q 3qcYuIvKDVxprtYkS6BoI+kAytIymYRiCvlHXq9Df3me4DMr+pejacFlDOx+8zN0IhQbjakgrkD6 WO/DnqoJ/bPN6bWeMqFUdgVAZYBhHJ0FjXVk9NdSzOPmtGyHEonfdrmFYYn+cG1Ly+nPRb5it7R/ BFoXgXKvNzPpKSMpbAqQDIyqCfi7QPFazm9MYM1fmceCODhgcjFn9nmDg+Tic40I6TPPML1/8wAu Lr7oRpGS1BJsnGtKtoLxs6Oq6Qsnml5RT5CzBgHu5PZmnqGPNmM87XK7PUNTqYmNmMdWhPlDAsjv tNK33Tx2sKGQWxnt0sHgJY/AoEDXNuoQYnwwgqbZ+rlX6PNJeWMjcaLd2hopysEYe1HzVIClNPjL HR0xL9dv1dtlrMC99scFQxbr31yh34uMp7D7b7lbhbhwV2vjA9u/6ElYehGpF8enW4UMJJGJ5zla 4JKTZJYfzP7J1Klzj6/xbeMHAJH01qCBtonZDGk9pavKG4cqRM+Qg0M8NwuFhX/q14PxuM31Kq2y MhypaK0cfRt3a/4T30bPqP9A4y1dyiDb08RJ5rQ/gL+Kvwok5zXiJxGSazX7yLf5SZrUZ09dK9WL n5VSiPFTFizfSDZMYioZfk2enelaOMbW/hD1VWI539MNRUMFmirG43/dCYVfaxx7V3sbVZoO481L C7/IKuoBR9DZA/jxMFKB0SSWyEC7kPtOkRwlUdIn/xnN2Uj7U2F7e8pquKhW/ZetVzMpb2Ev4OBh 84zS0BrCc0EqMYTBC3umc3VzDgLp9Z38CbysLzfArgjHezvD7F3CMctWzRodY2VYeo5AaE5Qyu8T nRpzDY3qZ5i69E1XQLVdsiAJvTj6vDHlzF5ex4h0pUYP5zfoC4gLqfUzOi5gWqbU69N+IG2Rhtvp 1LvPYENwHza5rTOIB43I7FE41PGvkgdEooKHc+eZe+hlzjbBCZQzaPIEWwvwRDYKEKjxzPbQ6aQD nal2M+M8sBdIYSzcG4RuImZBLwaXpy54u61qt8Q/GVWS25qsm9APuS4NbEIgJH4kiA+iLqkpxse8 HZX3a9+NKbUbASant6bkdSBjPDGIR18ZYzQxcIIQa+9RkVXdcSu27XbvGtI86HM2ZU2tifx3Z8Bt IwN6zDMSA4+u0PEBtXcGt4Lkn4ztnAT32+0tqDe3j0kqeCy7xv4PDhKlK+37BZBtLVbgdgltQmQ6 BsSti4wLcnR3aQa1LbfDeNK8bmpTIJY3MgGqZc6MTbwGoOQ1bjp8qM9I4uAuz6pBKR0DK3qgUntx kahnGvm5xrDbgX1l2hjlCWhiBK3kZ05Ju5y3ZgYM6jr0XPh98xXXzOPDOoeISX53gWOcwdo94o/k k1+Ri1tJ7aQ7b9iwinz2ZtPuVkb+R1ogF5pG3FwGPC3o3K/kks3hh5Bna52cVmSRP688HK4u8jIQ WGOR7KLTYx0Kpd7+j1wBqIeuSeHNdn52XpG9d/yKNMEMy7FH81WIlj5bZqDg7i2QSyG+k28SiMjm JGwtLo5bHlfPpt3w2jYNqCJJTvnVvCUjDREdVvKtWkgW3pU+jUBRuWo4xwLb2BnKlDdg0UxATgrB GMTFKYl67bP7XrLnEFmbGg+J8MOJ/Yoy3ZBy7Y/ebHOsIbEM8jwdW53dewwmTUf7FaXanr7/Kl3x o26rDhBBqny0Hv8qL01icSAS/H4MzNjaStI3ZDJh66x5XB612686CuT7PBzoY6a8FFDS44lWgw8K caH4NfWRQ4KUdM+KTkE6x6+G0pCbmIwwH1nf5L0gAgir6Imi2NGjBs/W4Kz54Nsj+176fnkcx8/c DUMH3yXm8MUs60gvFCPi1VMFaio3X33zhgSLt5fYHLGMwlrXGaC3A19OdqWZb8FKihXG4d4lUdEv 9Cv/AF0mT8W0MgdjOsitXMSUg86GiXSurkid+3KzRw31IJUJayJpR94Azn6U9fpheRMLND7AzrEe bYGhQKbXQob11a6XhdhFPtoWT8zy6F7gzJDEuWmfUgccapD2/W/PrFjsGCr4l75c52b8GgzBWXgU EbHCo9R1bBJrk3T856Px7bOguQGPC+6R+JF1MIC8iP+119lwH2njCAjQ7ruh32kloA8gtxWtLAjb AO9ThEOiqxh11HwXEalCNXXtwBFO/CBf1L5s8JIatvHuVvpmPmy7zniQA3Tjd3FcPY7DHgMYZi4k FNOaCIrWTb6xNGqO0VB6KeeD4uCkalQQxOHLyWmZQLPtBQeNSP+BFVmwn6QMUOHqwnyRKuL5dpp6 jV4yhbU/LFHUc/LbhwUaOJ4qt2n7NgZauRiQjGV8PtL+lai77biZFiXe9LckytG3FjqLBhIKBMqO CFmIHh3ixklN+EUMUF0Rnab4V8eWuGOvOReKS+GSQqoa4QGSv8Vic8EqR5wo6rNYLjwqqAI63+m5 9MXH+7P7qYDsItxo7XWcOeL0z7d57yj8e+S0EXzyLcgOHwB/awxLJ8abBTxZ79+aNwe4lCuL/Kt4 t2uxY0kG/ymE97w4LME7BiJ9k8r6Z3gKZ8ZTb9Y0u015ItCAwFm7ZNVQ0htU0xbM3Zk0w3iqdGXj DcTcPwEhRDMEyuRWWF72EqVj4cU/yFdTeZHpXS4rPo00DSe6Z8Aw3qFgLXiab86EkVFCBPL2W9NA m6sRSQT37u1mz3IOU8btF4cfL61gU9oAAm9Y7/Xa+/Y4+oPlu278mdhaKiUBJzVJDrfxwpOEOgBo P8oOOn98SX3z+6pP9kfkAUlRqMQMSbNdx8CXrt/ouMxrnNhwfM3ALlVhpyiMn2qt+4SVvEmZwrDr J6Y7vzOEWHFXMKu1GYzjzhIqqmrbWqeHHhGiFx4XpJdU888BuRoa+a/cgh6B20N9MQMhZJ8c7wVG HJpjnwOEhyXMFv6oNREenUJ5wqur/wMLPRxAFz1L3Wp+29yT9Bco2oOliIC6V1FNlhyr5zT+G6Bq itdUYar3lZdVTePgY76PugsB2hqlj1A8RVkdgtZZ9736YX0DDjw3Uk1eyUXo8EmgUsNtKtZIoA5Q c9wv8I9KvG7+N7MJPVheGtp+1S1QU3CZdV0LjWpEoK0pL1IXzzCYlD800iaBYYBYjlMT+FK+oDyz YhReKYWm+2whaBjEsZ+lqyow1sXfqz7TceDdLJGI9f9RlXJwul43RBca73NuPBp857jtGhL8gmGw JbevpRzFXsh+HO32CWKUdBRegGRhEQg0VgDag0iIjWyQKaxw2joKWvTnHnLvhalqbSjT0ZCU45d8 Bf5nVZ3jISwoR/4R3cJNARAVROAO4CZFGZ0zQAbB+hvXjRAlLGkS/wRaE49BMl232o3N/WzlhGi9 zY9c1W0GecXfCwt1B37o+MiNPfO+cmgYId50dlhQJPMQe8gjQ5AxaB1lhtBUA3jm3ZK2t/1Xf5Fg 7oe2dQkM6GLgeBI5shxwD1WqftLp4UBTyUptqnX2pgsj12wUQYzQrSPO405/xGtOcVJ+YMh1Y9Zg AvnOHIHNbL2Nd+FAnejMsBe0Q80mb33/ELGQ/+2q/ztGWPS4ARojBldOFoG5ATD7QGy31Eu6XE2v QQAEwkEEGdkEBo6TzZNREtCpWUJURhTneHsNk1mde4K8gls82XhkRgfBXz8iPSqhc/ipQGU4/NwC D31vteIxmqCqAbfkNIFWKXqmp/YDiYOZwp7fYVbHnm4Jn8OfsLWCbZc5TOO99BUlIrLMZzKTSxR5 iTC0kv8VOlpYobrt5VqGETJhjs4KQaXzGr6oCCZN1eBtpG42MNqH1Tfw2z1K1YALdk3lH0OPGHsM BVY2a49JFZ3pevNAhqQxRMUtDPdVhI/KzRRVG/RVEqA7dYUuMsvQjrD8m7UGE2iGuw80Km987NbR WCZ5MgvhPNJIlpqYtWpK541adWZDGlJxBsD7Jwdh5xtEpGZRZFdhEEv4L/fBImaMzCnq0aizHxfb BbNOamZml3L0b/f7PKFNcF8GtYkILqrDIBs2IID9KmXJ/UiAQnVmqlWVYSNUj4Jn2uRGHGUR3Og9 OddhDVsCl1dpaDHakjXgFQtORZdPYsKKXW7R840MRwEqu6LtWGgp7/Fg8+zyvKP+53Um5gy+BlWL gaYYyj8OLpMxX/vrBllIeF+dq8o4pL1vNBDcWmOUGC9UALm9lqbB/wfzGPaDN3i4UTcUeZnMIibJ zIeLSNY3gT8AxKkOywqw7na8Mv2HgRuouHM8A6aYtRv4pJeLHDPffLh+QYercvG1DgiIioE5NLdk yfZxAssFeTjp8EK13wHp06e4bm5zywCQ+esdjSkohNrN1RfFmw6A7UqC16wFnmTnUY+3Rki3mkw5 EqGzxLOlPUFQalrFhmVcw8TTbHDE/+XZScftlUH4eq7VsP2WnjFhSWVdjtBu3SF9fKtKWEH6sDoa mcJCn/uZJOLzMMnoeM6zttZHqTH5e432o6srvqkoDyaGDrRj1DBNOJF7Rm0Kqs2IDGDd3Y6ewfkk XdJC81HSCGXKuZYT2U8LbernreRqB9BgaA2/e/moIwngLY9MYbZkGBedsCVBmqDRwuhR8IPo7COn QCz4NoWIKbG2jxt7mkYZfhNO3bUC5biRRpSyRhIoRQPrsbueP+9XtnBmfEjzTH3pcD/6lDQA0fJb hbKRFY7APyX6rbi5TwlJrcf1lmXp2qTqGaMT1PxK815atAgd23mR5b8OXcVBTwMqNbkz0KGboucl 7ihQc7E7NK72HwAmowkDZHrbGMoDtQmjZbWPKmEwj2JJz8HTPjllZJvDTAtVEbnfFW20WFLnjxEP GZQhh8JAi/WyC9yAjbSGFKrzVZGNc9w91tKyKfhKonsDDdm8mGqbYc9BPumc7f99BL8SqbfCABqJ y57asNaH9HSOSC7gYmlhPw46QYgMhYFrYT7ByfgM/X57iS7Rz908CSW/mR06xIV9Oh4HXubA992R sXGfJT/RHT/Zf5Py0SZWoi27HsoLNoK/KRqD2DWERwMrYi9U3SU0fQbLrs1fZqxuIABVHktr0MN5 k9WMkuysYmBXOonMtuQgocIf9BIN1Tc8leJGx+2uwqqjAUPAAdmS1LXaA5mclBdorf8iWZfYPBGX +mf0LNTC0bmXjWGKZ+g0TJGqlygZzhIppv16Itc9VwqSr39+yjX5FNCOFoYi1oeWAlrAOQU8oCW6 Lj6sd0RHClgyDSqhVCstn7R7xlv2SAyikTIzG/qf0Qs8CeZn4O+1SMRtgBxmYYMwTim+zLzbDhrw mHb7zimvPAR6B7acORR8ffRePmbAqXxqj+0JC3qeGZMP0d3p18lKF/izTSATTa1xw/GD6jmtqKZX SQUk0Iic5qrZGjOGo5Degg5yUD4zIg2mu29ZPX3TbZkd9Z8VLgVdG1epzwMu8SLSBXvEmezEtPyp HMXBHy9BlxVnEmO/4W5XfMZGAd6AgjLpAagRigfKGAamdjj441uKg9BCqjRrPfI3nALAsOjF/0Za mruWe1In6LU4RrHFbx1OoUE3DHFJmDzAQBZAGpV2Oa2nY4MZ1Cr1ih84Sf/BRWSMT87MGrQtuMVs iFfF0Uo+LENzjvJUBMNjPNV+XXZffcKFRxaHpJwSiUgO2vjdNM52xqDfYLoIOuQL/0z4QBkIT5Z6 4HjnzdY7xV/BjoH9vtEwOie3khTTG5wk5Rk2CRd1wEcA5TCp9GwX53hygJXg3Vq29DCyBRkUPIP/ QxrOhhCA1AxoCymtRMJHyYC3Z9yNw6OfvxXUzqLuUZ/Xcym9gFk+1k1B7xMBiOEi/9gYrtg1sFlD blKoSOeD32ThmoKlawYyiADViFnQfHY7foUZNIeOooTcj3tQRgucEact4tOYM3GMMFXo2SrOO8Wd j5gDWlx28UXw0XxzNxtQz4/W/sKNgJEY+F4FKcO5lRhodoQH5tuanA0yQ7FsHQYNTzUO4C0aCFNq W3gISmq16XL9i6ItUXTKORCVDC5PwcI0gGJewPCSWD7/7R+g49HMofDLL8bB0/esM2EejTotYfvJ C4uLVwAWgVApf3e90ch8DosUvu0CDDmPNeq2Tjj0phj8JmB/dqfk1XXwPrElIjPlA63KT2R9eYlQ MlXLTgbTfc2D1ZsdDHX/zuh3wMtUKwmyuADJ6BIA9mAqFXt+axJM7aX3koj0ICxxIXQSDESRSzRh W/J07UY/35PsXRJ5HV7K97D4qnUeMShMQJw+aaz4RhLWXFCrAtS0/SOZkIKK//OOxMqB0pzfi/Rw Kfh1ZW4QWMwoerhXBXpr6TcwTREsB5wjSH6rYLYEBas9Gdmy0BmfBTI5Dc7HbQ0BeYVlYCYJ3qPq Qi2Q9b9wHsGjm+fEabMa2iayKpd3A79/MzKAcJ2+d8P9iIpboLYnqNffCN5YyEUvP6rHzwpfPSSR dSjw2p18vRj1saAMt9DhmiqqvUPiz8zuqTTeAFWbsnOpmlRhyadcgiFhMQYJ/651zj+aEQcQ999v 5IYlnS9RB4tGtJzl/3T5v3O6rLD55AEBku/1jYLJtrbqSe19RDTL1QQ4PI6NJ+l7MGz+xz9Y72qv UArgMX92IQ4RgTFkFeh01CS5eRUbjqs8Ck/ywd2mLWslwcaPD1BmkAnUOtvVIYbZFFeiryS1PuZL mkIWxVtOz5eTsOqMV/bh1Qa1wDwz7akxo+BDK2HwaSrjPj3JBGy0Du+dGGukBfiV3z7sj3+sfJMc /4omcRKGUVIAS6MeUTTTQ8WBuiI20gxmnZVrUyb66f11diI1Kmua7aMQauCvq1u40kXHPvCVocGT sFqNT7tUqb6RSH38uAumFNAbEGhEVXKSwfjwaBfXnazEpOzOPj+QOeqsZmajCtfZSCxYYruwXNIy T/X2IjJRwBZhAZ/IOL9jt9iwSvGlxoDLMkXfxHl2Z2nP7MSgiGRhYH+4kFo5fBadrwNmzeveKwWH uqd/+XnDCCg8JYtB6mY97OjGBAZUiJ/ZM+w32w63qdWqzJHVWG6KmXHnW7P2CpBb3tor0EtK6I+d q2iHJZ7MFQdPIy7+zMDrQhhrxeb/sl1MRLqkrNdVNmNbTkYwhpuH8AV/ScfKTbIUT8gjqfipFfLh e0kB2J8cRPKNCm4sFzOkL4dmf8jOPbA4fxFIwaLOcBIXFAVuyyjsGkwb9HLtO1b/McQG87HdLNi8 g8KN0Ay1CTwWj4oF5TDwC2n+e5KEBOA+VaF/R/3m7msMfQnNOJzc0+vubG4Ah/VVq7KSY+bQ2B10 t03KmpkmwDJedIkSHftY+3Y5H4jAin21bZ/OSL/1dlLH23GuqcpUlp1mNx9avckpGMVyKPXa10A+ R+wKcKIld1UMgYLt/JJlFJz5YhhUUAlpat7bGF7O0One4e4Y7yJJ4133hbPgXzmQ/27PGRaIVwa+ zfHZVfmRcCJOwMCTZrzjescpZrZiLMinyrC01UEcy+YL0UulPxjPWEpb6In5g/fM3QnHt5djFMS8 ih3TvbOmWkY6xLbr70fOzabaNoASXVPxBvuLu0ZdcSAw8ONVTkRmlcqbrqcYJ4+UI64br/2rViRj UPowHWzgEnWB9AmdoQjzL/ZlVgwkaGMiuAuCTt3XMfNInqd18nn6TDhSFCKCCeqN/AQR5MQXQanO /vGNE2tq0Zfi `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/blk_mem_gen_v8_2/hdl/blk_mem_axi_write_fsm.vhd
11
61464
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kurRNsF/116/axtOvoikRx/B50modjP/EHmNfOYGMpX+1T47YinGbf3YUT1nQFyymGmFynazP6qg CZKl6AqmEQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WC4npYuXDJf/7SghrKfefbXRWo2QbJraNzzR1mDcY4EmV3b00/FHWB5tJVoLfpIQVgdYHsGkneiK iuolgBV2SCOnO4ViPg0tJBdogfYOBUSAQ3fuRuIcZB8ie/IQn4+PrXgtd3PVZSFJ9OjZ02bKnWK8 6KR6OZ4kolCEhNzqw+c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ckNs4qLJXHxsAWqs2aUVePAFGh4NIGEIUNu1IWWZPPva5K3fmHahWF+9AOkjQeYsX2G5Wts8vHVj 9fyH9byLMWz+7ep3K9NBBybAJtUuWdiiQT0Eu4Rer9zRswlM1RF6b7zejliX9HqpUdKTtnTEEFkQ dT8RxpcD1iDZIY1eInKQ3Z4vrj9cdvZZllWQ3aySbQqc4F813rLmgdN6SO2LETSz8lFBqKOqB56O 6DIn+DhP3iJI3ToksXrgfbhOoIRmzk8Voh2ApVePG9HTXoIFWB/A7JbLJQtv9uqUTs+h5FoWXD/r 5dLxU2Kd22z1tAbRg57vC+nNkavx1h1Zla4JUg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mvsZJu4YmUyrhRXG3fTIENvQtQjtW5Gb1qettc9e5Srqr5yrsPEHT61UDlecAKihMgQUi5kbDvt6 3XSKHhfxFQQ/8/tIp9QZHGK0MQ0B10K7p3RRVFcPS0iddElFFFskFDrppASQv+OFfyjG+1rtwSYF sj4f1rY51rh9dktsS3U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DVwROVVgGYHl9rDneuUOMCckhBq3hZSO7On1WKzLGK7iYt+AGTRtSJFu83nVIL+wgkc+cjJz/ZBN tp0QHhwstS1UfPcP/22b5qL+o4s3ypDGuJ4DvR+HcP4w5M7I5v8lqBp6V0Vx1ueuV3eT4aM7NCuD /wtNDGZBs1O0dw1Ak92BqYs/IMgygaKmpWvv+io6etTmh6JihpscojfYOcPBmDYm38HgMfqCO8Fo ENG8a6NBYPmjUNmmYpHh34ZbvuAVqZvTBszFiWOinF8dJbYaR6jzGLPWL2k4iW6fGwgs8IgioVbY hU4FHyqXvarcxIRx2n2qSbzMbr+iBbxEPJJ3mA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 43760) `protect data_block +0aNGQMeMJXIrgz0P3us7qk/wiFv7jfj25dninB8MI7dGYjeYmvAZRUvzp3MV10CO8FSN8LxQxfz cilwrbnUwbi93zwme7kDRXFkDnmNIDuvfv1RSjbr2wLzJEt9VKqt/wJ2xG2/8cZr8h39Bf9DeV/z MyubPfQ4gyLYOReYTjBZupZCrmU9BArP19xbwrR6/gaTjBlwOThR1dbiql2oa+5FMa3NVyY3VDke fTXn9XaO+TS4Gx5qziVNUJdfLWmmHCHR+ISvCNOPGC6+pt6HJRWE2ZzSFoFv5gj4oFMXJon5Xj4i Lp+b3L+rkctL3veTtuEePeXAeWdjPt+D3vQ6lVQ+IRvvx1V5S1qnx7uMj8dvHyT/DtV0qqhi8rP7 vWMVhH77tx6HCeITU11bRyHsftVBLFlxh8JUaftflYNvoOzKTfQbiiUREAsEZuiD8fvRwUqyIW4W KQOocL5rJPyets968sieHHwacTwfko0E0vPlaPa2s84A9pap/tYJ/3H0lh2CSzCg1bdjXU6KGpIt +/Bfqw+aFTjVKMuBV+buCFzJS/viT3a8aZ/uCdryucPIalSdDWaAY0InnI323R87weTj18gIrkzO yKu3uNnHaQV7pJEK/qNvYix/+VzHX8riJyyEjuq3pXxCbcVJll65ia9Wx2pb/FOIbP57sAfrBMAz 17CZjVeTHgAvwDWLYqY+eP74mIwt+tKBZsirVYkCZIBn2HvqZ4l/3AbTeUddxpVRC3+JgetW3FcD qZKAVAdpvKbUcIPwOYHUO8t5Wx1YQKuQSGVRt6l1NVuOp5434v19HL+gR9haab5G2kLleHqLfNjS fJLC2A6+SiKUWaQcqSKquWkMwR/x0NBSz7b/t2r6HwOE5W1B+sWDot0OeQQWvhHLdMLvm4MN9K9t F9zEfvl8cdH0oPo7q7eY/26Q+7ngSEHS0GxJBHsj+kdcBzIA/RVrb7OVcdisdThZC/9XsSr0Yqtm rMag29dqzHfggKOLBEbx9ntOS/3AbIPy6pKo/EQzB3UQDX0OyWnp/LCwQXlPTP1lNJxlC9yNILFC VqjLSOhm2Dt/21xLLgQCIGT261JRSSKYutvsVSPs9Q/umZI6sRiV5bR8+C151wCSwN49Cj8CVYtn ynUWOaEcQYuRl4hAPezqFjDYEWexvA13x+mvLUZXdPFzsoTA7TqUorRmaqAnLd1Le3Nqdx3TlGu+ uD/pGHtkZhHFCwM7yaAnFQG5ia5JrDSFq2F2H3LkDeNgkum/yAyg35hbQkmGdMXOWtyXHf5bxmny Svxvl09IgvZS1MmGfrXJpy+wROJx6ct4xiPSbncmC0AF263SlX32ZVBVaOFa0iUq8OfOvYOvcEBs eIvLKM4RYxh7AigJ7YsmZm8PDqrdSeKLCxMyBMNwJ5+cT1HoMcPWeURpWEhr2Z+RWjrRDTohVvrV K0x1q0iD1gCd0cdqOGR9zMfrqOtfCKsAIhgc+UG+QUyAj+aaFKOjFybVRc/M1TctTVpsR5CDClKN tv7ozXsVvKkb2a10RAxvYRuoPJ2eli02uFJglxXZtGpc+yINuynoZl+/AA/MNqmrHTMkGCHr/SXK RUF6qLyAlr6o3FpnpyaKEALVY7sysLLLc8vOfu1oTZ2Cg87LFXIiE1zNMLOgieooKrFKPr3w/Tcd 9ORtyK6RQ5vQdY10u/xvuY+LtC2vAqO2AYhYc75u0+cf3SZNfGU91KvW9XznibtA/qvmFsajRERG 8+pEd3XrcAEl8+9eiBGUhd3JlJRtbqkVShG1cWIwjCrea+j9Q24Z7lWl1JzRh166HRm3tB2nL0Ws 9pQ2o0LNrT5l6scu6/E6aSrdKysbWhO2hFVWzWiUlKLTH9UtMUkjyMESL/hgIKRuiU/9n76t0/BI RS0Thx+fs/MpH+dZz0N9CYpBCs3ZVoCKaY5VbVjwKqqSt3Vfu/8ucpLSCknHu1Tj+xRK4GR7A3ZC 0T++YBjJzk9fWZy/no10k7Ez26HGPAmgkiDdXVEWAW/yDhtEOZ04REO1Mw54uNgL+FH/FPqqYxN2 GaUITbutuJizWaqkLCn3gxCAG6f3tam0ujLLV/p7zfInDjYsKpFXyVKEbtZ4Jcwi2+xJlaIvBD1b QixFhxpt7spDo2Ku8mylhhMl4RUzoRllifjPC7Ua0XIdWfNHqMtewOWWPS+byMpwjMSfcxqUAu1L z+hPj7xp9NyuEjF7c25xzh3a5rxsQtme+f8mSNPXUS91u1l7O0K+I2EPH6ulBX0bJsg96/qu9nTI wT1SZJpaWAY8qgJeeb3IK9imz/tpm3mXjs0ZCSFk0Srq7k3i+kEhlyAVcypOa+kZq1lWxfRbgVCs 9xr4160x/7pZxiyaZxuWl70UqxQTxj1YvAcgyZthDMWYeDmBqLcj6/4iOJ8bbgqqzmxzcRPN4Fxg jAM71PpoPEMJ6ht3WKgzxAVHzlCOTVka8C5HHhDY0CkZUynk3ahTSDna4DW3O/zllt8UCogDbPs0 Ic7nysHgYufKU77dbY11m93sBeytcPghri5kB7ejWT5FGLtvcz+NwGvGiM66c1gE+7bZEZv8xsG3 ItO5nk+EMygE7+c2I84Wvx26s69fBdDmK9Tz2UzeoG9ZVUNxCxWhI0L5AfPocTjqCOWOJnyy54uV 8EjNkvGxhvdZdTUizMsuQwa0LwU1aoM7VWlCdqs5Ma1/RSrKsgXUfTMF1gZFlzhLVl58vI+UIiOy O1aYgfuKH4WJNIzFWaAUSwW4CxLuUUu/9ltBMh6kt//rbmb2dIiE9vIAe7bh/9tvhMstohGoun8l 3GvX01JcYwsZLOl/bJ+rtYNjU0LTcSogvlYTKm25VDoguk9P0/btBwRSvulCEIPrS+TRlRn+AzaL MvHSyyfuWWKarNQiKbNFj4+18QG2EQUDX9shus/Q6bcqUlnBH6UNChCcoA5KwOlmdKFiETQwywt/ brAi2wMhSJ/iJwDHu6AzdrhCpvmrFU308k5qj9xdYybWk3no9DrKz30pjl9Epo9yHI7cCftnDXbG MNRfCtrmjGRwyc3F/tRCwsfs1VJJK/H4SAd5wAGcWBNOd+rAAp1E/MyBXwPaAoaOfRhZrStTGWeK x2Z39zTMXklZhw+BGUtQqXCu9XeTr5Ti5g+9QQ2V6NxiDaBz6AXdl7LE0+vy0TiPHX8xdBT4oSu2 9buxJ10Mb+ynUYZTnI3/nZ+8k7eskP76Qj7U4NbEk5XnRwQ4zXK2IKyrzXSMIPUN80lZa042/E6E t6ddpfCWvVlcyx8PQbjp+2kbnGNDr/AO9kUqXpgG0MhjTQerGHbAoB/qJ6mpb99U/LwBv9GIxUxR Rn5yQPJMY+SkUNkuqdubyJRhkqFa7pfys/YtRH+SOMdaw+xWTo8I2bsIvybY2MjKjHag0s9zw5bT KyVd5sxgpEK741dl/a41aqCUZ8xN1ccgypzLWys19IbMzlQ+t8+QT6ocpuTH+TH6VXGD0dIF4y+V CfwM1Vpxy3w8abol0k0fyu4EfglZRfITSo5WugYGLt9vrBt1vWzL7d5mvIR14ClLeP8Tt5/izuqM iUja/ewzpYODDdRJt1Y/wwt4WkRrus+GPq7D2BFNs2lNkMQ1bRIJmY4y/ct1tEGgyM9NkN9NTokh pnmeRdfXWA/Zqg+oAhyxGziktyf/1Zt+USu0a6CMfHawP9WPRPp3oS81+j1AntzxsXoVQyza2JqD NMqpcF1zONjBVasb2yOr0eAF6fyYtYofBn92nAJLLDBm1CV6QygJQK3Ze0Xlp32uhPBc/OeJSFYY y97UJ/20lNYK0pvVtBKcLySCoUnEvi6NfsSIIV4uI+xIJv09kjtJPn5HAMJ6T4zsLSHBLDxTbHRQ 5XoFVQoGJiKKwiR7uLneayXGj7pf0x2nGFrLbnaBeR+54bbNOMZrSIQA36GRkqze1CCOc8P3tjuU 8UnfymcgWbI6NcdCPI8CefjXZtssdKQT8oLDEatDMf8Snk0Fd4U3PAalP4XLQK22/lVqTQFfjbg/ c33KPu3WSGfN7kzNJPZJgWYzKvbqe20a67PhA4G6q7GkJby6JcI7T6dEpyLCqH/M2Ve+aE3308mc 2bW1td6jxb/h2c0MCEsDeMxldZJObC4cl2Xk7l8ddMsrPuc3fNsBjDO7p29El8Dt4XHIwA2U806B PQh0F+QDSwMR1W4tU5llceWgLlALqFBcHkZ1oVRSR5E6XP9HRo8/fVSSjo7FGwMQdVM/N2OGGxRu JvWOMlaJgOBCYqBLWBNjwClfFxWYysWBeMcUREdDc0sPGBh852xg/fuqVaYopnxmvg6Nc6Hh2Xf9 qPj0mM6beaDSiArha0XY+kEsicW8Pv9nUOFjMrGkVi8r5N3UZijAFq/AlI0ewh0mRjapzxahYdZ8 sw+yKaAuR+jePLsUUKZM99q1wuHmgLcfghJAQw4tzvX9HdxjNuGsbQQycmZgAt4dPKyIPmh2vG/u KRIBfMXAUYP0WGPyAVMkHoQ8fxTXJo9d1wYGDVwXebsFrXhnGr7jI2zuN82T6y7ZBoJx7zmlU/MZ FxwNJv0QXZGKZWcBh2DJHF9gWwCNc7HBRASR8LIuIG/49pg8PFCWA6ORoLnv6QYyZibcZWI/6FL2 /AFm60aT0R5GrWBjFSuXB8x/HD2iyROjkbGGzpZPMtxaX7Y2X9z8oOnFXgozndsOk854yHvvIjRH WSJO1KRHFVz431pY/p2J7NukE/jiDZqr7iNKJcJR5q906t8BGP5g+iub3mT3QiGknjF3zYBIxSej ELKwa7UuR+zuyq4bD35olxB1S8CdvjFp36GWHYNE7sL/qMcR+/FhYTERWClRKefVNC0utK9LeGSl X12PT0WKxq2Kn85ZCPUfTf6fZw3TaidtymYProA2We8/v5KAJVOZPcZyTjH819N63Q4mRFkgwpIf t2sVWFFe/p7j7W2Yi6gG3nqZS3+OFqB9b0W2oaMyK68JbY6jVoERJJwsA4SVfsKoxTXUTiOu3bFH r5xo/pJLo7bXomSiFQUhGTpMSPygLV7rnonxoSK6zf+RaBOQKTA/qrGW7KgiN/Hhau4L5QnhQsDk y//QIsoNqH5K04c9LF5eAlrvNDtDnUInv+NifEjmAbnvIVhMUivmZ7G1XDtq1v8YDV+856iX2Tl5 QPC/XyXmxGFkSUrbMJi9HBV5DlPSEIc31mwS/1KlzO0PXIfQ3iV2djQaGbote9sQy1MzUd7IMAh/ sgNyPLQURW/ps98czCKN4oG1tb9/2RnW//Z56YS3eL6S543rMOAf8Rkpn7FJev0yM9rYbR0kOKSj 0cVUg8XV0Hd6sD4NEzXJkJAiga+1L08csHcI6gBnc50zq9+fApF+qtnO2Oukp9Af9ZEh/L2c6CAz WAe/Pm8wcr/jhdfvkf2ACWYFbgERniZ3JzA5NrVmS5UHjAeb3dN91+tdEE37B0xe6WpXo4WcDqSu rigPKb0cS7LF/GEvc4R+1P7N1QN3BO/C6eVWm5HkghftbvBGcSTdLUgeJic0DloODDjrBMQFFwWa 0coCr24WhuwcT1pMQaPgboCZGM2xuw7bTaC+sHx+DW06Mc611Giij7t5HxTAVxv1HCU5ZzN7ycIP wCs1KbfgGmSEC5EpDLSiyUXAW0G4Vhmr+UEiXw5166jdfFPG7uAAmMHNQDtGDGRDuhU8f8E+sbuI UtWKnWc1czGBnboDYYpI/tAQtnA3GD3p/zsza0RcjWvDnPdwjJUopeRoKHml62CwqlBg+71Holan Ld+oQYkx/QfN82NOYnI9o5D0TiOqWSYV+I5fQkBxY7GsZC+nyiFQ5MjvpnOqJ4THx/Xbj9MctRuK nJeIWAZD88Hqa0DeVnH3yasKKoHxYcMvJaOJNuUaVG0OcoDgNoX02PuKKfe3swC9Sml/wXVNzPjH MycdlvjdMSd8A7Bme6pea3rq4sQmaFFxp5doWAhr+ud8i4JJurkqpbZar3EYSiaGEf6ac4oDiTlT nCj7yC3X879PhJs8eBCbjyCn9YV2QAuBjJkp61ybEgCS91JTfuMUnA/nSfoXAGNRmxd52YebtJEN Kzlm+YRISmmIa3wVSFsMsvmuVp11lzeDosip7pSgajRftr6rEhkXA81VeHaU6iymYrQE0q0oTOgL 55j4jfbGzGJOCw1VAitSbtZ8eOoo7FZE2iHBJzSml8UQRxNHJ3KokhkX1EoJf5i93ahuXZ/KQsgU m4PI51WinKnoWopoEg60dU/9vRMHJr8ZATMLSaOL3Gz1mtVP9wAUJtgNBYdA4Y5rmZfKa5/HglWR bruNgQ4UFNp4ZfFWvXlXRVJXVKGodTqoPBjSVEpaMklqPXft1OAVKY2joVhlGn1CZh3ldaBUCrRZ ZYlmiaVQeBtFvI0haoTY7X4t1y4sDBcKvhvy/PVKBVo8fSdnUEp3JrR+64QQSSMlJyyOIPl+nmUQ FzrhIoe8NI10xOFi2/X2T18ZfCuZ7a3TeFbiYlordIqn+HJD0VDp4B42F/A84pIH2maYvCr7TIl0 4ASQLVh8VWZGgJPgwvBhrJ/E7O3PACEwLHbQU5H9ZtHNB0xGSms7MDsNqXTRuHzxM00npgsKtid/ TahEQwLcaNNxHgayVumLUMj/HpZIq6lZy7DOW8bKaLD1CyecJX3YV0/JwgTTPuv5TiUXSYdxTDdn r1s962RxEZLojuozyp8wze7lNm5COXkUFu3CUUSk11BZhgWAAyOADosCYPvbxE14UCkm/3c77oi3 t8/VCnq7qhpkncb1KnoofXp0AKIg8Im4FoCFqEh2fnVA4JzIeUtwMWT6ZYGN253hQsFBiGlXFGqZ 2qPAHmzlRPYwJmLrESHUe4WJqGiwjOLTyN3a5tHHKHFK/8W4LhMzImOKz/3nOYft9Kh9MNAdZ3Zw r7Fw62PMozH/tegslML9QfiVPebWcCmjxw3r9kvphwmK4inXi4WGVvjm/EPo0XCrhTr0opFbtqLJ JSed1OwfwuK3Pdx8F0pjXK6V/opLGQWdOEEAkSFo0fV+O/sBRWCxgRrdNkvppzlxrGo7cxcfYyz6 kzt9RkwON0lXXdRhnkR3mFtyBvtCUrv9AHhoyk3sBNh4DHayG8G5plw0SAvVYq3ooDVrAkSBrYNG p0San1HBaFS5LJS3WLa7rMiDkS5isPhC6AZKUygEcF/crM1/SQMiv72uhHcBGx4Kp38N1OF9kY1s j5ZkGlq6aNsf3UdjjHLrtklaCxaVMoKvQ78VYGhymYRQYS9arQZSaB1GAHCWHfOpK7+myNHT/Mp6 wDnRqhFdW7xVZTADpyPZ3Hew/TkxJDx5wjaY7upN4ghwQ9IdqK+z+8foEr705k1vhyDg0K37+KiU 2/XcTELv3lxZkfHjOE520JXHxzrVaPExT07ba69U0AAs6PEO85SKpoE6FTgMlPsZYa1Dbp5L6H5v nLnD4l2UQQvbDrD2LF7J5PCOfaRCLLR8I0fblwXg+SbJ5baOOVrEFr1pLC/AoGQDoADx1u/ByCvY X6FxwNy54IIHcuwiyGBq5Q2gELIT0ACKaUqbQLJ5VpLjtSKtZLaPwlH8Hb9x/cN5PTBls4u8INgv wMsg0rcrth/a48ff04CjfX1hy/QDrbRWu8F/8H6pMCZvw5dU/e9Y4Trrku+eySC0PBZ20FvqY2aW js8XEewj5BB2wFI7d8LvWanx5szRwD4fRAcLFSIXcNoJnPHyhaTvq7zVoVBfLsR9joBjfhc+BYZ2 T3guD/U8uzFwq5cw0rkTKuEYf33nFTHLiL3FgN4oxQ6iogD6x1V05Z1k+A60XF7EjcDARKlVKvTR aRRSSUToxX1z3pWUTcYShKuOjn+CGmG0vr0Wi3LnfP6TuL/EhXjyJjKzN2Kx8PGKwSqGVJjSRgWg 4nqVP92yKpBF0bPedV1STVT+BN5JB8qLIM6dF8E7g+h9ov2OtIg/f5OOUpSBjO4uZk1kaUgAlhKd jfZE8tHptsPlHsu/13AU3B6W6vXvcQsMUgHNJLf0ctMgMgRFYBvq66WEQhXMtMOpDrT4JWs1aXFN 61uK4URDELFAc/Fve3nEIGQb2biVgbCOE+Ym81JMlpRC8j5H2ghttkmarnPE9XYE0TkQgw9hgQlW krwL0SAkkD7C/2pM4SNY8jLnQmGIHHLYn21CdR1wZnrB+aLprEX/weccMrNr4Kd3WGFO34sCVNRV GWaUjxUDVFNU8VnqIvcL2UZCCMNfKgfij9SQlUeuUFeb5P0yGhxeu/0SetMyKusqiOteJOwNch7V OPVI4UC2+qqVyPu3oAD1gEfosR4NlqfSjpIgRmL4aCeoYxXJ8GfqqSk1zANtOWG0pgFCe1sFekzX tDvO83287QoCJR0G+vPilOe3U1PFtXSyY0lMnE4Ob9I6QwxWprwYd8iItgGCr3AsVcPtE5/C4PJ5 cpBSV8DoygVpuEmAaO8VwJc+wstK6ji+OC1Bu/CzExFtKwGvG8yRPtTfz68MkRXneWYJrWExIP59 0FbWGcA6Jn28pLnd2eWj+pJk+oOZ2PWrU1hJ/XbbyK7DUBYXmGbIMkqYcd4ZLzX/5ZHmlm1Sfonr c2QL+0B4QZ+zdJ1k7oCw8wUlx5lmbaP2a5h+Gi9CFYe6a2SGyeFuUdRFFBEVDE6Otb2HpjaWupht S5iIcWWL6Df/sM8SHSmPR+IiLRD02efdybuMfmJdanNNK3W19ueJtYDBVnd86iQWlK3uRh+5dZgo 17xzYpTMOU4qRopbka6LV1QTOT58TT2jt1Nx016ldTn4KEUm9XCJuNi4m1gyTGinJ8uZOXOGSe3M ohk6qvlEu+VZ4CEkfNAFfvU6Fkmn17ltNOFS8BsREMQQE5daLS8D7vkOdqr0v7wqVwvjTf/iU/cZ boq84EWsCcEY2Mng6ocFHAAPbtHLv0TBZFHoYq0QlrB/7ncTxKOaicrrFOTpGuIBkM73cgUQBanD J8zKg+UUrLuQSwQ7gUuNM1EtHpDAjMAjr/9tsBY3gaX2oZ+W9+DTHFNRrBAVajX9/MTaXh6aN1RX bLhf18+0nGyA+88XpRNN3iasO5FSJ9D1gcc0na4hBXfDEumXW+Kl3pz14sdvWK3RQDL/2Rkj3dq6 TfbRUVAh8dU3w3SCSpOL7VAyw+ge65k6fxIdX5O7gWKphkRgItjOEDBC/Cona/ru+62aouw6HL8c XJmSl3RQtJFWVYjWg6qPvmK0AYLi4URK53oF9tkeUT44HvYDslTDkrPHo8K89wJPJxQOi/Ir0Avm HbkpXSqEWsc9giyEQ4oMlxAgluoybcAicGZmZeo1bLtSfRyzTmobUWmSruYIBVI6c0OM5zdAb0zJ zPfAawVGHiCC8XIkyCiYPfoe9SniDxsxPcmiXYA9Y46dO5UqcURDfjd/w4VFNBDJ+13ukq8u4RzK d9CR4Fp66Tp3AhP0BDARrUfJTf27lOYBvwngjUH0jTY/nubiIghcdOD0eAtNa1KBvRV6Jn3okkgb xTvSOcr2VTAb3uHkasWs9CscqumMOOPr8yUqehQ2Axkj15V3m2BwcTao5GK9B8xbyHeop7rLmtkf 3KS9pnEZHESMLsQ1IOCJtiLAFgB2IRAYm5BztA8hFvjg46ec3AlFnGW4sxqvvUBuMUoOHptUdle6 3Oj1H8uwRDcOoc4kh/+cfSrVADLhgFF63jnyUmSerkrxlxkReugNK3Hrs9cTUzEoEzmYdf/NuMJH 5O6dbJRL3v8DUP0GzQRUo+EgBSCsCzu73X13lBbW7zoIpTiP69J6kyGEY5XD/1BMPEz3k5lVY3me P4AnkSGgEaQ7HMfo765lkna/dGDsdQE2vvRPzu082lggH1DpIuZI6wVxGo9IugYyRClxWihh5O0G iVCYs5Dd27IlTXlvQwBnErjc0+EetgIqam4pKrAb78lqvfpoMdICPFY0cmnNmyniXN1B+QOF8OrX hh7lZaUYr702h+mMaEiT3cN6mIRNLr+uYiu0jeNnfXBUYWpSIORPBTSt8zMUaymQf+MMYgdJDNZH qAldq2t39S2mu5wPA8S4w8S2C4rXlW1czg7INYDGpdcd/7NOf1GPe6YZKT7AXYBHmzNyg+jkOPqr iEKCSp844zjj0CJVkzycz838hRmUQ5aykbgQtCh2NGTg6VzLAxEKGoNZttblgyNofk3rAnDEkLAu v+MdvUH0i1Uu8yGWxaleW6Qd94UKMTPci2thUVbWVhwXEXWzTjdqUMK2eq9cEf/agrPLJIMdm5ti 1wE1IkwX6Z7RB/PoomSJnK29219U2U8rAMJrLInJWDvZ67X697CPlIv6ydIH4/QFqWBb3aQO8xpy V2rZRKzk37x2V+V6cj0WrTxy6rRHkVWpFSH0dviPYvTC7OqRcg1wV0NSCckmF5c3Gtv1V22T46Vl mNITPVBd9W7sEv16u9spMJIr6ZTQ9h3eZcEUSu80/dVlAq0dPOhnr8g0deoDDxUIEVhUZIDt0nKl Jo1u9nWeWr/EPZ85VhyXPXZKbBPfIfNW/brAnLAtte05ligZptOsfUMMv/+c17JzLiRgr8tB6aNe fysrIacloBjVPzL2Pf2w6skz+TTUyCNyiUyginAzT4HUqjPCDJP2OEKJhkTYXMBGFlFagTOXd0ib +xzlmEdx0r9X7YRu98diyL6wKU7N2YGLu+ioxUtv86VbUlILWip9pNE9PDohvChv8qoqHvdWxV0W UgqbnTnV2CfzQDbl3zqGw10Vmv1/GxTMLJtXJGNGshR5TS6rGsGuxjcinll08XDXF4+m2UOEq87/ KEu9ZsjZ7Aehl0JeWcdhiS8wIdGmBzZc4dUDaf+WV8CvdvaSMoHxzWHXfGY0VJYOMYdtctMlJ2wd PL7O/8AkWB3wLctZn98wIwBcHfLsycL5wjxAadAxZd/DT442EMGZ3sSxC572t4xGJFkOasWuw6o9 bDm6oco4lhG+gCus/n8IW0hnwq4/7JNr6T3Ys/KhhGlGIPWmKaIJ0evphQWclOkk0tBgnamlOfO2 cSEemCei7HFONBrqqHx4/2zWorIH9m1FRUrbDQTNQ4NLaGgFTZjtv2TMs1aaFnnCaCWuyixZDyED 2Zt7IJ/bLxYAlKcS1/kS6+xrGt8CAHykKUdzfZQ82PyQykcdw8ft5DLtMJC+WSsUAKt5K/1Ziz5t ZdwN3QMXWCC3XoB7/6ZSPiw7o8sjXwlME3RqtwNaGjCAOH7EdRiMGF4uCANBs6uAbQI0fF11pKj4 DQ7pdK2ptJc9q/yz7C/09iljKhqvOlnbQZL2PKatQ871ZvAmRp0jL5Ijk+ll6l1tUfmhSt4Qza1G KF/+C1TSOV1M+WK+5Jkt5LRhHESKwWnfoxmLiZgOoEHwuwPlzoeyvT6H9nhhP6hefgn82uTVBcTo T7eG+0ljGRTJz3H67HCQ/5s3zFupUdp2E9/30ctAnykXucdGrVTsOMPdYB2o7KiKWHTT8hUNoG+V M3q4kxzvlGe0H9XzDrrvhgXUrKAjFaX0NjlbsOKGbK5l3cvrF7DTqkuUSkyrNOLhLetc/xY+6f+J 9LsWGjVrbqsSFFxnWNVy7vVjoXaNVo0yjdM3PTd7X21cjCTt3J+eRIGpHeFbBM9WZglGgraP+dBj fRje3EeiulGsfQ7vBYoBLiash7RrOFOQnlo9ezimMelVsUuFQKnpolDOkLg00W2LrGaLOi+WKxbK 307BKkQivAnFcxplUs07hii0OY8cjt+YiIMGuX9pz2mYh6m+qJAdofFHE/yapIFkRgFd/4oW74Ax dRbIE+8IdxokgnbmDP781v1fT1VuRPR9gQmszhLurqgau+xC2T67Ni3fVWiRB2AmsUL225p60VTh wS+w2b9P15lRxyf2DpaFg/7edeWA1i9Vky0RycRuXe8OzLDv9jo2MwkrVu1INTb38ASPa99Q/Q06 joIwiZHBul29v3iidihWr19vF9hGCO8CuKiVmd/ussKTmFwBdz7iBlp39QI5DdNE14rNtEazREeD 48+vOrCP4V6JbsMI84OyAUXkVXTkqckCnF22VSa8fYOclfja3WBuN2syquE8Bw30Bf7nazieSr22 aNHY9IrOM9q4itGak2f8boF+42PbVCH3h9lbbEX7TRc2WWgxYCTxrwNiwmXt8Xw3vrUJdJcMYks+ pCsqRamzNPwCHPIYipvefkt6/X/nBIHTv81u9kEljcE6n0UWSbHMcBuyawSsczvQjhmnR5nxNgRv tLa9CLxqmOYv/zIziAN4jUuAyhUETPor85FLFmaS5bBB5ZFN3eVSBAWSeIyJpJKv7JzzpgNKdm4J +EEaazyk3CRet01KSThbubs28JkoEDgA8yEReEM3rtxOxby3BF+7rnof7oWVEbstBRIR2G1T1/UH RPjQmhqxh5AFM1+74C+ggYb0f/qgRxSnv6mxhcefDDbF2wJkm8LMB5IEoEmEvqBHTnljUonKqbqc 1Aev4UdWP7Z4C3sLJvjcZ7JcQrFeiheM+zIkB1jMd6qmDCJb0I7/hUsaRGzCgrXFlpxR5EQ6wc8V KWOJnk6HoP3X6QpHGPrDe5LnKoDoYYgKwk4F6ZGV5IttnmfJ6Yb/ti+Z3SgjyFsZkrhez//ekCt9 9JpxZ9vG4J42kaRdkQRTmsgFBjOxJXm4WIDaZIQQF8E34VRQ+Y5o+sRMqP4rXDcKWQUz20IIcceo 1ueLJPbzC80LJlB4u4QR7nlVwpxAZpFtJwmVvEc/UDZrD3IYAtXTnOQgNdDP2UVXwEUeI0g627Ea IDy/XTIHHEKMIdpCEnnfGYw14J3csgyAZmXqazhfmCLp8CSFDRzndohy4m32rCfl6E+itAdVugic SVv99JpziUu3df7UGuhjC0ZBQOWQ2SRfB90eMkkYnGpOPgQ6R+V9WYpGxycYaXA+C1ocS0BJlp35 hqqyS/pSDSUZ9O+Rnn0Op/pqW2QSd0RA2hWg6vVM/zRNr9ookkCXXyAI/ZYN9nR0EHb+ADmhABFP vGNAihz4pHpzIQ6JP9kZNo0c4uxZJF/iaQPCjTQWJLiUtx4F4+lcJICNKThyr0qxjhgTYQCaMPnG 33kjAEw9cSUFNARTTpVNnCooIxrfGOKtFrNhpu8FKsUMkMLsgzw3Hg62RJ8BBjqaKN3SJc9usbf1 yM3PkCjAmcTk9sNV10JgQaCKbRWH2Ta5Bg+0dtHt7xFcaztgjxo8LnOXVZ15gUULxL385AbgMLaz SPMGwuAFCLHN46y6WOH30+bVfSPJRVkHKeYWfG2jOCepwM0bctYQt5PuBgL3RJdbT07ARafHPgmm WbDbVAkaiRpg9yauDN/wmkwxt0eEJeRkA6Fub464ttZXnVI/XQ70HiGlpn2nAnSdSYULLKbZjgHc Ji1E1wfM+xSjXHh5likbk07MMeNkWLIbvC6FO3cFThQUsJlRZ3dz0XRmm1vYOO+yr5F+zB973MqU i57Kl/grqZwivEvUW7b2wnzb8kWCBgC0D55ve3qWxBfvjMMOaE8bFDjxpNgVLvC5oxPKhfnIz0k4 oMLUFLTNv8rPSjIaYnxsVQPFxDNILTV3p5VEyO9qrmyX0BinozuZjp/5XJxmZW4ZyQOPuxBPnbFk wUBUPoFe7LaVd2p7ktKEtbHAR7MbHRWR506C89kXDSjq+tMEKec2ANCbz48ZxybqRbED9mNjJSaj C5ZFXYOyVeE182kkFimVJ6Q/rDzOiVP/qm10cHV3mmOvenzBEqZGPg/9XXMg8xJelQkWSygHgOP0 D0ffrnruj/XsdSRKTKuSuHtkaQOM1jW3gYz2vbfZoPxCW4h+XAojBGc4t+Pr+M49F2AokVaU9cZT wxfJbpXhBe9sigo+P50rTsBlev9sU1o1x1/qEnrKh8kriispLRoNhf9swmx5KJRje0mg08qmOv8W kjgCvfkcyXY9rDb3syWmjYFW9PjKz0uM3x3y7LXwpOWFyPCxqh4bcrD8kfz3O8rLwHPwIY07QNmr RjPlPYMjuD0LE5Yv0VFT6UgqVxxhnZ83V8Z1Zoj4CakY11UeZEN5xRvaCVzX8g5Q1gvEzUOLWk00 9lZJs/jUJjzo6rfG68nD+eEPPI6uGFApf5ZkkSgikZ3x5MRjlEOc13udMIJHVHifnr116qjqBoyA QCoIrdQ6gU1oX6oOPkTiigFDfZLu4jc2yYkPzz6SWYs3Vu/KhusE4tJKytyhRBcuNXw2KccIHlxF zTlJYM5CSBQhpdtRvj/JhB3/3f8mVcyyVrgE4jAYGIM5XQaZY2faHQUmfBQjpkSc59xzInenxccv ABzU8DkSAoqJ9wmgwxC+XITlorzREiPtxNdOs+Da/RBtsnZ6IJVkornHiJFDllkXjMurYOdUYKdA +wKbzbE9BmkiJDqGGKMIczfPIGnE6/uQa434Xo5W2NBo/KI5JBfU/RQc6BEZ8l0XNNtl85R681a1 RImz70dH3y9lckU3CmuYCUldFsSVCjpwkYkRLa78ksZYC84CSONAVko4RAxrFSR6aZDxsLsetQmw GCFWw+L3dhlSSMUWtE5nZYCKqvm+f0ZDNJdHJKQeAcHM+SxRJjtjYnUy509uqd+L/TAIcKdkj79N UdfiJIE4zmHDCMnR3lzg6ZO8cN6ZrVVcU0j4UEk1wz+VJRJ1wPfYq8RPJV8bVTNLugISYoIw/vMV qX34Lj/sQmaHcqzzY7DzlqGulx9OdSrEu/noWVfuw5jVEs5iXb85H+PBVhHFT8M1/NvY56Ztct3l SeX4RTjIW6okziE2/zSDjduBgtfzi4npG9QakigQUgitXrb47WYLxN4RhfL8png0zZ3ZOGNlfWwk HuCyLXH7pBIJ2F6QAIP7GcVv7FO8j9z4Z7TRCzzsdk37B4y+cSKnoy2GuDK9SKl8fLOYwjOJx85D WNe6owBLn81M1XTW5qgptQ8Dy7C6x09pOB64n8Qo7IiBBpITWq8AtuXqfgR8/HmPuj4+OqI6NdXr JeSUvA8533ZN2U1jWXD96v/8c/LhBhG5wCEFBvvDACJltdvhvlOcXggOpTmb1XTf97ec9PA/s/Kw mwD2OmQAR1RMjesaDLEt73Mp6KePWZjPGik207PqOme+BQIdKrgwIvED1zaVrCSKRXgAtVTXtN43 q92ngFSk62IGWxqE0x7XIl1pzWel6iAf18eWq+ITFVpFude/DbuWYbkZ+OX0KhehowGcIu9PMZ9c +X59S3jR7AzJaVb2QZDVZoD5FNCOPctmxiazf9cZyHmVod1ndMJag1cLRr7nkTBNoSlzD+dpmqJh is1YVL1qExTUfdDIYcr5u1qva/NxV+xsSmLBCeksGs2GqtBPKm58NLGEeOFBIe2cHJUs9zEM7jcr FzS2japNK4pLd1FMriOsXh9AZ4UkRjHQ3YQKV7hQ8l/tFmR1xfwvZUMhxIjsxF0/Otj1TeXkUheo r3FYBMNerytO0uIs+jRV5jt3LmQa/1Rb/NbpInX84puO33gNOcz3MqXUi0ze1KVyHcSlBvZvTkEp F/wjRFKF+MRKdsUe2UaAVPjvTZJDfgkAS9ZE6f6DGNQUd3Jl2espL+YfTbIqeS1RXlsm7y+zpQBn aCenQXvE0JL2ZfQT/dIK1G7Mg+iqwLUCe/SPthRWU5LkvbLPxirdu1VdH2bD+kCdxOq4v/fFa9en 4h8ETP9WwvT9NBEryA2oocvLghRzERV6d6FCa2ZoKZjudlKhv6yFr/R3OjHcVmDI/k7SOTk78JXY aVmDiHZAjN9hsYLBIlf4DWVpOhkwnqzgdkQMBWtauH/ZXF5CN10g8m1EB6PTpDnrxfP3LUItFlnc aOFDRjLRMKcN3eq55CN3WeO6BqaofegcaorKf1fhQrfMQi5I06zRwFhz1yEI2oRLw4CV7aaPMaXO Z6M3ct8Y7bum+AVfcqMt9Q9t/w84u6ElxjagGDTwRNJ6Wm9B7OcJ6h/IKqe1rv0Te+gsxkok9vDb Ip90ZOy6z3jQlJT7eaWJLQotPnFS2DeyWnLYKgBR07Sd98hX4pbXdFG1iHPqbcitFGjK0LGydE0A F6NtYkBKugD03yYFnpqbf/4fEE0NW9FVvKEg25aMCzH0JceXW2FsGYp4/UHF9f9jcbKgyVNrwsWE +4IA6jqlPkf3DqkjWlMQwo8mqjtQr8pauFYOCNMVdW3xNgbIJOfgBG22ETH93cw1VHd71TBVzXfx 3/bGWHMTf+RCF53yrDiWJzj/NAPpV6Ox/XlutNuLWb4HAHAlutopIbwWCUhAFv6Fycq9PVDdx1Zu 35b4OOxKczkFeBD28S1r9FLDX09hN8o4nBxdlHo3TNkhZ5XfS4nxjpCEeTZ8hPdPRz48qH0e654M 1bM5gSRME7omBlJy8OzC66aBwRa4TOY11LW4zzXnfnqdELg/NQr9Lx9Ykd7ThwWgGVRXGiKd80X5 +doakfze8XKxCvveWIZTDrR1N0hq3wZ34QDOUcqUjK3akuTn8VLLv+0TFJUx+8Zk8GiODXpnM4Np OqqdA1YmZ9hNDJUkA21rbaahXkCyTiJUnO5xH2Gu4hizV7aGkk3Fh7hf1Hu8LwBAZV2u6XByMlXm WqpQHUIEhlAOppcXiS/q6LYvQghJBjCJwLpt3qqIK/c8aDSGuuHnVyKG4Z1paf9RKVvGA2o3LQp0 bpPj1BYhU13mHSxwjDFXCts4TUwlAIJ+TKGa6+bJ+16aeZUqQSZ7px8MWYxHtu0U3SHb6tTVyy86 D7X/OkYssmOock+uo7GBIw7E+kGQvWPBhePvoANDdXNfusQQQh4sQL+Ne31wb1JpWKvKvSXYA0oj D5rjJ2eRgwlkWxGotgoTAZ1HzhXA47I89l/BPk1qqBtq1Eu7xtP20pD1E62xGASi63ZqVt5En0da ZlqNgUBVB+UjYHa5swbXYoLt7KiWaqZQc4+fnFcWbsmD62JldQmYN2FBI/+m0/KJeKDEk/PUt9Cp MBxHLcqoGgTQhRaoulrUv2O+Lak5tdlqXbp9rPueEs7kk6e3tqJNSKNUyE9bIatbCHmYIXUtvUl/ 0YkXBdyHNQ0UeY78DQh24y5el/X6wQW4meRJKw7UJE/xTTOJn6oNWtbWun4/IoORp+WSsqlXr4Bh JfUf8XxelPTcJ5HF6Pb4NtXxcZmo3FuxKxbmilcio46KHIMrhJ/ZQbOgXydvT1zYGshmzbY+vsBO GVu9JrIh3wwWFfWYE8h89UB20G+rlzQTJrhRq5RARWkclAMcDtDtY1VQkOpzXdGMfh+ZYY2yp4Rv byfj/8g5yfHCqOqu7qwBlwbEluRE5hW14QtBeIdcMZOwMyhrSuT7Vh/UBEQImgxSRizWPJmQp7IR qagUR+92PtlyCf8JAyfV+Sp4mPW2JQ0N+T/OGGfShJfWwfJqGRirWUNpVTKsSz0jvQLMOKy3VKwj 9DF0Z+7eZkFFn6P9gdNd9ABK9F4QaLful/sBCStWZnN6EKmlRhzoUgkce7uvkbEahj9HcwHer0E5 lh1Xuaa++kAZaRbax4L185qGrD2KItXAD4JF4YuCSG8Y6giEiFSunhu6V7ARNsJJTekGlu7F47yU MsW3CFoZfeOgItBZxNZVTp+8uBrwNc8WgaTzDmEZpR+WY0bgxHfGtaPE5+zb0s7RYvmsHnj7mp6G WEAPGcpGUi8x9NNT1nCdxRfx8uEp1BEnRAH0hLtHpL1+DwDfgYZlgEHE6FTjD+R6M3wjy2tTfuKR daRk9y+frSX0VBFpO4uPTVRV7c3eYMbAWsnyMqXENbt2HPAxLleocT6W6wXxJcTN3XxQOTw1Ugds iBNfgYJ/3o6Jcp7Yfkniux0YWfmyPmNj39/AIFRUHvmtiZo2I/lZQXehf7pPaVGmi77mBL5dnxdT 3oEd0HQe/uVYyFXggh93KgneNaV86EZkvLU1qC6g7zaqHVVjlx4bk+B6BH+x1cEGVMQ5vjcpML9g b/r0c94/lqi4YiM55uenI6noWWXirz68Gsr5SQ+u9gaY3zu1zNLl4fif7z22QRQ/IRHJE/kUpueV tModS+JJFJxPv1TI/eUuz5byD3/RQBtG/I2uDtEbW79CJPTMVz1h2KUBFQdyHvZbeuToWykx6oYn Fo7XEb3h3Q0DVK5lujC++SlEHrOmFwZ5J2io6fE+fa4Z3nT8YmhC0AUm+NBfbkyS6h+1C7XEPHxG Vbk9L8DQrAzFLR4kaZJIFRAYdJ6N/7/lyUGWa7Iu3n/hBshkbI3mwHLDBrDS+9ZaFZCHcMzX3FEA Res7S7OJT7VG32P7TCg5HUMX+zUaa0yO72FThwm0Nqm0TOK0jUESVGvCo7ejwmXKWDa8G3JrCvh5 ty14hTgRMcYwqP/WvrvkoMDIE8HJ7vuDOi2MAv/exNkOW5/Bb3gi6DG4BZ3QV3fhWsPg8eVBIaFY iCs4R0t2IFoYqjsKkf1bnB2CxUy6XzonlQZh36jxHiSGtFvfckbojN4xcJzXsdOdNtqOQi2prP4V kNubrImAvSQCEIFSnzzS71WlG5jNpLA3u5mFU82ZksiYllzowddHXyhqv5daSLdOGBjRVN0BCQ0+ ItLipWLkH+6VeGxcH98kP322KNwl18+TV3IOA7zYtg/2KULRjJsQRmyAJa6g/zQuLGmXFElFPvrD tVHWnGOAUbkjCnZETwuJB2ldeGRphq9xGjmDhYFsPjgvKUL0cKXiZYMl0KijgkyUUH3rs1+JCHUl cOI0wXA6phy0KHg8HcqcjXKtta5vJWPAL50OWdS+3a+Net1agddUR65nosB+HfPzbCxj9bm1CVui 0yTT69zcRTrH7bnZzFCNL+YFlkIhUu37g6VflKmoJQNReHB2N1qKR29xxW5qBjmOoNDVIVnlDlBh B4L/ITp9217i4ALzhe4OBgwiUDgkFvVskdDMNuUBmKVtTkxtXJtP7F3Y5iVCJ29kvqp0oUNWK97I 9YaP8vTL6IwdfrJ0E44Y3MCnR//Si+EepbxMQ3c5OHggsvPvJ2NE67tW8MaZylxEBAetxmwaBDR7 crt2JlAuQcPUfV9yNCgZeN2Mw8QwSyXPAcOjZFB02xbU0c/SN6t/8+ilTLclPBuQ+348ctJlyo5y ufxYw1YZNUNOZM6N8vMJicVLFeHz0zIuXRXTA//6+z6yOomctMdUrnVCdrJwgVuphmWCef17/uJz FwIYKKNQ2BhaPr5mp6UfeoBm1V6lr3Hh0TzvauQsVa2/3gruDr0bajYcA0GA/nzqTEk3H+XMWOsc rgFryNi1H7iF/bDvsmgib2k3XiR27sQhi/smvaSW8xD45kTOWc5SGd54h/aoGy23+k930Kdwnx0c ZllsgT6psXM7EEXjkO3eF7yEAkGaiNiZzuTfRC9TU5ghIjgRu6TiwiVpb6GP+LieMVc/ssD/Mr2e crEjpmexUjvDasPKQvKxF6TP0TJGjn1ln5uNif+njJOv+x2JHZqyckYM3Btv3Sje/pIy06AFJW9w U4hF5nKRzIYvQK7qLsfTnsdKA7VnE7RqUxY51fhrUuqYg67UIB9rDFpV3tAAe0dOey2OTwEpSBlC R4nZs3Di18Rq/7ZxBPvTKQoH2lvTFbhp1FPrXhTeOAmhDmmLhFilBcFWQvaPfYREBqN0eR/CzR+0 WkCnbg0wcchPZAbfGeB4Dtdot1+m7Tqll8iJ6maXFqv3/iZyul2VIL/AOMKgx1u6MXsWiDg62vk7 5lxqyllUGJgwlRMYKBJkt4NbBSbSxhitctoMhKmIg436ogEd4rq02dJDLGFrpy4h/gAxVBTSzA+P Sst5UfCwC0QPB5IvmrhUn8KxqtnaU8BVBVIHMWXRoM2sDRR3MfBz4p0D73/QX72yL0f+UQfeToNl XHWalE+r/b77EIDEpCN4aRSptfMI8DlsdVIhX+vd7jv31mvM+p1jQ1U0FwGmIBYz9SwDu6fT+/u9 rjPblTX3PCc4cjYnf++GMKCtOedP+D8vV6RvNeo2pxz9nFNYHRgpm2t2xoRCH8lhrEhWa6NYjdP5 iGKH9pOwaA6f7gsVm3MaZuBR1PwbYE24zzyn/AMZoA/VFuD6kJ/DHcJah6u/375N8WiyO4qQj0ly MglTX/txmYhLUbuDx5O+0v9DocA3P2tBNMtgx8eNyWYAuVvzXhQhsulvqMuhW3so0I06lJM+QvJI qyqoeVWKt8A3jyetnZcHfHdyVXLVofgn8eHM1SzuyISItWHA0iTFYYToGgPbbdNuQIuApgdbHX2r KS/aohfIbZcGgC/g3xTXwjel96AQqRg675owxl4nIJi0bYwyDCB0myZ8mciBEYbsQRVJXa4VZOgd EZUvxKXSwEPcv3RLL9sjk0M9GwKx5ddKhKpP07NNYznXblUELi99WFEBj30eCwO72FlWZVZybLV6 tmluNKLJpOAOu5vTlaJSzZ7sFgFzu3tdGEUkXHVyhVhodZTN37IGPqyMp7aYvTD5dBknFJC10f6g /yhFWPg8JRyzjx0jXSKQl6Q+puP7+u1wZfzfiYbib32NF23wmXXTs9TIoQs61zALBTPaukyNQf9F 0K6IVGyQ1WZ9M4Fg4YSERMNGriCxKOUtQKXi7jyaQzCPFszMTIcklmsYlpGG9h30q0UVuvjd8JSo PP9grDzfxcU8pSkvUZ62eEh3ScE/jekFasoq0ZV6/89IJOUbEDtVdg23lEKYb/y5EfUWga8Sd/Vf XP9vLULu4cOp2LOPvUFdzulbk7WDQM6kM5QO+JlopbEeqUqYLYGd25Ofsnp6abcEj9i8aDXb6ocq Q078Uw21yPvhgJVGHbx5sxhPrrEpfY0ehL6jnflnbCx8o3+dHYdrKqRyf1rPVA0CDcf/7m7w56le GbvaK5K+HLF19VPMqxdub3rxZuuKtIKobYaShkU6AGArCP06fLf1ImK0Fm9Ql88UDrQpEHlRQ4XJ W+NpdQiMU3lMOQIUx4vSbT5olF5fgaufzuBe1394Ix1XVMGNiPVb0tL3LV/wFHH3fU4s41I/7esi gm9KgwfjMhjPH/jBVpKTO5wj21kbgQgizOoMAqsF30L3QM1IN9Xqbee7dbkuHpA2f7eWoe2ZOni/ +k8sEo46RBtoqqf5z1xowqOKahU3e+dfCQdo73bPhvHFv7tYYrNc3Wd0LNDtVsdiz5haEx0F/yLm YfDHIVYtNgXXFUNlX02qtmsSnyasJK6GRbfw6ybs8nhlIx2+ddLvDeWurkDfO1MCDmwqKbYzzk9X 1v6pCe1X3ccmLJ0s56M5u6+XXvQtWZcebiz9QT1yXVSRa6upjdcz8PtNEznaGi9wo0lDcb7lN16k phIt7GkuZnPX681IGc7IEL0R1wVNoC2I/aC9IPLmSLq2+kqpEXu89ZifobieFuJFRuz1df4C8UWw Wjk7nKd5Oi7tDo7qnZS6f1pUaBJnKSTtUQLn7IHofkv2Yu5WtJt4XFFLUtI6CPa98e/J2l4GYGBm dCCRPzzMg2iPyOA/nU8YWZL9IAWmx2Rq3jNMDnry/CnB9pAk/+NuqH+avZOAQo7+bRld+qT1rcbh IyLtOFAGx5O/w1GyRmBfS8vuCxo0zdQUCzsbHVUr0KwIwc+Nr75qF+SXFHO5OxazC6cQu6eigA4o E2pQLZYPfBCY2yIwFnt2t5wzXPZ/XBIyp/Y73weZ2Ha9alwUTI7NQRmM7lCOVVQwD7v8KYlazndt 0FZ+DtcKUFwIOQqxmpE6/WnJfGzuUjp3ci4iuPqkpMRFbD9h+QhG2SObTnFH+h1Ivewr2lD5xrMc f3sOVY4zhhQxHuKVc5/fE/EYYSub8wk4FqC6zvVmC+HBGxkaqV8suoHn9zl2TcfVuLujeMVW9FYz iT0/alFZuUP5wM/K2df6ISoXHQnMTxDNUQb88dGPM2UsNB0eGH057HBbSeJr2HyJvaNrbjg8G1L5 aUVhH/o/MWuMSL/qPYc8bIC5Hh2V7qoi82HfOJWhcajGloElKyb0x3MUCPIZhmlcEbjSeSucgnGy O8pl/N/i+2TK5CsnnyzmrGXHAKdRdffBVFHcWoJMfIUsjNqsmPY8sJ1LGe5JiXTKIUOMyvHXi/hb 49xRfx0qDsn7xr3xnkPIm0+J2OW8rgwFWYifWxhln2nY/DCqF4yp1KlSg86fw9HoaRpK8oqibu8m X85PC1Ss0k9/uyTYhSyPkuXvYHgZUau4+Zvc7FZ8sweFgT4wKontNq1YPE1hkIgQFwc9EKTScVuO kiFFEno5KJFaCb2UorXeOLwA7Mll4ELeyovJKblzfv6b7WPweWVDJT/dTYANLH34bVvBAUO6VXzs frZjt4tqbM1gpGQBihiTfH9CwJB+9DfhBytDlfwzi4kbjNjmc6FwIjiZ3rcukQy9NZk2KlbttMEs lLu3lfIaGDNBZI99KO0rc8A7G3t6DNJZ5ts+VQ8quJi44BkkphXMmnmxElf89JzTlk6BwV/3me97 6Urb+7xZys7yBXrrt466pexqoC06C8RT+wTwK3yo7Leb15EHymehBNaLs0eeVnQoSOwoVSXxpBF0 xJYi9huNPFl8boMsyxKlu0ddRw6lmQbhPU4Fx6FxBYX8xG3fL/dlx/myxmV/cIHATz+z4ITAwiTA n9PKrFhEpw3CIfmcIO1D+YcEDy4IOEtghTY5KXktxLsBSZLUukvWCcSdqbs6BTZrbbxUTk7+CRoO wUMZu7/snZBdBnIMZbVvFhN5jeiopAqr90INlFn2A6J7QiWLZGVXqHtVQt908e+S8u5VIPhG0+/G PtUEw3SVWZUWU+LUTk1a79n95TWIpabOm6I+gxnQ1lITQMFXRBSfL4C7IyqG0LAnUmZ4pu+fMjlA h6WhdbDe6PPv1RXFkzSTDY90dHzc90rTFzUvbyr+iNkQpY+6MS277uDwBBgnllZuC2zioMuMbXCD 2SPCdB+awLRFC7qn/yLxCJmwjt6c6NYXv2eJv7zMSLgDDuY25j9PCr+jAZPIVX7ub+DA7/ZN5sH2 +GFPvWZk61szAmOVlBLjVMLJ/4g+eXwAf0BisROeNmAQSKLaudy5h5zRo6inbGPvnxujtjLymZ2c 9hvVFbkOrF3v+NjR2mW56qotoenDWTR/Wp3//ZPQnj8FUBHh3DFPiz6zcsgT8FirmiQ5r2L55LYv vhwfjjMNXZjiLZymmCv93yHOV2Y/lTHB/OM+yWFCOshyKk9we9L8UuGz1+gv35Ta7d7zZKYo9P06 Dfx7zNCG3oqcXGcezgy2L7tEpHAMRiTc+88/onCVIIXpLxX7KotTmRzXM01XKKMxwLsXjbW1qA1L 9XfObY1/krC+zZz4w5U9qXvFRphKKZ8Qv3c4xHqFTj4oaM79lajkdpQA5ON+comBGjepfCeAUlIz E39P019NCttwsqhldGUNHJ2aGtkEReGcrLLvlhF9vdIRTmDOhPi39+AtXgaUZuznvcuiPYij7/ZQ Ualz5b+XrZvkUgjtkkfhpetKJUp6uu+8UZRmvCRssYprWgWJS53kutOrm1VjWiHzuLga6lZdzC41 OSMTPNmi9eRB4IjOTCumPecsW7QJ7NOVLqX20P8cmq5zo1+EHTfRYqqYRPhGrKFTifiBcgexOJxp U1+EE65FEX9vILTSbEV/YX179dRx8LyVEg3g7qrl6qaZtTGtSO6xArDxpCjgw/S5/m8QRa5jEqGH VBSph8e3rrrDXLvskggRMfAC9WydWt0z5J9m9tw8lqyaTYy80nHcJakahQ6njI/hu18t9qcdHw86 MbC+UQCRKif6vCTbbUBWy8jsCrUovjsR5x617pCpON/d05LsE8QeZ+0A65pblGPle1CRg6J539Cq 56C/MPYKub0+hpnILqZOffBj/y78QMfX/exEScDGVTUmUhsK/e484w1Xfmgac7DUi+huqe9TwQJi i9PMgd/RUI00DsO+V6i1x4ujDOhR2d1aIogiQ04oTZ1g7IDSmE/8bGJwYMfdRdHdRseGN0KjOThn gaAvqovugKvxgoI54TIqHGmpPejBnW6O7whadbFYRM4/DRGf/y2hAhYDQTfCGi6o8pshr3K5jvSK CTeb2v0twc1n3+Z1I/YS0/NAgxHes4BGTBiPPjl9MG5x74RFS0ZfXJQV2MJaXaxD6iBD+PUTlOZa 5MAhIKi1nD41gZiqM4RWH9s14U30Oqwob2H6z0EhyGUlzZr5UQLtYBfQLMHxy3tuND7h7fMi+nYZ WZEq7vaywKsx8IHg1iieTzKEYjKTZWVFSnqTdcNiVOynyFcrEtbSIGRYlhQaKOjM6fPWTCXafgLC X22oYiXio03LeRzc6Rn+hpK0P0QNl+m+9+8NSUGectzCeiagEPuElnQv+9FKGj+CwIpXBHUuZuBY 0Z2INZaw5pd6p1hHWmB9NnZ8nOSpdpQ6XPylllXQ5MCevVlI4VtGzo4QlJ0uSDMlSbpOdDNRhIkA Mbsf9tB6Jw2OaQP300IGkRznB0ZFNhxagNiysIjP6t5I/YyO9VOJgmGfBmdMMGtBnqxXYnmzSTJ1 KY+fZhXzXDhOgDRuJpnNTP2Z64ntetyW1VbqV5UZv+wLzqm9rBJHDqJzamUy7p9FpwbUvwz06Xqv 86s22FeI1gcUsKYpHvkzhkDAjwff1a6J/TIhucDcRlU+Ms0gvbPaEDjSFjZaXWegRFhATqQSYNjS AWeqCpsY6QnErwyLGPETuJDGdfHucTBH0fmk2XLpQUJ6u771Nc6CAdhYNn7VHbR8pUGAsFQMcPsj 9RZHOVrCYWlTqj1SqGuEUVilt4J2NjI/wWyurM0g9Q8HzLlpbCLzu9vBgnKC7mJPFYk5I3c1eICa 8NyI2SAsy/QBj5RhepnZpGOWMFEfFRwX1jH3gmSnRAQqBgguAte6JCAXSc0lsIBeUv4HwizqCp3D 1/gKALTmRs0S72Fy06AKiaXD+C9pGaO8RWW4RdAyspq7OI4502fvDUKYrP5eH8nOi0lYWjHhsSBJ BtwhOeA+RHztTicKjxbVFTmsXIABrfj9jwH4RAAoRe99vUaHipPEwtqIRQa0wMY6Z1xa06fF5elw U4xC4UUaz/irl2XdNtcSKQs+ZYFxTAdHs11YiESlfogSO+ionoWh9/LnJcMluSdNsMWsQJvJJYd+ J7rtCWXMLjssdwZ5AiyX9ApwJNlEOKU2sfbsmSJvI8usS4GRPG7fX1EZgDQTpZopjZXfBMwvYVxV kbVAl2qRGxMUbQFqTKx6vNBYEQK6TjsKYinXj/VQcUvxjMNHGHTZSWXg1JtVpYrrwmVW1dMDunBF LkLMYdAwmXZtQONxb5ITE+y7d9J0+8tetr5NrQVpJ1sUVnRLBtBw9SVLae33J2E8T9aZ6NCeRT6u 18/EO614R7Zsh80eFpfd3hR/K6KKCrEoVRM5atRNAcvBsfGA6dpRboVTJEGkoQjKAbhwxCPd76pA MPqZ5jvPpIugDCctrZ+rrlPD40TBA6pDPWNvJ5HWkajFDdGM1O+vrSpXHR5+56/IGKgA6gFnDHNB sRLPyppCoTlyxfSRyVQuPboEDMfIVCgEY0nayymCu1ZjXl57w/KYCw0gMiA9g2jPqWL5bKyLop6K KMPx/Y4ugMi7PRBexnO6sMW17ehGtuJv3p5g1xbUvdCJsunYeuMZRdIYrqX08ac6BdpUnngCvQPV mP7p+c2w2NaiYWkYia0ZQ1tmeMpKmRj8RmwDuORotxWSaamXk9OQmGQacB74eAE5h5AIwdenBYBa FkyO6c+Blw0k+fCaPY9vaOvJMJEIWRAeOMLBL8uFDyjTSqBzx3kVkFIWQjZPL0MeOPmoObAPOjEl 5RYUnt5gw5xBEG799Kc2qTz3NbZSYSmijqh3kwZ8ODgNjtzHkWEerLcFrj1KrpwJQcmXo7jHD46x TaD9yFXD0Hn9wD1IeJr+aVwVOTBZHBaBFFRMDoc3g0dt7zsrbFPFFOUu5be6wpqZ7VhshXmdgarc txOBaw6cLmNkCBGd9ySu49ETeVHuY0nlIK6HJ8vDOrwQ1QdHci+FRYwp8L5hJpYz2XmZ2nRH+o+G Ou950uGUmJJrkV5/hJUx/a1KvopVJ2b/nroy5cYXH4cGx+nX4ckgzHGPfmO1pwb9FOYBxXD/Y12N ibqdOeDbABWoNkitzsjZkCTDAT8WJK4jPtoCqgq8kogUqzf96et1KOICT41LVLE0wOjFvfuSpKYr M7MvSbgsbWHQgpKlM19czThAfJiLLaYwQAMlgAQZeh9H+RfMV1n8PgO/pdgAsvCT0V1fwt8xl0mf EWuc3p8vIVebSUO7oKnosZ99YnYy/FTSNjlkw9YxZszXVEDy4YUJKYMiUM2ND9JPAZNmEbM0QOQU sq06eISDRzkyMSN52j2+rFaSzOvS7Qk6oR0ZLZDBPDd6FwEBswzHc62v8GsZwQskFXr3LpSxmFOD GVmbBXDZ7keEh31Hec+8ni5jYbVOQkIB3Q8wv9nL2JQr/HqGKBIAHrfcIEDkBD2uWrpmcGNR1Qb4 G74x06U5jzUITqRH1E6cbXxk2xfZekCgB7sXrPfQqTPt9ukOJ5pIbsliqQtS5pUpwmqGbgdxsToK DW/okYeNMejhGTv0byhQso6ud3dTAoFrSxFGhLhR3ZGHMKGsuC/acZCwx4Y6/o9LBg0+QBwT17pW 5zr6EBVk83mX1pMIsqCUvfamQar3bLiCavb0RuYsvckFx8RQiznYCXBttqT4DnzkXxM9cLhHGJ7S 6R0V4Jfk77dAIsAIbHYyDjlvzJbcB3ZNMpPsJea6H88dV/lyU9D3fJ9D/BAqcMWD5FslM90tMwlQ k/+ZSoH2RvQMpXujPhULZqX7hbRaMGj2sE1P04+2sTgAEEeuDaewE/dS6oUCQ2J67iA03ilihpK2 4gKZUiFH4RBQ7GpImGW2vLiSJJDmrHYwvulD0OMrL8OKTGXn2gxcYLt3C5LjWA2AT+gJw1rmTrKR KvjKpo9fLx+iV9dk2/40nPylm/ebW/SwXULUVJGp2Cms6quWp7LkOnBzYDHLTEeu++K/DHIzlEUK zfZLje37W5IibPlbIXqQ0dYVD+ykeTXUhRvsOBkRLj66p4Wd8cxLhIy/mBa5dGpBDDxPV220KK3D GUwftIT0U6GZWFXGIbBATlRRW/ZS/KEEVOFjy61VN3w2KOvSiYHpurzsbVSIKHwxISJFua0W5un3 MOxlZ9PT5ELuvgBH5G3RibhGWyotXqhRoNepSkhjchrjH/V5TLivwF5g6622mba10JoUQjugY8aO x2S+VWdtKC2cSqJGSBXXF9SkmOrJMhNK32maBM5PcwIrS90QXPrtrLrE2VKkNriO7V3ATxcYDA7n kqMQbJXADP85ZjirCPlGDQ47raRNXmzp8Uu3biIF+JKTokY6Au8KyTOVRqGKhCbKeHuuecB1gbjb TxIYbtEf+RZCfeXNq0QqDkO+8m+XiaeGeo4QMA/+eVfiqEnC8LZADzfA0Spa7tQU/s8fSihjE/nA plK11TPeB+DV0zF6w11wE7YlA+ZmcVKRLgJIr2CD4Zvh4W4RuDF/FSwNI/IgQX7WCENVaitqsm4x grrtTVifeNk2Y/uZAbiJlJhSZerdfPa9WaCrDAS4zQoKpVmTXpjg9QA/F+GbUMEeltuT9g1pgtqE Wa/X1pWtiDf/urIyPao0pMtOwVDOmZgsk17T7e//vxe3G6OxszGUjkDxpbtZ0G6psyi3rS/LaIpS N6l71jEb4fhtH3CJvJGBPqaiOg5HJ4/mX+Bwf6Q4kIz4Ev1snx2uyvCWhbp6jyIF4rvysvqae94f 3bKYRfyuX+z+U8dZv0eB7I9fJeg0inaGNx7JEd1epPpj0gxo56UUwgvufWg0ky/l7j+Vn6tHpRiq YsKhCWJD5GJROLGEvIzg81X8oKIqSDoMZ/qnEaNhOrN3CnpSZonqo18XZrfiV6yUvSJr2Yq5pYhI IlnRQ4AqRtLPmKT/FMDWXFScJTUpqf0xaXUWVGUiWoQB+UF1xww/QKyvphlj1sDnrZlvErIw+9dE KQXVjnOxTzKJ9z2+4v6hfpKQGugfFQ95WmQDdfD8N8EV07Gzhg+VaWYmEkX1Nu0/LOBBnXu9RIGt z4YefQXyXi+3A7Imozv7poBCHFtd7t7cS5Q1I1Fv5A+IRngzf6nNGURIEgRN26Cy0aXJa2H3433A gBIeP1Yn/sfoUzGQYoGpPRa1Au67wfuMBovXpREeiXRvpBtLWSeCIwY+rSfDZzMVtadaFDkj5NGF J0hkDlAe7XR0B6vQ6JNN7dhmZnuaZp7g/CqyZKY3wRXsLcp/aaH4L0PFnUysx4aqg0Dm9QAD42jV eLcpb1T8kjEoBUht7TZjrFMXyYxAENrPhj1lbuAToQxOPsfFiyButVvbNcZNWNDwiol9JmKlp8JM r9cuStLD4pPUBiP0N80lWt1EWxaopVVA57s2kQDx5Tn6huFqYzCTHIqSNJvakQ2LhZCvX5xfny+X pnvNa5W9bb8KD1/wjEA1NLW/t6UVRjKe0cq8KhUFodb+IQfmuAaULdvd6g4oAZStSQHJGc7bFEkl BZNZdgG07TRPjgpl9cd68z+0jyOU6nuaZp+A2axCulYvqN2ucKyK+v2lBPT/8fGepogPWHgDMY+W 0Ce8CdRtw7y+6NQpQQkhp1Owe5T3meu4BYCUjPClmlR977RZoKYu6FL8faOmCW8uEuDtw09LDknE ed6p1P1o0CHRp4XYELlmDfu8PPZThTmjbjhTLF/yO0giONFceNdfnuQrQoADkrTnToEfZWvs7Q3p AUTRE25mD8dg6VYK0GXeIfzJxF4CrGa8L9ou7VkS5LbZDc6fwX0uJlfkmRgDl3gwM96tko1iHY3M 2SVmw4DpJnbRdYgtUKbmeIIZLY4FrDzEiHpwcZ2LwRv0xQ1OMHY4O2KnYonrZO21OYYtWgRJzZTf Dkcon4YCAI+3+ds4LqKAjpQnA6BGiWGj+IBsFV0aMWPUphzkKxkS2jd5+k7BXCGGAC5665aXpnGZ BEodOVpik5APm1afXyMyAMKduY+PzuLqja0Qv3+40l076NgzJiAVnEE1hj6yOq4pndgV4ALKF7cQ fNvpGlVtFUPI9Xjp5vQRi6ETii3R2rxgMnm9jSOTqctzi5esOK9kp1daj/MH/hgffhTe6Ub5oTIH kcG8d0UxCkg8MBKsEal3XSduk2tpCUNE64wnEH5ladnAncU2lBcwyjvqr5LFXhzcAZ2hESPFZkCJ 76A75/qTDODKBrgCH81fbolz3p+kuAtcIJjnMM7wq95lqhtGYXz81J7y3km9JOyStaukwwBaIVaC iafUJKQ2joKjrDj5gDz0L+tivSKuV6rPLewrDH13cWQcO0bkNZ4l4xHO5TEmso0gQcE5CKY2r+Rf P/1825QCQTnYfvIRGS6qmXpKYEamQl+D8C/vO72vsnn6425FKIxYN5m1cUNEXUY421As8lCLw+Rl 16iUB/rm7X8z7t+FrvpGNV1nCIFdVUeDyMfCuDbAyCdHeyytCr0hG662HKFEXE9AUcgmg6ijxEhB TXrJRbk0QQSiMLrUdOQBY+AiZbfh+OV39maFh2IWlbm/2jV+XW1EfMsr0K0x4CpF6ehNo7DEef5P TEKI5h8C9mAC/YRKSOkn0oTNNnzOyhB7J0pKnncsLIF5/0JG3/U8TDvAbteUvGwlp9Dqh2ruzZIQ n2g2bbZaw56IP5PcW/WINtcSFrn6qF9I4lxFeWroz6MR3Dzo43/HrOuM1V4ntqiSfHgR7NrbBZU0 10P9xN6UXbuIZwDbXC2G+NmzolPuWk+RjXgtCQB7CmPyTyA/USTxJJpeRrAYCddNhNWumCwCLicU RULoTB9quBWB29b+DP5TxWT2oEjN9Kq+Uho3bkHYFhFhv6qzcwRVmm8SiM0v015TTlFCSpkPxUi8 ekt2KG6qQ2jV3tjOijvnqdYzMOBvuOH5D2benccd6IANnfwaddp2er3LZxrp2z/ZHLPGnmf0LWOx +qp5APhqzJ8OpRtXdCGfD7O5aQYJs5+fGV143Ef/5yYPEIOoyOnEiDO7cq0ZyF1n3L3EspTaLfKJ C8t6o6Ri00L7d8uSoZMor9ZKpCs+J+Gv76cHmea/5Y+SFbyC28mkVsJHxMvSMbrxBMmzaOn7HjsP Bxya8nVOHznnIOnCH7fmbOyTv4K0eJIJ8pS4vin8FZNPo5JUDTkJdTJl+xEYquoWHmmys1IigwTd K0bf1vAVfH6k4e07exEF25wZiwxkDgOBeSTHUK19ygGXfJnSMYAJkQ6Moqyp870YgNR9sawP3a+g /NzFc1C6kM5hgvgTU/rSnSXK/LSpfHVNKUoseB8k9S5cqhrJMOIVOuc2Z0jGoHmzuZ6k3LL0B0As Ej28eb2EXh4MCXE3Pc4luiIjf8EN0GfNRfTqd45dFBN6BYAqSb1i6YwlyrXx69vZYFRM9PtXBkSN +rLBHmg3w3B2gyceFBiTPJc2QI0XEP9Ui2GktR8GqQPSMn/K6IRfvLUjUHcAa4KwqNgwHaPek8fm haFk2+m3MVFGRPVf3Pd+CrhGHDpQUEhNP9kFEU3yl4sni+92f/mUKK/s7SXrkzkFVTxX03kGHM0g 0uyCwUEn2wref8ClfGGawwbvycPyF3GMnq1PPbiCyylg///g4ngddtgkIpNZdEzwxon/0HYIPkaK I8oDFSFN3stietjJubJMCBCOY3Ka+HSquTcsb9HtUrOQZzll05Jrf/U4tpg1yZqWyJ1tqOxnpWsp 4DvoMIr5hul9Eymz9WDjp24nrBqEBqUxgyn47YXNEPCsABGWDsxoDeUmoOF0DFXW7z6jBtENx6Jx cxKqBy5Vzqb/YjUO0j+t00MT2kdIMWv66Z3pXnofbEWhikRAjSXkZkzkoNIEPWWIIMxfrLRMneJB b4z+lAqLCSwbrwbXKBCUSlSZjPcm3tyzsUR2W5CRB0POvy2cc4Mr9V1mX+jnn+dwHH3sCXwftwT4 RHLqw/hkwl3Lz0MzJ4Yn7hVZ8ztAz8lGvgc8vQZTMhEaS7eAHd9ZwhPu8svyMgIUPWBhbsdlk0sW ej/ZZpncoNlAc1MeOfxEaXVbvwOHdzkYPSS34wI9CZTPWAed2RJi67WMnZu+a8naA9W8BWN3/RRc clL/fxpaTUVuT9Bl0t9bXJU9bpv60esABSyEcdteBmNZ4lU6ubhN0AopNHaEBCUsEMAgSslLkViU YxfHTx25j0SHUMhhVi12alk31oSqxMmBavN3b0tXK8ZkZOWUTz4fvU7+e0ko4l+0O0CD1ehgRPK0 Ywx8At9kyRTmzZT91NDLd/Nabx63nmDqEZPu4Hr31cWA7wFgNQtdZL6e6kYofNoqFbvDaJIn3BLP IgxSr7am9JyCDYvfIHUGSi6Qj60qmtNDHa2TswGXxZ15XzUsu/1siaEHwDfoo/hj9TpfiMI7mjt0 A7YPYzyjuNY+0DbjYN9YFlF1FtUNhl9XLmZ95vd9qz62YjvNq+yhFmR90lyTXb29G7ciCAnUtJhe N+aTS7Fl26aFgimSeDVcLHW9ulubBch898RfywLtl/vu5or/jYol7L7Js4bAgA8YxHdthda9jyH5 WLd9kJ6ZelDpPl8brVobSov7FlUJiqjN2zGWzPQwQ1UzIt1NNFBwMklE4d6xWUH43eCxw1I5ULbE MXL0Kh2zm8RTawEJOb1BqP7vf0WfZEuy82pWFj99tBlX8dWtEmiXE3Mqu4dBTRKa57QyRzzwuqsw 71R5FYDRFPwMfaKhaFtr8uoBqneb0XhzRmVQv4ZKM0rK6oyGZ8RZi4C25Kmc3hlF1IGkq4ur0m0c Nknlajd1EyiMSJ8LFlRJx6QSkpzzXFMmmC2G/MWnGHSkKRluNXYkKOkit78G4xQUx4zIlZPWbRCy fFGjs7YC+cliHtMjGJfS0NKEggw4pFCsKc7cvGhWCOooHAuNv6Fqq3pXcQ7OnfxOYOcBcniycPBo UiLZfrGAViaS8WB8h+0VxTy/vbu9rx+q6kiUnlxYJiu54vG3Uy83reyV3PZ5Nx5wcCaRYC7KAkCL hm5t88ltG3BJ2swZY64Xx0A0ozFCKs47AQG0O2Mn5sRCpJA+zpSes3dNEnzZbF/JTqxh3sTwwr7b HguumvUO0nvgTh4V32wBMfOT+8LtN541V4ljsYtwyJI7jg6Fj4e2jFwBSULIgxuxJAXo+SvDzgD8 ws7dzE2DLhNcUAgnsEf9biGQL/HkqGE9smh9n4OT5gayPWhTxo5uTo4QW4YqpKCAIf8srNabhdN/ Stpv1pPUCEIo9uJsMFMyUHqKkzBtgyXnbPCwA2cxvmHLI8hzE6lu00wYccA1S0QjCZYMQeXzAOMw hzDOVrRSdxwqFlOeQRMmkz9gqOhgeLFAkrE0XRdNYrUGhAezppIueXrOW99JWR2sgNy6X6pywscI g/30JAO4ceF76LIz9Z+FBYnaFXXW+7+b2XsMCDfuhF7XIkAm7E91sKOCVpah5D2KocspYvyNjQbZ VYCN7W5upRnpNdNIZz/MmEJEJnkkZolzyUpPAl4oB2+oZyk1SgM7p9Hm7w2mYVgxelAqLdjStXP2 NEmFwZWQ60DS+mUb8Udlnowu9/U5EAjG83FjddwmBxvn332O7h1ayvhc14Gw+YeTBq0btJjFpg8o qNUQFGJaT/fxNRV870APVvQgQLyzpDWFKFZsGWY+WeE/AaVsHz6qIp0cDGxpBcK0MYd2tupIjwmw HiwPUhETMXV+3HrI6BeaxbBSSKZhF1Wawzu4jLnjo2ioyqB+7L88KnzDTzRueH0C2sU+n5PyPj7a M20EZiDRzufGz9qwKsB0XbYkac3ts19ZbEckmbcbagtPU05hyneol8mUg89nWIQ9PivIGuwSQsjS mQHpyCyJIZuMWeZWm+cKCPz/JMMITv9KrI26jH3dPWJqzT+X8HV0xTk2owCKKjqUve3PnRlbwZUb wFYowvRuTxGj1UfT4hYuh8AL/QUDBtGAVriJzP2kVgP84pT2LRrxxvNI7rq03afyBduzI2IuqtCX JQr/cp5nOwELQjXO6Xn7+CHx9UdN170oJ6iIcbFLp4Qhzj9N7ysz+ncw7uol6HOo2hTCnfUCGLcn /5yBUHX1Y/HTJlCB/RwBcWUAJGSNaDzKclXwowj9FRSoGt1uc9nW71G9odMMiPcJHs8pNqnO0k/F ZwUGAaFRHAP8JiALJTIGZYwK19vMzHO0jrRttJ0PCGk8KsrCMzxt59/3L66HNmPHiycBLH4a0w88 pcbRbjOazu/wzjos3TliweUFonSDiP3QlP8/ADn9i5M+cl89EFyIu3CnRxRyrJL2o0AEwgu9qG94 GPbGFpjJgVPqDhy1VY2IDRaTOi6FWJHauxNTSBMy4nl+jBI6aTM+guFcvvWkmNH8cWJvwg0FgWM1 Vsebczl+qUQotCRk1r+NI0WtZe9hbndCSoAP7Pxd2OvKQC5ycHijvJ2POaEkTkvPInnFLDhwKZeS iNdXJ46b7c7wnftQZoDC3UwLCysrYysV0gsOF7X/wEOKV69HdiunQHnWU4iul3tauhtJlAL+BDvw 3sqIdDeUTKv3JY6Uuovda/ULekHRhuHbw0EIcA+eVC67IQyCQamX07eeMGHai6KQxcWyx+99pmK0 Q0TSRwotz6HHQinzY3aY0uCh/8plJTrFVftSdMmREvLs41T4cOh+aXFryAxpoywRUCVFNXUc6HgR xQJnYzbC83jusHnQFLfYsMLkyLbQlu1m7/SvbFCTue3RmMyY4lHZnSQlG5GBnAU6DTH9KHL467h1 fNqt2CNXi3XHgxvsAbKzwJqQflT3QarKxdXuzBZV9l1s81v9F2yw+UH6fgtK35dnQcfZulihV8kZ SGbjUc41tGer8e27zy2J/oT22NeeIsKdQOCTUUP0rkBkR5X09B22pkwl4Bfaraybb4G4/1cE/0Ww 7hlcsBddUdrylvHs5xFl/Om3dOmIxW9BQyt0YxPtRcrwryKH3/JaONlT9/G8dRq4M8hCfuCV1Jlm syLIrXYJ2L8tydCrZVmnYy05ePmqLVbG1DfuQBldecTtV95b1E6tpBDNKQsNFhdFUfOP5d3ow+ou B0fM85z0j3Cj3kW3mXnTOrAefhs2krytOp1pJ6/bzYJbQB3PjxaMCLE861OiCMDSr7y1aIS2v94N I51NeSjFtjFUizpqNVpfAxRoaf4qZHY3OILZk/MAHcQPQaqYLfn1zB2aaFp912OnuXSnx6j3iX21 EA9vuSSMc0HHAim3tq/gcndUvEgUfY0KIKndU0dgyVeTfk3yJkwe13ccFQ4A2+uw6ORUsrIe9jim VV0ptFRYH8JxlUbveGiKyMpjsR2aV0npLk0Fy7bQcgWjfUrW7b10atHztxse0+lFsKNAY11qCesf XTN3BJ+WF2DywF2kHGvwtrQPW8ELp6seT8X6QzYthaDXYHuPyudWHFGplWeu3MjDgXN4bfGE5qEs qVVpLtHcg1zx+mwVqbAe62vsqXNmiC4OWt9GemRWY570FykbxkoTuYcYCjILjHFg4LHV4lQ3HWSu P53FXEdjn4wZLOpprjtkoUE8ir8MEZEOfaFEAVZNse5atuutAYGXuSybl7cj5NepwkwMsWy4cdi6 l1bM9A8NryE/1aHZdnzwc8eygZUYVvhhSN0oV3hk69PwVrR9lVUjznha0TkzruGkKhbZ09ZvU9Nt hiW4o2MUGXJXol0t24/JnlzvjcSBTe4hbHrfv6wkWxUEhY5AQRyxPPvREVzayg6+/9R5r8mp2Wth oeT2TYGpUoYyN+F6+SurrQ2+VaoibF+4EWkoabpoDdPMqIap8RU8lWL8SIQYclPN3ldJSYdUPcxN MMo67BceV8P/qas9HKwugS0Hp5UlcbM+eNxxr6iJhkYL1LAfcwbm3HNzo5Ca9rZhjCTPghQzYYGW UaRxnow0l5Ra9MfWKhvT5OOxmGY5C3BsLIGMicuPFm90MdnhBqi7EIzDwfK+h22ru1Z1hQY/RHft GDgAWPbnHqR0SqZTFVCbAm0x0aJJVmdYICIe+z6pLeVaqA0AKgGns4S+gyQSUjtgH2Y/UVEzOA5D lmh9h8I/BmohkR3oshwkAsgDSKrd9UZtWuTPA9UsEAJC8PaRsNt/dJYx6IJ7K/a0D9O07doZ/x/r ipwRcIFInunHXFGll5CVXHHlcvpxmcl1X0XvMKEceF4+tT5Lsngf3KnRY9s7kFRzsfvizrwHFXDR Tdc12CVF/v1XSH09elJIp705nHcJD4lChvOhFp6H/E4EJ3p0JWP9fYKbiWx7PcrFtJkhSpaEXbFt vianpexpmhVVVU52CGob0aoDxQiCyfV2RY6uvxrUy74ddhjG1dAhPl05KoH9uoyHJHKoQDIYlE41 pRMgsa1/iCke/j689rrAJ3E4Xtn/mMH+DCW1FjRGYkTHnbD+yYrcF6cemhvcRk8fkNZAQ5hJaSjy li/+FgAh7IBY+/JfPDOltclQBeaUq01MVAjWUMMHh5e7s4gAs/22qvt414hEOwLmLpqt/lBBvRjZ ZthrdrvjWtGF53t5ZCpMigFVsgIfeRbXCtygEuBnoG6aA2ryu1Twb0v+8PsHMZlQzycrulRSdp8i bSgK3y+shZiaegWtJENn5OMLee4n4N8j6BUyMcCAcrfytlYC+VsWLexAbtuLNVe+wQtbG71m3XZr jF8FpUbn8Y5bD936lAo5OaZ+Qme0B5Omo9JbupfHSMc79/luOUOlskHaWiF9DJvFiJZqe5J0494b aVSwxHAW8u9Yy5y+SOvrIJtamMm3B435DzLy4WHYgG3yOJxnhJ9cQ6P0UHCgkFkW4+Mueu0GkEmc Fmrna7W5B+VahqNraj51zQkQ64RWXfyZ3ZPzcPGjlR3xZer2GMkQLmivkCDPg/uyb7AvU79WqzDi KAtOKoCfDBUYkVLNJRo6wshaIPs5+UFNHhQYLgQeHDjK11W+XEfCBc1Y8ETwWvbfqXkPaTNKjTP3 wb3z+Iz2jIhTPhtyHwAWFqOdZQsl/SIxMD/Sl0QX9jix7oHP3UNYuXumFEc9gzDFVt/rHMxtgcEQ WabUW8lF0RecePK45WIzUj+TlxprK3dL6dXOxkZlWRn5s/ycBuQ6OaTixQYl8T+m9wv4q0//bRim IntF6ZAIcRW/3hAZK4j13FAOiYQAWLLfOFeaDWr5ArmyGD0quXSBiTWGmhvg0yT2BDLGTd0iEPAd 9iFz3zDkf4/fdP6Eda3I8ty2Aa0eCnOjyAItrUMl1UkZgZSBmMxu+cyej5CC4tkk+nP5SRij5oxY ne2bU5uxdH6G/V73v4usaOS2VgnJN6fDxJMqRE73QoGd92z+yn0dxISAoHDxyAwkqWc0df3wqMiJ R9GWMmQu82n6RLIRr4k3UeiNRuAizm3Hw1LxGpnppxn+uK6QMxetP1bzdR1T8bPJIy/CXdMnJB7A MX121C/iHic8IVYSZjULIVsktxEOxjcV//nm7IpnwlBdhfvRzU22uzWhgGXHG8GhQ5+fH7+qtCZB qbDpFUXRDVgGSuIud8hzUCm0m9t0A7ABwSnHSlfpO2348p+A9PWOw41Ny8IA9sZKxmzWkc4ffnyN WcFcFnKE5dCXscZdtLqmAueAm3IIiOl92Ug0ux3EqTgwIvMpIqB7eQnXie7yzQYKB5EzaUrBbRTd YBdpynzIC2Puu8FZFgq4oLPbPWLVosyu/HM64Gjs29oJm0ow6p/iVuREzSLD1tMNjmu/tBP9Eozi 9q17Hnkd2Z/Kf0ESlc7g1Zj9jkoF+OvOzP/rBuqA6gqO18pRVJoAooMLNui6YvogqwkhEWmEOG64 4lltbb5G+05QIyw5q9WpNSuBpyCWM8TJSX9foH8urBS3+BbmfcLg+3kOmiRXxBWnd3nZY/oaMrb9 NvPGDUD8XzA5DEQZ8097+IOvI428UKjHCuIbQP/0qpH6LN1YouiqK6UkY+phcH6XEenyHFRfbJJG nSsW7xTzVZJwHSekr+7FAx+gnRUYt1j2u36ye4brFIjFD1GOtwvL3Lhlc+FUO20yvWNZPh29N5KH qlsPVSTvdZblt6G95diH0L6zIG2pyyKTBq2V0BN9975zgB6gTikJiSXYqsy+g1/RRlsF2SKb4wN9 YPZtUJyxu9NNCJLnWpwU9lNWyNd6o2fiQyu0oxOHnj6ywgACsPP9xSUe8+39cbsx785I01m/Kb0F tUlnOiMYOWdVwXUD0QORfMb2ntHCgb+vcnM1w34+vGoBCYMs4tvyLRNhhoKJEvIBU9S/yC+DDOET iHq32qC/+HF/IJUstMXEHHU27Tku+ZO4IhytU7/zvE4u+Pv/YXcW/T67TvYa5m8djm5WKcUJfUnF gMPrvcUFPpk3NCDn45BYr0DzIM3S2cirMp6M08Fp17R5DqwdXrqQs5HzhIyEUZkGnKHFUzz+P0lk vTdIQ+C8rUS4Xcep9Ny07fv+vGgeNVgNWb3MTM94NrlJO3kK8XmGhzIPxCRVErYGYu8VX0pduDvo x64dt2PU6M6+6C45+VsvzFftYYJTDDIEyagmjt3DkvqAhkbql4yq49d8UlGRgj7FHMDTQAn/Tnt/ T9GMHNY0fKfGWK28OJD5huBgFx/IDgzuVNEcP4oeV0DEq2eHaGsPMhb2v5mcPb92hYZ5EFgVP3NZ BEYGLALun0X8QofKGxumphnBHdodr67M9O/M5wPuBAHBZkbevnv6vo/6Cw4PEbTk+ItXv75AGaOx 7eNwsT6ChRv5aJnUVZltMXCgbWyViVuvfLoNy8kRtzo+lNusE9wM+KsV92isZ4fIAzTaC0+KwD8k /xdrD2JNYKCbCxJTewBcxNALr66a5hCNuFSwDny5RvwczIMOUw3/z7o6uVAhtWSuFOJYRcuSx7U8 hDgXPqDrjZkSwP0uMKjre6kykgvNS/YIh2/diaVHoCkz5nXA/mbF4kJ3jQjNiQbn4dJxIx6lbWhB 4f1srybpv6Ki61BgNcJCpO1gLoiwCEDoscQsUVPPhJ1oVBwBzUy0NojgNtnvYTOjppLuZqV5JGCO uWz4LD0iX23StpYqqayBF+4+bbFW/rldLXkYZMJUH+a/olTkiI2iL2Qz/iL85wxdpYe3M2Ef00Wa YitAg/VP3PAdB6bZ1j1mP+Br3MJL2b83JasTpAm/7vlunKEV7aldTe2vuWh7iEH7RH9DKpHd4kQ8 zYfRc6/zqrax6To799zUqyEpye0g5cbthLEuGOUeioOiyc6EnQRX3rInLWfrS7NCvSDjuFUpzxvx Gd61H5H9TMIWtfveoWFvwAfdAkngEeuUNYJ1R+UMgOYSbhOdDrBAqhhYy/Nx6kdImY51MO08+w3O YhNhbRnH4PqGtDSWwLgPYTs5KTXdCRdSEXeaRdVO8ofj2aYQMFgTrLltu1mWRTkgpgPgXenK4XR6 oH/P0TdcTDkrUrKqOWvL0Nnm6PboJ/ba5vnBVnN78qxlqjI0bMqRbsKdC1upOy3QjtXvsj51ZQ/3 VK1qn4n3FMfWLHxiHxKses1CSLWIFuV8Xbht/UIGzb1CYU0QuuD69Q3IWx6uH2rJH84uab7YtUsr liJMPEwQlN6FHQQvT1/f05Y0SZy4yMIE050nxjRa69RaF/B/pf3jSxt1xPw11wlSssGo6gzkMQoD HK2+qqTgDxTAtvpbYYjc04Eaw9sV0ITSB86ssbefHINR72ipxbvS2A/ZnUR5xaagZrxXpkAIxIEC mRjLFtKDIZqLO5nrosC9dlU6SNJVauNc6RLDZc5wr3g1Uc8czI8duC7DSNKgbZafzGOgPyvJEquN +QrkMFccc3ApRbQ2YeLSukLTyhvq+T5E9SwYhDraHneMY8MzpOUllVOEGim6ZzcqFwQVldTVIH7C XrJodzjc8sb6InEpM98P/KMJW7wiLHradLzAsbBzecPzU0lvby/ObwJpb7Px7CbJamqI+TTfnzjU YFk0aRzU2QP6tgOM7qQleElA7ATG5ukci7wcF2dhykj5vvllgB9EJCoT7IuNLuDzAf9JaBSuSD0x t9jAEHTo7oB1X/B7oJVPOoq8IeFPaXgwWwcCAJ66DUUykQiZNoAdQ5htnVzy12Iin9RBhhY0+XnA E4HVt2c5/3ikD7SoEQoCux4GT/s2Y8pC5o7aDPnuS558VffboVQiLTXWmE1I4+6NMH8et85ItE/E 9iGUtEnbIzYzTC7OFaoW1ewKPTA8M1O6oQUPH9JaPnrdExMxwBpKeQ7ViAGz5bU37AqJjU2JzBev 1mpHST6w8XNFqci+NC2a1hS7q54f5dkwFxciD2ndqu/qvhCFAVuQJOEqmVPbRi+OKIfK7FC7acDw dX1HVU8RafAgwnYeF8zrLX2uUa5ZAV9/Hf9kH0UcSeA6/gGJZWdaS19Jr4rkHcd0RPHdHSelfE76 kvqHzyxc93uFuJBKMfEY5gz/4LKfiTHBuYeXh7Ey4xMWSM+CMGjgK+tImOIajY5cpDtl2ELl2V65 /HWlR7dowjKny3DX7fKgCWKNHiI6a25KhR+KycX+gJUTzLMcNWoYs+ncSe8kQ26aMaiexWxkkaxc 1bVTrdv6UjYCkm32qKyLDghrGGrDT/3Q4hHzt9CrQ3B4LSE1iwK8hfD0eubj3d1UDB1nt5y5KojI ydN+X0QNJqn+/wgl81b4HmNugl9W9UaM/amvl0kQ2WZND21vS4Q6iBHh7SJ/4liEEZlWMSZQugBx v65txjqnEm8ntensBBDGAJKljXJO6+2oL01EoQ/dvhgXKyUHL0O1NWcHgLsdmHnMbeers1E+p3n+ jkW7o8twlzCJDxQT22ozkUWdeNeCFFVgdlwd69Fnl3VuFyD9fdZzfoRb4tBIr8a7Bed1TOftrmqI bUMmnyinCK7Y3fs/no2D4uxqmZIjzim56i4Ny/P2WywUnBFbzdJszs+OnOvcYukHanSsUMzl+bP+ Q3LBjosaVX33mqMSQxQ+0XlpdOy/A5ZG10WJqpKLnNVMdabhzN0CPgtRZakz+/JCYWIa25xe9to8 sW+AwMY2h7SUdmV2WxTz0ui7yRz/4UoFBuV+UCvKZaZ+esPjXgbOCBUDlY81OdxVh07ZbRhS3O8s LnA8DIAW/3jomnaupjxWZMh5reT65NSmT4U4n0vkIFwz+e0hkZdwqtVE9fypeLCKXSp1Mcee1+tD 15Q3D1olmmE29P803j67s5YotMes52geWNvtWR2f/9X22Q00BTNFM+kbjx+JB324R5398g1k3Cfb Ge7FhECgrohVATfuNBHf6YR7GOl2DlLfM0PXquMjPd9Ahw8q3E6t9Wag4Atzoi8yaKXi5TBV4LZg 3W59zuRvxpOeXN33KS98+f+E+aRG3LqsreYiRHQnVe28pmsUAox+DFoIF2i+OnemEJp3KLvcOh4N M25zD1zBOfzUARBJBqqytHgzdmTMf0JQWbFv99nql4Q1B0RIdBt1Mhzt6fL8/vpPYpSWuFF7nhrz uAZM/jrS5aDimvW8+f4pZoQz71Wiw5M8HpVZxGTDttiQMIwHH0v5jDMp88BzUXbKr9NSj6nedJ7X 29/fBYPy5Grg8TXLYIym0gzroRRO6JT1emA+HFWsLS+NwueLXosSmXxhjXCXYtVi1oLGJ2RHkXlX gbY36oq9Sa08sR78dVFlc0qp+W/5RUIoT3FSdaJ33ms4BQ0isk3bIQVwpmPwHHFhMQ7rbmJuiFh/ iEr0YfWSyC8Z5DQVkMr4sEbLHXBUzYxY5M/dYlSvLSgYQRHWAccSi/LefkrhhBKgiZQ740WSZlnt v4GDLhCEAAiYgY0qcBdHPn46ZV6k0hprn48vkdOj/Innfrm6yu92ey9QHetcYxeoTGLi8WHWMqw7 lnBDQpevcLjLe8mrBCty18IIj6xvMp564yyv2HwgmeWlqD6sGuxL8RKaRfTC2im+MxvcjZmkq5/t KX5sqxIMGu8x4b5o2oT94PWY1Fzep7Fpa0iouiM7ElPiWBntd8zVKXCL8mogAnFCxgue9giddIaV hsEJTpXyOBSiGB7bbRBOf1d3zkuSK/xVcvMOaKy+/OoI9XzUuKGVCVSztEZ5SSKJSHCmkFSCNURj vUpVdWZyyGLjcdiaxrrk8RP+/V3gXBL/C6xqlGcqoIeeeUCCpCmFaLun3crAba7mf5F2R0bWF3aY iViIk/XR8O9erb/tilF2OJbbqPuL4r5U4tHb/ycs9Csioy32F3W7Nq55cFdf0I8uSLjW6AXem8Xj P95DlW0Qfff3zKr7/WL8zWNAvvdTp0TtZU5lE3S5hrAfdeRYSrIuZKKFuo5RSxso+og4/tSVfHBR DmWOGAEymp97QAJRvorOpZfj65IaKTXdpClTXyA+Al8MLMnU5ukWZnftfr5ktJXFOY8DhlFVsmtY qGBN7nFlRPLxUIMkvraBDYvHrUO7BprZjrrHN/ZkgGuDOoVvfSpBtYSxIdrFKWGFvpDgKehj8tvc Aqs9oKC/yDhpLieUdBxPvVw4nsGWsvYHtcc5yWK2BsaCW6gqj2KiLfmUC/ic1xSQBuhNnqZRYHlQ BZv/kXIVvcwbgVh8d9E14LsbZ5MHw43gEVG0nSrVUI+ieXI9DlgHPDqu67ZpYfGaXweWPLHYhQCQ Nu9sJpgj5HNe1tETdOxS37ExXQN8CvjFqf1Xff+BI7jY3UtBIJpOOa3AAvN7z5ITihSF91/3LOK+ ss1vNwV5ZM6n25GDcBUKmqdB2hCOef+qoQ4yf5S8oHfXUAVi+xLREFyDLmn770ineH79/X0Rzc3Q QDnhgXz6P2Qy23TqJcAb1n3WgI+bHC/DUfQHEYfnmr8pss108slsSlUydymJn7/yOThpyU+amed3 ApqDDWqodD3YbsPvBwyoHCdDJkAVfY0iCN3ZMk1RE2SRVQ+OqfBWVfWVfHgPGRQPUgK7tQBu7N7R HGfVG/ktYCTfijIku2ZL2M0FwNpIii6C4X7gA19UXofGyRmVmbLeAJEqZQvOXJpyUzZrAaMeNOtQ B+trdExuQP/4V9KEHdUzPJD2frH2FMw4VhDjkpap7D0xWi3wvGQflOQkFuUKpQepiuo6uy+DMEoy dBnc2aYW7RmgzqGGLd06UuLRv6Nbievu6QjTkldzT3EgqcL90PVRRxATvB3unabonEZgK562Mrah SFIEO8x1GW//+Arp5+0roq3EkQOWLdflyBv8run/bn0Z6tnUWeerC1gV8ETtjHEfV1aM2FPRK8IO WzkKyeiH6gfe59UqUDEDN4NnYfrHm1b5V4TS3oTgOcXSudsiZRIfW2o947mlGLnaT45TTD1XEzMS vIAxpFc1poXINCHqig8Dd9JYABCcKG/7Wed11+IaWlwHuhQ5gGH7o9R+sFOOrnEXsRUSezQqqrJ6 NX64DWQZH4GGyTX+Ho3gjLtASyTzYpd+RDP7T3rY7h51WV/LQEpsyPEHNtgqi0pl3xwg6QSbHIwD LiDIfiNsXkD5s9OX8XjVVHwAs9VU5gk64U4+LOzYTTeNzNF5OK1JveEe/Pu3tP3fe0d8IFjNl9Zz 7kyp+UJwHLiArjhuhakYTbRGO0uYng44wZ+4RKNXTIImbmTofrjTCf1+o11dYVdUIEXa8mlYEAM8 uf42N4nTk4DoCNrKtY7MeTMikRgKh5zx9aOZsUve3u5YcAz2CoLBogZKEP/fw9rpg2UQ8u4KTtMb TIMnBcnpCRo5DFPkczfZK9J1jDK6a34qpFS+fjxzdxEcZWtknmoDGdNJnayJOOjzCgzA3nBE+e1k mju0KHOTY00fGuDnNJQdUpSIV4s1tc6V1soPwVA8dOwfAiEiFY50IIlocPrXWaw/V00ry1dwNpXg zTKzFs76QnxUWaLnyDoXaP3/bdRBBXpYpD3avnumaNSev+TPJ8zwoZVZuYELgfYCQCT287esHcJO T7B3cZBE98QcJC6zHrJHOIVa0LYHFBwVtnB+d6a0KLEBfaMI1nbjNTCCGyhO/WmDK06zC2neoWcR 1BHW/z5cDAphPYFVlEVNx/6ZqN3sqkq9iT+KictuWppTvx++DpZfIa5QTizVpiyZ4pjo6Wzm5UNS S94AxDHdGjvfQVB3GEZCWBLrMAXzV4SxmqbW3ZhJ7hVFLfx3qu5IvREPd04XLXVG3Ce48f/psLYB Lr/aeuMHz5K7QczK5NdKzGarWOwSgVka0O10qYFvV+M+t1e5Afp5wRfg+XhIPP0NSS2MuLVrFvSL Yj9iZ+pPjgLV87/FDtSk49bkzWDM7M1KNtekJY3UboA5ekYskwlEUb5g8Vw8K5O99P3AHUtTuwhl NV3m5V732s4qj1Mi1ZRldBxi/uI4UhTEq4Axxxc5D8yU8NNTm2cxc+oB1U05oQ7xKrjhVfLHiUpT pYtL4HZlcAnvuZsLMOjDv5uCs2NRTUzklqMmmj6YU+BSyJyNecv367Iv4xc7Ee4kEGAdx05j4mf3 zOhaCnxhkwv1BhvexwV7DwZrwdZufSrjrRCJtoWevF7QU5YKostPAmEazSubD8lbFGX5SabS1cab 2UWDdWpfxWh9mYLDFRbXEP49GWfAHNo9gQZ6OinGQbsrWjj5lylGmtiIgZNP8rPU0a4TO8GhLs+C MXi0hz8zw7Plx7aGiAcXSTtyJf8cBywmtNyFHCN9UTrX8VH1uAcyt+kSf31pMcnMxBXjYD5uIF8p xMkhyHfUxrrXhO/EWUU/bgB66U3KRjdBXKCot/Bi347Fy1BBBeSj8uJRFVi27Co5srqz97y8L9SP rvs7HG/ao1xyFIWGItVD5A7vd8Tok5fURzqHMoLq18+LKRBHOtuO2dEyQ4pubCUF177iJeQHGETy zuEvoVMh8Am7Y4hk6NOvxNpsNpJfC5CcNoxWAxsf8/5fNNHVXBMkRDllROTQ36aIVaavtphALEJl HTToVPf1nYeNsMyXcxAOzxgulXnA9+FS8t5pn9/0oXMJAsanKI1ARVHLUS27BD9We5Tqy8RTmdkX yNTf4KLSwAp5yic9QK8C8OD94fFY88SFjJBVjLygg8iSXgBq4cbHvrw6DweIvWuh+WRBkxQYxbQx eVWE+BpwQn7o7SlXwNjE11Ph6yNqeVlwfpQhi63xoZ+Br2oEdP7MCbwkQ7sQPuZ/mRlbQDaWoI6m IgVK07ShFnC8iASgWfigsCe/oUg2MT1yogoDcxrUQyNCEKne4/upMcdW78LwSMjCwQocsGTFXasl AAd04sdS2sQjjPfj56anIRYiU3JhVBZKRItd3CzAj90YrAFU6d8f7yOv86ichsHpQfqi8n/bzvwK VjmzOVK2KXmXBP9ozXM9KE//4NYaWK2F4KKs6J7f0OG7zLziK6/7rKByTvXlOryKLFGOYcxXBCMu zv6dtf7STfK9OljQIN5gwpRAjAFoQniLBjSDDfLoqhzfZ+5W2mUJl4pgZqnBn9QAZ2TnyVlh9ZAO B87N+vCyxhFQYJiCBh1oCghg1qA0Nri9uy91WacO6nMnWV2cKMrnR/mjFijwSn1eDqVvwc0HGvsi 7p3OHg5+8ekbMuVh53d1/y3j7jPplnW8ienYGsNliAI4gcp04dIIC8wIdxM7lLCBvQbQWJrwJ0bw wxGQ8CkNLI7HzNhfvmv48tsEuB87zM81igdHCjbekTG+7NQGjDHdKJw/c07Snmzq9DYyNVQ50LNg /K1ylcGUkBaA8UPeodA31IIutcMbX/GkUEzYYqcCQ2u8a+blaBKtEkxifG7Mmj1sxUaQz2wqIDlO 1HIcclCgUIr2IUo8RSgNt3aIP062hDfuhbvpNpcom2+maLHNY9xEYilaBCcO8z/7b0JVPDar+ewd 37B/0joWlFkpo3/7k7yUcY9A8rZql2b3+ctkBbi04vvdNgKtGVpd0ZaKKIxxJ0HZ0Brn+s2MhdX0 FBNskOzC51hMrfJyMMlCuayfFcT8iVH9SVo0Ltfqk9qzP/BGogY9t/6kze6ZRzAtvDN9kHmRkbEc Aaadvp4ENkynKU0Fk6NR4/Bg56iqmNwCiBNd8CDpJzD9T5zW+GmEcGU9KDR6Vkv7dE5jS2MHlWt0 IPoWur4EvIDDCKXkb5ryKVpW4lVrpraaak9vzuU8edFxwiJy1CeYKefVPFaLPgOWYdq7IwcJGmCY XuQk/yz2IS+pkX+MhLcdvTmHCDYRpB7Kaf0iPV9KeFN4q3F23bZk9zlRBUaw3LF7wfvMNUKJKpau NQT9YUZ2Eejk1jNN1zOBC4pUP4tcieng4oYdSo92Ad965apdxPLcaKYQB0HHkjqS0tRTSXt+6RIW aqDxH2W7mIVU6sxf7bQxhrQl1DoYhj+cBa7ct8kh7YeMAEfGjtXlSJ17u+2L+DtKoO45MHaSVd6+ UKxJd8yYAjQjTrA0sSYEcXAWmzBrJrGrF+fhctffTuv9y0/FlbO2WxuSKo36s6sfzst8BBLdsSnG BKxc/SEz2Ldtz33vWp1StjswEfACiEO3iS6/y+GTYMuSR8kP5OC52sLpmiXUBSZMiCsDM7D4gu/Z 1PYYonAhKOhax6BhPMALpNts9qLTkM1GUscRrwwBSJoz2A+G3fFbC4dlpZdgl3YdkkANsOLW6eQr 9vpY4CN+vTv56nCuuKf0iV1Ix5kykIULJyIZUrAuyp7PUlly7uV74MH6bZ4rbTNes2fzQhkwXqLQ T2sGC0YcXFyM1jOGLDRalge69sq1sxSX0tL/D9vs4HChPWLegbqLuHG6jetwBU1pDC7jpcTnrpRc gx5OCL872Rb1wZM/VqSavm/E74Gfb3T6QqJQRgzwJ1Tv3IkyE/OJXwTPAJh6BqiSdpYwQ8WYnXRp 7ptM7Q0fw2jCZtqvHii0uYAprC46FyY0zFNTC6DtOFe4uGTseMOw9BkCF7fY+WkndDAJKYK3IOdI 48iMKux4QdQcCHBee29JOz2vuSRpkkya0cSMo5P4KkaQvFlKevnh4Dslu+Io/bwE4qWQmkLsdntw 5dEKNdQC7HsatcTMGfBQtd32a0u7Itr/sWrZ5Dxlx3Lw0E5uI7JxfKD3Hq1eaO1VGNvJOpA3Jojc jR/pfQ6j5IM8aiRx8rkvc6VPr9jTnuzP36ROsSoLg9ismOZgjXQrww90lZdvyh+k2UZ4wTyIg4wa eOEOPz7dVjXkycx9V+CZwiakiBGvzJAo5G2OUf+KXx4LENMW/0j89pszHVLJc5LnxsVK1IRGyHQm Yc9IbbgpBawud8HokeVic66ge0M+chBgp2xwXYGETNrn7TBikJA9LlNyJy/+7WXVR20tB2cpkNM3 b87/7vVKuIHLOzp+vnfvFVnnwu0AVENDIp5W7qJOP2b3D5Bi4B066+e0xAyhetdhs1Rp2hO8e3Ky 2DxU7Hd7Mq01ufoQeej3M/9BcQKxo/Bs89eIASTlv+Tm7YnqcCET+eYimDe+179VmIayE390jJ9K 20MNze+mSGLCtUFBbxYO5CDXYUAhKcsBFZn6DzgXTGEQYTIPz7xscZGXaFMBRX0PYMGnktoHALis YqsQxtlVW3Hu/MImsfkldvldBf8ivntqoNWNIYSPvvnJdh/EgetYe7RjIKlXdcHnqo/Rhf4dDH5G X7lOS630pBwwyZeHxPkhndFvegPNWZre2d0J6o6bZq5FsCiYXYijKOcBBsHioKQQ3cdQb6wN/JSn 1GCRdUEJJ9TnjYDZcVWYyljwL1WBVOvqcGoBZTLZfVm844W/0zsK+WWve3/GeOzChAA3W7F4Vncj /0DUZ7iGc2n1njS+Z2e7yrdQAis+VgYrRKeZS21BKsddwtbVcU4eh3ElA13MYe1klebS1+S0XXTY HfdK9EWj/CVsXylzm3YVOl9G9Y5tmayxgIHx5nehC43G4RcvJWUiBNB436kosLKpXQUKrKCrjxYm tW9XUu/nn64Us+xEwS+Me1KG9US3e7aOcvlzGzWUJVjP7xv0AaYX4Uj32ShCIq3/fc6cBEjKxLn4 k0a8v2z9xkzBdXVu1E6HBkVdEDiHobLgK+5oS0S+wCKSEn7QukIPZhcpMqab8gJP6BhevKycIAIL +ctioizqG6jS3pSiD7fwKpTOxLnvX1czcrJm6BuIue4OrPC0aNlS3Q9bA/msq+iE6xrsKQF2L6BJ LP9s0x7Getk7IaMrKAx5393O9YvyoDp9x7k8kn2BU7mprGpLo1uwPjPmT/PLreRK7jRbUdSnioVN KJfE7QD8EwiaOjsOObLZAMFXwmWly9DTMdWYzDoleKZpTERCtnOwzTmo2pUMREASI3SIS+rdGLSm Oi6dgMGpnkY9FYHkAN4mwZ+s3odx+UrPglxaJA+XgPWkwKLlENV8vX5cHghDK+XG4zo0zZ83Y6J7 9Cfx9mku8qQhwSzrY18C+Nj6nS1VZEERz7C4lL1F4lA75Kh6Ny8RumhEqJ6vZ8mSsD0Zl239W1hJ mVfK2xNYQESa4Q6AkXv55W6WXOU/7+A67wJmLpJkAiT7xpMQUaPvOeb/aiRfn9TEtcmxZkPpbzuB ukagWeyn3oJoYcSAExvlC2y3dBOO0q8KdVJlPIFl4nsrEWtmE5xFMH+7qoCRlHz+K/SGOdjlxg3k rr2//D7ecP9LA8KXaober9o/IFO2mWhgNoGLkTyYFCN6iny8uYoItWt7xprHASEI4RQ3ne6ZatCj 03TNCT6CSTGIpRWjIyPIARJKcy3P9CHDBJ0WnLsGPsWqpkXwqKR1R0OilOd9qe1zIqUEJMNY5A3Q J1oe0gfDIcxLBSIiF+MolGmhWm9LL2enlMtZo598w8q2e64fb1xueV/pxmsBhNNZa/EsDq9iC7WN qV6pElW5fdUkdZBmjiVnTbaBz0/WyhbYE1lyoW5dAUf6CpBPasoP8LCQkKvQ9HafHQ5ZNLko7+32 wgSnSR08aq4Gf0ccQGICl4xHJWxugG5htVG326jHTJEFdTGQQIrNPhmwBbqx8lMEA0hrKgk/Iawf JmsgDczpo7kbgmzxjengi8yUixXw0BRhZN1MIO3NlwZVCXLpHbA3ZsZdutE15JO3+qayafsXTAZ7 7/eshE4wvb4tXO8TSLFGLHsBj1litw4fdqhR1fL6a6F8qPix2rsaUyRwhqD6O7Rx/EaQ77ewgJTQ 5TBv8BCKWxnLkJthUuJizpDp1XHeVv0J5e5hrYRDj/jqE+2TRlq8on9irGdn8sN6HL2xL+N73oFb rbIMBSQeAzJnMskFDLpZj72LEymcEAsXjJDGrdDhiiGsAN1zx8sw4b6alP3ACLtIVnAfSSoyohnW eq+zGv4NSDVPYw+CSHB/QUtJ/cbo7cN9GSpbRZRy+rFbAIV3e00JE8sPgVjq8ibZoE3HoOLTCYRS YJajcfabr5HUhkjNyEWL4MsO1XLBy4CYfWZdHC5zwhkrkRvGXKTQqqXygZPJaXUgAXW7CHtGVRWQ SFTIedxUcyoxgt8fenQxyZXPKePWk0FTuV267CId3X7kv443u42W6kaN4ulvLES/rctIT+yt+VOH mZH42dPIq0W4Rb3m/1aSTilCvPT0HPcUHluW1yv7V6jX75y8xm5mP7xGMRjc0aH2GaPHDXJfv0Tu UeoBdTAUjjrgA2WdM4Rz7YOQciVS/Ron/ZSwOmS/4kgaVzdv42WETC0RAFbYvVL/s1Dv/DgzMBmt eLFy/bP0fal4uUWprGMJGOzp/D008Fb1BNswAGGmk5djwnkdt/udbeGaCJTrd9lkaTgkM6JBxzxX b7kyMdg/sDEBZ5TqlMov7NFyLL9V6CswviKdyH3MIOXLOkSXAEg4nouNekM9l2LmpQ2e9JcuqgMC s+7ea5uMXNiQVFUhui2UKwktaF0Y1oxx/2V42vKFk3jzcLtNFjguURc9YkajIJ+sT6NbxLi8aya/ 6oRby++XdRu1wPsEWjAXQKubvYGESIGsQw00Lf/OylMGqOEm00fdPBoN8jHQeUfy4j2JYKfeDVC0 yCefrn5WQsWLtzrMAe6totA+j1wWBeRRusxnc2uJd87RDpAd1UyfMUQbPRotYTak2DZSdbI4Jipg lOPpI8dZU/vJ7U4nPPZP1XVZXmxYfEfmRuDJdyLO0Q9nSIFmOQQ7Nk9Ii0BECpN7ycHJr/Gdwi31 +/TjAKt6eNLTPQDmz3JrDU1TseZFM0XvuVSP/9MxrdkKTR3NwXaWLv/JdvRe6dsvPY+NPVIz6MOE vG21mLIXkwAZ2A8a/id7/jOXjDudMbkTmzLaR0dIfvTiw2TrbkR7H1cmtEz/cSow6LzYwqJ5kwuL ZDSmoiOTjoxsqo00kX/zNsFDDi0dKBvf4xGzAtzMHMw2oce7dPVRSgYHQ+pQ/N+F4SMLBIuX12sC 77jNWYwaGCFGlA5jr5VSQZ9doyaOwMoZhyOhGD+9d3eR3fxy8szqyuqbBJdTXUraJLv5kvSJKrMD j8Dh3VwuZFS18og0W6uKWzhYeUfPfWAYLtHBunxB0VzCk6Qqi6WqQFRe9FPtfvAiXMUNXHFC9zTo VW8A5GKMkkUb+wSQNUxmwuofNjQoS61mafL73hHDwloqjj+bq6SK2bghO+T9J94UxF5beZOY8F+Q 1bX6vGHmmOAvUXSzsjnck/nz0R40u1f2/mPfRqhG8bfj1AEHTmuRk8Kh0rN+iltGstfxrbiLzomp sCQro7BTdRD+E2g/BgSoxpADZ3ly29UHYF6L21kAliI0zqrkZo8ZheVK7oepUmVtAtzrOi2xrkyA ck8UnCaKfgejv9NxrbamgdiSnqrW0S4poVb7mQuJ4pZ4uTg3Lyv8jmXU1zpD+WMOolUO2tIHcG/d oBZUffQ/2zUaDcdnpKtnzjI7qUJJHknhs2LLMvg6AvIoGXFkLrJTv0D/G10uiaBfJvmkLo+07gsd gKJcl/cMQ9E2Irbc39ScgDugsyB3tmsW1evvY4bbmtGYSRhiXarWdDT3JjhF2G1d6a/eC93g2Ilt r8Ao2NNHkmwfAAgKWQfc4DUvIoxbj3x5894kaTLWnLuVDiZDJ7a9SEoCj8d4YKMQWvS8TVpOvj8C qaTE/GBpqoGQfHt1Nia59co/IhuCV3CXQpDmZ931miB0RzT5/N0S2AIL1bLgue4KdYHwG/R+deZ9 qTsK+pEtm7syW6dVDAyeMMHSdI9W9jWoMPte37g9kK9Xp608B90oWNjxIsd4AyLC36R3Knkhy6ti NmZcY3ZMnqpsThYNRgl8ELwFrWoaruyJniwNrngZUDanRebPvbjr2ejrOg4J1jA5o0PoYZiR6qTN gvSBh5oH1413epLrP5poOTem8RQ+KkRtMC6U5i4RlaXFuLcSS8gc8mLpBjl9YVdiHK2K//8xGVeT nyRIOf4y/cTNRRrieQ0lJcAeP3dN2sjaWHsZLxtrgv7RZ2q1ugtVET6RvkEUpRXHnFsVrcUEuu/3 Z2xNycu/MQsW2HSBduy9+2wtxH6Hcv1o4xL85NxPgYQcnlw0FBqjZPaJ8vd+VQ4A3RHcTeXVsYdq o7QaUAnFh/4SlF1pStszS8NjqXBubEUoG4OVsR3dpDXg1Twj/de0TGsxd/OrMJdoOaREhnNiR2jJ 6whTOkKveH9t2hmMeGyIoBqXC8W+ZQW8huLzqHL5cVCzfMxATo8kMZnn/NAL7/cUyfHN833vrP5M wmVQj7ktdUkjnCylROe9+cz5FX7zoVyXFGpKK2keUXoVMzuXoA1LuUwpgKvhdK/HDUikELRLAUCN 543ULSBRCPljOq5YKJSJmw9EL86y7Z28w4OJAKHsMiyKqEGsF1EaQycPFUGX9dwf5lLhUJJAqTAj t7WFjosIX5XDCt8VOSn7C6xc+Sy7INpenPSO3MdnNqTEX5CFpbHlwXpJez2XZOizKDyaltfQh2Cj 2T0Oyk2c2zMKv6LYdfHmcDAq0QuHplG4Ns7GKyv8SdVj+JCDDUEKyskK43Z/N231WG4K8kg9Yhix Q90//Eb5zMzR4OdOmMhXZpwNMZ2dBl4ZUrOeec71uPegHQH2sRoQQJNUQ4e6wiVHZZ9Tl3xUPFH3 fsfALQ6fi/vwigCEBMGvTbwEo9px+ey5qqOwBZUVHv576uNUYxCmQlvS4/2YQYBmPQFhLHH4mEdd mv27WVgyPr1T9icagwKsMmNtjANpwlt7ztkhsMgRM1I5GRwgAjt0enkZ0yTnpvSqnOjgEvjdCIuA yrxg/AlsQpFlcXDoOLsS44pz7Dq9N+qgoNQQZlG/qHFIGq/xIxseAWwJKT+sUPkhyV2z/oMxvGBw xdxrfdsxu7jkiuVK5eYxGfYlE90ffKXf29X97qZ0aETVzvsaVi0JFv58ayd88k9+FueN6t4wV+4a PcncGwUvjKHU6bs4eNTgHAwLKVFheT7mEiNXEw/skqZIGOAlz0IXk71CWHGg3xgOxt6qn3RDqYsl AuD0cnpyZYfenMFE+4bdcp85/a8VAslqvk6pKQEBIgw3KKEhLdKCqNIft37KyOzmgfv8srsBsnC3 u5fugQ3iX/FHDQaFe1qIUxeZeUh6VBb5y4L5GdaeJotWu4pTn4lcMNk/GMkZ/lZX0S5vdnvgDQud dKvrV6ztEO44BUcWJJtPzLDI0IEj0emdvUlNk3TIq/DoEZ0H3TIyIPlKBftGwCo1LVP1KsXJp9Qe W1Kn8LbXn3uili1t0fAnCAs0A8G5Ze7oX5L8QvhOPsD0MPWsywebKfKROeldNiG4Uxyp+hz5lGzT Md8atqpI98Skp35Hogrd1xoWxczGafaQ5/lQOixm08n0s3Ab7sSIcLTucQynwgUAnqk8LBAELCqm rMDrWDYLtbrmMw5IbQCpC+XnoPz2YSeUyte3K44voHf9/K9WCTwVX3gLiIhd5NjA3ubLD2tbYT8b vp2RBW8yCFViSYZabEkyJO3TJ3MtyG6nQRcVKiqn2UQN81M9X/OjmFp+NeV4EclCu6fTOLSeEnZf bzBERvOxIq14x4JgRnSMszaD8hnmYrHsbAVYFfxgpdjs5lBB9qYpoj6n0UYSLihhZLBC02BbOhdo DJ0c1lSjzpF+iagu5AVnuSathrRp/xk7+b5rH5/3uqJ75LOUHaQdVn6owpFOo5iWHSKARCL7XskI TmI44DoG/k2k9rr5WBEPdXZDYsgqrvxixXcMbTUpgx83Svlza+l5HC/GDBnznVLHj5MVfnFPi4Li XoZQaimutcsQdarmD8UFM4hvi4K3/KS1ut6Cp0RAAV+s/cC9B9pFgz3lhQmaRNnq9xkZnCWSiDeD 9p6Iw8AK0k3+6Ebqbi8EATNrF3jyEHuRyqyClZvFCyQeN54/Tih6Htr1igIjPk52JeRUzfr3B2Z+ TM1WNmQnRm4+RGThgo9anSHI9utTCX/tQ1/ZdF8WvKJIHnMtDkqPHuRMDphUFRTAmFhyJV432u1c zvSlfg31g4lx7z57BWct2SHyPCCX5z8d+V+lbipK+ZYNWgd9qryRNzvw6uodDAtE/OxnVVLSQ6fD YlUacBI9aTvgxG+1aAL4wnuTV/fFRZnT2I/0B0Vj8rmL5SJTyLbgesVxzClfInWzJGfDujeR08cC LJp6cc1T8C1LGbumK3dZ5+BeMnaGBfk0PN8l3rRe95kavme55z5JA9B5ZMuyiuD9pXuSc8evUVTs 5n22bQy617CeZPrK8acTnU6boeAxVAB4xQkK3PeMa2u8vUhcaSQNacfVOF59+vJUfHGPaoFLCiO0 iiY34vg3s3JYtQ7846eKlsr4hjReN2rhVskZ6TeVoOPMMw3culVTbs9gR1ZhETmDf0jDkGTEDzgW cGwWsm8kUpX/E1SZbawLud9+bCKqua120Y8KPOPfHQ0GGxShEsDe/wsWnJUhBiEac/y80DYjf/uN 6XEfGxiVGFqeKmY4dDLR9isTzu5v93iB/RRcw6C7T9lokFIid3GzH7l3g3wwCeVoEgaZusbSoFKU uUxx9ji7KminlElkhGDJQw+naqCOzLrhzpmGFdygDAWYbbkBuLScUiMEMXmURbZzOYN6YKU2YMey V32WlutXaKme8ItOQFKUsq3Kuw29DdG3CC/Nbij5GjbR0NhEGo0tBde2AkX5O2I6dapS+dxk4dZd eyKIHJW8x3Yf9tStsKcBroFZmQE2+IPNLC7BA3kN5xDWtq3KUxQZZ0rPzhsKxBDC5H/VDlBGIy7g fcRZFluOvsI7OqrcgNdAZZpa2KzIjsIeQkiC6iTpFZP52xZPGeMHCNLdR/HVvb4RMQVA9G4TPpxe nHXSM/cBo47l0u8ICOxPdt59+sRnmMS8ssJgncZqGfCCrqF1m0NpMel4Fx75VCU+B1iKat9DhgrY KZWintno+sTmseSOTqkC1ujC3EELAnwooLzRBMKGVajwPtgNjTtWman4GWjoB7KXB9Ir2xpylrBJ eSyDGRZdDOJey1JvFnIWitDq9ltDnbAVMzI7cQBx2YS98JeP9Vm3X/xoKgWFIjfjCQLNiutJEy0k ZS8weoEYdyXUnr7rEi/QVLEVkt1wTGCZ/1TuxWAt7lI9DdClr6zS1MrphziF6cMExi7Iet79wtEE PfGBZgd0KRp3KOZbam3Aqeg5ms6FD4RwTIMtyQytUmu0WdLXsEszSKwDqpjRxh4uHkA+BX66/4CE xddMsOLvoogaWXdPNK4X9XNHhZhzbKEdY9W5X4ePDHs8B+ihWO6o+qr5zVQIsPCwKfVmBtiu8Xyx CxPH5ALVYH9iuDcCWJvdqgD3T4GPyp/9AMmUHT9fn8ZwHCuUe0vbRwVUXA99+YHTuadcLhEHGKUs Irc9qr7T9jhAyB+7H/q8XICQgUPb6NnouNj3tlK6Hqwgp772qjGrzZqfeRZ6oV4rHLUKUa2idgos Z8EaYrn+dnvfnYcdhnB46MMc9f6JPjmKk/cXIZrFYkZI6TUGiGk3XFTNC56wM3niX5L1Y2ZlbWiV G/hYiSEGf/VHE9ejw+DeAOVqDV7oYx4A95v+OMZG/3oHhT6qUDr0Uj+ifOCzJq4s6pHunlWvNGX2 oN5OapE/OdpXUAOFroeJ/Sf64urhxXo2hfDC9EPYQI/fOGYjAfA4PA5Ae7sfM4KkPKbv0XgnuEVf wC1EKySaWg5pCs4mSTAOf8qr1gLMFGT15upWPudicsGVTQcMVlEzn1rjWT8J7ptTniD1JiUH5cPA N0yz7QfuUNSW2jnMRMbPU2EXK9AsPJKv7+KWi6EHCi4mLWpzTpTUH1/2hm2MO3CzDB+xOhbu2Mg2 vUpISWBHQ2yo/8Dc8okJRxUUraQKcnbWhV0kkfYFpk9JWr8QskJfE3u3i+/Xb36TOM0Jlzjtd3I9 wTPqo/htCFkkqGuXgsw/8+lxYo+8gGo9vHXmjbuUn8Uc6ccDldeNayn6ugvXia4M8QBctdkE/7Zb G8DEmRkJ+Movq2HNqq0TkHtsnAXCby8PVqvk4buobfAFfriZU13AZxgFZd3CvFmzwBgV3L1AhYTQ MEdgNFbZemUIHizdGkczwJ0/cjvc3WTHDuFQYW0w+NnL88wvyR4Vq9pGcIcvPWcoFXVtSWm1pKdk BNyDGF3Z4w4EujhkUHOt7kGPQvvMlHspaj9WlmhNawEP1tbtKeW3Ufv4iP8NdUnLP0CXafZWGVOr fT7yGE56zjh/UtL5RcMWo6bJw5cvt0+eJggTQYuU+crpvYB1W2i/BK17gmAn6GhHY9ijp9KxZyfM Q5U8Z8JqV3OgEyNS2XRBCQlRrffAtcr/U6G6YFVQLylr/HqW2IemYepBIrQarLeu1RqZ5v5YUA5i Wg3RxheFzNGJNgBvHdALnxie7BirhRSjmY1wx+Ci7a2lIkYjjPqi23Oj+/qelozBqYJCpaQD9qhV nk8BO20Z6o2JRUNsULN+n4Bid3oQCxf7mtW3v59t4/n+XQy2XqIQ4xCriDpLgV6VZCzRSXfLJ+9u Xc3tdStfE5bYC2zkebxejUtpUKvntmBcL++INoIYgS6cyALXmNSQQUgQYHLtMpGpQGaYhz2x9pWH B1rLcKCF5SErnhtbMqTMyGVRXNf8xboVipshqreqd9MThO83HyJEX+R9ir63cJSoBbQlhlBpSPyC euzlj6TbQvE3kbMht2ryBjFlCOm1Zfx/ZPDlyDr5G1R0n+FIf97MYPHHVudbSPj0xaIUGErjon0W UaG90XCEcYhhjAsFFsqTfAhobl85roB/oLGz7jzAXDpPDfwcHgSQwtPIHWu13/Hhq+BXjcC/7H3m VEAny3UfdtbnKPqa118cdPxcnNDPKU0BSmwd1XeIFZSWmYZEWGDe5o/ZaSDMK8B/0J5ep31UONZh KMKWtgf0ku6PDqljF7LeIuPjP7pkz71hL8RuDJBjgqKFmD4nbWs1vpjAocPgkxBp73xAQn5gAVJr CbWCl4etSEV7cCD8IggEmLleqKwPywzUAmwGmXYkx224aTpRyXIYPFEtYuI0RscdrLCyHIibtR/Z yZ52RZqsCFpVWp3qV+Pl02Q0UxBJb63qbtvneB9owlZawHGAq2X9tRihaACsG8scDCLGdQR3wstK dgUxLqCJA/ygbUzhXTcjX3WuXez5fMGXjU6mueflBy4pFUS13XyggyIZ7UBLqipGBMD1UXd5sjL4 u/0CEUe9Juw43A/0DcWPSleyHo8VHFTSo714VFEEhM/MPZVvvels/L2egR3/oiDSJR0++Le+rD7R JAK4z1ClZZ0Y0vaqszQVgccF04qu7ZaZJnz/sEyK8sIeMipkh1mSwRcmgesFvEUYDQyccW0RzV2o wGFdh0yk/9s5BuRubsh8LAZ15uKFaQh6SPmZBnBv6Fkvqoa6gYMPj1t4PY+PyR9RXm2ogQvYEfJ9 GV3FfozzJf9nAQiX8oAgRAj2sV8yVn++j5RHDG+W09eNl0MYsAVHMCcPq+1IROSEqD0WFBk7KbqR ggKH3R11ChuIiYfqFN0uNkzSDO94dcQVwmfmyyZ+6MPeJ2D6jf5vMsDrRP8z/VoQHksxLEVDCRJ9 r2Dml9aDMhc6KCCN01BHFa1NJA558LwMdwsO5vT7fKRaenMF3ksooaMoklJtdWePnikLz7IrZico rGXKfadi1FbVyZJiSRhtu7/V+8CWw/Vzm4IxTQ28sGFjlMwrsw3fB37RytLqD6Lqb7LWnCSSoZ2t JQxKDrHTdnZrBv+D5PoktZ8BmiDl8WRBayFwf6J2LhikVCv8YGLV7LJQyi1pcgOT9BVAwmtxGPxG V2np6Tz2ROsDvrYtahifHRQy+CsOm7dK7MPQFBe2U+mLdq/GhCXE635Su1u/KGMCojwwOd4YiBVr Zkd6KOoLt8eBJTc2NFrvW/vu+aXLFO/CKC3UqxSIZwiKSbzVLomiHpYG0nt333VqRGPJvmPuDylW 1+yJAdJuxU05rO6H0kOGcQIhxxjCuGAPjhJPZmXfBaOHXetRpvxJhD1doohZU+RSn6nrwXIuMCu6 +IZ8JBqr0FbVS81sq0zTUKrhFSRdlQov2iOegOU/3G1+qsRi4ZyhUdpWOy4U3vqeq4oGviHehRAG t1Z8h0IdfPcC5W4AS05tSrjYekkxrr5IO0UrwV1MXfKoMv9pjEwS9jMdTHx3KVNTi3XE06UW/FLU vweP8+/hu+tQiHsKEtQu/IBmp/J0cbbkLH+c4MNMO4YaoHMUmbkWKcFDkQnFKWRQmXrE1yA6pkN5 ATl+cdg7CbQbLAGv6jf2cmDhTUtK6Ggk4CnyBUINAKNaHzNtQDMA9gUQZ5HLcx3UMsokwHdR7K6f 15JyraLZrYQvLj2WcvwUjwQuhOKzsBA4J2+r+ZZTB4DIMw2D1GGmLiE678xWegIMnyI9VCDJhlWJ NO1rj2lTg3FMQWT2atnqWszqthgiJK4tVAmU02hhNzBejDZ6aZ1yAC2YVKR9T8Dg2AS7xnNRVfUx wMzJERu8zRspl/vzdR1I6GmxoHQgwipOAJ8YpX5GW/A5A28kh7VPw0m9uy8gtu1nEd1Ymg5IOHsB Iooq/O8h/SgbR5QyFC5A8dR8TnnOo56QgZITQlDKwHp/Dr+EaMCCK+1iy4kNLzP/vWMrF/UoY20n mw4w6ycS3ttnNrGkoprOB+UW0zAfvzMVaxonF5wk0oX69leutY8ITaz2WCtvWVxmUe7HkTtRaK6Z dyUi/+/yWgpeVOprNeVtkKMpX/5RHWLW6447NuzgMlQSBA74v7lZ846AUul3coU9r1bWMqR8EBHW YskoBS3LICWrRW0AGVB0tbeDPvSHBggAiHna1sGGEqY3Ihp7aBhMXG65m65XhsOIHA1qBGDAUIMv hrIenbkEeoWkNgyGPt6TcVDGNoOIl+TJYvgHIHTbkkmtTFmz5rCeUL7w9wpNchTtKP8psacIj/Uo zth2PbyG3O3FvL1SLcgarxux2/i+FVV6vV0DfzzRhCRH6CWyilFncEonK6QnJINdTagw4WRq9zsW iI00LsyO07B7d0NZAPzSV4a1d8h7IYNSc6l4Uc5G1lMS6Zw3inC3X36yxY3IHTLTn1llm03Pje+/ W08OQwtMwQQud1TcZzGa0579EunzM9kt5sDlYkZogA4a5NSvrhY8ISCWmP5dj11+oynwRkHCXMNW 3L5+uP0VHGpxgXksi8TpZuhw7PC/+WY3C8aT4qomFghzR8yzi0scBtvyIQZnSnIfHUtG7I1viuVA SsZoo7U3soej9HunVMsWPg63aAHvbG2xQHrPiYKvKWdVtvOYmrV8olXHzye8QhtD7umn3Ve+0pVD kIhnGzGsbXKy/tInepsEj2RbOw+25iyUxF0vcBB+RQJjXqlWn2frG+tjikhBYZnjcMAYCwuTdm37 u1I1b5qjs9AVxNEVBexV+fPb/rEztoGxupepn8gdp0M/nzWFklSmnQ6lh0OOET47KVS+xyeQ0cuV HLveWdfY9Uetf2LvAJvPi2OmA2ttXF15BI/ew7DYVG1UCg16EJLqzk72nIK3Rk42sTRq6AUGaRwn FlEWfzmqoWN//owr0k88CqwiDGjoIQH9WZfyYw9nWBSfqOAOAdfp2V6usUPhryhhyHUfRHVt+uEv C77G9BhYVdvbXAwkdPD0fo0hNXew/EbuWWgREpo5m3kmmjSPTpyIg6jYxS/9SdeAMGSFQ3VWjktj 48F/651gqFQT2tK8TAXFTmRDN3jAGdrs+0RJiMws+40zcFVsS/Rtq6qg1370v3DK//A3dMmfDj8X JnsIUVJEVWXqbIJcUay+O7qrtuofq0DBLpEnmVQeDIWWMFJ8xVrSEkWSWNYSr6bGTqDVeaeVC8MN dDsUgyKh+/SZqAAN7SrCl1TQ8bn4SJEUG8o/UVqbSSh30KevFE2aTz9IwFEFjyzX91MPw0L/56VE I+70AzQcgnx9QBWUtoQcuIeNgMKgqxr3+Rb6RQUddp4hegF9pHNNoYtanz4dlp38OLCTS7XxzB96 rN7EGmMs8ZywoXnOaOnZHA1XZ6x2RQAIhcfjjeOHfmaJ9RdAscwt5mE= `protect end_protected
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/read_analog_io/_primary.vhd
3
253
library verilog; use verilog.vl_types.all; entity read_analog_io is port( serial_in : in vl_logic; read_enb : in vl_logic; parallel_out : out vl_logic_vector(63 downto 0) ); end read_analog_io;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/builtin/bin_cntr.vhd
5
8597
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block U/TEXQjZUaRM/9cgwpEP/LBYfJ0jLWbWRkeNi7iB9W5NL2NH9QolQkR1qJ5lgrxH3yll4V1asg+6 sGUmIucWuA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WHZACpkiDnlCGXQ9djDZpYQIIYvsuuU7UxGXkyZaXRN+rkiqPmodh7r0MHZcR2eglLvvpI0+obtA UK6khoy2sIeo1BIy1jinW1H7bE6QLhgkxKojlZZURK+McLWjsACWq7ZGuV3o2KC5yNiB6q+1MvdU dC7XhouA3JpZ45svpkg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DP8K4+95dnff/lZasMTEi1gqUuP6CfXWoLK3C0sXkPtHmvo6JCoPWeM29vbOZ7/oDa9WYFdwfFdB cbF9GQKv2EN8q7LTB4WBdZU0ehkGcGnHhhiC+VtlMQpgHrUWZ8SJVsnaD7Jh7S5h078SZyz4TuOW Ht7KQwRloOCVjcO6oPGONig0zSduxs9Pvk9v/fcInd9UgldZSSVqtngTl5nQ/fCtjHv+8xjHuXZN wOy5RrZXNDS0v0tsH/ZRaOS0Qxcc6P0folnJTdx8XqMDptdRbTu0peQLxE+mdpPA8c0oxqNO99wD n/e9fg0m1EQ2wFTxTOwsL6AS5rF+YEQK/0tofA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GuK4tPHwUfUSGV9ixlOfwFWcu7ftxSP/AKF9X/+3AQZ/jSBj8yr829fZz4TxW0ZwxxVMdjZdGp5C krDjuBN0rDNF0NKt5HOno5nEEmAVejTa5KjGzQoWAi7kzCQMApJvZLd9vi4PkFcsfjQt2LC/R+jT yP6wAqsO3EklkugH+Io= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GSWDLNoq+Dkh5zV5QPNn+l5h8EmLq4sVXdBWMKs2IfgibuWoAS3mSz42hgc6xfN5wPiZMs/9UPpQ sHYQ4gVExqACJCXlxaHx5KZNmIYV7UACMlu637a8dMnF6DgTxEYYsIpXdzNRhSGNWBS3kP8Px4MB XIbrHud0LYjetuQ5ziUaFwhw7n3FCl1Mvr5emmYF5km24A74Rq5lYdeIsSBtu9kGl7IDI/d5Ve6C CMuiyO8dYzi+4btJbQVpMCv6bRm6QvuBNUUhYej/V2kdqcU9ke6Gwp5Tiw+pY6vdpoe/o9e9c9R8 p4bvBYoW7F0FoFNmI7yJsPcA1LtrVmHXzlsXkw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4624) `protect data_block 3kPL7ncjniNY6UfiWYt7d1+Gx3b2BGX7njJviAL8exB6Qwd6WIrPUu3w+Whci0xyIvebtE2vTu9c N6X3xtyKY0d6QHf5PKR2FwZu0clbHqiA/vbMiN4Mq9lWQMTG8N/jye1TYzUQwmzAsoK1Rwbp4LVf SjTtcjDykRPdendwJET8uLa0ebAq6nJ0JpntJ7Amr3DFIIQqezVzSpm6KsIe4WAuPoEKBCZzGVsT 9X1gufY84SD+XPGmEnJGAPZhIAJgyBq7BJRGXyRbpMKT24dksQCqCuyn/kkC7l6dxRK0Br81kpP8 QzYFpn3lAclgFqHJm1xtjg9ifFG+yRz0XegkRPbsPoZsCCQR2gN7bpbwGdWCp/J/GiONnT0DVYk+ YnX/zoSncrwxaNiQmfDVZnfT5zQaPHPrg5w/NMsW1t3w+YiVNs073XlaFmd4nprZlXQCTEbZDtXi 8Zg6Em5c//guAuAiSl+bt+569h1k1KwBE5SHDpab5nt6WOp4GhPdEOrNkY3NvH7/BmbY72qDb9WL FCxfmnMKabzJ9YH6ixXw2QQPjM9NWcdBhl5TS456BbAoFDBOXVFJNERVBl7LDv5BMqY4baYirzEq N+NebQTZnmoFT28KDhlmV2iezXYXtxChzWtyS5S3HVR2BGi1aL4OWjOh5VDJekXyGZ6J8TfF5B3h FTQmzfbSTCpQUqGiitsGEySh2aCGNkc3U9RzLY8GYLrR+lY42Pik7C2xaNSlrM5R8s/p5LyjQ9wL W5JGZWaw68X2+HmqQ1Mb5+7YYFbxP/27sr5KPuiQ5A8Kl9JlesTd0tRLeiNiRWLhY4Zvtq5Kqg2F ihSwOowHVnVzgOuizSSrTjsKLagt5GUyGctfTxNWcHM92OYNCmO6coTwJdxn432yiHkGukw9NR+y dRIGArp+I2Z/xh1AewU2Yep2tTlOLnoyUbw7tW21fIkCa0pTGDc5WnEwn85yoCplgGlP/MrhAfE3 v5w7PKwYM01cGxs3OF1Rc9ZR/wiUU1pwq/BhKXOZzdm8V0uAN3EAJofxbVa2MeEDuHdZ3qypiNBj WwpYW+F/zLY6SU00NJhzOh55OJM3/5/5ITyZSR+h9w0+rBkghN09yS3WuLrLkkNDx0yDgLJv7vMY Oncnb5FmRPI9MbOp3iMGkP4YcbKZetRaDVtIq9VTlxOSqcZCwRM1e/VWEAJ8ERBHMVsWPEqa0yMx B6TU4xiq7xTZiKXxe35VmX/wysrlofHQh2u9ilvudUfnJnuasAZzOtR2UkNq358DjPYvHKg0DMCz QAPGOr3wkDLSVJxr31WsFHKfaOHKU/d4vDC3Fpcpd90K0lBA6dKqgX04CA3ZI1SWOlNkJXYKc/p8 Mi7kBzTqVl2PfYw6ohy3O9sQ2or0H6iiYoVHRo0qdRZliff6ipE/ZovQR2AqOx2zb8V70RnbF5tu N2dozWot4bmGsynN54iVcLSgXtqWpYTrZPfZjmHIEh6bM/MjBW6lMNciLlzxT21UlhScgyP3fUFl 3Ymb5I/lNDfZxVpeNLpK+PbpI/JjJQTEqQSqCiqwuEWW57/NLKVvdMQGKeYixSxwD/1ZzIAayb8d PO4UTKOYPRF7pM3NTmd3yngTZIG1W4UZ++iu/xcUknMV2izmXPP8wZwihJ9vd8LzeC1PxYSCbCEG JTRNGbe4874YNZBh8Q4W4bo9+FmmQB0wQMT3B8BSN8xbqHBVVGvdxNhzfKtAh/br509qhB5xyEsx gNz21Xn9xzpb2LtutbZ8sGk2hX/Ef9drx8KCJCE4mz6MkjxcotFaIXcpZjHeuLP4Y7cBXVgCr5Yw aUF4vpFlA5zwmL3ybqOA6cDbcF8QGQTsH9dS0lz5dkscktjps4ak6tL1qlS4Eyu78OYx605aMrT6 ZwQ57f8USn66/p6zA8S5gXNa8ZOfDSsW7vF2YPNfnhEQxMIJfzOYzDwbJ5omcxFk7wHpAm1/b0hm Cd7A3T7In/hPzlQvQMR5sRkDxCx36U6RxwSLawyEGS8Lj6SuEc5yc0KWz2cxUN139M6BX1cLrbMl yDKDNDCKufFKthsOiziVjs3ir6NtYKPNMEcsUmSP6d7gxs0KVAHu7Kqxj1FR7Jbd/CqVOaqGH9tC pX91p13UmD8LPAqGfjtmXnll1VM+VVFUSmqLFujlGBRKWz3rID7CatytQZRb95l5WpAgSYYH8Xml dawByXtq7mcLRjMDv/g1ZtT6frM21ozY9Yw3545mNvF3BvhHXMjlLfx76R+aErnWoQss8bF9+NH4 4jurf35dV+OLTPV8SpzyI0EkZdeBXhRMKDON0HEZrjPq+FEotl9msiEVIKyKNKmU0D6nooGCzt3F ucKXd8XSiZ/yNLdMbUXWj72QycShcV60zdgZ4Mq4h6ujPekQme/vxtz5mRWZ1lw1ET7yknA3lccP uliUWnVM5w3hwqO0DHgzxOjYb2jujD5xorVgTcNk7Id77XEziJBf1XaPQXP+LnBT9fvEuaSERwkE TwqQ4NE2BwHyF49mVfPjnUiRQIJYTd38IiWYqDTGzoKCgPCAYbVr2TrGO3X6+5L+MXqM1Xsshjkj LcVaAxSe4tdg7zqW7fiY0QzgbVMEWUWzsnQKG6IgQMPKEbKPbF6gQzygbCtZNPixAx21aR4lJpib jRlzvBbckYv7ZxuKrTY6Fox/Bbj9x+wdoY5nE+ykEnzgILLxXnS7c6Han0P4/YbBj9NQkd0EVy/y O+XuCqC5Ve2tqY0wLj85ddhPiEtpHedg8vaUE01d6T5pDgqGkU7DsGsHKmAFU7kSEOtKb3sBDSro uKyerGBCe1XWersbkExkbHhIt79/iJL6nP76InlhHdMPIAuy6sSeFWw5v2xgVtq4QHdGiNtVdEZb 533rYAph80QTXZeNHQ8/GPWkd9Pvh0KdqsOyvBKptsFhA9tkrf/Hom+IPYobgCT7Ih9lOY+fuugK nNWQhrKT1XsN4dOmk7vyBhGjEwBLdWDAPArNmWjNynL+O/TkssPr+RUEL43ihjCp2YSCIKu6PwSk D35kkc5AwL4aZ9lPVfO4FoBJJrq/XPrb9x6iLT/cPCKpUoR4T/QLePUwkNCqs2xHmPKAENJdnXwe ZmvYQm7cuAEFvcU5WLL7W/uFJQvQhcHqtMWmGyfVXDsC8xhaG9nEjXI3lBaLftptnr1t/igs8Iee UIbqjEJYSCaXxBwyna2vMdy6Nhgj1zGynw+GIO6DztXIRQgy+44HT23pumsQmO0O+yJntmlwWnRa U2bSYXFrSTHMs9cbfXvgLLckYgoTHBtKs+YyBKryCsmtgl1qIL1EuW8f4rPTLZ86wLCVwLTC06EV Jxfk/87UaUr/J64W8T/MW29GIiz7MPvBwQUJrlsgw48AbIWPC2oVNNEUUdH2DG4P01RvHPT1vQ/M xtETOxVac8bQqRJJgeUHAYUQwOw+mKgnJ8SmCZ2uNXfkJEsa1VoCm5HNJ6VrxzDsM9kt6AjWORhL VTjXfI62Z5KaFVbCT6nqO1gnse0M/VuCJJip5egnPl3HMoz/uEA56I1liiBqXyZImVpyHRL2rleY uGQVwqJM2L+OsmTjGWJ4rbxPyIFcWRFEN6N/NM9FysFLnNAFJ9T6xPV5YaKYzZDNNSRSl2Lw8E7d C2X6FOLmUUdDBA1ZBC4lfLuslIY1S9KZdiG0gYgnd8CSOxTQAU3oOrgJHTX+ajMSN3wBKtVEGoL5 ciLZKSit8WOFK8eqfJ1YrWILcCxRKMGehFjvYXfuYe1uzqiUn7caE2f5BdKf3yTbO760ipnpcxki NXIQZL71dNUZ+wyJwnJwkt8vDE0C0Ze/NnHcHuVqNVfy5YTbzWChFhsAHreD7OYssMeWOWGKLbdL lcSXVyiIRjE/ERqNItW+/ODD/7hfKGtxBQszoEX4WojUvnTZX7Rfsl1bbuO99EQOkBKHceVA2ctO L0++PWec9QdB3ZAS6R2W2m1xiPMmP7SwmPTV2YMoEXvfoz0nLtN+OZx4ad8y/NgTU1MO9tdSa0uV oV/p9sZkJXwmjmbSmwOMzi4hg4K6iTN8A2iaTNstXLjiVOB0Kc8JGxy/bRwW3+3Fg1kTfXOYfNL7 9CLf1S3Wy/PJiHz0jVNF3hIl6vVMTjWB2iCbUxI0P1Nd3oTgo1MmPlhNpG/F29+dQy8Jxe42xjgq IJ/pjPJycc7+uiC/gJQtqVIrfnFCzP83qW2y79qmbAMILPq3ebKya2zqrMMoTl0w8wU0neVoh/L6 dx5rFgT0lfj4p1wKo57rq7YB9F+HfuQUV0aFRlYTGFCmb6SevcDAvSedIyja5vXWvHqX9avUNWVI lwhsqlnSPsC6qVeuQDoGR525VniqZfXiVeBcZyPgb1vjlI68BC+WrJAHB9fpGV35ZQ4OWWmhyDx5 MybAJPPnDKWYRaGR9z8hZ7b+0RSsdGiQ1fbOwWsngv3xTok8JuEYqxQhrnkyWRtX2yR/9+6nvd4A wXjLeQY6KBUwE+/Z407/++ohmjyppu406lydP1GQW0okuMFfxmNsYS8VJ5GDdo9L+DUx0Vt95nA+ 4aMKgqHWey8v9sAcB3hTEWdQB5zXaEys4Ewrkj3Y+/XPTl/8YR93wLn/pMLKyWgrTBExRu6CMEbL Ka0pkOET2P/IadcXXH6WIqhc003wlVFZVNa1eyKUBwZ+zi/+RT81UCsWA5GX47zXKtaKIuSVL8nm iCM+knktKrovM0GhvNBmupVB/wa4jzdPjqc13BXTSsYcu/sfhLrtKm7iIk6YrBcvi28RRkifbUiY DvmUPJWo09Y2XmCfUmxwSKwEI/qSCOhlhe1m9chdbmJMKZLWAbejNeevHuiSr+jxqr+b6tDEXMbJ vv9iTSQvGsJAmvO2HJGhkD04i8+t8diF17gkpK1wyFi0sT59b242JyWCcOumf80ZBUOYkMpQIDlg meg6MXL273N5bg/0OJF5Omd8mBJByqNk8R2PFfBCvG1k9BDO+8czTdNN7ZBWgJ08zqsRh/d5weg+ 10GgvqBmYRuydVZ521l2LI4kMRanPrPXXcmxWKC38dNNIHu9RCj7y2bLEl58WU5XotvfjPSUxNc7 YcAMfLAkbun+EE7u/grAOP9LmFo4MuZDQB1ATLiMD73UmyMG37cySS8NUzpLWqCUSMiaeyEPh+ip cZYraPQNK01kd8gv7lIIx/+eWSaZTn2M7bEf97DW0OmD2AvF6PcvYM6XSbUWX6ZxLExKTr1av4HF XMGiySq79GS2wjlgQngzZTUScBXvNUwpxLQ94puO8zev+dne3BevpyM5dggaGxSMF4DtF7veFQQA Bw6og66Z7pGiIQqA/CFT9b8TEZjXcRvPv/ohstsUqXMsbYYG4QAvAxqAil5Dfq5Fyu3PVIG+OFdz 4A6+H7fbjt5+2nUhbcaNXbMTaPldvk1duTGtqJDxod88Rrc94MhEG4WB9fUr1RsPqkVcH1Giim+2 FSj27C7ZuLH4oAy0pIymwvi1cuuUmX1csvNcRSGwD8Ve3YPso9ScH4qlND6bdf2KmPcSy/fNgCao 95UU5WsPAKWK7wMlVoGMB8YjpUqMZqkm6F+tKN4DGhynHigCABm7RhT4jCKvM4jv94uhGohzlqlO 5bZ6XZ9Tc2vs51Wrz0lwLQybAUvbnXb3KFq2QERzZAUnoMMKbcGF6kIrY3ZlhbxSGPojoPB/qbFK Koaja78JdE2RnjklrFWfaOJJqERaWqNlCr8f4KrDZsCzAtQG6BsLAKikVSJ5EVhdhqUFcBaA91LN jJX8rB0+3/WBUsjvpVJtiICqR6wfYMO2bo5i4Nr5XmTwxayfawqzifcqEDZbQGi2qU1JzRgR/7JG 2wrUCWvPo8bSZn0TwU9YUHJiyKNt3Dbc2zRbsNMZzavYeu644BCvvhX8+0lkZ6xKQRgdQRDdLKPu UDPYSAypUB6/t98YpoC0jsVGxPLjwB68OrTDEBj+qlr5cm2upwtWPZ/0rzEIfOYlrDKcuho1biiA Kyr0K33q6pyrUc0B2pubYnfc9o1wbeY4bhtilyku6OncAbSjGVKsikhuCnuqbFbxMP2JUXCbMdMV r8hLjTbyALG5gMiZDPkvr1Jjp19ibEOLS9tuo+8FcZmKUxgmzUlhQ5JUwCSrWI/p9OtNxzdRWULu kR9fBGcHwA== `protect end_protected
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@d@s@s@a@b/_primary.vhd
3
6424
library verilog; use verilog.vl_types.all; entity DSSAB is generic( DAC_RESOLUTION : integer := 0 ); port( DIGEN0 : in vl_logic; DIGEN1 : in vl_logic; DIGEN2 : in vl_logic; DIGEN3 : in vl_logic; DIGEN4 : in vl_logic; DIGEN5 : in vl_logic; DIGEN6 : in vl_logic; DIGEN7 : in vl_logic; DIGEN8 : in vl_logic; DIGEN9 : in vl_logic; DIGEN10 : in vl_logic; DIGEN11 : in vl_logic; DIGOUT0 : out vl_logic; DIGOUT1 : out vl_logic; DIGOUT2 : out vl_logic; DIGOUT3 : out vl_logic; DIGOUT4 : out vl_logic; DIGOUT5 : out vl_logic; DIGOUT6 : out vl_logic; DIGOUT7 : out vl_logic; DIGOUT8 : out vl_logic; DIGOUT9 : out vl_logic; DIGOUT10 : out vl_logic; DIGOUT11 : out vl_logic; ADCIN0 : in vl_logic; ADCIN1 : in vl_logic; ADCIN2 : in vl_logic; ADCIN3 : in vl_logic; ADCIN4 : in vl_logic; ADCIN5 : in vl_logic; ADCIN6 : in vl_logic; ADCIN7 : in vl_logic; ADCIN8 : in vl_logic; ADCIN9 : in vl_logic; ADCIN10 : in vl_logic; ADCIN11 : in vl_logic; DACOUT0 : out vl_logic; DACOUT1 : out vl_logic; DACOUT2 : out vl_logic; AV1Q0 : in vl_logic; AV1Q1 : in vl_logic; AV1Q2 : in vl_logic; AV1Q3 : in vl_logic; AV1Q4 : in vl_logic; AV1Q5 : in vl_logic; AV2Q0 : in vl_logic; AV2Q1 : in vl_logic; AV2Q2 : in vl_logic; AV2Q3 : in vl_logic; AV2Q4 : in vl_logic; AV2Q5 : in vl_logic; ATQ0 : in vl_logic; ATQ1 : in vl_logic; ATQ2 : in vl_logic; ATQ3 : in vl_logic; ATQ4 : in vl_logic; ATQ5 : in vl_logic; ACQ0 : in vl_logic; ACQ1 : in vl_logic; ACQ2 : in vl_logic; ACQ3 : in vl_logic; ACQ4 : in vl_logic; ACQ5 : in vl_logic; ATRTN01 : in vl_logic; ATRTN23 : in vl_logic; ATRTN45 : in vl_logic; VAREF0 : in vl_logic; VAREF1 : in vl_logic; VAREF2 : in vl_logic; VAREFOUT : out vl_logic; GNDREF : in vl_logic; TVC0 : in vl_logic_vector(7 downto 0); TVC1 : in vl_logic_vector(7 downto 0); TVC2 : in vl_logic_vector(7 downto 0); STC0 : in vl_logic_vector(7 downto 0); STC1 : in vl_logic_vector(7 downto 0); STC2 : in vl_logic_vector(7 downto 0); MODE0 : in vl_logic_vector(3 downto 0); MODE1 : in vl_logic_vector(3 downto 0); MODE2 : in vl_logic_vector(3 downto 0); VAREFSEL : in vl_logic; START0 : in vl_logic; START1 : in vl_logic; START2 : in vl_logic; PWRDWN0 : in vl_logic; PWRDWN1 : in vl_logic; PWRDWN2 : in vl_logic; ADCRESET0 : in vl_logic; ADCRESET1 : in vl_logic; ADCRESET2 : in vl_logic; CHNUMBER0 : in vl_logic_vector(4 downto 0); CHNUMBER1 : in vl_logic_vector(4 downto 0); CHNUMBER2 : in vl_logic_vector(4 downto 0); BUSY0 : out vl_logic; BUSY1 : out vl_logic; BUSY2 : out vl_logic; CALIBRATE0 : out vl_logic; CALIBRATE1 : out vl_logic; CALIBRATE2 : out vl_logic; DATAVALID0 : out vl_logic; DATAVALID1 : out vl_logic; DATAVALID2 : out vl_logic; SAMPLE0 : out vl_logic; SAMPLE1 : out vl_logic; SAMPLE2 : out vl_logic; RESULT0 : out vl_logic_vector(11 downto 0); RESULT1 : out vl_logic_vector(11 downto 0); RESULT2 : out vl_logic_vector(11 downto 0); ADCCLK0 : in vl_logic; ADCCLK1 : in vl_logic; ADCCLK2 : in vl_logic; OBDIN0 : in vl_logic; OBDIN1 : in vl_logic; OBDIN2 : in vl_logic; OBDCLK0 : in vl_logic; OBDCLK1 : in vl_logic; OBDCLK2 : in vl_logic; OBDEN0 : in vl_logic; OBDEN1 : in vl_logic; OBDEN2 : in vl_logic; ACMPOUT0 : out vl_logic; ACMPOUT1 : out vl_logic; ACMPOUT2 : out vl_logic; ACMPOUT3 : out vl_logic; ACMPOUT4 : out vl_logic; ACMPOUT5 : out vl_logic; ACMPOUT6 : out vl_logic; ACMPOUT7 : out vl_logic; ACMPOUT8 : out vl_logic; ACMPOUT9 : out vl_logic; ACMPOUT10 : out vl_logic; ACMPOUT11 : out vl_logic; ABPWRON : in vl_logic; ACBRESET : in vl_logic; ACBADDR : in vl_logic_vector(7 downto 0); ACBWRE : in vl_logic; ACBWDATA : in vl_logic_vector(7 downto 0); ACBRDATA : out vl_logic_vector(7 downto 0) ); end DSSAB;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/hdl/builtin/fifo_generator_v12_0_builtin.vhd
5
55499
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Iexpss0RUTco+vyA3yLROwgO+5v2pur8nFSqf26kW6VeFH8kesWRABsxXJG5I5gHmzQftxOaBWZ2 miSdf7B87g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HsnSgo1Q+MPHRPOaf++RGMN68BSS9uOnshBY7CUnJqC92dBiAHJZX0m4yHJ+wp64ANU/dTku8DZp 0CUDHte3E/nzfzlOpAb4bScwr+4Re5vqM0f2wMRuxZqmHo01CRkWym+73Qp6ypM31hKK8D+omlDX 5KeoViww+8WNeEPvc/E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nauM+SrtCBUMbgauEPy6g8d+W+IciYPJvXUjn7MInGqG28UJ8n74bcGFeR4DyG21vWOegsM5ud2F P1rKnkFwbZ6AbX4DXpdOCcfkBStGt7wpSWYCmiJC+tUMLji+aMnye3LcRjab4U0tyLZnLru5RhW3 L82Phu8ZZWSbA6JaTjpu9t6wdZbyZyRQnUflaIo87Ly6GKz7/4vGl6NwRw1fbbEePwpP5/XR8Dq4 Ou+LxBDj6LclKitvuqBhSacZZTsLTCyNIEsLjWvx0cxeeTVsfhrn+eVDh1Lt5KwZUdMhfPb/qgSS 4axJbfToBwFei/c1lPPAC1yMpmsVQto24L2kQA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block wFyfrlxs8YewConRHCB10oL3SdlLIEEcfWkEF4ZGevncyMVW8dwA6oYPwlqz4A5zzU6PojTb3xVs wr1eV1uriVpV9XT7errwMbIGqSWKfsroL9045b+ONh9RXvwWtvC3G5GBXQiNt/U+q9mQdt/m/4CN 1XrMbWrk77wKf3zuei4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tuQoLNj1IYFLAV6jKdU3j0zitXW5Rv218sCrB85sn/S9y3qgrKAEh+cCZSSr9fEfClQFY/tRvMAg lMS4Ww/pau9q0kk4rtMaPaCLGHQQ1kYcB8liaKKkHSt5wITsTEsk3pcZEuKpj0Ozll5O9Qz3csqI bxmUBjMOG/demQYN3N+OYd6aAVTDOA7HDG8g5l4mf0YSCBaQktGIR5J0MU+qL4KZ0hmu9NoAfeY9 zcjDtOXNUBGxhknRotWQShaEMbDZFXC5JYWj91rm8NyrcpX/eo+rLAzAenqwLyGoDThPW8+F1NKb thPxfnA9Yde0TJo29YbZBRozuQOT+AkXhVLLKw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39344) `protect data_block r0wVjodx0/lASIwrSefnB3S8As/0z/LZYuD//jVMx03uXYM3BD6zptT1k3q4gs97m57+Y3JpgoiU vXNM3VLd2t+l4tZw52J2b8rYtt7E3vWBafDv+YyEPD78Mjk/YaSq1YDaZZfodkBo+oh7C5quffnq FuVIxQoqguQAgyrrNC3lbLMs0xsJa459Fg/6XtLW5OjWJJowXGz8TBZd2GHoplokg/drf42JJIvq a3GGgYh7Ii24NNrsIyJ7qbsCU8PvX+RZ4KIckT8YTePWFh5BqWRG+fgkX1NS1c0rH8gacALPX/kx EboIzDa57bkgIAv+2lXWesHDaoO7x8h/4nIfdUsjwBKB2laT7/bcBzxmV900DUIcy5hN1Kamq+wZ bfz9dtFrKMW1lNqCKiSPApwax4AGe+MKCfKecmIVBeGm9uY+7kTxc/mqEXOjm/zzbz9dFiB7GIrF lDnEPHynx+c1OEeUp5fvHgTkcTIF8a4hn9HzUVKWZWQJ/tmNNWc4mB00Zda+h3wdXYbwFI9dDs1t wQOVI5LdN5G29gQ/SKFil3OftvtNq9qR8RoHD0Sv3w7DvkA/tYeg4rHkJSzynaE/FRwKgADq2xM9 sgr/Dv5Mez1g8MtAFad8K+VQEx98NavXtn4SdVQ+rZe5BpWzFnoTRTWDe8DrBz/RQOuJhiEeCatI VKUjJYMrhe2lRshVCJoY3duywg8oKUvrOT1Q8KoezFwlWBUJWQxuy9AKygtTy+x2oxtvfdbxpsO3 byMMTkA77i1afx2HwrEBGK/d5045fPwpGxWQVshGu4RfkqOk//Q0ETnka5o1YMga78hirpveGGE/ WhFHUkj417Z0MFk2yYNJRrlr1dBZlB1OcAkX/ox0EZiARzvG2R4w/W+P+vPJOgo+kFr2fYHRfeNw F/e2xhgi6TRMQqpmi2V58on3DfkEZ0pvAKFsyDIcQs1UnhRYp/BF3s8g4z4GPctF1zt6GcjMFp00 iVmP4FupwedARmm0LHRwB3wjgmcRx3KyUwfd04RhtAZyhxV/+mP+kiB04hP6OqFyltpQnQ/9v+sU TVOjQBE5qxbCe3ewaLHWadGeKpOopJ3xVFj+BncNiExRsRVPJEUXQA7cedXNspaeTehslPXdkCwg rlXpUxCp6gziwV7frA2BOPzSkX7DSHG7sn4C1EHhqs8rxOYIjVxUMz7Z+83M1BovLrCqLZegx2a5 kbbUa7dWTs4Nmalsf5MFf9n3k2dc+TM8sSI+8k0112gNDUuLM18bS2mEAG+2lsuypu/dswHwgiK3 EC3AUbMlbBQ6r/VPRUpgrxGb+a4AeY5sGTjkIK9AJ9+x8LsYiapU860WXUzo+KnzIEzY0Jr7vHXk T+YTboasWdNdbHESknGQWdpNCmLWNhAhWJAlI735AdftkOE6LndqLtDSACYuUscr8AxR1iZLC+/1 /Dp0ZJ+h+HZDVXs1/YlLGcMWq5RWrGoUExTtSjltZgA/kRWTs5HiWQ6lVW0ANvflyjXWW3eXCivX InLRRPjZC0FYwtyX+dsbcs1bVvL5h8+srCAVOR7qw80TGGnRgjVBJwtUdue8QZc+rNiqkr1WMjYQ Dot4FYLjBX8KXKU0FwCfir1AglwpHBbq1ibfXQauU8SoPjfLoJojX454ixr5z+gKoBoGCo1BSLxV Qnh1GM71La4P0O0/C7Dh3fUuBOzSM8l2PG1OLFcsvz4iySD05Y+suaDbZ+l5hdSIgZwT5WhlAaq/ QCVLe5wFERSU9D0890D8URF2zC/ZMtrm16aJGR8K8tTIGaALxmgUE7BYAejA5MfDBJZUkRbEpxpI eUcXmDPhJqlmk/QzZdIZ1aaCDq++IhqCrFADTrXLfqbRmukY9t/pDc6zCvWovXqW9ZF5dRheFcgj 0UichBkjEnoLYMJ2aCDA51ypODPYO1X049kTcY2G6bXbr88J38ROGZdABaG5U1s2G0UYmLtYQmcD 81m7zwgTtVXQfyPXH7UBBnOGIKkT21TuAjaNqTrzaxa2KO9JXS1k8vuYSxJQ/u6N1kigLbDq9aFO +q7+e/Nc5Y3ng3Tlv++GGtNhI2Dt72tDpknaMpbtZiDFeqFbCfTj75affwgranQjciK1RrCyoZYk vfZKnQESgZo8huTyGpccGQeYsVsVvB6OKdTvy51a250RfEje5lBnBNfe0+kynqIiVmnIaSqdG5o9 gtArd08km20tI/sQceXTwr31Eh0UTdHpJQK0aFijNk2UKfNXEkoulc1kif37M4XW48ev2TYeg1+3 PBRA0W643b1XdyiKWY1GTwjrdmGApbZVUP+Tv3obsTAAPwCdObY32WBK5xwwh/qkBggAvMJwOQjv d66Wv0R2nwZuggnVMFwHPY79ak3XFJprPDkPQuwiJoMjFpCBBD+PbbIzUg3EVvCzaQkSWJ+VdGgX Kd6VKMclA/BYYVni+VW+BTta6p9a/1enaxOqYM1meC4IVehXnSmCiYp1ZpYojtwC9smHc8Y1sIMv 8LpyVDbpHJdlTOgHgxzw1rYzh/gDp2KsjfOWeZUsO+DgWzEV26x4JAuu3sDAxgyx5svTNXmaI5ta EkxPwNzA5jR3xaUgh0OM95AdKRzQTOI56Ib/VqXNJUPBKaGe78pTVMVcMgHv0p89F843zmbKIYoT HGNrtMx20DtXRCbgaZBge30c2mzNPXwehN7iz2tEfUKw1EGY5OPn3A4Jk3IioefZOomxrS7TlsGZ kVxmAU4Se/4PfB7+aJMWuwHOyG0XYFWyDlTgSVhyVve7CDYXemW2CiPsfmq+EFVVHdaUgZl0oW/o ltxcYy1Eh01Dp/1uo3ZSPbqY0+oboPJgsmF31aNoxchxPpYEj6YDD5tx2ImK6QH6YEhR9E5bEl2a cptoW2G/Civ1Zz3GL0+fp7x1KwsGJElOJ/fJCtBo3OXsGkq6aVIb340ZmovZ3nI8rZ99DC+hGMRP AtkgLkcOsJEEeBtD9bdW48i4PNaf8T3pVpgFZH5bg10PFA+BLFDIcFWXJbsWPepD2+J+R71D2Q9t dXAud7r1tQNRrfa/exfm00cNjCCHNfc43pojL08FGvaH4cGbot9mHpmXRVZdJx/e3w7As1GYkYrz uGzKsanjS1rmYWZJqbvphtY/e1/knQj/Q6z4GqDWXBc9NrcplATRcVLp5/q/zS0B5JPLGOSnKmJA RwHAw2DF+NN8Wk1U53GRm4kAXQB06Ceo9o3wt5MY38eMycOzRaPYMlddMyevOqE7uoQQntN+6wUp OGR5nrCCEs9TqPj5dAYJIbDbPMMmTEnrIlnsquhiVWwthmAq6dQH9V6b1S0+qLOjEoTaxnPAY8zm /gTyS4l4vAqK+eTByHBb5fjNjLaoWOIIRlumOZqDgQK/Ob7aUfHoMwDnpuTKt7tlywWLQTVJFZPz tH1cQSNLAPUDV9/if1yNAhfRnPR0m9duZyAgbPmlXb9JArzffo9MVHxOyhRueXEf274yJ54/KLbZ QLHWZQRbZ6fRrQNdE9NMm3xq4f7on9YJIZP2Iw6zrBwqaMdSeHCYYbnW8fVEuKX0XLSmQIx/UEc0 tQzJoYusjsHbDcOjldLHfcdiy4MY4wWdvb3NgBvn+1nYmQTmGv/XF7o8GYu4oRpGEKgDs44oN/pZ o7CTq8GiyU+ltcIn/isuaFiJ6wi7wXyif+graTMwrAi20ZkhH+W1N41eOLtIAVVOslkDeMC75lcy l5sTfpoop1X06YjgWxhY0WWEzrEE5qkuHOwOgkYEo/n85dda/nJL0xcT0vgHh/JpzhgfZj3JkPQe yBg7lsqRQr8FUzmuKOJNx96FcInhK/izFY7dSaV6tPniPCab/kQgKaDg2LlC78Q8IUNP0Oc7rk/l ve44k20pIje6CXfBjmrfzPGZ1f095OYPYsKNVgT/ocvsr+AGIpOtcWWPzlxZji51aacoXaJOqv9C o/3MVbUWjaes9Uj1b86/C7HddY+XjtC6NtZpKaUs6nf6r9jlmq7FHs7nGP3G6z2vi2R2xymtZvlk lb/DmuCbXsEApu8GOShVmPonXVcECvq1CrRM0lgKUmTKl0IUstzx1qoN654luP1K3l0MkHdMnDWM pzvs3CAP477wQkPHcQNSASiW+pmB+pnuC9if0jEUA281gHQe6YbP9JtKVU5pdS1pBjHYmEYFTuTn GMZLFJGDLEEPfRbx2Qtb6miTohrGuVlYQ9iwXbyP6kscJTzxUCs944rSdca0dB4wEtHJWdsYfyuo zr1CcYyU9QwGWiH4HfBPdI241nzQZqLi2sC/goEhIQSMjzlihY8HvjCBB2w08Y624g1X5lGzBU6s D1PMTIH/WLUAhFa+lFyhN0BzvR0eWnq1YMx7Jipd8ir8qv85xHTa3VxmUpDj3DvRGG+5tObxHfOJ rXrQABLaeXfPj7YFiju2beGDs4XQ/Af8fOXZJSXnDB/OfCECcaW5qVmoOko1Qrx9Rxxs9BdGKjEp c9/zpl+XvTTRy1pTxgxuuI0dTWoWocDp80IJzKxVeyKrzIktDWvnrdmqa20zY/LTACATE0l8d6Hq s37Nsy4MBTbGiGB0ZoV6Chl0Ol0uLAYQCw7SaSeBLKy/EqJqqYY88QBB9KIFnIBB0tlhfvuk+jlp mJbWuhn15HHDKr5Sxsse0EVVZeHetEF0E4rSrfujTcfgTvwQQgQjW2VlTW9QM9KalW3U86zas0L4 A1cWWy8nFuxADCn+b5O97bWTyiZi34QGPF/l+dX9y4xLr46fUdmWAs3GgvK1fWBbmUFja2VvXDVP v9mq1OyufNiuzJURVi1vWMPmll04bT1437AGVG2mooOXmWydys4hTvgaO5jB3Pp/6kg7fZmLmxya NvY1M8nQscNg1w6i19mUmINJYXfo4PCNB5AdTqyx600SQhLi1YR8CM4nCCqw3KQdM8eGOS5Busbq FJHBjByYbDUuBHaU7oS6kLP1Rh9xR5aYVtURKOOEtcVfshTxZwxJrEbSNJiQejpK217UvYwzC4qZ ChJoeLSq8miUYNA7uRlBhsaIw3kdqH5mXS8olC6rJIjg1jOYEWpkM2Hv0Ec0HomYeFVZSzR6rCrr 0LlrbVdhS/rCxOueIenLDfXLx6dZJDcUqkDK1vod0J/iWBsRNE8Xm0RgRXFRhKm1uBVTq3WtW0Ho EzGC3JvB2nwRH3NDuqFLtWjsA0iScGHCulwBAE1LkS6ITF0hI8H92IuuCjfN8b5QRMr5KNPcmkT1 izy0ZCTrjytuhlL9wOJj7TeChmblw7sVBXulpYPmCinMjcu8XJ8GC3gC8owrZ7pk4434BU4tCRJu oUqIbhWJ5buRFTF/Cj/k3BJK3QQBGabUd2yD8D9Y81qEMIZbLwRPueYfGRUYm0IT7+fiEcqW2brM 7eqpQITIlZ0inB7oMyibNgnJ886Ky4j1XLt5QIz6WWa/rHZs8x1ihkCycP5v4J22mxQH7hjMW1/b torZTxHBfCQ5Nsp0mprpbbs97fxo2iEsTlcjul1JvpHjsv0ozlXgZwmHfz0ZiNML+QG7tHbpK0o1 A7SAtOKSh6+bwmiBs1dWSr2/nT18Fk/L1X+983OnMoQ5DCf+Z/4Qe/RQtTexSeH7mKdsZbSWDz7k /FsT2uLHuJ+1MRHB0yxFmhv6vRAOU8xSRQv+/h8NmLf5gz60djMV2MtIV35T04FoAKilDinMRawt zKXzFN1cSmQBd0Powmen02NLfcikD4oGU3GLmkH9OkvptOgisxPrPjoJoQd5er4Mk5em+6GPOUhG pytxgYjQbH/08/CWvjBtTIzn2gRveGmvlcweaOXUfOV1wE8gUzLUXfrZe+DG+cbnucSDW31l0Zyn 20lVOUbBHbFsV/1+LdRlqBkztw2Tp8a4mHvkJsUrb0C2sLHN9b1h1QaWlkO/L3OkZD6IUIE7t7ok J5aGnK1XY5962XbtF9IGNape29MzajTmsuzh9YxG9oo1bvpIuIhj8yi8YuIR4we+qXX1Y86HdIXV hPftjAGIyJtka+eMahpUQnLJhj53cr9WlTU3PNBAcl6LQYSd1eK+W5BZ+YkgV9g5u2UxMTYZhHmC wYPm7HslCRu6BP1Br8wDnnDWmTRAfph5jxudU+O+WUBKa5Yr4WJzc553Z+HemmZ1SQ8mU9Ntw3AM l9+krEoks26EOKMW9vWF/3FACfCJepQeoimz6e/0SZB2x+fo4EG2SFnUypep3o6bZ/lyUMJTDr20 VOsoPoqWO2bUPTgcBuZAhbAF36yke00Ga9qEOqBb01pToM3QrB7h4zR57IKWgUWprnLlWJxU3tzq g56y9atUyhWtOXQASsoGPlIb7LTcEVTAnF4uJywnIxpEasQIjTp3ru9djVZj0omvVTKxzM36XE5R Q463n1EdNXWplaOYR5FQiihUvnmHz/cFoME4sqH8s7hLZXFkG2T9DB/dsbwBJKEb8kW10R5zZT3D 0+8vSFctIPgwav40simQzgPtpyALi/aT/s6bZTtudA6U+JbW5E/adkEkDRZ9mfqC3E2qcdAJ1z7L S4supryaBw6nkNEjMRXprZXjCe9fIWTvfUgJbXU6hl3Cm/fDlT3TddBHR5Zxe3cZtg3Uqer/yRB4 qDqOCUJbUi2vz3zdIt3QjBdNNBUuTgUoH8hzkWgGJcyGiL/TTmRQQOZpww6rvxix9BJ8Z3Y1r2nH y9LHtVyaxz7GsyG5CmLxSezf6WvnWUtVugWfqdUfwpARC2wzwRnodSTahaIc9//HUFmZnSO6pj8m 5L0WR6EP9LLE3KxG/awoAiM9OJ/kUrovTkp4MUvkGPuOITbvSDMuz6zUuQJesQ0xWv/5+5kP2js+ 0cMUXcOolxHSX3m1oOV2xSKeBcdiATaF1sH6m0YVq/5eT+yCKoJMvDOQNhewcLDSsi5H4M/39zpm JQKF/LRYeb4J08WpXnvvscygnMrUxS2h+y3fG8XGW1OofYhCjcu+M886gq8vCwXrp0Ayo0nTbNhG HB/UYSd4i7sVy0c1UoYMLMH8yxaJUWhMz8pwZTpJriXEL7IoGICRwzD/M9m8O2t/zUOCXNjt9pdt +ZiQdqJ8Ry3fBZbp1c7jfyxInUy0RMiVDTZjl3mJA9dx/7dvqvoyWGnGWF5hvqxnm1aDyT+pHwuj XV3YiwpfrNvhwqN9PfgpOHR+x2Wi5Nv1WzAbQADLCNkVmFl31G4HqrzDVXDHHfBfom/oyECLS2zM yB/moMmMErERrWsAKtDsUVWn1UQsUBCL7UnjgWZ2+hpcHcVS1aFeOrwrq8Aq3sfs5cEXrw7YxkQO AtQoCd0CMD3QJ6+OV4hPNIfRirXxsRLP3rfqbyiJbh+XbSCnL3KHT6GHXPtA6x8tffb1LWz3DChM B8cSn0WMNHDXyAwOWaGFQzoYY0zQFjQHM+NWM0FAbuCcxGw4tHFPiKI7JCaq37N2VzZBuc3cwZaN LF6pW8bc2pdlpN5zbtKG3PKG9WNRKIByUddkadhUtIS1LIAUj1XyQfsvQdPoSP6cteGy8Ph+BJ/n uZpz/ZGkBjFypWBwHOgf/X7sydR9NX5j5Uftyc/wCyz8T4wH1l4hQvnOAwiZbohslG3xGQUio/m7 ov/Lvofk/z7VOQhQrRglRapgnRH+ZhR8aSFn6IqtUQxyf3gEibIG0iVhutidA0sMkCdPdnGNMSGK 3eLuhUrBEfWN71nu+jWCQqsvl3akwkPyqxD8u1oPnX8l0cO3nGgyeGW/J/WPDQcFsJh3gDxNN3Nv eOlL73AuUjMcgzV0Tjr0lfxVCGxT/ohwDAzULx9P5miPwg9ZdttiT1crnZBG33B+0hIQplrVwFOa 6u5XpLvfO8TY5rdFktqhvVKevg5J4t9mTAbXTLW3r18cp/aAU3b/UEmePe+PWr959w14ehjSzafM jN8LYEpSEp2yVHK1Og3YKak8/yfYDmVMZx3OtGTGTlIjmEFTZjaXQs9FZ09S1F2ZAS0kz5RDCel6 b+h9nueMiyX8rBHiJAjoxZnNp6JaFGGFwfNcXZWgsj+ABynP8Ft+1hTaFGpoi4NJ1khyo5kdZ1dd kiJGOnK/JkKWnoMBT2yv/4r9uJUmjbL/L6Bc9vxAgWaU3FGdCO/sYd8zTePANCy7RdDwtgun6BMU T5v+fW8V0pPtQTisuRZp/aCQr0LCp5jiG27c0+U0tnW3DvMPBgjP62KFWX8yWbvlJCk1SE3VsLtv IT9gqlMCVf5fAVBWctCRFN/5xv7Gr7hiLjlGxno8igjsoY3GWY2JbKisi+WCE844ghuHqRIQ5cgW qntOz3HHox1zZpDFiYNUk/Tl5JD/cPTuPdhMht8ya2hlxBYNf+SotxDQ9kHMVdFmMprUVhiznm0L xGIHEVwmIzxfQM+SNyAZvjCyWjxZcnA/ApwoU8VPAH1OOOB7EzZeRHmE66M7MlDVBrCKn1Nam6VD N6SEbQP++jEEtIVnE5drVCr5VEOBfZwOYPaRzyACDCUeQEJzDgBBGZBhcKZ1HLcbVBibuKu+I72i 19inO2wKFzVGE2H7Wph9+A5wkQuTS7rdpTOkKi2kKEtd6cDfqxEg340s7XWfD0ZDldDb+S1hUt8i Z/LBgyF3zqdQdUcfTazebWIoWP2YQGFdrgKfhhasPWkqEaiidvTG5PgoCe5prsyQD8Ys7eQFvc10 2XUqFCHKlF1kHqLfxhpf93/vfMu0dnMzO/cY9xPSt66BSCOkNY1mqfDMN6rpONkyeNh9TQka7KMR pnnDsylAINKRQ8m5Z7oe5ebE5SrcSWcXt/+DVkxv73rgPC5wuVKierUF/BA2koaIxuV5r/3sK9Po PIYfZglAb0b+jHnb3Rv/xvG3dUNjjl78mtEPoP64p8Du5GUvT/pF7Rw9ZuxnX7WOH4j/eGbIDNIv +SDECRwj+vzTPBflvZ3Q8ErBkirL9N1CMC6b4pvy+tVYQD3qVsXVfwc4gokDLLyxP+4JLHwlLrcd Flu2YcorC9WyZnxmmnI2GRYZcPlh/8zyub+xqwhPXqACbrQg9VhAfD3c3wsje4nIuNUuEWbGtglV vi4Z3reIUMsSuWI2YNc0mmQTD/S5n5IAJJ5BdnWgMCwPE+lMN9GBEtIywUCIZ0ukgvDwC9fNC9aD gcf/WzvNQPncmTXVfIVQ1ki8J2hSAz/dbcEeteI4meLlzA7QUzNssHx89LMH9bVHD6nuSwf550mO M9bpr0xK/9GqoGsShoY3FG4WW7FmMrkJ5Kj7nPzy5bo4FOqqAKA1EOhgZ8RcJf8Z7yJTx+mG8k03 9vgP84F3HopwCRH6lzpUy81cOskej+Jy1+f7ZyIAuyAoIDtYOG7bG7js9lgANpJFfXsXza1lKisY vX3fhWW/ym+PB5Tnz96EmIZ5EqW2XtnUPbArTdqybbADoga8ScPkXBQSPWkj0glXrND51bA/SuXb wE2zkT6YDrNhIgF6Xl0/US1LmfYoLJ0n0mADWHLk7nNSTTmoAW5jGvtr/eg8waUb33dgFUzM7BGV XdB6tFtYGfzFZv8f1a4M910O8Xbsno82qlLt8QGfIyBdlUd6lxC/LApUhQyKf6PpOo2HjzkG/2Oy GtDwiRylauA4nVXnYBafiDuAfcIZLnlVH76PU2xGuI83L7R8ZnruQL47gNmUZvZa4/+E/C1tKR/h UKeI4MQkRs91UFjVoJUldWLE+E4FthUkFp3bVbBjHKSzD2/3cy/FhCbmZQaWfY4pWUbQXRjXmPeW 5aaFIDkxET6I6eONPbbJCnNNpHE5tTanxhQo9LEGeX/BlNYeI/X/BAPKgyRDr59YYB+SK+OlVxyy QYSl2kMhtMV6colE2zrRoM8DtgkPT4SELafQidsNfwW+lwQ/2v4vsa+EqpY10PL9ka3QWmYMtTJu RsrMvGEG8WbwGG892h2VVvRGNK3XKOA8L4+o3qD4zF0dyjSMR2vtRBvt4IacpCRECFqGUNTPpVXS G/jnOxJ5cQYt5usYA/zDwuds3CF8USCcuvfuuxW1ExNPW5nfzUwqmd9UQkSyQMoGBl4U4BgbFBOJ tG50sNAUec1pFc2tjmtcaqiKTc9T2K0r5PRCZDqeoi1sxKezfzfbvJCOXxg570/V1PmqGMk3Ojj4 hnZuBmzhMPQ18Ev0Ns5z6Gtqhjao2dMEgZLsGmbfYh93ImVRB9bLeiYa48XrmOgz4S7TUh9eldWh ZB1q2i3T7tqNJYKAYuniF2q11NlwVCOULfTbLwaJ8syphGId0SzPf850VW/YMB9OG1omxY+oRGS/ EJi4k/YZfg6euyUBbxIuphJmYsr3FL1YviYM7PLBgRiDD08ePHyjgM6kIcbkC2sVi8MLVjBiMIdq enCV77WB4RinrdAXzCj4RoSnI6z5zZ/Mmdn01fJj9TYtm35zDDMHBsMtXOwpFTZpoL+ZC/Qzpjn1 knxUZLKKxy3OXr/2pEomkQ838N6uQRec0Z8urUqK5vDn5epM+VUPqF8+b+ME68l9nAD8bXYrayFr +vVhqYl545DmNjkNwkIS27BVo1utup5cplUmWXCX+qAsWbZS34pND/CXS/q1IAmkaED0QmJ10VJB v9emzx9g41fQPA2JPkqoGoaPETuu0ip/NGEBKjxZ+PNpYDQhlgQFtUtv4KfALaXvezj62LJyPMuK FwUwv3RAGyOWlgZ5EZiJACZXtritKHkSy/i3VSWphbTQ/TCwFutD9cfyryf6tmHC6c5Ld2jVhKh8 oKC26bQr4nUafkh469VcogYX3vH1hCCmc4OX/gQRhAOZqsQkbq6ziV78mWj6SGgaxSV77YVQcQXN AwY+QK4j4DZ78Yl7OBCDiHNh97RmvwIkf0IcA1qS/vGCbI4M6MVOBA6KL9KVOZXJJ3U/8/ThaZpR aAP2QXFC8tpLhP2VU3Ueg1jwf5ObUDrNwT+8NHpM4z8RXiHcnhmfGYUW484nMYVLpyUwX7UtgFhz U17SD3U7gxS+3MZWN9+xjGCpKjOkxEp61W53JqMW9qoqFP5oZ80VS4uQK8MobOJl30CXJigfLewA hHhDSLYxuWHGqHCUyTHREsgPTtP4rrdiwukXaj90UgyDj3OKv68vEsv4y89nu7w40/ULmIwffhPL qO4G+lAyfLh/+uXop9bdeXwqVw02Uvv0RxKpxsWs9oP01UHdPFGWnO2xouSinhq4bBLa4Cx8dN/W Mo5zCAxOQQAHUtYv7JAT3RXefSMeC2GKlSReZWxaeQ8jAsaT8JI7nse9nbm1cpBJBPmx18e7HM/y uou9+nkbokXvQMPmWoZdgX2+S2+rOZjtfrq5Cv7EYXSed0yUL1Vc1WoQ/+piDgX+qtaoSiwYrhJ1 tVT3ANcSU9LxcAgmAiKPwjYiktT32QyyiRJ5653U6FYeq1YkHurQRBFkYs4lKW/26F0qP43Z2Dx9 TYNagrROOJe2ZjYKhpus/VuJNAK6l+Y8xGf7MBdIQLvxiQx7flwCDzDqdX8HlPabR9L/3jHan4ZL LyZmxIbdGCk3CdoScnOD2B6W+GD73+oNR0fB9T1ghYjqcnB0wSmiLy0w901QxCtNc72ik4A4WC1/ nb9OONzR1qbT0a2WDn1fSyq2IQaG43Rl9P6y0D0tyhgSSfo9hVirgfYemAYt19xjNMjUruoen2ut 1n/wUbyroddfqkQtuqvBCqfXxpCmpn83x91fbI+s35Pi5RSEGIN8mTuAjucjRmqDqMyACNe+RF0T Mt5ktuC1eTygK4Fe+1ymtBWS2yp3fF3EdFEEmuyCZA2KHsf33034YU2+FDhdONptN3ReXkqcC4/l qV5N3IgtKKDgVoZPOCEtucPMV8tH+ZI1YHwTVkQqSObUfK8ZBUx5FRU/jU9CkUMj9fouXdmr0nUK Dte+0/0/4Nm9dwiCtaj1++hCC/zrmqh/ph8ILuo4QPPX+fp/l5v2zHjSc7egTdFowlWqzX6y7Dnq IwG4wm9wcP3fbSqQNdABit+eqJDSg7ySbUx0ixFrCs0v0/geyi/YCaOcJsmatKE+wzr5MqYqTNKA P6+v4SoNmggfzpnQKYHTWPqygdLLcM+nVXiBDMaOa8iEDe7c/oMycfvLPrKcFqT2Hm8MXUZ+Suxf FRF4kLPaVuIwNhHWoRyL+Uv1+VAtIFPZeoAL538ywJ8ds0Dv9dUMX/sJpzKijqtw274B+fL++2vh XtMWIvYoBJ5K52XtM+Fuq8GU9ZM0grw7IGMRSeB+wf+Zs5AKjsGPwpoCYFC8KBEL6F85Dq8ZW7Oi MQ1axiOV3gXDYcvClZLKQIuqdFluop/6WKOyTZHCQohzx+a6VXD1WiAM91CP5BIp/pXJADwvENPT VP2JWbND7iKVQKeWLdTreMex7oUolN5pE5KTZI1TcG99U984bJnviEEBHmfEpkZsJ4EJi7rcOxpz x9RbzZJxh+RB6J3yeFe1wQYjdZUE99E1HUhVX0YypDmDtg2k49UN4UQZ97IVJdhPKQdaZaznXOr/ ZEG2HJHZq3mEiLsWbcA8na1zqgP936UBUNAZQc1K7bjhfGaKOBp/NDaySWr1MgyVD88RNb+utiGB acG+Kfdbm55DsOpsq381qeUvXCrkGc7LI2lL44AjvAFZfni88BUSnhfCdlZy+ToVYER0WYUMIczQ /4swefadaFNseIqyQcrXWT6/SI1Tcg2sGqfB9GxFl4SuNoEi1ENL8TYCaIhnXeUx1VS3sIOgn8bk qRTJxL/OeU3LP24QlTonq08LWHPUSGWPQzj0hp0H2o4IULum5CrBKOARU6jQBIoZdYWu9dmjte2t hgitYj+K/OTmn+lCArzDE0MDIo3DOLzinhYfDeTLBeJGm9Z1AR3Izn+2IQqrOalNkpmQfaadcFhg Dzo8u5sRLqQCj4Jlgnx1Il64ragCaIUYjrcbrCqJE7YhL7QCHNCh56n6lj7KuZoMmrj0o/RPpx+A AHLin86QHJvY+5tTE+5IXA8lw1V2TgR9rVVbA7xFYAt96LPeG/fo6ctyykBNeT7oq+J9E76ogXBt ugm0ImvxXv4+ep4QYvKFNpnvtOR7EL6pUCzD5T6MHEvj8NGr2YsPpzy0r7ZnLW+xaOrzL73gMq0I Ky2nT22iJtJf/Sel6sgqZdWkQeGBIeWQ1orNbOxX7IgamJlEqk3sLiBaG3hTpoZb/v8FDtqmxOVF g17gj4+ChJLSqoWbt8HGt2VI+PkAkmlQpWe6jThb7lAzU1TVyVJ5725pd3qOLAOtLLdgWqc0YLzy /hdOIwTbmgrGJc9jQ44AjsOJuPlzyjgJ+gqfAS8Vm4iYXlLKe8fEp+RjmbkHCJD0f3uo+8jUS+dt ds9h8qR0i4WwIv0QSoOIKtJr9JLflOWTwleSfEIFp4YSqxD+qWuePCPnGuqPtaCZwX1ZGyJihTC6 j32iQPhBdtha6g00dahIwBN7sBoOGynWhZKTwQSN0IibbegaXL9SudeC29SfUVPX8bCCLvW4Vh/o jnFPWT4JdvnTO4a7OKj+2veoP15iuUmAN6suu0PnZ7E/REsc5tOqqarGvbPWpvNVFYc+ftAACmLi JL97PhlULBfK3sIofEITSMD9FEtpuQqR4RHh4FUB/qo9+fUt9Spyt4Zpo5680Jf2I5vJB+KBkvof caoQwqjOOgM1W6ljCwE7RBpe8+ouJla0n+Wc2IRdHWrllY9M0W2Gms0Kim6c/mRX1VoBlZyIkwn3 OI/YWSgQiJON/8NasEvvR5qZ538vVm6XIOjqIycVpXyER/sxejywW1t8+JXhK6cEljkoUoqP0oKK lKdCtWYrngokhc0ON5IPwdS9C6Pui6dHWGbg65pfyxG5BI/+xPYjTW95X4t8s3ruwmAm9Zd6vhn1 3/oWWSoa/qc2jqhm/SlWBfZKG/v4qdqSWhxHb6hEXn1V69vB8Qtf/QoVuuVgyo+2Ghco4z2IGkMj gSW3lgvShAqX9YdWs50vLEWerR7G2LYzKkGYrMmVEv2ESGShymFuVIhRdUzMBeuI3BFgIMKwbgtZ kokzKrc1s4Y3DNaih1FpzsD+j8mCsWAADt5kjZJiAUuy/zogqRtoCym/REZVIHA1s/6oF32yszp3 myLwgwZsfiDh5glv0q3HZv046QXCaWCvCBq0vN6BnpGDLgZ0q/afElTVaiPnPHKI3bSP67a75SV4 qg9xaRYvp4e3kKD5yHo/zft3/xMnJB8PtspDOOb1uRvXduwEwQKYhlMe00Tk8q/HJM3IpYWlpuc5 +SuHOGIkYKoQeb1f0YwQ2Ca5w7oj3sDPFv83QpTo4bbpSo41a2VlCjRGnBn+x3Yxbz0lGzJvo6Ge JuiyEsok9xuh4IqdDjbicmhLvQwIrCIC5YDKK5k1gBgW4sz56ap5NfPFDcVEX33nLJOf3mBq36ZV b+b62k476GyjTAneP++0Z/OOIPL0x6RrZt1n9bDilLNgQwT0yZ3Ft+cZsky7Wjfz5iSicH9D1lmA Lnp13kx5twW/MV8jJYoaE/2Z9vy2hOt7AsQvBcvb0H11MPZJigC5RzIZzxIyjxgZ3QXCmjrwmhzM iGEPyksAM/tseP6kYlVZoP6k3aL+baptc+e197v90iNLRoGhrEzrqs2lgnBKAyi4iVvefLaVvtqk DyoVpOJ8v5YpsC3Un3lJG++po1hQprbNnVcG+81RsTVzkRHVpVBi7skxPZpQubjTBg83UzH8u5N+ LwT5hHT5kxxSaIz5EA8N++wr9kJkNOra9VPHzb+24WP2738LhRY4rJFD7VN9FBiKP0nPNDLw4Ehc Ktka1QWt/afYDvYDSf+z3v4pQm6Aiyv7kb7cdUyATXtJurVRJ1cu3Vw3BIP+c2+HupqM7fkYod7/ 128tK7A4JVMYsJ+qukZn6ZTLKUOKuyFXtQEtOqQNrFRrXj4xZ9DantIiUejqpHC1R2Zzqj/k3+/9 CSYtwrdyOjgid3kR6mQSI+H5cUKdj0BpqD+T9FuounWK52YncXdcrhXrIxMrjw8IAmLRJxn74WE0 NCNPzyvk2s6UoW79OSMv2FK5+q+7MEoycYESCtiYt9glIW6jh63D3+9CXrlRboWBeubWtidM4/Xf A8G2SaSsmmVbWq7eFeVuKmPVX4dOGTjSv6KLKHCqsirhv2LJMEOqEsX9JNqlaTxmmcgaEmZ/FfH2 zzRVSyhKsMA2kzBnbE4H9ZiEUZU6T4G9QxTEKkyefoQ5LTXsAlvJznGdKoUXEO5o2STKIRKT0oC6 Y1UFv7I0UblJiqKi+qQvl7Qh2KW1TSvpmei7wkDb0OAFzhkZw9hIexfej9nFjWpASAt1Lp3o9JJK /9O3IEWSqtfbQd5eMJZdXeuz9gOiaIJhFF0HBqHve66kTcaMAONYjH9Hi/Jzh3+rDvNTBB3t/4vR tCpBU2UdoMH0iKeZYaQNbtkfBkMLFcOS27Au11TrL27SSfBGS+lHKuVCvDm4L5QYzpdWpa6e62L6 ny9gALxft88Y/WmDma44+LHYS5lVPocFdjD/xGELTpLv0ApaDchytVKBfYaLO3YANQ9RiW4q1mJ4 qcuTig69lf10jkco5jtWPj0tMmC8jYoRi6sabEkbYLfmNKuvnALCZMjv7NHhv/iQmdyP6i+AoD6o H5sajaLEbBvv85XCKLTZl0sk9aiTVD+TUURiH9a6fZ/Bzuv7hGeBGdx50mgriD8GfGZSNfBOcxao sMTTYPi2OmPlnttOyMIUSJ2JQA3CHG02lwx+uRDhtPrsocJS4SM3SMj69rvWham8he906pCHUHsM iVd4zq5mqeQy19nqPZ6LuRAHwd/QTxo6f4DPapb/Q8VERVmNMJVoSNxiOkpcgW6GjUf1lRcnkqvI nmfbADsZa919sVSHY1/Xsv6Ppdzb+JRbVsrFVoMmMBrvdeCzhql+uSm1eGbg1ACMcktsXo3qc6mI m2Hw6EIEUBjTlwkWOojYFLjEvYk1CzCjOmVrfmSGKumDxKHx76Xi6Vgkels6WmF6WIKvqAz1i/rg UxjI6StIccegVlNktpxzkx61Ud8IrXK/ZTjxj20aPiMG0SlZogGl6O+og276NZxV0mYMa3OXe/wA NrEXIZF2c+pfwS/pEWP9KwD36obaYxpYcfd1h5SW/k/22c4P5FRvVx98zCDBoswl4O5JjKTXcckx X9mz8TRbPlD/y0FBzuhuCvRrIRMOKD0THl9a5y0G9V79niXN5XNZTLtx1+EgUKgbgUSCa01/vrOk ZzExiGsYIILOMiEWPKxPiC62+5sylyRBDkQSAOnhb06ck5jmbMO0DbLpCHQBQaDmjDdiz/VJ9Nuw FULCyeMG5s9g92+bAsV22DPa+2QrQPwZD+nLOAXbFbAN0i3FfAqfV8rKKAnI4k3q4t79tqOFyXai TTHly+BnT8s7/G3+jVWMSLk9btn/+hgmeVQrUJ6kRwm9zDdKZUXgbtPGAUKdjIErHxhmIgnCcfzR 1un17qBKA1BpGkoL838xOR1IOxobxeHTOUCkQbsh3vpn8tluD0vjH1AwYTUNt0B99+2VUK/k7qwj QkL1wy6DKU80REMzgoE/AsCI/1ZH5HkEwfLl0RqMQP4Vj8IptmXB1zbeTtawoBCOoaeMBO6kK1Jr hDhYChRHd0K/klfleBE2rO7rfbZAMpEtG7h1h/blg86akjE9nwuMD5SOtGIH6D4zcDRkT/0iWHQE YuBPSGUnXhOCQKZTqr+tE0/e0/0yF0AsDItpZJC7TXsLLhS7qZ7Ii46Ch7O88Rpnxt6B8J+GZhFF e7cNhO2r7yEkbgKiMI+6d3j7aIYP9lj4vzc6p/OhFVjNUvN1h4/5sJDCEMMrw9MqCUWj+iW8Wugp NfpYqVTzuZlrVk8L4mHf6Uze5PJ2AjtW5TV6qyDUYTMboqY6wYCZvQYQN6+I9lp0zKJCWhpUhxAK rWzvqLHSOs380+0oA3VVVQ7U7W+NDbIX3K6v/YkrbNXztNNb+IrtWA8RNGH/0uHiw/gYEnVAXcRR E/sLFG/R1ggHUFbEJepSwk6sBFL77mjwNQ9pZT7L0J6Yl44PNRUZ372w1vstOTJSt3WaGPxuGvZf TJAP1DnrbZ4C3CCDCzCSNS9vLIkaumU2OulhVvMIVwchhtQvGAeuxVVhjaCeYpiY36tLOv3GEzYf cONDt4lIiZX/aGEkZVQj3uRsK3Vv7UgJJTB7RPNf1vfZaHo1zRkzHhuChv45TitK8PpN6FfylTbC l4cI+HU97DXObgf6ASQH4v9su8ETC9t3qeEKAy0TPdTB+gJ8uHq+DRcxGfM2PoePn4c9Q6FSMvPh Wy9dS5GXLsHsvy0MejBGMv+mzdrGr1uzG8O+ofn45KHFWesQarIkSruKm4tVf8e/rwaV3unV9s6M s9fK7FZvLSbybRm53Uq1vJtZC79MdI04MRsZrQN4RFA6rk8UC9D0J9HZOKG65aBcr0rJkpA0qYH2 +2Hx0qmX+mNwjEqhB5whLZ82QG0bw8IZ9Uuu099rzXebDuExNIss3XLmv/sDgu5tR9Vhm07iCPJp /5OQEfum5CFW13UpODnDL1pwpUu6CHfZFVRsNKY7E9k5M043bLaqe6SQRKzX4SKDiSDX60dIpXGf TXHXIID+NXBHrL2uT08aXrKraAipcNMfdOX1KyDoX6SfB/2NFnE3hzA27KeW1MpBJ31oOtU0c/Jv TWCM0Zoo132bvgzrpGDyk8GiWS/x8T2euxp1vE1Ik5yS394jLrLfTjyDw4hXAQsCezfzAxeQa5be 4Zz4ZqgMswmqiGVucFB2ibvQvcmHOvMZE4HfcH7zvq8JJI9wQhQzJ1ulzbimQYZmZ8FTWW5CgdmY o79hfF3WnxsYxklSRwzg217PPpUKSNxCI6SeLWUnOSjY4okD208fkk4IAOB2/HUlNys4q3r7lZD3 QI/Mv91sBnZBfXwoN6C8Y3R5YMfFWTW+lPM6pL+6OWvWc7y6H73oEOxSvcW0ALpF/wJs88vYgS7I /FNqBGZ8iTtVu7vy5wHMSRFbZlIbZtYbtgpV5PkDRS9DZXaKNskZpgUTs8YvepBXqoQYegGYvny0 cNjiRFMPK2HcJwM04dS0v0PFl+gVgirF/B5apWwslsEVbCK3lXTaWr8TfZYP8jFicBcZR1XI8mX7 sImxx26DGFjZwmjIeP/AGIGbX5oOr9OK4iwm6w9KvttmPVDz1WoXJz78Fzo4ZrifF6NuZ6rc2aZ6 fIktf4sKE9gIIUPJPKUm+SBqvZ20gPzQzEvMLh990Hz037h2IR8Q8gTs9olsIPBMZMIQfNRuzw3B NFoXOGQ3he+O6I7O3E8p6rkW+A55BcCtd3Lh2bP05xNETL26hHD6E+0yhLWA1xmJvI54xpX+NIAl 5YQETrtUMcCmMevwY+LXMtil5exkPbk52Jtz+s13JpHc/zL5CchLoKFPmqCGYhL1u60ttTSX8lKF ++SJnUZt/pSfILoFJm/siHMIEn90RUTuYjMDPmiHnlh3yy0fM2kju6FHn7F0yNJ7Vu7F8Jlb5OBI +pZQyd1nRE2vFt2Dbpyior663TWJa2O2y/B8MNcG2X8y2mQpw2TH465DczasaVzLK1K6y16H3lC+ jvrj+dvys6YZa5H+hBNfya02WE37v2hcYkveMI9T37CISnO2P9NH+wZFrT6YvDUAwSUI4oyiJwuS NJ2bZdgdkVGaPJSb8X2eYl1f6AHdwsMHxNitdzIWHjRWpMI2MeI+71l+Ud1hCxL3Gqe405s934PQ v95nlTqjkCniznQYVPk9eq3oGL2AlcNOTNOmnJ0d0wC/EOrQN0la2cnThgV7MumA7wZL7KBTpibt 4H/tSJ+2VSZx0e68Q0IPsFadn0MdF9j+Su1N6lCiB41dVVXfDJ0jLyFTX70+o9uvRvoscLl0X3kA gAu8kNSOFC62wkASVblbA2knfmimQl54BJrmQyphY4UKZp8wP5D6N9vJpj8YjjIa7aPapxgmZPY5 czO7v5sXac1MTfD2WE2hFxyhX0sKK9AjGUgbKo/SAA75gvwnZy4IKKn1UkdWnqJ6dz03P3Ks+tEe 8YyALUEPTlWokilvmsEpvnzvvqVmQ3/rQ+9dEGd0fNEMRBTxk+QROS6E+KdkUH4i2+iz92A4PJSB JwTgzqS4swCgMZbJe9tStncjfZt7yL9kSfU4KZcNJY8dzFWNOFWWTcBOv+GyjOREXVHPhdXt301f aD9qKrjnsUBaOlbr6hW6I9025q7S8U3iFfudGnxqvlPX5MeF6AbrzeK5/vkR5/WRmhy1I8E+fT6A 6XUAwCihltpi5aWIIQkMaGo7pFj3agjQANybDBeChV62cm+vWUoPIQQzGrnJnbNfBBtqumH+NiBN 6hX2zzm60M7useyMJQPfXvcxusTfCa3/lg+GfNdm70/PqPlwZuE5a19MzW/AR3KnylAJKoIAguHm uQ9ehIOLSx37CFmc6W3blIqWUOJeICWL2scKSZ3HxeyFUy0+LBGFUIFS2Tbe40ewHuTxmCTdSwLw ErP9p1zq5YLjntfT8WOFIWgtLUpGffS1flCU+DTle1+JZAqiglNsjwzzQLdwNLjeZ1RQg540euEL 5uVuxuSpfZQFMXFEIjDBPOZN+gfOfg54sgJTSGWYGRIT7rkEvsERnXCA8cTFCq3/nCY8uekFpEm0 ENIGVRfnemkWi3SL9Yx8f4EwFv6VLes16UJcdpsIYm4ePW9Uw7nbTF3/26008BbuPsmLHjMjPNLn qunl0cPnir81RV2aKp6BPm+8/QUG7UEDTGK+1tt7P0N1C79ErA8ZCz/scyndGWmKA+KVGg+Tq/Om LPio/yjdud+WPDHVzeADd12uZHzkKY7GpKUN9sVc+6zb+fKDm3HcTA5HnFumMu1wnwAm85Rbm4nh 8UussnWn/xptiLhHX2ZMhbtZshZMoGrWwy47X4b1Z0tjQFmFl/Z5J6xE0RWWXpVgMPsvrJNpDE33 fd+/bcXbbdRnQeoYtEKMrlJIrVQT56yjlrHKADiAH0IQkjFpIUf8SPv2Y5pWFXzUHIUgaByzWggJ 2LEbF41PbXcx9vxLeRRL8UhNR/bXDM0YcxCJK9Aqpqz2kYLE2gvo0b/Hp5cG1dyCo4abyNgNQkgS +srSknHeaaVfaVukFImHU6fktpBQ5n9jInHuyXpr6vAIdUiYE9IUyBbd37cpn8NnwGtnfR3A1pSw UtDnGonfwov7EJuc8ejkl5Gx5oP9qLHIQBGD5egtwHYSdmfzx+EiaYGmSU4AZRNhTw+/oN1AeP+T Py0xq/eWAIO03JJUO3KGAVyia7NHzOjlMBy/fPIbKsBpRrssheshmv4IIbUPVyHSYTnysMkn+qVG TkOFLiUhrjQ4osBnj1s/Bkv/haZQFw+ZcgVRGo++UirOuDfYkhXdN707snNB2JBDpMSMUp8Il691 59gxMXr8S0bfDfHPNeBLwjFK5lpVoBC9nOca/Iiw3OeSXHmtRwnD9w0vCA7Hkt1uJRYPNBX/SH4B 4zOCr5M/ZRBLlvfMKFBAkkV7+N2q1ezPPCxIkSKclXaBqrpHLRKmx5aZoDWlvJEZQD3WDAUULO0D IPABcwigdjSS3YtM20Z8k8k7+/yDjkCWCF8PnF7N84NWcx3oQSsVvBmvb56Kqy5TYXrMw+ZaMoBl 4Z+dZytm7vBapQl5Rh++MPghUTPZ0EKPl4ex+jsBWId0JOMZ9Mpr52L0wp/YKAjxfbfSZvWJYqty ekfYojESkaLfZbidb5l9ViwEujO2UpaJ+FxV4IJ+1QgPHZqyjpHmnzHpqJIZRLZjBMggU1Wcz81t QTISanARITsQ+KhJAkodZEEx14V+hKHa9dWs8kCGEkuJwk1gGaKLowiM45JG5f2c/ywEXg0CHW8H s6NWerjJ6GQLJnQxRrtnQFQdrn5dcu//QesdzzLnhn8V3P70eo3G3XzTo8sZwm9CkYRMTSBy/MeQ SLaMsCgOnbTkBdBT3X9MoLWWGu7FYDmB1hHlFtk3XiDlR81KE0rE93225iVX7PwH1uza4+Y2dBVj FTsySymi7ZhbgqfgV8mFa6f5zchYh9lQa6rbc02zLFkc4OBXwDSO0MdLSLgJQrWnfidmo7NibFtt bcOVGPX5PIPwk5dl65UNl+VD9gtqpwNz4wAIE9Gj7VyKysxi7DgFfx3ivCuqC3pbAsazRTpk2/fY LUTjFuTzRHslAV1byBKhqLkG4Ggyr/0eczGSyg0Ka6fqx5SMa+VZZFWHB9WqHwobYsyCbBLl3v4n 8gSSkP0bs/I5C1SsLfy/mcnBG1/6JOwP9N/UBJxgP7LX20pIXyxrsYz4s9RtcetnaPk4N3Xj9L52 zVkGsqKTc1QTrUaDFJKaL5/bQLH5eSiqqjwFqisnlWAK6awoOphy2uNHft0Uk3decUNqQKB53SOY Wx4AF18Gyk8LVl6NzGSK7mFhP2EKIObjeN8UOvvCVn/3ouS7L0lavASu3jKbxRJYfiNdeHSevcSh VuQRyRyaubTHf45gNSeW72wYJIQKG/V0HhU3ZhuYMs3g01+g1DeUAWIvS/UWTYyr14bTUnN/bDgb lws8hJLHlHNLEVNQOhvo6zMJJLDSfiKGypnfsborZnWdz8+peFhA73MGpS/AhlUJ6I8tnD5J6KLj cyeXjluMc4CH4uHMgGkLYHszZgqAqhLefEsob2jdphKES5ohPu/mbFFjIJi6OqA7igyjyap2FTry H5TVxalPxuVwdSg3iIbjPw1BaZs4En2dVX8B6Z04FBi+z+uMzFLZAl1NhDZ/lgDIuofC+j1SnRBG YqxSCfs8dfpBrq+Rm0w6Gq3unDPRnHivfB/riVf+SfM7cEfLePJx9oKf+HpKaJhZh2JDc8OklhZt kDX4WWU1GcA2WTSUhx4ppRxuPRg/MHOfzSo3/VSUgtH9Yj16uDWpt4ql0AgtJ79mTFtx7DrdBwOh nSkDEVaIEe+CN1WoZcZR3k75NFd70l6lR4Z/+rhupw2ZDz4aB2H/A5UcUe0cf3ndZU4CsznduuAd cjKsZ4d7OYX/saKNwQh4xJN6D6wUcsdNvT/Snt/CMQ22OTMYILYTBS2rFGHOLh0ctutipMKPl4zN ynE15L8BrIU9Cn/sXnEjQQpKZnfaTV1GehlR5mJ+GGsdilKYeUiPv4FaWf+AcFJLX1YFlfE585mz o0PAKyK6WKBhjECnrf9X2n7rtdG/VPDo1o8aj3gvGJOGTtCcY2okhB2dfFkE7pkZqJcQm40BgJ57 47akWIKZPoZiHmQhHPNbNFL9EDUjCa6HK5d0H87/YOzDqFAHUyZWe2hGAzYeqigXrBqi+MYXtDgV vhJvKeOkpKzj/+vD8zmqQuQzLoMx6vx5CzfgXLEFf4rm4MCV13D+we3NuORbqQhMCPp1mT7sUuVq JpFWRGLjjSk/a7ML5193RJ4aV73mBEMzmSVO+Sc008HP8F2xlDC5sfVhq5htVat2EJ08s0SLFAEC lLsBeL4QSOnV+hB8l0Fi4TS8hpizht0OzUolK2ci9i9vjxfX/mBWcubWS/EulvEByRiU4f+A+oHz NBcbQsEoocn44dYUTsr3KNDD/bxYHzfQ/03V4MkXHW1VeN7pn2mFs1duw9tN6nuNxBydgU2VD5mt BOGeRSHsnypXY9SS2ghxMxG6CNSFBnx+lGgvMHQ3UxwlPhcbGkItf0hrJCS0Cr1RpGkfiCHVKiog Y/YYTdoxIm2nDjZ/PSu4XuSDv/DndO0Bg7C9IooUaUl4+pD8zYH3sgVJtWdquWp67jD3/tXoZwj8 +kVa3dtzTswgvDDXy7kopHDvp+fotrokm6gRmyZ8CtPgtrpRQ5FekdA7mQygISiYuNMw8kcO8JsF Aa7iZAMZ28x2PT/yrYrteHLsr3vqpWCylGUi2w6agofNqOSa6xjNEA4ga5qxIyd3L+lpKK7K84v/ RlLbyy4GCHz+F77lxzNqEXQhbo+JCj6+gaAcAmYckdIyl9nB9TIDc6CjuuJDxN6yyWJ9QKCPnh4Y iinZReRERfTWGRHtXvYy6mwjVQJhXauwiDmbH8UelrJ21PlP9e8wUStBB+wscWWoVP6qJZmuentE iQk/TdiHNJAp8Y6sw0WCjTcnAsP6uWtYpZ1lCM1J8sVioZKgf3lBhcTr1rz3EI5sYstB5UJrQEkl xt0V8HLZGEouZElufhbAoARl9mPCeVHGskpMXLZ8O/zQE4P/rt5g1zLHP7NbFIjGwCX8DZE2KQz1 /SkDTow5HFai9JyzQfXjse79Qb602KD+XVb8YzXoB/h64hR9A5WGPmifJHGN4RJE/akweKf4h1q7 nQdlOij9JUPphXMJRZwBWBDxv8sFbZTHiRnTcoXAEhuFleNPWzzfC9WMtAcPexLkIJynb1nyFWwa skaTBqWKgAdZok/0ujtUkNNn7mK5Nlwuzgt+HycucaRrRgLvsJwkNtgV2PIw+1wlj53kR6zvPRhO ZojPiUU+imzQs8uKTieLbd7EScTMqLUxGj0XHnL98NcKRClNbruMqrmT8HQFQKOEJnURoIgpEv0a hIB5ezxnthZkeViRYle9/8puQao0CyK2m6m5vta9XuoVjlWFEw89SWLoswIirgIZsEzvWHaHz8ZG qT9RyvhtkrgrcGoa+bhJ62yzkLnNYB3b6R59dLlrW98U7ualhoUI8/Q11vCkXPF14qfBbsAp/vFv daLIdgu9vmWTsl4WRZTVG0wUnlrnkrY00e8gmSbTZNGGS3V+aVWPcQbVvPEjargWII7zQx3KCvRE TXVdY9NW8qsIFdWajtFDphinUZClAj1liotc+fCpCTHuTQEkTbjk5w4dczJdt5NfkTU+GkcM1h5E fx7UxLQVCYF0VPmhrQl0UYMQOVo4ft51Z6H1mgBfjmiEtIYCVENnyhWzyRA5ARwMKvexIh6nbzLA KJTiqViKwYcp1s1vkPH+NoXR7WMvIkMDC2XSXh2PwfyLp/FsDul9ei7ehOMfXrzqjeAXcR92f4M1 X1kFeO4krQ0UpKHY8IxO6Zw+rll5Gi749fW0VrsrB2uBIxscu6+I7B8VBQr6UX+dG+5ZXXCPov0g Ib92hnADelWQpWkizlrZecPnIYh7CoeUS5U6699dz0IC53+6dY21O0uNgKO5l3VUtoiTD/mcGdin 5LUjY3xSYLirX/0KpWKz+dQ1px0TAfKhMbnE9ESTobkElQ/A5AtCPkdDIaiF+4/88uwXoevmV5Jg cnbOq2C7Oxhzo7xDHNJ6TjANRzbn3az74hM1M7GCk7CxkZv6kJRJMhjYgCT/ipjrQY88GEsg1d/A yMEIi0v4TK6JU/FCg/d8Yg+kiWIDUQVfuWl72xON+TcNlYZXrnnQRNXzfPWSxi1V3aDSZ0aCtt5P f4RS3kRimFBr2AAeq3oH0Ez0OfoQhwSfo1KM09K6KQwWR6fENqlopNWmjP/eyY3/DxS3XL8L+E/v l/8iOZ3KXlj8E9elqfnGELJFLHsxOMO4TTnR2L7c6LdSJ2jRtvJjCDaPRH4YNG1ROS1EC5zwF3Z5 vklqBTDgq6xqpa72IPAoZmpX2x8LPsODMQN3R+G1q+ZMPIBI+qvOXdmD3kEg1Tn9/nqdNw40bEl1 E1afW3/oZQfcHZytcRVzeNOwuMLLjWqkmc7HN4vZkIql6A1JR/MLT8XlL6+oAfjXY5tBEc91kpbl Zjr3SMM8zcbvZAOlusOhyP6TMDVlVw00cEMlPQnNlPodcgV3qvMec3jxhJ2O7KoKi7NKlFk3/iPx UkGllGjLtmydfm3dVDgRHMNpx8AHPr2W/TZTVL67icggSJutQwd2y+hsSzudOswKVaH2IK1hrJqe 8VokU872RWH9sFs9qk04+YiEQ1EBTI3jQUVAVi44bEobubESRbNVn3O43kZsI5C/y3UQ7t1Dquvx hT+FCVynx9QhOSMMZxRNp6vm/XEih6BFEfZ0/fsqIenvD0XqM4TJmErkfSesde4h4kjLQpLMFEUc y2R0C6cckXGEXWONtj4rXmTKYknRas0w5mODnEGq4SCiVstJFBN18oUlEm6Q+SDz38C4byaqvrQy w+6dtxcj3WOZ4aXfSc4UE2Jbf/pPzK3C63qgMI908brtVS22RyaHbY1ANftGiIlCBhhtnF8phIbv ozmC6IWSlLtkUUnPcxJvQwJk+hzCQgRjMqugf+e3eU8lMtJjaZKMuNC3/DafAvFEmCNbHx0bkDiq f69R9et89bMBzOJpvpiE7gwUu8zk4aMmBugv+c7rBPWpQ0fixk7VTIoeQ39jHcVsU/O40g2ljs2i 7A0h3YwqwJuDanBefJBqwAkG+88A5Y5ImriHnXAO4MgXxP/FVHCk0spu+X8V6rb8KCAHNo3+6BjO 7AyOUqq67dhglYW6YHYat5r60/O75armZiHtbGxIN1tfC0ql5EX4BqanljzPzibuGfBe0IUPJW7N qnpWYv4dZHo3Ygai15UNg6LNd6v1/RD5yPhVuHmKgf4VhjH7Ji1+X+Vd5ORCD5X4BYHPQ2h+5Z/5 tYvLECrNyxjzGZAElC8kAThcMJ2PyMVa5k8FdBtLXsfjuwE/SemFv1+wFd+B6GmwaVO5G6muYqYv KKitTfWTEQKo/ygTjeerUiqQmsof1ne/K+qp1dm4Bji8Xy5UXwinEbBxAAfmyLut0x3LfMyDlYqA IyeT8sap5HIY0KHCGHVFYZNdjg4DpQ0zp6ba+gKilSeJa7lpyBypTTn4K/qGNG2NEzaZahzE7q5u CReSaZlcfAr1W9jAwAYae8Ujz6Y7XdnhlUYISfUqDT9VQXuN3sdFctixQFSU/DGVLvcuvn69mCY9 YVAoDOAd2SW5THTS2+pSOElRyva+UdoYc+eGKYy8JWWADK0Z5BqYsxpK+nXIZIMAwE7jCZFkTBJp 3oDLe/+7VHJy1NmbkRfgkhXTSLjgqETrsS1kJ0kPh9siDrM01Uexq8Gi2gIXrRsMH8+P3LOj3znO 6Lydg8FW9HCOKvoaUMdMjQQ+Xt6ht2SJ1FXu1IUMYZvrzPXl81Me4t5uDSYKpmAWPHSh3RohLBJ3 t41tOycfOzFONanHOE6LOtnYeRirB8DVY1YMIuATX2yqeHhdJTi5bx4WlFuRxwHeKS6h/W6XOmjR e+Yw21SIbPuq7WiM4u0UAgquuL9HBiK5CmDIbJXdJaKEnxVU9HG7QTK/0RYCtqjkCpo6eyN4TN1q qI34+udm398xYJZ2Miyss70KPRNz7msexC1FSdhCzw4yQZh49xVvK3UaJCS4scyk9BRDu8cg2Fxj VE3Uk7Wz+tpMuMjMxVWxfX0iSkqH/bA9u9m89XPe8mtfIrx/kWESYjR9Lf9siN6KhxqcPsQ/m5N2 C2C0kRjwXZ1nM84zwVVj9ihewuL3vUU9dwxcdponxykQR2K6FIbUImjn4DGDi3Kfb+rTeMqcSicA A0yIhEpBWGTlQwUR0d/Ce4z3G5NWt4hl7YplCmRFxyVnZnizZA6ePkB2vbAKAKb7FpyvLFsCzBQD w3vOkDVaLLbMC6OOUpjxkEIpvFNSw2XCkkvn9Dr+v1jt3NozGO7wLvHCK0muDtC2pJR3hBgVAJ4M 8GTjKNYXewi/ju3i/eSCWDZjNNoWhSKlqnzcy6I7flcrTti7Cze5hVL98cUN6eMoBhTy9NmjLdfo 7nUAuhF6/4kM5hplIRirhw10LEv4f8t2FeCYaxYPxpDS1Gb7EU8Jpo6jmih+vzbvTkQWJjAtUZ+j IJN2p/hSq1xYcc/NoYT28x7t8LDcQNTgwIepQmIl/x+vzJK7YynVeG2OzsQMhT35c+L1Jw1MuoP4 zS2vv74pl53nsNzZF7xurb9bLsn82lUaOVlzKAdncV7OIp0BEmARtUhoFhyIkb76qD2dy0DH5vq4 z0CRwDLtylJO55v/sTcpgmBMTKzh48wLDQvi0wc52EhkTuL0aFJlCkra7ZjvP5fgRjucq8agetPC 80JuCKEuEQEVc1LrFBOqkgQvYqRHTmvIRUu9j885MGk/ctc0QzMbTLEzBHVoalR6UIOP0qjXU78N S43+te3u26HpOVGYzgEqD1axqp2/zOWIU3fMZIdPk/UAcEB9x7dAzBPAXMq6TUjwNoGz03h/DVc4 cmCshGSyM82X0UwVw2Cf6SWEIEHMdN+CzpkDwzkgK85oeCvbuLHALGMcmUcPyAbFIVCvomBitdC2 EaWn/3+I/1x7Qhkd8+KnSe2O4KKDIikPb+A/a/lqiyj0nWfZyZy8ouUwKkO29dkOt7lAx/AbZ7pu ZIvrzrr9be60x7C3ftjDYtxlhACGaurMg4z9z+aspdQgAw4IVMPfMjyhk7Yh5r9FKVV9MOzxDDZx YjY95KLXjaXEtpIBVNs2+XHPUte2RJYqEcNstSTYNGpWK1097OUFxv+L+OwK3sspMbBqZVqtSZ+b 4jP68KMCOfqtpCfTEf6tViHQ0LBxKclT/v12FXbt/Ju3Xh8RKo/1iQbaO2HHiTtZSkgcH7LHf9ux p/AQNl112HqkWZFoIp/MRVB+u9EKHNX26YIewPdZWVypse8wRO2bxr1Drng5VENSX3Gq2Nzvnkts lWdY6135bqoB9SX8W7mnpangKHEaF8tQDm5IB131RHDIgIm3/RJG3SDPswcMSuLIccgi+ogqvxwy N9SYKEpbguxLDOngHoG0nn0/ZsflEdfE8/Jh2pHjzgs58+gan3Rdco4IyiAG0B9Ib5ys/MtT1KIs cjYibNvocGRX5hgJKvFn6xd2dNCjzxl9NOg4ztvjez5tCZUwq0n4k0EMY6I6AawkAFkmEUAqBBTS V5HlBQ6NUqRsxiERbln4Z0+kW4V0/in+0yEErr61dYSSKWrinwKbQmCtkPupz6uHqUf/4oBqZ5UX Z8zyXD9f7mjW5tBAnl7PZG9kw6EsyPBUuZFSMU0yfQ9Urigfh/lGoxtYNNwOQ00gmB5OvzsFWf7G sOKy7jDPQ8T12tgxsamSfjZWS1MfBxWVAbOc3+/DCoxbAxH7TwIYeoYjZ/U4L6FzSpFzoSlTrPjk 4p6gFIrNKNYnhyYEWCCPdCZXZFTVht8Yx0Wl4YpmqPCs5s48/qFQhK9EKz2x1eogylxnl0tUGquy u4K6fs2k/lIvjd+pesoBioYs6cUZkBbhAUo3plHv9M+Lr+IYYSeJgYv/blUwGgpgMEYanOCDHl63 ZqNrQRN57d/IhJSMsGRU90d9j6q0IbYy/ZRw4zyRsdI/wRoWWNKpm6HbboKEwdNtSsfMazxJUmtK QAKTAniKKG2iDNPguzm7FoqAJWenDp+ISPgnSPdaVGCu9k4oyMMNmOb7wo/kYY9APH9R101Ao6BD GbSqiqZY4YOh8TTc6/d3VpB4iqv0ZGCWaPW5KR7Fzf4UOCTQzXcnrBfLrGunQc847PDoXiQ4+q/h qZEUSsyvQk8MQFSDcyEUNZhz2ReKojE2zaeBgu7dmPOSk2JLth5aYptELoEPIAd71AwEpleK3Acz C5GKXo+pqTrFbwTmhNoq1KlO9Y0OxMrNt7byWiBeg2ElwOpuE8U0D/sTOERhSHiy3lwk5qFB5++w 3SOzYLzHhhhxOAXa45f5hReQZ2AHxbqph3Xb2PhLEnrtxvY6CeSlB7lnxKpywppBut2yUYK/etKG /A9/iWniLbK6FwYzTsQoErZl9VDY2pidQ2KHhQekEsr5OV1hlz26ODBOBRz0lMEb1/a4e1yy6vYO q6KfBF7XLiL8ygGbvuBxXdrsJ7vfMp+l1D8AeorhF1p9gFzuOyNnwxA5BB2/pZXNk2FENg9bxmzy /LlsBJ464mxvtgzKGwNRWCq/HrlJjwk3MnUmZpTzn6epERjmBv31ALNNZ3P5EkPo6CL8Aw9Ew6aU kKaDtZpt7QTYsPCLN0zYjbilfuLIRQRR3mWn6/2CzFVOk2fnHqAy2tcLmMEU1g/MF3kvHtTzZ1Cw TEy0QlzMcea3i1j7HALbxZsGjhnG8iqdqgN1yISjeRllnxmtyOOg5zlyRzqFkc8kuakjeQv6ps1O Lnpq0Ju/aMEIMPOU60YdxjId4fZ+RaJ6wbHUzKVMz2/K6ixoUaxLbIvp2MZH5uRi0UsamMBPdZ+5 xeSbbgIJjjh0Xikt3Dtk3aAN81NYX4GJY0/VO/Aw3N4YCrNcVvj2mLRH2PW9X7zOkPYXoDOvltmW Xu3C7vRxoBgb4aLUQa2y9kHuFjU++UE0KmhtTQz/dZq36VGhwSgp5HCL3Le+hobYCyYXc8xm6ZeH AwQQOGbtuoKykhWY3G+APrG3FebpLnrhPgkCX7ZEHv826tZYDzM40m88JClnG5xrh6AxA6MYG2It akJtmY3z3MKgaGVhqcM0DrH/II8eAhGL0K+DzyNWo5XO/n5I/bgRDYEKOGI7u8IW35TkCvB/RcGV qXMyAJoBQVAdPKSwTr6wq26TRx9vWCv2htTFre6LSC2t1yRrhM2TcWMuMbnsODyu7y5zENbg5IaL rbUcjyQaDolLDgX6WI6CeuSxGPzpwwC6ZgjAG0R6UlKkoPP/MrpNPsmnwLX1pOC+HsYsxFuTwPP9 JthiAAPqFBYU5NDOarjfuDw1gKOW/gqYgx6rspjhclop2Xpg+pbcUygHQ6E90CoECgIlCKCVpcnz 9ut9/OTD9YwHLMOtUU8N3NgTwp5u4qsIb9joJ9kQ1vvMAaElOTrR8rQ65ItjT40R6lBnmQRPwGT5 bj+kv8eJtNWCF97DB3aXPzbct72fXx/jaiQK8wtJY3xj5tkD+4lmjJGjSqAlXuF+FFcbycMWbfz/ 5z6CyNU7wiig5a/Z91eivcZi3pzFwlq81xo5xMqdoFFWinF9Yrix1fVK/2Ge6yPdkWLFXj04+9mI cGuHF2yUFiNnct+B1DfZ1jHiJrWWA2BBwXKpRW2Wz2Y8fGG3lgXQa6YBsQP1/PyddYXRgfhhltXB fhYRO1BwBN1U1sOimfRmILq/syDDaRvOR+ovEK2fg9xSEmStQmRJ37obCW6GSmpaKnfXfFVOvVmg SR0gcD8p8Hw2jB/YRwq84O1yVz6/L1BvTVlXKIGv/BjkZCBGot9DWyRZkGZeOM87X16c3jtf6ZDR gWkvrAsiZ/m/jedm5kzYMO7VSU6M/4i9vF3sW19fvGzadZXAUXXQ4jEXlKH//WNu7uhU+tPeabdb rQoCh8nvL0VW0t2jCDkAmeXYGGWcneWXCaXeM64vfFCxZvUC1pNZ0VDaGnyv8EZPkqcxRZXPexIq oRU6r2ikKhgJZC/yJPvmXIiPScHczg7uvHDsnMmpBLh8n+G3BXD3nqE64ygqiQDhJ1o7Vw+kkScH 3q9iWqCYfx/J3OU2rTemGpE27lH62VhvcEDOeXMBisyDEZGbUezf88ASG2ElvjTHlf7besoy5zVn QlrAx4Kdg3XO80GTQ/rkLe3ylZDF66AMj+lMfS0EF4sHEL+qHEN0eNrxhXm+nMEcRvJXzzs00RVU OwICiNDDObkC9NW0bNxOp8dNGlI6Y9Zwcohr2a1zSwy6uOFYmw7bMl/lyk8yQMyKLfcYO7pbVx+n jJgAGqe2UIf0J/SzKufXHvDeyZKMDyF7kZnDKhp4vzxuGGh/uY8FJUaAoaTJpkjVxkCIXiA/3Qd6 ZQ6U7ScQ/opW8p7UgbdOWzhGwulfH8pe66Y/JyZgnEmdc8iYC93wVEKl4VjoE0qnpEyNOPYhHQTS uqhFPScwRTtozc/F2/VZHd5PLvVTs6Mps10N/u0V1lGPsQzj70RyzL9AFT6m9/rY7GMuUwr0xKzr TMsZbM+ZfBfnI1QmLGZHigRvJYSnqBUfb3ETyO3db5wjh46mMfbpu5FM3E/TcEQFfIh6Wq+pCfNp gWrPFI/NZWkJ/SrmOsRctOJzpZ4yB6WRMYxz1zzv/hgU/wP4U20ucAGUJVLSKef2zoqHbD4eEymx F23C1/NUwdXq7jBjeOzS77mXpTogY2A3aDUbyKaLnRk2EbJ/3ejclU2ClTL2133EqfNAa1zbUhKb dC/iukNui9em8QG2U7ZnS1nB/jUb23vkxfu4JmA9VDkupbXFU0c+kHjOrk06slpQ8ckfoPzAdOjE jQRdOqJSB7oO/TTk5tIOT/MWqmpaxgybJ7KUPfJdtnrAOWlXRElwgyvj7tYCHBNg3XzxoRzIgsiw v9fmuYxX89fnCQ7ZCvAERpyVeOmnnzIW25fR0paYe+DfDo9aI+w8i+fxaiAEGs7rH1BoT/AKIUBG NtAHlwFTSo1ObqiNoW932ycluCcE453sA3V9KZ9Qz3a561K+Yf3vd3rGnaZB7KF5xHtacxpiqrwd mL6oGydS58TBqOqfL3BlejmYppK52kS4ujVRs4EI3WBaWok7XhFpAlazXpO0kyimC6QkNN0+501G D6S/zHFO87cctrIWmR4UsJecpHWtNy/w4m1wT+tp4IRgC4RcbuZk4dKO3Q100/ZtMTQ+bvG9U5z9 sedG4OF+VkOw6dgK0ZWw/jHFF/iB+YBnF1D/IljebQy/TgYUNJGzdfJFXtaoKSgZqojDuAhuKLSW JLPpJ3HIpwS+GfEuOevUD2rI7KsJ3H1HlaWiXlCu7oXTtI4ybqHYiDJ1uZFA9KqLIIJGzI3jr1NC 4oZ3s4OBsAcdBqrdta+gRx4bwAeV3isecoBjRcuOX5uOA0wRnM10pM4R1gmzaQWb2BUB3cj87tZU h4iv3L2LTzVgLdtXcUR9tpm8yZmNi2BNq16BxD/PDSQx4s3ujQAZPlyUpjFoM2f6wCqE0ieR6epg 43UgDZFD46MRCC7EB6QB6QvHPLt7IIZ6AeE50z+U3ZJasACQ+9Bo4tr/mXev+8wyZ3O6u2p1zqim A6jMYjlKiO8l0J6z5fFYi+Qy6U1mxWK15Bd0LtL3u+KRHLwgobBOMWV1APgL8ckfi77vMguMw2w+ YK0Ph5nWL0ECJJV7W0gGkXpVpdhBviw4E6BpGPVLMyXxHx4sipoQS7gM7bn4k5VHs56z46IdTnJa 9kISd8l0JDewQaCu58sVb1lIfbmS9zRfF9lFjtBQnNEfK0jwzOgN/bv4DjcaQ8nH8lMVzBobm//L Imgrhv9FNkrt3TELeVT+5oWzrF3NHVJvkbfBzcWFIXOhwpWaiBpmLYHc7XdpJZx0REZko8S1rnWv XgntEl6RS2e3V+6PbeSAhD+ZY4JVdetZFwMOr6N+EcMClC1epKQy0AcKGa4xi9d9fextUjlp5Xhq ATI7Br5Ejg6ffduYDdPTi+aHkDnBvYfJmVCbHZYQEWAxiNQetb48XM43bfV2utYYBAgkbICb+f+x CUUiBBUrgZZSiJI2PQ75Ax0uJqkgt8iE914hIPiTQIixpTus1JuUGkrZI6gARD6hhYWA6mlBMnxn JLPei6+2DsenP1vtjkdqUKdXLMYufhfa87JagbV4rGbGMjWl/K2IrpzRHqVPIu+/CDEpzoveTTad rclm6jptlnODOrFpkGHJqnWILanSIA+N5H/8UYeFN67t/FuBcH4YsstpSQaNBpdZDkeXsw37nY/Q C4Md2+QgEUxUmS9RR3PHBCfT0E7NmGtz4qXIpCD+DBE0Vbe4+ASOH1yoL0KTbZSZcOF4K6PjU8dJ FzNHsuz9IXyNFKXbxojBTd9t3dFDLo35p3gCXWfv/L81ytg61SS0DxrLnzZnB6bTSCrb/veasdww h7P2thlLtyncnmQT3/+2SUdK2N1A7QGLR5ACmy2qomnKyvBanm738FULXQbLDeEHJvGIKhUqjkku 7Atxi5WF5DsFgf5bDtXSIn/XUBah5HNKUk+Bh43SWHrYO/f8ysCoX9jNzILQDCKsjhmmIo+4ShnC OJSeXzgmJeUA/tNFzaOPo4P9zD57qdlhyYBCHOCIQCy2iyFMPr3wVRxGF5fgVvaMdTeSeQGTAAt1 zoz/hMrxALUjD9sjSeslscF28Y/+p0V7sweAOTPoU1eHExqWOIxhDsqF1PqcBcdkIJcpc2vFHmiT Vwl86mXrhIJM778Yd+/CDrzlJsVZ4XPaMWtHxGUL5c5kJTGbvQXar3CSHiIShe1ma0g3qcJmZKoX 1uDUBGg2KumckgmShrTsDBDFYSQ67MLXWmvN2dZq1ua7T+vQhujriSxZtg5fdNap32bYaSX8zLwc VzVtwXuLjm57g57JhxeY3qZEeem8okDLZQXBRPPAE/CbIqCks+zb+/5Yxp7wbRV+yAC0NpPA91sf ZLpsD6pgtxYgIiWsWfxa1RYq1Xq15PGyuhKzjEqKgzyV0pOUVxAJReoUI1axkpPTHk155/KUKfIJ Z5Jwu4HgYxeN6zI6nFfi3Xe4i5S/Fc5t9jbN4DVakH5+Dm/imgg/93bUpWRA2/vgTInKJBLNeM3c 4L0oKiXWV5pCIIwE718gcQDGbZSoLBHDoda1oxvnnEW/lenw/cXOKA1kn4SRCIccYMzUJB4E7BWH /IbC50wOg4mb+PBlz1PQCzAQ/6lfQGNliputizu4Ci3pKiA0ELvNZ4F0ySX87AogSu1VbXtd33mZ MNgOhz4oq+FSkW/DcYRAGmbuSdbgdZkTfx0HsdqSAGsShpNMzqz4RoT1sPDeCyZKK0gJQcyr4A+a muwRYwD+E+iSJxcfRK2ZwqbgE2WmuVG700/547bv3zuSkHqe/2ZTC/0yT9+jzU5XZnFSxpxg/4no CrGoKf+9S7KHg7oZLQHxiU5XV1n76AeoPArfcxSMh5GNJ5b0PxgNhTsAmiXXdA+tSwJduWcmPdPu 2AQlU1QYifRrNvLAatUt7JeK50y0PCqSeAH7QjiiPUqeGD+XSCj3xoD5oZ844MC3oKkYprKmnosy QfmFXx72csBPsLAAv440XXSVSyjG0iMiM+ee7QESTCDy2S5qW1ON8bT1UHP7tKei/Xz+FY091vPU 2TAkmK45+pCitSY/DEvlt748zqidyacXhAI3m92Kw+rIYut7jzfq160DJ6cSMJw5Oecuc0DHIKqy LpCll3dWmG5ACq58sv0nh0w9+6dqLaXSMHCLSk/vCJ9EnYyhQa3ghYDVZZoznW9pfPqEZZEB5L6W h7pwHFVFiCpUfGjAsZTdGCD2lguqjJy8KvqacHEQFVpEADI0V/3hyRtSK+7NuxbGRLlXpi2Os9ul 697T6ehg89/yGDPBpcH0JZ/q2Qfpj10rLgQNuJ2XrI+Fjcduv+iGjB4xITF3PPMgk9QwElM2TBZY 7gI1FQceNxbwIXSVvIHvsEIALGnvSy25deSBN7YQ82UfjTDYMSfPVUk3U3nqlCXUj1uIH1a164AU Ha/U2r68pjTSaiEzFMrThub3VlAX2kc2jn8jvHcAxqi1yoZ1EQ3vL2B4tGjroGjK0jG+kiu0TYVb lNKP/3tEJQWyOM0tbxq/WiBF8PqE84DP2sDhvXvHXXDIV0riWkeqFFzu8w7cpdzDEdWb2Cd8omfP f60iF4HwR23GHNoBOTFHDjQpLBXooe8p1zfPGc1QVnfJRdzzEZzU6+coBT3SEVtF5Ney91pNWEYr b41jCN/2jdVWVkF5MmOrBlM+2Nltzpli5KazSMC6hLpnBQYXlDWnOO77LX55vxDAq5+3nQOoPapT mmfaGS72qeshQOJszg7dLLJ8QaVhpf19jXrqfph7FmLetKcOFziMI0qt5nSLjBJJXjRTSeUfD5zp hmyk3pndQHOKqSwgDvY4xBjHrYUHBIMW3eVhL7aRI25WBcymB8wkD2s5tNypca3z0rOdhGq25PB3 ubXxiKIt+K5nWl9a+95lHhp9TTWyEejRFoN/4+l4d4IchxneGCwsq8tBgo6Bi9jDJv/a5oJkO8Me kT0kCUf90ELwIX9IEZMPk4CYENu8g8PiKtlen09OYLOYBsUT0NPH1W8llOC/xwcElpcabPM/d2AG fnPVmVh42OxVBAJBvH6LeTf8qGfhem9bIO6KaBvGMTAGeP7/+A/FjenpHbziqqswdrFkf9ozjFX6 AOMJNlR0reHBVJifPcXaPJbXHuUuqx9jh54ucELCHoHCoHFhRjTBA/ysJ8KyRbZYvTayLEEZWAzL aCOmDQ8GGm7bCkn7YmcGSXmg56MI+Fdfdmj0XCtGWWZXHFX4BpzOFR/kB+Whg4sEaHEY22lo9bXW x7HKxHDWpCeuwe/zsP/hg4nfHwcFVi5uihEn7MHdtTgHj3C9FBClPF254s6tnGlOoJOZp4GOlJ28 3KfFqObc+BMFoTBCAkGeMARz7EqaDnvYnKc5TtN7WM4DRcsnOA2rNZe5qYDZTLd2gjwZ0w5buIH/ rvZMM0KMYTOP0xqgu1dGZyA0SJhbpZehyeKJqxXkXGBEIRfqFSgTYWCbl4XPTzMEQgNEYJN1qV6E SUDynJWcpsv5WDz1wafNv+PFLojmOMMa8KS1y/9VyzilIIMQ1cgiKPfinxM3xUFsAa/OPjR2MW9j GgK8uP/vgvxJXEWFu5p+FPghhbQ2+o5DzriU8ata9/nN8xH2cmoDDLwUEWhcjL+hTSYIb/DCJy0q iXfSNvO4/DdwYo3Wqh+FTeyFpyNPc0j48xUrNMbKzQuYtzjaK2utWI4eFOrz5Bg6+u3oPdB4LDlR pQarwg6FgNobHYBlcghkxXyuBcvSuNECew8hg2eaq9xbbzfedbhstXSFbluX64Z9zG0QUZH4nY9Z /cd76mqNQj8QRRFZSETjNL2XThqhwuf9jzh/d6fl23UkWA270PtgvXl22rtsJCYoUJ65l+IiFOK7 NUBsQrrmisr2/qbPGiqrWyfL9Ae8uTLlfdM8fG8k3D/BtYP63RuZs0GSVHE9tm7xfaxzdwFucxDP MHvWY4EOjrrEadpvnfhCzNv3xh6HQaf47tgU+1R9P5LdqpJQEyH8nfhNjuGpR+R3gQI1eqQeN+kn FTTF7ZQlqLLk+pjb7e9a+3PRLcWr+wDWW/ck0iaEZIkWI2C5DJWFcPPeU+41mxCBwibU2dqgPZY+ 6pqOxg8Hrd2iS4tFkg7Fvt4+Q1q99x1W6a+YXDCVvR7g7aTZa1k177zQ1JqfWxi2uz/6gAmj5zg0 s5UKJzcUhHN34Q4k9b63CEBuPI8L4L3UtKu9gCMPUWl+3FIMeyQlwf/OqBcvEOLh+Viu8w20auD+ QzdoB/ctz4PKq0hSI9cstDE3OTvI/eboZukxGpNwswP1d4V6C/D6b8j76r1I53U8IU2Zkv+CbPwl 2Zp2cTBHGCvGepCRbzccoGC/Ef/FgTYyeF5fAc7HI4b/1gbKhGxwo85zpPluAwuHKZgqgmPnHdX2 iF0yIpU9YmxvH2WC+iGika3v1XoOpXmwqsN+XXpayOTPrWYRFX7HLyPQyHod4Iyd5vnqoQ0VFfkX u1btxVsFFZkblY+khcueldLUNTYxVhqbTogj3E24OZ3xCxqmOddsCbrQGL0boZ0cbCa1px/hWVM0 08+Gos8elNHy1iBwdRypKW/jFEFMOBcdoKrznZcaX5lML5GvEHR/ARkBdB+WEGswyd3xxYmTRgG7 qk41a/DF8cKD+zs94pqP1nYu3pRmz16CUbax4/uIZ07420rXW1lYodGdlUfd0xXIzjZLAe2v361i 6yIdX45TuH369q0bzV4gQ7H/QshGaFiw0nOTmYSKgKlhuCK6l3OJMM4e7XzTG8Bpmrrn/BRcAboD q2QqNQZoFVZy3IALNWor3CfQul5uYP+HCwDWZ6oUFZiveJr35/p+1QQLotDSToqSuwg7Ycjhx0OP GphfnDt+vX4nuZkshROWaNDC5dV7jbVEvudhBSIdd4RIs1dHMWMIQKdEnZZ6tDF/kbMqd/OIu4Lq Ae8oUeBMOIxM27X2Sw8tT9Jn+Nm4PHjoja2Ssr6yqPWdxOktAWFc/zftVUtWqF42vfpIa/nAEzXb KV7Y0cWUoiqnj18oiJMdT9gE6KdHCnRxRVE9+8zYhvr5c6IDvoPBzaoWg+aTziPFGQ1os0YHdV/x aKwRUw2xlvtpCjCafnUF67LQfBY6iUnaPLFygYYqnV5u9UFSD52PsinVHgevoWqiu+6qSZX5DmDS 53Q1t/Da2nAcHKrLlamVjFbRRICrZsOXBJ6E1JUxbv3nQxOatelHMr3yHMEZ8IZC0V8Z4CHbPBwe 5K2t77xGZSGnUS1Ham3mWu9QMwQ0inX3QW61EeOk92ePO/yfl4HgqKxnghVDYz3taIZg5eea08+T FLjTp9dEi+opOrmX0vWnLfVeas0eU4wN7ZzTgM95tj2zTTRSe2YymFjOT1Dwed5gY1WgUtvECT7T 9O8ooVqiRjZNWQMCvgAfU3psfPptk9LNGV2xIZeATcxz3PtFVe6W+UrgKc3wThhV/6L3guftAnIT iRCu7yaL2ImB2YfqAOFkvLlL2zlLkNMUjObOuijzbyRZmRago6ue4R+ZMF74dcS8+1XywKFLmjlE jp9P5Koe7QDnn70EBHH7pjMAkIJYwoNEpFja3jzZdmGL6oPCI0viqDeJhKa5WKTv8sBMVX3aVKzX HqnQX+1hUjHO44ddH2T8dTMX0D+NFRb6/O64RCXTmlI8m3XFK4FAicXL+GQynik2RySHTIqFODcQ TNf+kJRS2djv7d28yY5Ut6dir+47bi4oMrnreVtxDUDf43+IOkeS/Gp0INt8sT+gT7Cktm2Xnc9Z pAwePdc03uMG0jCqcDNNl8wwdCPXN3SwpiZBztJnJCNXNkBmTKdVPgZkSXOTV7nP0/9P+8TwJobh u8iZ6Ep/PhdBXbWJyLlFXtOB1SmgWpgpiZ1I2mFHKxSW66xov40eVfiZ/+PCmHJbBNscWxLaW+gs aG15NmwK5+bYiOBkMAdR6TV69sFX2eN45sxxmbUQrUtp5LsQGpyfaKpWr765aYqdtgHRCtZFOHAJ T33c3+5vCEN13Rn3PPphDM6GGi+ovzuD++wivsATzJtTXOI4j3GQ0iWqe5Jwx10nvBuxo1hmbIWk PHKW2pqlQrkaSlYAN0cjM4lnEKmk9MxFTVxEUSJ5WxbiKrveA3t6KgCrZo1Wr6TZJezdkQ+A+NRV 41lmg+dq2evXnamzuCRc3rhy37jHksJb3oQc6wdHvt3m8xFMDejib/nbEfp9FccDkILQw06uObkk nnB8ygQlf73w+aIOHGm4cdDEs4n1bpH92p9kR9AgIuJFRMVN24isgG8rvO4lY0luy/y0E4kPfSF6 kkZ1oLEQDYid9NX4c2UEMUCtDBys0JudiIWnrY2kHof3s8kYlRATMK40q9cU4sGgR1DhDVegCD84 7AmHnATg/4QL2/0JF4Oug9xGY749D9Fqye+VQspA1cUoFzAL4HZyZBzqK6w4C1fUoPcOxzSdECHN XOH25f3zLb/ygylvAaoYj49890l+P0UwtJKYzc9gPHFSuzu86IdsmjfnX9/BTYVaBkoY93zvIe38 Czj2mz6SoiyppJCIpnLEDNsESd0xZm0ctG8jmp4bNxGaw2fTqGfElo/hiBeec40+1bepcGPqyKbJ IQm1UrSnz/6t6Er8jys9RnhL7rHNhx4LeaYoZZL5OR51g4ZwUjx2h5w/TPEnGomDvIxst2pYneXY aEdoE+T7s/BgqCxXubfB48t7dbPnQ7zmPVDLMmaX06HTlzg9EjBPz6VHUr8W+jCqNQqHwcJsp1jz 74Vea2eOSqW6/34N2W9+mywDsDia6tg37oul+/dg5RFOOhPVwvSRvWO0V40Sksrt0n0L1KjSjGhZ hJt3bGQEWYwAzVcqC3TiGQIWId83+zoS4Qhnlon4Trab6VsPolgcltgY/v+J1AS9JPI9XODfHbff vVwlffwlgntCXkXlYqpVTwahLuQNVGWd8gzgV+Kti++Xu8NCEIKzwmpeH24ICt6R6GR3YyPmy6wj oKUFOIV81/QvDL1gXWS7Fe0NO+IGK0szSE7aMhojOLm06EXa6KaBkuUC8ArvRNbVJ32+DLOPlBPx rneCrm/hiu9QBO+j9PV4DktVHRcmEm0ESq7GMVGGa+tcaeErrlBtneUDJYQ1dJCsTf9JdDl5UO9Q 41RUrNM1E8leqyR4ncg+RVrjo7kUgEay/LFtRs8CjKi+Tz6dZZ0Q1HjNXfprDgcnwdhWzjgYrBTF fxGPhMIm9vCXoI+Nfsz1m/dVSKpVcUeI82VFJORsc6s3tKsnZdLS4TgGkCpNFgjz6tsjx5pVhVt9 Jc/9jB3Dea0SyQ8JamOJCL1cbO6Hvpd10/rLdnaKT43+8Fy6rbRnhevjKuNiAqcfpZQEGFIuPhLz aQOA1Jvr4Ungu78CjjXOysWeXxiPr6QIEwGoKV0XyAf9C35q62c+5LfNfGrP7icueOZGENsX100q 6CFvkQ5JskCFgzmwkDCRpw5ZQM7HiH/d1If9BNZidMsE0IPsW3YEInp4uZbBSzGtqfIWVkyeWlCj kVG2gaG9cB2meM2dfBcKzFPYjt7FEc/+izdiBu2MsNXWA0dn2fvxGMntFLkWYQJxZzghzBGzzLCQ tV+MqZUXP08/K2SnUROj6H5tpK2YUmHM8Ha12uNHkXpYlcAkzJjlr1LCasNQv2w1Oafo+ovkgRF2 GvdAr9AaPQl2UfLX3TvGrU0y41YUP/71EMY/V7DSNK3T4Q++UAI58EjKe66FGd+l+eFRbxckEhMj DfPDhFyq9nmZrCrycpvpZWHbrzSvfBGbMMfv2oow8Dmg6WRlItGNU3SsOIbj9kqLGQwVsZY0YJGy ixBDwZGQ04FH07bER6q2RyUcfRzTsBYUxq55pBY0zDHvEd/FMFoRthKNK+EoegyQ6zHLU63neZMY gTU7l5rLK8sks8Sx6M8Qr6R1Xdw0NXN+cPr1EDuEnw92k41foN/GEXuwWojen/daK7xJc/5Y99yb /ghq37tVzfXw2OrrvxMZyP6CrGEYv56DrxtcAX9ShKbUIJtkPdz4y81qgYzk2mE23kHw7Rism8VT PrrlgMs/ksVfmSvKELJERjTnbyGGx3YW7ZLhgp8xdUfq6CIJdUh0wu5t2Kwuo8Z1RL3al0e9ka7U VQfu7rV2ljcyAu9FiberTEop20fBEnIEEdg4Z5jgn/YFEucKwgHFSO9K6CPhevP7I3dK1FGwaB+w b3s2GmIMLEY3dw3dhQehUm4Ij52DL/wq4LvxPJPILqAu4RT+q+HhOx32fAGqq+ao8H9tDGKfZE+y Rh0C398Y8Rq/vhraHQQl1yfzgFMgUAjipI4TxqpL45Eix3aeQNEIXuMC3EXeY0xogC0BMqDxdXNa Rh21a1LEmN0txUbMVeFGpqxpKBRWFz2tWOjE3uDjchelgGiz4KeJckT+e8UbfLvFN2n3U11aRZmf Qxb3GgJX07F3c2gKCdXOepJly/YG88Acg+SyABJDyzjN0wcNTYM1kfBgCYZxGgMXtzMYW6i/y/UF nK3JvGhCH39pEZtgPpR4xaSWglgoI3p4W0fRBG6hvNbHJQvAgQSx2GVw1v2jUfmS15kf11dbCWWf ALNNvhsNV0CORlAtoN7NuhYKNMK7U8eeLF72Uk1ql2lj/R0o0zW2hJRNVCCHMvIH5lO+Fq1XUHkS qeuq/z9P1Ym//aIEtpdrRo485t25R4x8kEeukdrVzAGIYgJArTqhnyt/oSGqsWvX4TsK6psAqjhF hN4S7CihMQpcdivMPHGkZIr7z9qfoSOFIegF7wXjyDoBRYH4/6R/0EJJS+eprl3qbF5ymXpCFGsU BRAdn8Dfqjo2bWvEivq5IcIMdsV67RCZqJ+pYbD+69/tVJGVoEBRMLj6svW8xxOapwYJPSTYuo6s OJ16Ycjcx4kY9LmUR6u8lfjbv83mAQL5Fn7ck4vr0ZwmrvoETGruaLaUsdrWw1d/QCRH4Grdl3Vd prZf05PPcGnWvuxehjMds40PnDp1ASL1NJQIFI/+VYpNuk6SoJ9oDdHIcZeErH9M4KcgNJKgB9eW Bs8kEijKB9U6OU7CzWxKKswlo+nkTLtwJteq+lTb8B7WBCHzwPvWb7YWklU2RCd6d30X9A4OUZl2 FSugfVrvIss6aTY0jvt5dJ91gxLk3q/LLXnmRuMpenVeKDqnameqzDcqtm1/BI+08LQLLTRRRMUp GezMehlJzrDgOO47dAwNwQkh5Ot09AFJkH6033NeAypNFjqojEUY6sHHtCpul9RLTkRJ+F+Ri4Ks UKlMMGHiShmdvzV0n1VVpEZgT5umF1VJ7/3Fet4jHq7PJ+ztCc7ivGgHhaqb5cDwmqLrCeOU0m0f An9VzBAqBcUVDX/RXE2jzERXrOmLxHVn+mi8JxASwgSnbEjhLc2prnFo8dOve3xZOQziHS7ixvtB Xi0R9COcz0oysZIsoyt2gH1ooHTb01JsjPiMNxPmX3jTMvatj2hQIRJcgdiU4vAk3nA1mJlYIt6/ wpauUH4FwDXv+UPcpgi9c0duDm0NWH9NWRZBrqYzUpn6YKlUdbCJP2z1HMCLhiKll8U10X4Fj7SK jcyT6dRJ4ZPXDHkI21lCmhWr9NNvUZsq/Hf6S+l9OnuIYToUtOOifYNglcZzI1426lU/V6Pn+yoC Nh8lwEvTOLaU2JtA7grTNb+Qtlj61ZLktavHNImuJ067PezKXlu9EwIYeBBVNgKufXc4CSi80D84 c8IIT8YgHVsiDcCea7i4VXAA9rlyrY6YR/nh0nUTZTg+asIZ292980mUYe60BPaOw7JyzMRXiLY1 VGv24A3yehNzMyTKc9R6IwImvEBMPX9CvC4rlUVO4iPEN0OEgX6/pYXEyxxnlQYNx+9y9dI/uKP8 gC8lKUA3V850ZhcXMMcEEiCBW2ovS+9tAlFx1O2o3MsrF0hJMDjPL+kWpCtEfs4WgRFHgqnRNPC1 VCKYlR1NGl6UyJGX14/ttyTJ4riiUbwF4B6Ok9jXpDymOCOFfjZkez9TPB86IM4u2iRtB18JfGD5 Dbytf2jc+0iyTZlBEQ7x6GjzrTvELzRwUaLNEIor1hQ9RyUIXI6OTKUsiXVyiW/D3ixbDkZI3MXK kkedpI40MOS8ucZMLe04hOlWYFx48SV4XihhFz+YGS1qwhk6kyZ8k0G0U+fHuA5mQkn85QvwI65B te+GTR5sfjOXamE5D8AgrXHSoZULQAz22LNJxpLG0PxPel008Gf+jdoBwvSfLbo6kifRG3CWC2NZ 6mxfxx3tWjKH1mXOYGUWC5Qz9F2XXIBvyqE1PG1vY3V/5Shfaro3DO38dm6hqztU+a6dWleHKHTS NsduMVEP0mdj0SEhHbp2z/wvH0nkLFp00ZE3feXFsPF7wARyo0KG/VL0s3rasGqJam3k8hbOkh1h Ol0lCHxAGeGtnRC2qxtgSJNGKxSffDZZAv2e46HGLdcVspPNgDEDRPk62ZFdu9Qw6bUOu6G638ol c+dL/URnR6JU5gqodmqvpHtsaiy9SRijrueFedKWmxStae3wVsAqtBWsQu6eK9/pJnT2olzLrRTw rfEZe813xCB8Sf4CJjsV2tKWHGUdijvLzqHEHT38513xMfrXidrQjXxOjAuaFgtdfRrOK2AvcJP4 h2vTZ4l36NlFdtaquGCb6QUbKASYs3ob228gWIyyGtQ0BfROy39sgRNDU/n1ApVDS1uo0vfkw0ZX HS0u/1TSbpWyXUOaNnGAeXOW8MEJB0bDM1C+6dVIxdEIPlDj5smwaZDnrf6BD5AQ4+Q0WjR4/vFi xWhXbVRos0jvN08nO61bYsQCyTUNldNgm/oqeIhXHeeExdMmV54P1nx4dt3VYOa2zFW0nrpWblcX 9hGstNhXGJMS1Oi0Goj6YCUjZ5K4g7IdLwVnhghceBlcuBcfH+NCzRSMubIBqQZrPPTcIez5VhVw BZ1e/uYz1W6zSwYafxuFIyQMFhDqADB4U3Xw6UhI3sYUe5MbV7CLfcKLQ5MWWQ4TLdzK1XI8BmbG hkklWG0ifbIzyDIEywfE4vOUCbeGX1ZsCEvDqxUz304PwHYKZCrvkzsdFBNUwb08TxRS9DfzpT7M NwcTizVNfDYxz1zLzs7U8+XYIoCCcluzgxot16/rnn2sXD3TOovMCMC/1FdJxXjYcg+YJNVHwtUr hUiZaBDEdaWlrXDa6Bi3jWQZ1NYmCWzXbEJWYuSvwxg6MrK5YMf3OZ0GDP1ziBuaPJG9aleUYJ1/ RavPUirSTFYZT7QrKaHO9rJLLIc0bzgIKIoGCG1XyyUVwftTPabbX8jA05JLjBdPquPcHun6bKCV UHMLVgf/uiViYM90H1ndvvXl1nXTsZt2xsZxgC+PARAFDvBlR7utbx4QtK5cuq4KoK3Wq3Y2MB3b tfrazvVjiX1inI8NxpgoDKWtB+LPtsOFHgfWeUtBhRl/P2xR+rPAVeC7m6W6XMza+CrRC2WsL2Gw EsULKBmnu5o2ZH8rSCUYbNEis9A/glg7oEEmpUMtwfFYEqcPR87evISdlP+SCAB5jvJquRI4VIeW CQE7zPvEk+eG9f3tlqmGceqT47aP2caKlVZjaUkCbyWMCLIrMwGNXfLIoHutWiYFCWaMRStXMP4E /st0jbeNY1+d+V9sOzPWHJUjUOQN17esn1miwFcljj8FpJ2Wz1daRIOgNwb2TiAA4qRDTVUpVCq5 aD6caqrK/Op9wvDABvT44u2EirQ1OyEiL5VEL6PQRqvlCDz/NnNexYhAMvPmV3COqw8LjgvEfXMZ VnEaP6GItFT2d7WVZxERP1nQ93Bh3f09ofjmRgWmljSdHw2nleNxB6msH2oMPbRQt9GqTZPRSkUv KzVirp/BxO2dWtgHXy7Si3JgWCK6UQ08ADwLXdAPL+Loy6oqJLDpgViIwBfibMe/ox5tFnsIx14c XyNLyTXFcRZBcNLHxVT8Ia8w1x+x4RjZ3gTbcdPE0lSACH1DYAXkXmBccPMiCVi1uzIiEQkREO3l SpUQ9zWJHOHIggG+r6Ga0mAlbLP4aLDvlhAKv2Z1OyT76/T2oYzG3SnKWnhJW+CkfKvqTCqDq0Xk UR7Q5jBa73cKuF2zOrIB54OAs8rhyJ7KJ/j6aAz61tpj23+O0Pa3fogHo3f9iEvdh9oQ0XMVGw67 k9dF343wEfOJlghKRVyfeov7h6YTqqvhtebXxgQozV0vGBXVditVki2559BkA/bsxb6Atyp/oxyG ZCWRwf8jPQcGvzLk6TBevTXLtDL2+LJqvL4Rs/QsFVGszez+quTNSUWzQZpCXt4iMqfch2PfxP+N uqFTTZRASh0qcj/0wsmAEkyruFCRLSSW6Xu9fhFpiRloSd7UJo0dOCHZFDZq3za6GX2Z8gLsT4Kn eQvL53NUblNgRx8jdix+LlckIl4QzN8nMRhHuihql13cE2RZZMPumIH6fhbZBNRQm46Xt3s+UQbY maBcc5FwNUHPBkY5TeFxy/kLo3nkL+DY0MdqVmliWm31yzPKWCUFNgjGj7+zDvoplaznOYGVvYfg QQ94a1tCTKu5u1BIVs9WkoVg9T6QicTQhWC1psWu0gXIOBCc78884g2WhMzHhw0Y0siUZ8xS4Bmf BU20d4jawYS9nCtpXYz1883tCtzprfVKDqP/DOTzhXPdVS6D01KWfbAmHUG5TgmiEkMUOwFvlk/C j65RmBG/GQCHwodfhtkENhxccYPsDw11o60pDxmcY9lFKARTikpneSzLQPlCon50oM3/BUuXsE7k 1u2RecZusScFsC79INhw1vSaBIAvwFklEU6vdhlM0MJx7r7Zu73hpuCfu9Z/Pi9v0wwMe1g1PbEE aiBD6UtNHK5gUbCKUWid6VAcGdHJ+uAFyaqifsPnozLvOG8UyS9KivbJn+xKuIXNVmp7M2cCREDE SUkGN1QfBmoq2AYJWNpFwhmlQaj4Pig+dSMmsMQAN5bTwR4aqZq5kqGjZ3pE9UbZdrPMVgLp/20T YmOo6H34e32kR9ag8J4N90M6/LCoKHhnB2kGVN2BF9l9hdB1WDvAUyDgGJrfWS+5lbdRxInCJNAl ZxWPT+xSHeUKcwvndt16paN+J9vra+AeTCnbr6NaQuabw5kXlHgax832HSq2SZJsa2X0QhmyPX8d bxbNhsPirQR8K2EMdbp7Rv0d7dLSGJPOBTCOrBAQJSiv146WhH6xQiYxB7xj2MnsCEV92YqBCy28 jjtHqc+/gJi++HHU+JN1eb5wxPgBjML5uYXo/XovdDvsjj5xIFEBcQnfcR54W6Aqunq+kATVI56h Of4Fn7FzPZMBGOgIz1rJ0ct5TDDsQX3UvVkthD9Z23Uik1R62P2r2LDK5En93AvTRt5ejyEPzYX1 LZ4AzJSdXs1SsU8Eza6Ci2B79G+bsyYLej/ZSBkYenM0in9PINneExZxykh6FEmm4EsfRrpxPHSq 68j2d0VTyMldbcdcvjVQkHUCgIa+U/7drmRHafxk8JeFyp4N45Le8xSWPKel5HcKDJeQ7W5aUhCM XIUTZ40E3ozbOLOiGZdAg/P07r/GoIIbpIH9nTUv2Sat10vvQfDF5O64PgUdcVpBA+v0VbMUeBxG pyaalgb3alVyDKwO/GJONh1rTryTNJXPUQ486DCZdPNEfPv8BdcV+YlO7YPB4hDz4JxCgDHRIKrS XmdihKPU0OZEtXsJJokT00ZTqGw+emQ1IXX7Mfo+NeXDn/2Htd7EMPkSWEBebWXDNmCMYFwyysCs ooeSyPDkFs9uQUed7/sgh2OAVp6tyAfmnO40noptuhIUqvfFKBPQhFaTI3x1OF8HNnlNR4wYaWZK TBhOe+QAheAXn+cQqd1+3QFz0/qJT4hO+dcL+eO0T8DrYGRJjL4VdORZ5TvH+IBDHsXE1t2F2Ri/ JydOqzu3cJpJpZBr3xNqz87d3oJsc+Wf1BpW8uc3VkN61mf/MljjGEmPN5Ct+86kiPQg8iWC85LC bBnF6A3lrmj24/bWuyiruf1x0fe9z9oNYWHI1dK239FUnNg2PdZy9Z7/uHbXweySzkM6B2cdjYs7 bG8eio8iPya3UxtRqnFjX9hgszs4myvWlcW7C60+n/czBdESq6HYm++J/LI8SX9vlUU3XPi7xLZZ IbYka0D++8R2mGc0yzWY0mhezL7TBjf6XAnJ9eIgUri5TDRPpGXVhb3qZysf8pwP2xVR6h/JxeQk 5mk8EOZXHTt0Ks4ck47PaiLHDS22E0WeC9fI3+zPYbVWHLuiVh00r7nlL+FkzM+mobs4iVPHOqoB q5SLI5lyuokSL8afBIZKd4pcqI8b7ZiKYxCJH+XeghNWPM9aVEtiBsE5NWe06x97E+pxnix0AVI5 P36qf7F14wTqXfdiSYnST1WK9OMMApJYxwVamLpeRGi1ybms+oMKcvTfw2KGTeP4gIkAC+sypLJd 2F7sL1IVeZIry0syWjxXuRc7ug2IE8tsAr7nbTWPaBN4ORvRGwd7GNmLHmq8SSGA7zKAwXqV2cDr OOSbJSBnbrndHWnGj/wlllo49wB5y1ItqUrSLhUufyw+mTRnXpewDxeqDlhx/f5rYd1gPD3A/sOe Uf0Q36v5fV9vORhZEFJZ5h1I+IZGXlV/THBkHPgdVTEIuXtALy1ZkEP46OIpDq79gLZKdhhSWWGB YJ172272sBpK5N4gOtmuLB/Iwe9cklP6/wIn5/Nn98slilc0YUyftkD1g1rwdNjBWFplbm/BeQY4 w7PcHmbao6rTTpg8DFCrfGdLven7zb+k0fpLwvvSiopfhEyKlLhlwxcKAZ+OEHGljwrlykz0Qixq VBA/HQcBdhSGDE84uxyHH8nJUqOEDk82ueSQE282fXpxJ+05rvJMhVQsiYAVefmBjH6DRVO+22MB vjqTtbwtOToCEzGSuLOa5acdVo9nPb2GbpkZSlUPaH6rwbEYy7w/KMcrfHtC+wZ9Pj9+sSIdK4JJ 8X3ZT7Omn74R0FQHH6UPClDy2yPnwbFMx5zhvpum0snfLLq7Cgz+bNPEkZe3TmwrOLqQSOUyBIoC uOGU9LYYRil2GsvNszZyvPjL5wCDL6N3y4t/ceFT71FqFoY+mn0i0AP1c8y5Ir20oW6eY/eymVP5 EPM9LXSsxN0a8yQOnSaStnxYBsA9DlkbTtaIiqjCnjRrZplAQfC4xTY1jE6BFIGf9++Z/0gR0pxz EDmoBLId0G3fBAAyUkrysnSdlTUMmpYrgek0ezYPfEKl2A8Wqjj1rs6/nmcHr+MLBxlaCGtZtuYt YqOW3soZWuxKUF1HTvJerk9k1nP3AjsmtuV1RFwzhvCHKmw2umhg0DZdZAZeVm83bEGYKxM9YVpW HCAcLK2r+YfcMlaYZepsDbb74l6wyX2IAETAKW9hKbxzdVx6xfHvZX2lpYyAwJHTF567hWjmTVzj 5+Gasl9IfF8IAhLFph3V6Qolg0HrUBp/vXJrgByyRHXMGFpdkEmKNJqhMv+ieBezI6NpriLbYy5/ q7jqzDC1S6Ujyw8SYsdKfihca0B83ysnMJM+uBRshoRUdSkcY5HP2ZVRTgavLOHqwrMViyWPWcJb hwwZz5IP+ZkzvYUYf6mrxCIp1L7wmXELdfGtHdZ7dHxoN1QgdJrNDUZPZcoTryL0SoPzJuu5iVSd K4UMm+tobSW8/zfaXBWrFOVWkloOOwxpoKcuYb1R+HQugD/had3xeMK7ll+DWDDrRWoovqU9GzRP sALHlRwz99WcvfWaiqpOJRItaeIMymtsUj9gOpghdH7KPW+jjsla8k/kAybZAmCuYsMxEBrC/tia lnQXiPYa8cDXL1hdoUreHrjecW7mjILHp0ehZAqA1No62YqJ8E+fo8qF32fzyA5jCkP5g2QQe7oo ou4mZ/cqmyrgjFi//w7xsMePZNEP700x6fsqcsBIyvpDESI2NhByRSe4Hf9nUN/HPWEKTKEvPJj9 PFOFvDZPFLSMh3/eSogzwGuYXLeWq6SBdj3zQjN3+M5OYGDy0y+poObvvt8hg7UZQTTCBTHSVBUt iSXey+G+lbCbNnTBbIDDTIlSmiR/w3wOYmtSiniVX3HiJhoUkV8BFpvgz2qh0x72BOs7olmPkfUT ejIR2XMVi2O8+WZ/uWcECf08jLHvj5luwe+iV4AmpLDyZqo5N782Q1Ap8NCVI7SZXdR+0vKO90IY 3M4ZulyPEWDSzEDRd5EXUq7/CLVi78dpOOXkRhGUUPVLVyDt9222f+/Dm7SsmZou67VTNE/By0xi zu0r6aGwyS8Rqu/oOvoRkqbC/qLiKFHjjKXDt4VkJkIQO0KJw1a2+CkHCssG5X4pWnNtQwAW5i6x ou8faR3Z/nSCmit7H4unOekps0SWKIfDlGeDbPfdfhalId2zkB9UYfamKFL6VlZfyMbFGpJjnjXs NYyY94lzb3iK7R5MtMIwNr/aV9UbOHOmA5WJwyneQRan4jHTNzlq1qqH4cTi//wzxdFTRYypyuKF MDQEI1x51WjUKPFJ1+18DSym0j8II8SqF8iYAUJZcfiiJ8bUCSeO+swQYXbRGMU8F0D3Me8ZwwCA +WeI/1LAnN0itHoD7bmT36auXiGCNzn+iA1xIjxy7CuKnK6A7mPc9i8Q/pHGdDPDgHPdssl/roFw 71O+zuQAGyufXorLKNx3Hh08cy7E+KxnmmSFd+RdumLmZFY20hLzB1MjICE4ateJzECfc+srVgI9 b8gxFkQE+Rb5oC/d6Sn0qtuEBgSXFQIo34CkQns8PLPjzCMf/4KnrKTkKUk8FGsKtO4VvbLujKlI zz5LVtwDmFKWEcef305tU1I/tiCrNZ/9X7XXAgVPgXRHM67iaKhugLKd9iSTbSgpoO9Pt3t5jHiM HxqyCEj5jfA4/Py8BD+QmLjZ9H7uv/Yfboxq3mM/WMuRUUTW2iUl61XZJiSNLjOGL14M1ov/NkD5 YvDhSaVUI1W+hapQDvT6uxyGvdVkT5S1+vq9XHKRqgUMr8gh+NAQ6BvYPvlsbqRtIinmJMWEk2nu kNYc6EoVIPbyHXz0epU23oCF2a6CHHUq5pjrjEJo4Kyfzw3ZifY3gh5fJZ87odEvwIWF9Certvkd MCH+eEK3u9r5M842DX3MeEc6mba48kZkzO5ox8U/pHG6Rxxi+q7eECSwKIOhiprJTGFyW9iBY6A1 +BVCavG4xtyDT05q9EEjifc+qNPJzBGA3Gq8Bnhm8o+gbKh3MH82aEwfHke11L2Q7xzbdOoGZcHO I5YkHgjSSjxfSce5IdEqpVhJN1CclfT8R3t/rNWDezKIvnyZFNlOQFlVt2bvmy1J6VoUpqbkHR8c 2Q1NXXCmrj7zRZV2CT/qD/fUWXqDizFyUXoE6tPt32EuwOU7NS8gcNwmog7JB37wzuOwdBhbWTp8 4GVDZtdNkZP7Ai04HlC779jeYtf2LdkgFRdqgK4d24vdoieYxdVuQE43CWj8EDxkBJdeOnTq4u84 V869cz6GQgDFaYamc3VURVFqgT2hQgU92XDCcOwYxBfAyQOQCVuDafmwMpLJ33AZEfg8kn7XGH/s wH1ezJhwQB+q1LFdd4J7vyXjIvpdU8Mvz38M+O2JxxFSqsa1I0O0N0+yCuk6lov+0HwVbDJI4AVA vHq03O6OCle1dg0GGNxu/v3zstDaiDvy/nQ13vnROHcDIKyzGfWi8LDnsDyuxAG73AxUVlSnXmwv tNzuh6YCO4G1zRp/hLaxqrzgOiJ8ROlZBtUSnm+hdTlzHyf1mGrMOgODJ98VWkOKmHBlcVjlsTc2 NAatRKbyWafxSmb7TMvzNWabjCC5R1BJvuSKlVdA8D+K+LLe2iqi+tKHMI5F7jEs09TLgfD4j76r CJMY4oCO1dT98qXqfcnsLy+M1YY6QojbGAkeRQ3ewNTvZx6trPs09VNHgJUIZHywI0Z6oWwOOPEF PrZzSrlXwzseZYqNbHN6gjkB+kI4ffb92Lmirl5hx3XMQ98bA1+m8gUAVt657lJdh8wLrQ+0VjWu jNtMqnxGnq9OWBol3j42j1/9CDRiB85nj29YZIAirsiCTTtjH0V9JMJIpu1AgzFWeOmIQhSdODSD ivhi1wasGr9ulxBWGBpXVEnQf3LCIpZiSxv1vbh6mR2InaodmIG0x5XUCc+EB3qJShe4K84NIjIG SRXHPoPsXE/jljTzphUS9+2cKqJlNC5TmNjdin/FLA9DYmHfRXtpXE1tpb2+Uv7V7bJqFEe5mKxB iDmxNBRWnpD7IucQ9uNWUOjrY8485ZeA5xS23IbgTaioY7oH319gwAxV5ll1Sb/wTaUJSJcKiRRR IuhUpP4Biwxf75vyg54Kdbnlloweny5lqo8BrZchzWb/OEgniaWr8+wxbpXevn47BkZPBFsYw3SY 08t0EOu9Kh7drs4t8N38MkPFr2WEVIjr0ynWsG6wefjjl1mKBgrdmzaUfdEcMu2TS3YABW2mfrT2 RxmYZbXfW25xTJMYzcWV7KXnL0WqhKw/GoLWfV/NRaxz8AcxlZ6hO7cmpn4vPp/glhz/Qj47rIYg N0PNwIYdfU4ntbnVss3ErgJA+xdg1gilj0s7T7HVn4C9rRwI0XVhXTJxtmIfu7psRQwXL0zSI1ki mbdk+CWQpFqymiNp1ZIy+uK74QJGtuI8wTdBEH1fwdznqms+l6DuPJRcKRrHb/3wttKsx6xObntX lm52bi+QcURWPVIikrAEpBu6uFrI2VqK0or6/J9doZ3yXYVWEr9dyMhvxbDZLZnJx72thUOHcgtL vRCJPniLxG/yRgii/VmcLNCJRpe05sORM1A/gWEVvlO0zdIXd1ZtwRPaxY6jnbH69v4Qf0AUSP7k Me9F4g1g2JxvQSi5TxwuKCA0HPnfX6pCZTZVaifpenUxvsZQDtC9fuGNiF3mKsBeIAOWJAr7r6aY OTTwsau8U0H5XcCRXewgNH0CkXs+6MA3CQ88hR3RsVcLW0e7f1t/3mKkHHR1P3It8VVokkNH+5SJ EsGYz/MXofdfrXCwdMBhHu8D/MkqmO6vWgxYNGwrvYVL7iLbFhcoK51AVIX0ELknTnCoifbMjSZ9 6pg/NnFoWxZJwt0Kgp8K+RsqdUOK3cEkY0DrVb+ESWZYjbxzszBEgyJFeK6gnHA6We82FW6WWCDs 3PTgH3jsuzrmG8nDe0MEL+hxiFAHFYt+dP54byRe20Xr0HOeyRaakCwXlen5Oh/NTfTT1V/Jr5t1 KT/tS7TOYCbL7ufZYTn9TZu048NQHNm58JmDgM734/oIXTGzSsgZln+uUX3ldTMR7hpXeZQsOaTY zMX4QsMOV9zh6Tc4KFgACcpqguJjZouBPNiRzANEb2K58CaFy10g13dGH/aBmdrXXKBBmNW6UmG+ ej3Ir4MQYb/kMk2G0Bb3VOQzBMIqx8yT0NvlFHw9C0GSC9YzsQ9WEvRtLU+3tKJ5gFA4qUiFqLiA Q2q8sx1Gaq9kcY2lRyyMqphNtTzpZKvHFqCMcFNgxH8dB3qNRp1QWiAOemdqncqurbO95JWmx4eE vozQ+cHJGNtuRbGcQExm2vKwodm6DiH6N5WSoUgIqrCqEa0lqjyxuizDV+k6egWUj+fktfXVC7MO gXe4clfxTiH22L/eZqwusMVKejr/0/X5j1mwHJFgNkGkAe4MUsRUmANj4rk05nNHJVVBMppxskmk vzEEtCrOR0eFe8KRV0m7YY+OTzNOvR7ftvI0PvPMHgJG5l+sCxLuSQdRg4WiHJasOQvoS/FlL8mc q1UzbnKZPH1cnLbnpJWKEI/TEKsRXjuBzuYf8+KnKFo8Ne6lMP90iW+j7bWWCifU561N3i9wSl5B aCvENBz+FNGWTjjon79uATHnsvy2ufgqWNJUmME6eqwKd8lJp6wuVreNPPkDHiPfDZyJdY1Xpiro /quMDddW1p6sVG05Ct1HnkQtaAwLhR4u3JZbq4H5SyDFyfiCmO2wCRlN57UFI4nzdUFyTACZJ90t vI04vWGFnT/9zlf1VbjST5z/UE2esUvS92e0S5vT0Hi6oxtbzjrwHCUATxE4B9jEmjNFx0bch50C rFDWICktouD5nYVrHqt0Lc2s4scLeQ6HX7u1tb8fSfeUHcJ4+oDuo/0rvBoffDUuATgXDfBTImN1 AwK/cp1WJOWVSpaJOowj5mGeBp3AfCRnscXy8Fp86p3k6dob/ARzgPN7k8iQpGR4EaDjvjekdJiK ieD221QKzSK089dyH3jMYBdjJLrBWSlwStcLek3jUaanpvNAF7zA1ZJa/nVxD/RbDoUIp7PAl4kV G+eoof72WAMIoaZTva7KX9hZXxqSu9g8qRstCpM083mDl5enlif5u61Q/rHHhtWwXWn5WUpscWby hIHRblE1Oc8Dx8cjFJ5opjwam+YrvQbSGqRuPTVWq1+C4wk7VkmspiXbAyfPorbMGwzB5R3XelEQ 8FFFcvZnvyNJCF1Gq0EKgJ874rQYxX9zHwUxI0LCSs5f5YlvKI36Fsm9r9wVdXc3kDUwWgAp2sck 8ODvEimY9qo2l0C3qUjZkZccbN27KGUPm0fLpl82cvee1C5o5E+QJadF4PKDNgoXfBECSIEjdgNp YV4szf+SC+NNOWjkXHMpSX2tz7W5XNFdiBEBIUJsUHrNY6BZ9My5Wa9BoQoWKCme+9B9P6cicePW l++TVf/7SCZ6K64N24Q= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/ramfifo/rd_handshaking_flags.vhd
5
13954
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Y3vQYJGoi3blgkeLg879oEdoe1iB1+/mlgPLGvrwhHjuziZvWcfMDQFZS5sjqzLt31/gRDV5HTMM ldRRpb3CDQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dIFkgaVIz/lIyn+ihhBfHj42UOgxqtW1+iPBc/E70csKfvykrX4u1seWzaBPfEuarRV5vi8m/M7P AU7E3JXglfI5x99BDc+HGZchCRYDHkjgA6esCvNlhVE9XHv8eRQgqZTj863FbU8ayruVEcFz4r2O LHmdpZwWOp5MfhSm3hM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kFLRSb+px7e2wwv0x+CJxmHcwTssJsTFcxLC2a+8paaRd1PBHKA4Gacci9U+MJctxdW5ViL2k5mi Vik8BsiJHVMyXnWtozpPERCdP57gSsT+P/oawRTWgr6GhjloTipzMsZy4PPb1Ta9wF9W+boGqanG /QZGJoJl8IQlujJn++DXQ11vhAvInrWNuDu2sK+4sOuXx4Vj5zicpumadaDJefD+H8fa/nkgjSm9 pvmrORhPDdOsoLbbZN6Pal7jiqSmO+WL3xMhYfwpXe4nkSYEIo70rwjYPq10pGY3veB+OVCxVvqL NB7wUs9YQeRJYuHH+9DZW2csOrt3elW1u3o6ww== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block a1/FnVKqm/JQCzg4Xfmv8syNjE+66CsHGs+bi56hN5aYZnuO+bLwIfU9rOkFY1ITNF2HCBe+uD/b mFugEJCYjTAKc2kioI21ZeAAvgLK+JwSJF8iJX+vS35/JzfHfFEAqRVM+v32B1RhWTzYCyXMkYy5 FFqSnRbA58jKB2xivLk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wv9V94CAS9tEczd7Vd7LCj4v7RZvLlPgjHz6rIlOXQ+PzRUxuzRzx5D3Jtg8gN2SdapVssKTXLue +LUwzZ42sXQodXJHB1tTHr62RO+lhxGGn66XEqAU1v47f5nog0L3bebjPOX4eq9+7y8WKLpXYzjJ mTe8DMdjQl0HmBbJ+GnBk0oqNzwYHrol1IdAh4mb40/mpu9e5GZbasd9OOCdrVoijfC7qhc56KXV LOhSTfL74ysBmCRb7G/ROSf2sqht0eS+HA/JAcAaK3Q/Rkp1dhSnW+nDKbv9Q5/V/HZ69YhtDpXU K0tEysZOg0pygcQBo7iEHIdsE+IjkqmMKbVqbQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592) `protect data_block OD77meUxaM1WFcBluioChjnE6mbJRNDWbUEhdAtPgx8U4aBD5w28Z640FE5E3YcYP7T/kBeojGda oiAtPYw22AuavPb7NjhkegcOb/7FUrEa7a1km1c6ZfpcFMnvpUi6BHF6HaVmB3BEu47Kcc2ECkG6 5RhyWiM6xdyDxdzPHkcI1I39du4kZReUqWJbTHx7QPdoZ58vZLr1SOG0wZdN2BAZaWIZEPGbb5CA YI6LqPEAuyMqb18/9eaMWcdakbrWuDPs363pfEfRUYlyqcHDO3dtGTwA2JbAO8mueIGKG7hIyQzP a0PJJdmGCVixLzZdbTmXkZSFTrQ2dj17pZTu1PjYgYpHTzbMdRXDgHd5QFkEaVvrn2bKIBeKRrNs 0n60M7wHjJoYMW6u0vGmKlIYH0EKw5XG5Lb767JqUWQGVdYHB0Jw1iA046Zv9BBbPpXxT+Ghnj5A 626eJjqDDXmuRzBBbLco36gOIj1wJO5FMCwtX92YI/3beFS5y9HdYA6ESfI2gGqo5x86U1Z7Z2EP iQSHNHP86CmYXn6RxyJqpCwtSbzoEuTSQ6TD9+MW8V2SngICz7J5jduvYCSAKaiyh8y6uparEaS9 gw5Fcc5qjHBvvVFV8uy9Evmc8BvN1RfhRQN0YFTOHQlzVl4iuTu6KGcAHSWVGpCZMiNSc9Yjo/42 n+ZDiUGrAYcvkH4tUJRmBieP7dG7TKn2QqvPXs9oGugdD4ltqBDCEXW6Tz4sbiB8QYMMjgoxZ2jQ KlIrL7JtcabE43EWDU7+ykoDAPwQmMHhUnQm4xpMmRXLnnijBXy7LJUBeEH6snRn0QhWFLzJ7ok6 d73+mTH3ec5zuYinjxGlpN6kFV3lZiG86DhLafJiH5x/Fp+sFKw3szFNmwVm0p2CAQIcM2oeveSR u9zZtT/lRdIC7T9kSYjlohx/e3RENIDOtAzQFGtPlPZ/VpyEzaikNUPyHhIEh5kD49pYrmLGFxvd OTESCe4DpeSGHwU2egVyN9Hq0P77t76md+v1qylZk6+tEaTHNh13cl0ZDX1HjbkjrFN+SI+8ZPcQ 10795166QCMCIoGnpByzobIFBLIUmb0nPuqLoJxO25pxx1aVwcocxDIFvjhuOCvwQ1qJVqX74kVq t2DPHDvDjfRpEgyYCXdEu0UM9JcZZfFijk8ZTKMPvyvQloa9JvPWfEb7fmgIg2+OLf61F1fFI7PH /TmYJjgilW+Q8SB0ole8GQ35jUc//ZksmfGRqR/ghmef51SnMFbzAusS6/LPvWF1cSI/pAeqJ8Z5 +eHBX2mf6234v58JYRvTLAjdQb2tV/KFsozDYi71XRsYh2lEGiwV7AsaS1y2r/GxJQSx6Ho+QWr4 q7V/+2wbW2UeGaqgauZPh9U2gVSL+kMLDC5z8DYnt06JKjxESDvUChD5iRqfdhOKG+vwumSfp9gc KGI5NkwTjQ9XQ1pVW/MFo+HqQaX49xZl2TH6RdNqzbhfjgFyNtlnSuNBrAzjK5lEffGcVy5JNeQt QjEy5e76SmfZzOB+4QWeFlpE+rzTq7E2X46GWyAbZVNe7hbKr9VVFz+dQPFRcWCPBBw6ZktvXt4n tlUJB5VtFwe7k9Y3xrol9bjQJPYHLnM9dnrBzPc69nYIOMpjMVp0sDvFwetKKjiO0mcNKa+39j7g pO0d+lBl+/NENZYm1159/dZWM0yAdVy6Os2LJ7wv0o1SWrXr8GNxd8Ap5ebM4JU0G+88WyA5kCBD iuE0lfbg7s1Nc6Y2b+euLk8sc+dENMyWoOtN1Idburah5hWnALZP6CrCGqCvdNdaawSqbYfqavhY 2+kxQqdavvIGxDtbrIuythOzqs00FNfyFb73XCRQs6Lfe9QGzXl0yyCGY2bzyP1va9kDP/zMsfbl uAYqkQLdoIC/iyMvNCzkc8X9vbYqSrtB2e5/hySKB7BvWXFiqUNIp98A1mjk9EF0iCHzCi+hdV6H UzVA5+m1gm6b0G0ERgYL5vi6RpODjRDaYXp4l8RtFihiZ6XtWMzu9toqlb3et8oO+kgPqq6FGtSi lK6mFBgFbGpmFPS3Yzg7FvcoDrJFLGwL8j5TdmHSwFki0mlbJxuoWjSXtHKaCQk8Tj3fG2q7g3RQ Si6SjwAk75+iWwEYKtihKZ0ujzu3RSmNoPfzFmNcFPsgvX96VFJyk6lGvt8PDjJPEO08ld7Nr/yY MZt0iqpbgU3IaOrN7gAi9t+RsUh1ngmp+8LkxyTW4szzTES93CzleTpLHC3OQn14SKKQ3uEeWqeT Yk5w8p3qJpqbg9TIDWXk1sqfAGd/xAgwVbRGDYNJBr6M9rdxpBhFqvbRqLcrSY8MVe1EFEUYlzfO dhvxhirvurwILlDEmzDoI2/hIFDgHSoLz8S8o3MnE0HLTZEfEE9hXbITJsqgt+O1ao/EVg+hRj2W hx2x8dy0uH0nMk71SL0qNaInnPb9VQz+DzHgjIsWIkiHJJaqJKQOLEfvW7aT8xL+XOreS90ql8Vc BR+AdD596ndTrS5T5qtAQMWEJOROHOWrfYwg7vQCHQooySFRw5awLKMaxO1XJ3qHaCTw4ArLuxVw ojG52JrIbepm/W2i9iQZmITE9uUcizE9FWqTVPXcm9CbP3Zs4G9TxvSzFiQvJZSWH4RoGmfeo+Ec P3thkx2CWy59u+F72Ncu3uwbTF3JsQRPfc09LAeLrLN6pBBz7+xGN8Nhinzyl5wTO4suqT2xuO0/ VVmvwOVSQhUffLcyTSPQRn1CvFfEx61jFm+XjFSfdMVb8snKKADP2Kuj6RTXdsA2ha9Sfw7Syy8u 5qPSU8XZADRb8ATxz9gDA+EozGIRRSGLKKOusutkjYGl2hdh7O/5e62ZUCv5mawETbCgRmzveW+f mLRdsH59TRcyqcXhM/upHn55oy2rLbWcvPC8VyENHqBM7yLVaRYXASAMXteL4WSygtSND60XWZAG ag7mlMueL5pP6SxK/E1YWJILmJBQsCDsFVvehfc+mdJ7+Vzf8bCOeDmv+3T9GFDOo3bjLjJvSMnf dY4G69LH6RfVGRGZTYi1HFeNOAdAbW9aoOpu7xjDPXdSvwIuTJnc5o952eXJlpiz4RGruSvPcZei RTDSpUJRA3/JeVad3PYXN0OmIYFhNeaN38J+8QcttCrXQF9WHVe0pni981dBV/LKn196eDbVeouB GDZ0uog0XOCUeY5hcEyrHjdP5kQIZop3Z3RwonKpaJz0vYuHTDTnDj1V55nwVqFR6AguMpXt3GNG 0zzR+MnUF8TqchZPfdWmQD5MBdoEBiwKyj3O8gRvMxuhSTgCLw7n+doBXINpcn7PC0vHqsAK6I7z YAV1lQwkm8ulLtIWUQ8oVqyec1pdfMpEcNil3l98uPoqRGDhl/rRq396WrxdReVq33IG13uYmgb6 9GElpnKTImy9xf3TRsX9RwVvGfbA732RVEr3BoiuWO3XimtltaEzzMeOS9TyKc6P5kXAlzJnE+B+ 1VuRUaRGauvn/B9f3JI0sRWHBkzJamqNPk3hyvrFDf2fNHN+b23trTCoOdArkhMfsc78DSCA6YWg 1bg7B805iAH4nUEwWi541i0Zy0mpmX0TKsYYnMcb9xgxRmiqsSYotOgpeVivl3gjX5Vh7bNZ+2gr ilFDTtQHsg4cBD5Tx/L22UaefxEpWTgxEjSyVk+Cc3okZnf0CPuYNRTbQ8QLoO37GotLjqobIWnS FQaQvb/vXpttafHeL++Tu0bwZ+8cpPXacS1kgKqxBKwnIIG26dLp8HiHNwNh7JJvr5DlsYVFRwaW EfUs8PNjkcWlW+q7UcEFMYxO77uxTi7/T2J9MFA1KBNv2vwgsQiaTs2Y1V+Rz4EMY8eFh3D5SF/s nyReCWoqdOZY5D6G+DMsdntOPo+LEHwXqCGYPs8rb+Dtrd3fCGP2+CKyMHfP1hlJShfqch9Buhw1 A6Psic1A4zZw9oXd5LpTJZ2ygUtraGFoC/2qOC/fzSijQXOvvQ0SzH74HioV9eo33UXaYIocT18U 9RcvpgpCrXa/IVtrBYfywCEu/TBSRaCZ7UYx33YMtgB07VFyG97H6tmYG/UQUzrikDgMz1+fiaJ3 mx9Ng6aXKe4/+HktmR92ao8QFDrebuTCuqmV7RFtnmYjyxz721PgsZO2OjyixvPT0NKSJ/cRAQ0q WHd7JTk+CBrQnhlZZslGs4vLCby110j7uIBVLg+/XH4V0XPodjivWUmZrsZMVTSMNjOGvXOm/5QL enYIihC/sBzbTxAXJCV6hdyIVEOXqfOZNoPf3AQXCdjCJEZo+GYyM7wsmKIfFi93m30EU/1nbddI hxGXMt4m/qBckrP06s4GUFzh6Tkq0N2Q3utY4eQTCgj+LSrBcDroJEL5fiHCLamqkipmrjw3OTQH ksTIEwer/BzRPX8O406gBnm+5L1rwI39ZRVjNhBmMkUsPTq6xuKkbnsa1TRudd/ZWGzm4FIT2dRs kLAt1Fe9bNqQW/vfu6hodxPohHflQmV7TN0/M4sBTzHpAI8BkTNAKnx4QsFjLCU/h2VN78Os4fj5 gndtB+JlbYiqOqymsE5GPNTSDmO+2055rUE1UVPjk+dJeKSzjtuexcWlsSKcj0Db9JJsQLGs5dQD FGfMzH+WKOKm+iHDv1OJRSQnnrqd/VR886ubSowhyBcHPv8EI4V09rpTcFx21qIVKVGRMn6V63Me Y4XUwJoOD8uDyx8rQaPeaYfJ7UDa6Ynu+6mPcoftpph3b54zNYmLeHld7zyu+9xXpl3t4lX1cTlv OE7BHfs92gf8L5SVfcS/ypx5wpNQUZIQdI052IqCSk0KUz5ClmkZj5lhQ7R5Cnx3x0BmDBePDqtp lj6HpvaLJSsxm8f9pPcd7/Iy/v/JED9EqLuS8NDWnD+xJhqZpznVihdZbvWDZH1seVEV9RYcadtA sLenfiTZOHRxB9qQ6niXEbzPhqh++9gebaKzi6PGoVfF/3cEd62zpnWpa6UFCe6Wln4hM3zakLoV gNGg6yn4MTR7rmy/GAmutPEhKoq2UBzeWncJEn+Ut2RAlSS5FL1tg2ry2zbjPFEZx3mC1JPyctry uaS+0A4B5E2QpRqp6js0bnrHVl3SE+N1wQ2XoGFH9HzGzB7Pn1Wn74rajqqhwRizpd2zaoKujUr+ nfxLiAnpgpbEYi5kKWXAcoUWI2PbaeM0d5WpYLFrQhtkgaf7D4klio55R82M+KMJj86gqWeCij8B XXC/RfDtZj2oEWAAOhPs2cbNd9sliQDObYo2JLQSl9cuSpq22IkJEh3YmfV2WHa55h4Fd/x8tOG2 G/seozcU6rgPUGQ+5i8+yXQTzwuhiVljgeXy4t6IoS9siN6Gu5CFk4+xDpUIJuk72WAo1BgG+1os CAPSD3KkTR4kTMbIuBFYLUeB2kTfq1c6l7bcZA1I278rAjC5U4SPdBGD1hdRRRIyGymCHzCi48jV aJvQykCEDqW7ZoHU+wuu+Ea5ONu2fRS/ob5HxHwYqrhtNBHiqHu6u6jg53RCdfL57EmJGfvXbhBp nM3wooOnHLa1OBWHNx16dqM5+bkQ9JeSsD3YclzuXEEWYF9f9cRu7dtmfk/V0W7KYGJ9wguX54C1 i6CPhZ3EuVm3uwkKOmuoYB6IhpgcQzo5T5DUuQX5YnhgOBdMVSoVQxVqN+y7UUqZNUFls6FRyVe7 etWphBZb5himM3JM4RjpikR3aLBJCUHrj90Cl6cqou1JeNOtxTlvTowSN7HfZvvW23nkRiDcLvye WcHt334CgagtIus6hWL/WX68kRSQR2VDXcl+Gn2v0YzyKeLzz+U+nXRPmCS1qVw15DaCtGvLnrum 9xEadyfJ+7dOV3HddZsIWuUuvkCg1nG5ZM4lqepD0EVUze5DljVfRHPto1M/wZRnlZ5t9Jdc1yez T8nX3WCIEPd2eZco+6qE5xgXqVKp8C3CB6cDfotNbOaeLsm9a0NP3UdtBwNee5PXS9eWm25+WpKT 8bX2ng+WUmrc51zbwKasIC3dS3i7b+S2sk1GFQwmR3OmUQqvDTx4993nrH1MW8BCjHjd2Q8v41CJ 46BT+6i6Scr0Zg6EDiU4uE7KT1TomFPrdexKM6UgowMJteh/NEzFRm6MVwK6LJBstJRJr1BMXMFo p3S9KWPrPlO3QKgnt2PW2i/aBw7d9IeaejJ1PC0odC65yZ7kGn24+EcYvK9kKC8/mVCtJpKWoFuB NYKSq/gMkD7SYJ+NVLrDFZuPfVaD0ufJ4hf1y8UbIrl1VO9ICtpvH/gsArE9TUI+C+PfpwCfowPB /38D957HznrWgSheww96biwxudOtI7fly66iTEOVb8zuHg9UMJfK3YWj9bN1WwKBve7S1qN+0jdr 3kI5YRYtdbaUR9IEIQX4A0iRffN6dAECqA+vXBmaJignz4ACfeIiJ1FQHh9gPihIaM0aJ88hDVSl kalMTHhQoHMX0w6M0DDpEpWApb3WLRJaD4QEX4w8RZlP7xR1MnfDC/BVJeZ+0PtpawTxLm29n1Q/ Yg4BgzuHgDbQseCwUoE2+rOyDAWzaj18R8kBwy37h8U792bsoaHMEYpOFM60qck+DpxqvuxtGv5Y /lr9nwlhKAq4mtKrJC/sPan/aUWaXEgYpVWZrrMnyNFFsMFqrhrYIe3JXFO3BMdCed/pXWuKYOHG K8xe219/14aEZcZz1ON15Sj3XkrFJUpcHRuM6yeK7MLO8Hrs+kvHTmFGwwojEunbIcc6k67KANW6 f2Wj45h1N4OxjeJb2CLXYXBpqIn4FYLu46MDd2JGtNToXHfE6cAGU0KxVbSizjGKASv1IjacCADW kq1fwuvNVl2Kw5hkR+MwLgDeruJvZOPmhQ992EsgYmtyPKpCzAFw/oWB/PudrFcp2FRicDnUeZ9/ LS8AauM7Qo9Q1+aB5Y2KHUVDIDyOfRGHWqKeA6ONfrmK53iJ6lrVM4t9g0eUpHsOh/1FPQqJa+l7 jbvSHPsljPt6uz0DLIStr2+61mDIss5+CrBK+YAmk2XklE3JDxuSduit2FjagYEWvLVdy70js/QB 80ZuUpPCttkhyxJ3A7bMWVVfmUqby5oThSInR5AD9GOM83ig6/s5Dk3uzzBwTwB7CA6LVn1EEZWB OTGErTam6IDkHV7ygI4gQXrsBlD6HxRXfBZIh/4xk8DTS3KV86JkJ86ewh6p6qMojTO9dD1OooiX t6nW8ahJmbJG9/ptFIdCywz8wmIMdJQyA1hdeRC8L41XLoq27vOqCgOj/H7rpIBpt2JWBBFi148O 9iGjI48KjDTzZ7B2W19oMpKesPZs3iwmOAVbrOGR74hUdUVWUgb3Bf39lH+pkos1+1/BL3FG3RxP E2iCKhFgLKBnUTTRkG3zJ7Chf4zu6oC6N71A0cXmI2jRBHs4olzX2J7nXF6VolzkR7Q0G/fGvWK1 7wv0M0gekvdV3DgVFcbGwMwpFdjYqVqQMUayxkGN6PyLiTDyWBDJHEuMHixmaH1L5S2IcRUdLmXz q0DWPhb6wpEMni8K7wP+PggNz5kohZpvZoSwt0XigBzFc89WnWigfprCZwqu8tiKg1L3om/lvjG2 iVRjySaDqU4llGRD/ZspNr4cH9U4AS8SHjvwPJPQ8bESdelTXFUfMB2xhF4Glu+EGfYT2EB2O/nx yjTkiJ6NzRoDcXfMJ7i1w7taumjpkrmvbKdnWQIX1RkOW/A3SIy19uCIJe37Exn/PNVM98ZJLuPM T1F+L2cpoqO71qs4+QsWpCUcjGx+zglkIwZwjQPHrf/u1vIXUK5FgHWWH+DE4agrbKXdXSKlA/JO 7zofqKzsCSsSa8XO4/AwvSbmfFcTC19qzc7u/cSGZlpSNElWMO2oX1Ued8sZlnrdiZVa/JoraTMT xVEbyT81mfbuhABANLCh0MAxPCi3NlhjiQfU5wEmTcxN9edZZPpHTMs6CAlfV4AnWE6Y5r1GJ4Ks WCI/PO4Gz883kKqXxCceSWl8Zk8KjfrS3H6atbW0zTIYUpB81jhJhISstGEZSrPW+a2wRmF1LMSs llWhFTrwl2tayyBAuXAoXTY3YRPQNYFZUyY8Ps1dMkNL80+aAW3errN1fpcPJwE+qd8v5CwMh0YH 4a4vHddUhIw7Ty6jxDaSalEFEM422/YDbuPdB4L5SbIHQ1r+KmVt6jy7VwADoj+UCdGfkxIFQB8P QeE1b1VPPqY4f/EKQ9mBoOyhF8PXjq8fzHgwCS1BLHBtPYrVt9s4rixfZCnBt6P0s9WBvJNtBpCv iHxv6EYEbTaviyzTwrKTyPp6PAeS45N4C2st/TzVbdyejQUOrsNqmtKbtT+jakKGdyWynRYXcNOp mWK+xjCPSq3/LT6QRYPVHxy8PjKdJ1PrM1GOYmKI+upkWCSAzNibdS7Ic0dUgu7G5ZISulFYpNfA MC/pzwsJW1/K6RKiX3mmJTRxcvhI/+ArbfnyyrLaGB8/tQ9FYkvvvIJYTTUHt+g8zH/7IZegl64/ Jn/7Yh2uFjlQPqvzD++nWF2wXeGcjoF+t06L2fXNvI0Glrp9/SFwnYtPnWqRAcsKkN3IkeYS/rMb h/hpY1GimovQ0h6np5tlu7/BLNx8Povpk3pSqq7VPTrpmDFsFmMnAh0AMW6el3AE1j8u2ZkkCi2P Ruz0/uvTHgMg49WzfNcz2FeveIcPQLERZ2dVrgyImQzoxFLTzu6N0tz49BdXIRyOCpsglv3NSy83 OvHQ8NJyAYlXOtch+8vTkQ3wVQEg6g4TuEz9ECGBaoeHnGO6K8Hkz6KNEnHcSWeby/hksDUxU6yA GAXkpswm1p71Hawj/f44MFGuDCqeHr/hEOhFFratlrRns2TSpXjUGkpe1ouDnr8cz9QOwn7lIHwI HgfXyk/0H9P9vfqNTdxeknW679GfK4sJCy6uKqHKfqkwPG4UpOBfOmv9IWqPeAGbCXjsJvMO9uzq cK4g5dMVzoqooPA9vEF55/GoNWbmiVQ8sNjtBps+xTv0SqalZhXDJnRT4t0naN6WgS3Vb1yxbd89 V9I5HmxVI5JfMLvh2jZw47FaAFRBa4Broe77RZqho9icaACia32b+FWQEJ8tetTnZBXXjkbQguc/ zcpHvuqLbLe0rGfeAkr0PzYne1stsAFS7Z47DYGKGLDNrBAoJ4STi5+I1KUXsRd4t/wcL5znF9Vu NNqEZVh5oI3qc3QxzlRP8aZ49AbO8gwaGKtYejND5OcnLNSVFUPjKU8ijGblw6npgPij8AnRX5li J9yt5DRmkY9w1Aqok5jxLFxUqCXp28f08YwrPMFAFEqG9rX2Znum2QWNhgZ0XLs+glPBHDsTbC1l RxBMAGUGVAZnq2p/v44ivCg+5SJm3fx4tub7QmEnzV+zEdmjpiuPXQpE+IAvFfhUaN1VORFRpe6a Nn6NnGgtImQNAx/FqP21PqR95D8s0Bv/Cq4/mSUMkY+Q7qVt5riHspdEShO+1Sw+0Bl8yCrYBvsJ LSvfG7Gy5NUYt3oL8ePP5cNhpTouSpA1iRDUpSoENn29lM8Swtsanx0eilOMf/ZVNO4ckUqRTDIK 2KpoPjBSKkZ74z6hZS6mDNUMfEQCttfP5YfkJd01AiDAUTlOLErTRPVK5SAOHBuGhyzVyXRUi7FL 8wxy3vWX637zzxTWeON16fTpvRve8nk8qD+M1AcpM/ybvWVPCS9hXVgxlxzfjoXzByeQEtw2wir4 Xs8hD/XjuNZcXy0FqK/N/3mK2nqyaYk3Y91qss4n9736d37CSs5lpcP2KdE69BqvSVCbrwUmHZdB Xeo42466LB0Q5ANecKtkHPFiBCrZUX4WqplizyNB1JA6x9SeggwiSqAcp6gedO5sl4H5ku2GlFm/ /JOnqj/MVi9TEaDz1CiTSkWE6QyJoebu8S4U9FeaZNB8l5Afk8wy6NoVuUYdcly7q98zEk4qOiNv VtBBrSfNBjDynfMQY1cJ3wXya+RBXonr4WqDQVl7rOtxtjvPvYpdorYwO7N9bH17EFRRuAZELQHF ojIf/szFddTReG/ngym3jD4BSUkUijS5sUAPPp2niJBNyfD0ixRGNWemvVPyQ+WKNTHsdwUEs453 jPsI5TxB65T8bcRUMUqJniodu/bah75mmxyC5pYehyMnvthcFQVmIvwMm3p3bMmE0fDg7Nca0YbS Ldk7aIt/HC72o42YpYcFdIJZsAKCyYwRE2sNdYVXDX2MX6RxS+J5zVYNkVBZEn5AV25xJcKY/AhT g1zZCbS1S183WZeCHk0tVcIetmI9rfirBvUssRmpiUjtnZGHMwcFr3YGk8Ir+yerBNl9zA2ql3a0 g8V67zZ0l9qRbiyiy/vv8p1vw8NmACQRfdXf5utgih7QkTNoI3rJOLg5g5+spj41Szcjd+G39OF6 3VXyEAW4PD6QH9V0FqO1owNkrsUsiHpqloS3hCOutWnJ6oMQjmIsfP6o4fn3Ysb4+GtJRvFvWsAY Lx39KebO8NloEuFbhYxoMFZtLPVV3KFQIHEzla858YrUhWsplwrqtSHnDE1G0zLRQ5CZXHtnLOFz dySDAxTGuUJwju2syGywif0JfO/TI+7jo1ZVFFeNlqMJ3u6/APTWp/IrVm5biY41oMRx7lCQXXoF gBpEfr0WkPUn75UVR/9ANzyEqCtFvO6TdBRjWXt9cdz+xq/QrjjYNv3vUeGab9Fzj7+JJdENPdKD EwGcHFbqd5ruY56dDTFfx2rpS3HzG3eNczWRG4c1C2Sw4vCKwXB6d4i2VYlmyiwQSQsCn40reJ7C cVFKtfecLC2NMzVca6NcDPwxDwCMCQBF6BpdfDTeFPZSUJ+xIYEm/RYArc172KSsnLOuUee8L5Rv yji3TdVJgVfoM96wg2OuD9GVj0C07qRIh3iQegwXV/KiMaq+25iKo2IQATuPV6pndYKMSxBb4FXr gTathq/gEyYa25/HcELEcRmYPQ9L7hpQs2v+jvkz4sdhxa/CbPdOBKbkuvCJ+VUMijDres19Kh9P kX5d/NwD2oVtWCBYfmLXst/c1T2lT9Bl+JNxmQXaHdmjLADfa7v8P4ytp6ZRC8RFUlBDoz0OHFO7 Px4M6ZZlmIGr/TftR6VrjoAExZaZXvqzDuCZbjbwK08b20UrHaogY+dnixNuA21PMQLrF1/JQtms qxh1Mcjt+D2nUAjCrRVDWZvyecMwJxQlonwR7xdNupuJiWqmfjBTnv3XGG5mZLYdQc0qbnWoHFOY 6R5ZeUbxWWNC5q1/q8JmPCvWVbtP/cJWPynckgbprZNjCB3x7kqplT79NZI7uJ4HPSwrEJzxddVk /b8d+021ZF+CULqHR+yfQuzV+GIelpTAPvvBdBQUG54tXVu34eH6Bdtns8NJrlNn/VhoZG6dWiCp /8LkRjKZqu35pzsTr+HsQl85LirAIyceurdKa+5xhH2KpE+oPGX6AeDZ `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/ramfifo/rd_handshaking_flags.vhd
5
13954
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Y3vQYJGoi3blgkeLg879oEdoe1iB1+/mlgPLGvrwhHjuziZvWcfMDQFZS5sjqzLt31/gRDV5HTMM ldRRpb3CDQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dIFkgaVIz/lIyn+ihhBfHj42UOgxqtW1+iPBc/E70csKfvykrX4u1seWzaBPfEuarRV5vi8m/M7P AU7E3JXglfI5x99BDc+HGZchCRYDHkjgA6esCvNlhVE9XHv8eRQgqZTj863FbU8ayruVEcFz4r2O LHmdpZwWOp5MfhSm3hM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kFLRSb+px7e2wwv0x+CJxmHcwTssJsTFcxLC2a+8paaRd1PBHKA4Gacci9U+MJctxdW5ViL2k5mi Vik8BsiJHVMyXnWtozpPERCdP57gSsT+P/oawRTWgr6GhjloTipzMsZy4PPb1Ta9wF9W+boGqanG /QZGJoJl8IQlujJn++DXQ11vhAvInrWNuDu2sK+4sOuXx4Vj5zicpumadaDJefD+H8fa/nkgjSm9 pvmrORhPDdOsoLbbZN6Pal7jiqSmO+WL3xMhYfwpXe4nkSYEIo70rwjYPq10pGY3veB+OVCxVvqL NB7wUs9YQeRJYuHH+9DZW2csOrt3elW1u3o6ww== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block a1/FnVKqm/JQCzg4Xfmv8syNjE+66CsHGs+bi56hN5aYZnuO+bLwIfU9rOkFY1ITNF2HCBe+uD/b mFugEJCYjTAKc2kioI21ZeAAvgLK+JwSJF8iJX+vS35/JzfHfFEAqRVM+v32B1RhWTzYCyXMkYy5 FFqSnRbA58jKB2xivLk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wv9V94CAS9tEczd7Vd7LCj4v7RZvLlPgjHz6rIlOXQ+PzRUxuzRzx5D3Jtg8gN2SdapVssKTXLue +LUwzZ42sXQodXJHB1tTHr62RO+lhxGGn66XEqAU1v47f5nog0L3bebjPOX4eq9+7y8WKLpXYzjJ mTe8DMdjQl0HmBbJ+GnBk0oqNzwYHrol1IdAh4mb40/mpu9e5GZbasd9OOCdrVoijfC7qhc56KXV LOhSTfL74ysBmCRb7G/ROSf2sqht0eS+HA/JAcAaK3Q/Rkp1dhSnW+nDKbv9Q5/V/HZ69YhtDpXU K0tEysZOg0pygcQBo7iEHIdsE+IjkqmMKbVqbQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592) `protect data_block OD77meUxaM1WFcBluioChjnE6mbJRNDWbUEhdAtPgx8U4aBD5w28Z640FE5E3YcYP7T/kBeojGda oiAtPYw22AuavPb7NjhkegcOb/7FUrEa7a1km1c6ZfpcFMnvpUi6BHF6HaVmB3BEu47Kcc2ECkG6 5RhyWiM6xdyDxdzPHkcI1I39du4kZReUqWJbTHx7QPdoZ58vZLr1SOG0wZdN2BAZaWIZEPGbb5CA YI6LqPEAuyMqb18/9eaMWcdakbrWuDPs363pfEfRUYlyqcHDO3dtGTwA2JbAO8mueIGKG7hIyQzP a0PJJdmGCVixLzZdbTmXkZSFTrQ2dj17pZTu1PjYgYpHTzbMdRXDgHd5QFkEaVvrn2bKIBeKRrNs 0n60M7wHjJoYMW6u0vGmKlIYH0EKw5XG5Lb767JqUWQGVdYHB0Jw1iA046Zv9BBbPpXxT+Ghnj5A 626eJjqDDXmuRzBBbLco36gOIj1wJO5FMCwtX92YI/3beFS5y9HdYA6ESfI2gGqo5x86U1Z7Z2EP iQSHNHP86CmYXn6RxyJqpCwtSbzoEuTSQ6TD9+MW8V2SngICz7J5jduvYCSAKaiyh8y6uparEaS9 gw5Fcc5qjHBvvVFV8uy9Evmc8BvN1RfhRQN0YFTOHQlzVl4iuTu6KGcAHSWVGpCZMiNSc9Yjo/42 n+ZDiUGrAYcvkH4tUJRmBieP7dG7TKn2QqvPXs9oGugdD4ltqBDCEXW6Tz4sbiB8QYMMjgoxZ2jQ KlIrL7JtcabE43EWDU7+ykoDAPwQmMHhUnQm4xpMmRXLnnijBXy7LJUBeEH6snRn0QhWFLzJ7ok6 d73+mTH3ec5zuYinjxGlpN6kFV3lZiG86DhLafJiH5x/Fp+sFKw3szFNmwVm0p2CAQIcM2oeveSR u9zZtT/lRdIC7T9kSYjlohx/e3RENIDOtAzQFGtPlPZ/VpyEzaikNUPyHhIEh5kD49pYrmLGFxvd OTESCe4DpeSGHwU2egVyN9Hq0P77t76md+v1qylZk6+tEaTHNh13cl0ZDX1HjbkjrFN+SI+8ZPcQ 10795166QCMCIoGnpByzobIFBLIUmb0nPuqLoJxO25pxx1aVwcocxDIFvjhuOCvwQ1qJVqX74kVq t2DPHDvDjfRpEgyYCXdEu0UM9JcZZfFijk8ZTKMPvyvQloa9JvPWfEb7fmgIg2+OLf61F1fFI7PH /TmYJjgilW+Q8SB0ole8GQ35jUc//ZksmfGRqR/ghmef51SnMFbzAusS6/LPvWF1cSI/pAeqJ8Z5 +eHBX2mf6234v58JYRvTLAjdQb2tV/KFsozDYi71XRsYh2lEGiwV7AsaS1y2r/GxJQSx6Ho+QWr4 q7V/+2wbW2UeGaqgauZPh9U2gVSL+kMLDC5z8DYnt06JKjxESDvUChD5iRqfdhOKG+vwumSfp9gc KGI5NkwTjQ9XQ1pVW/MFo+HqQaX49xZl2TH6RdNqzbhfjgFyNtlnSuNBrAzjK5lEffGcVy5JNeQt QjEy5e76SmfZzOB+4QWeFlpE+rzTq7E2X46GWyAbZVNe7hbKr9VVFz+dQPFRcWCPBBw6ZktvXt4n tlUJB5VtFwe7k9Y3xrol9bjQJPYHLnM9dnrBzPc69nYIOMpjMVp0sDvFwetKKjiO0mcNKa+39j7g pO0d+lBl+/NENZYm1159/dZWM0yAdVy6Os2LJ7wv0o1SWrXr8GNxd8Ap5ebM4JU0G+88WyA5kCBD iuE0lfbg7s1Nc6Y2b+euLk8sc+dENMyWoOtN1Idburah5hWnALZP6CrCGqCvdNdaawSqbYfqavhY 2+kxQqdavvIGxDtbrIuythOzqs00FNfyFb73XCRQs6Lfe9QGzXl0yyCGY2bzyP1va9kDP/zMsfbl uAYqkQLdoIC/iyMvNCzkc8X9vbYqSrtB2e5/hySKB7BvWXFiqUNIp98A1mjk9EF0iCHzCi+hdV6H UzVA5+m1gm6b0G0ERgYL5vi6RpODjRDaYXp4l8RtFihiZ6XtWMzu9toqlb3et8oO+kgPqq6FGtSi lK6mFBgFbGpmFPS3Yzg7FvcoDrJFLGwL8j5TdmHSwFki0mlbJxuoWjSXtHKaCQk8Tj3fG2q7g3RQ Si6SjwAk75+iWwEYKtihKZ0ujzu3RSmNoPfzFmNcFPsgvX96VFJyk6lGvt8PDjJPEO08ld7Nr/yY MZt0iqpbgU3IaOrN7gAi9t+RsUh1ngmp+8LkxyTW4szzTES93CzleTpLHC3OQn14SKKQ3uEeWqeT Yk5w8p3qJpqbg9TIDWXk1sqfAGd/xAgwVbRGDYNJBr6M9rdxpBhFqvbRqLcrSY8MVe1EFEUYlzfO dhvxhirvurwILlDEmzDoI2/hIFDgHSoLz8S8o3MnE0HLTZEfEE9hXbITJsqgt+O1ao/EVg+hRj2W hx2x8dy0uH0nMk71SL0qNaInnPb9VQz+DzHgjIsWIkiHJJaqJKQOLEfvW7aT8xL+XOreS90ql8Vc BR+AdD596ndTrS5T5qtAQMWEJOROHOWrfYwg7vQCHQooySFRw5awLKMaxO1XJ3qHaCTw4ArLuxVw ojG52JrIbepm/W2i9iQZmITE9uUcizE9FWqTVPXcm9CbP3Zs4G9TxvSzFiQvJZSWH4RoGmfeo+Ec P3thkx2CWy59u+F72Ncu3uwbTF3JsQRPfc09LAeLrLN6pBBz7+xGN8Nhinzyl5wTO4suqT2xuO0/ VVmvwOVSQhUffLcyTSPQRn1CvFfEx61jFm+XjFSfdMVb8snKKADP2Kuj6RTXdsA2ha9Sfw7Syy8u 5qPSU8XZADRb8ATxz9gDA+EozGIRRSGLKKOusutkjYGl2hdh7O/5e62ZUCv5mawETbCgRmzveW+f mLRdsH59TRcyqcXhM/upHn55oy2rLbWcvPC8VyENHqBM7yLVaRYXASAMXteL4WSygtSND60XWZAG ag7mlMueL5pP6SxK/E1YWJILmJBQsCDsFVvehfc+mdJ7+Vzf8bCOeDmv+3T9GFDOo3bjLjJvSMnf dY4G69LH6RfVGRGZTYi1HFeNOAdAbW9aoOpu7xjDPXdSvwIuTJnc5o952eXJlpiz4RGruSvPcZei RTDSpUJRA3/JeVad3PYXN0OmIYFhNeaN38J+8QcttCrXQF9WHVe0pni981dBV/LKn196eDbVeouB GDZ0uog0XOCUeY5hcEyrHjdP5kQIZop3Z3RwonKpaJz0vYuHTDTnDj1V55nwVqFR6AguMpXt3GNG 0zzR+MnUF8TqchZPfdWmQD5MBdoEBiwKyj3O8gRvMxuhSTgCLw7n+doBXINpcn7PC0vHqsAK6I7z YAV1lQwkm8ulLtIWUQ8oVqyec1pdfMpEcNil3l98uPoqRGDhl/rRq396WrxdReVq33IG13uYmgb6 9GElpnKTImy9xf3TRsX9RwVvGfbA732RVEr3BoiuWO3XimtltaEzzMeOS9TyKc6P5kXAlzJnE+B+ 1VuRUaRGauvn/B9f3JI0sRWHBkzJamqNPk3hyvrFDf2fNHN+b23trTCoOdArkhMfsc78DSCA6YWg 1bg7B805iAH4nUEwWi541i0Zy0mpmX0TKsYYnMcb9xgxRmiqsSYotOgpeVivl3gjX5Vh7bNZ+2gr ilFDTtQHsg4cBD5Tx/L22UaefxEpWTgxEjSyVk+Cc3okZnf0CPuYNRTbQ8QLoO37GotLjqobIWnS FQaQvb/vXpttafHeL++Tu0bwZ+8cpPXacS1kgKqxBKwnIIG26dLp8HiHNwNh7JJvr5DlsYVFRwaW EfUs8PNjkcWlW+q7UcEFMYxO77uxTi7/T2J9MFA1KBNv2vwgsQiaTs2Y1V+Rz4EMY8eFh3D5SF/s nyReCWoqdOZY5D6G+DMsdntOPo+LEHwXqCGYPs8rb+Dtrd3fCGP2+CKyMHfP1hlJShfqch9Buhw1 A6Psic1A4zZw9oXd5LpTJZ2ygUtraGFoC/2qOC/fzSijQXOvvQ0SzH74HioV9eo33UXaYIocT18U 9RcvpgpCrXa/IVtrBYfywCEu/TBSRaCZ7UYx33YMtgB07VFyG97H6tmYG/UQUzrikDgMz1+fiaJ3 mx9Ng6aXKe4/+HktmR92ao8QFDrebuTCuqmV7RFtnmYjyxz721PgsZO2OjyixvPT0NKSJ/cRAQ0q WHd7JTk+CBrQnhlZZslGs4vLCby110j7uIBVLg+/XH4V0XPodjivWUmZrsZMVTSMNjOGvXOm/5QL enYIihC/sBzbTxAXJCV6hdyIVEOXqfOZNoPf3AQXCdjCJEZo+GYyM7wsmKIfFi93m30EU/1nbddI hxGXMt4m/qBckrP06s4GUFzh6Tkq0N2Q3utY4eQTCgj+LSrBcDroJEL5fiHCLamqkipmrjw3OTQH ksTIEwer/BzRPX8O406gBnm+5L1rwI39ZRVjNhBmMkUsPTq6xuKkbnsa1TRudd/ZWGzm4FIT2dRs kLAt1Fe9bNqQW/vfu6hodxPohHflQmV7TN0/M4sBTzHpAI8BkTNAKnx4QsFjLCU/h2VN78Os4fj5 gndtB+JlbYiqOqymsE5GPNTSDmO+2055rUE1UVPjk+dJeKSzjtuexcWlsSKcj0Db9JJsQLGs5dQD FGfMzH+WKOKm+iHDv1OJRSQnnrqd/VR886ubSowhyBcHPv8EI4V09rpTcFx21qIVKVGRMn6V63Me Y4XUwJoOD8uDyx8rQaPeaYfJ7UDa6Ynu+6mPcoftpph3b54zNYmLeHld7zyu+9xXpl3t4lX1cTlv OE7BHfs92gf8L5SVfcS/ypx5wpNQUZIQdI052IqCSk0KUz5ClmkZj5lhQ7R5Cnx3x0BmDBePDqtp lj6HpvaLJSsxm8f9pPcd7/Iy/v/JED9EqLuS8NDWnD+xJhqZpznVihdZbvWDZH1seVEV9RYcadtA sLenfiTZOHRxB9qQ6niXEbzPhqh++9gebaKzi6PGoVfF/3cEd62zpnWpa6UFCe6Wln4hM3zakLoV gNGg6yn4MTR7rmy/GAmutPEhKoq2UBzeWncJEn+Ut2RAlSS5FL1tg2ry2zbjPFEZx3mC1JPyctry uaS+0A4B5E2QpRqp6js0bnrHVl3SE+N1wQ2XoGFH9HzGzB7Pn1Wn74rajqqhwRizpd2zaoKujUr+ nfxLiAnpgpbEYi5kKWXAcoUWI2PbaeM0d5WpYLFrQhtkgaf7D4klio55R82M+KMJj86gqWeCij8B XXC/RfDtZj2oEWAAOhPs2cbNd9sliQDObYo2JLQSl9cuSpq22IkJEh3YmfV2WHa55h4Fd/x8tOG2 G/seozcU6rgPUGQ+5i8+yXQTzwuhiVljgeXy4t6IoS9siN6Gu5CFk4+xDpUIJuk72WAo1BgG+1os CAPSD3KkTR4kTMbIuBFYLUeB2kTfq1c6l7bcZA1I278rAjC5U4SPdBGD1hdRRRIyGymCHzCi48jV aJvQykCEDqW7ZoHU+wuu+Ea5ONu2fRS/ob5HxHwYqrhtNBHiqHu6u6jg53RCdfL57EmJGfvXbhBp nM3wooOnHLa1OBWHNx16dqM5+bkQ9JeSsD3YclzuXEEWYF9f9cRu7dtmfk/V0W7KYGJ9wguX54C1 i6CPhZ3EuVm3uwkKOmuoYB6IhpgcQzo5T5DUuQX5YnhgOBdMVSoVQxVqN+y7UUqZNUFls6FRyVe7 etWphBZb5himM3JM4RjpikR3aLBJCUHrj90Cl6cqou1JeNOtxTlvTowSN7HfZvvW23nkRiDcLvye WcHt334CgagtIus6hWL/WX68kRSQR2VDXcl+Gn2v0YzyKeLzz+U+nXRPmCS1qVw15DaCtGvLnrum 9xEadyfJ+7dOV3HddZsIWuUuvkCg1nG5ZM4lqepD0EVUze5DljVfRHPto1M/wZRnlZ5t9Jdc1yez T8nX3WCIEPd2eZco+6qE5xgXqVKp8C3CB6cDfotNbOaeLsm9a0NP3UdtBwNee5PXS9eWm25+WpKT 8bX2ng+WUmrc51zbwKasIC3dS3i7b+S2sk1GFQwmR3OmUQqvDTx4993nrH1MW8BCjHjd2Q8v41CJ 46BT+6i6Scr0Zg6EDiU4uE7KT1TomFPrdexKM6UgowMJteh/NEzFRm6MVwK6LJBstJRJr1BMXMFo p3S9KWPrPlO3QKgnt2PW2i/aBw7d9IeaejJ1PC0odC65yZ7kGn24+EcYvK9kKC8/mVCtJpKWoFuB NYKSq/gMkD7SYJ+NVLrDFZuPfVaD0ufJ4hf1y8UbIrl1VO9ICtpvH/gsArE9TUI+C+PfpwCfowPB /38D957HznrWgSheww96biwxudOtI7fly66iTEOVb8zuHg9UMJfK3YWj9bN1WwKBve7S1qN+0jdr 3kI5YRYtdbaUR9IEIQX4A0iRffN6dAECqA+vXBmaJignz4ACfeIiJ1FQHh9gPihIaM0aJ88hDVSl kalMTHhQoHMX0w6M0DDpEpWApb3WLRJaD4QEX4w8RZlP7xR1MnfDC/BVJeZ+0PtpawTxLm29n1Q/ Yg4BgzuHgDbQseCwUoE2+rOyDAWzaj18R8kBwy37h8U792bsoaHMEYpOFM60qck+DpxqvuxtGv5Y /lr9nwlhKAq4mtKrJC/sPan/aUWaXEgYpVWZrrMnyNFFsMFqrhrYIe3JXFO3BMdCed/pXWuKYOHG K8xe219/14aEZcZz1ON15Sj3XkrFJUpcHRuM6yeK7MLO8Hrs+kvHTmFGwwojEunbIcc6k67KANW6 f2Wj45h1N4OxjeJb2CLXYXBpqIn4FYLu46MDd2JGtNToXHfE6cAGU0KxVbSizjGKASv1IjacCADW kq1fwuvNVl2Kw5hkR+MwLgDeruJvZOPmhQ992EsgYmtyPKpCzAFw/oWB/PudrFcp2FRicDnUeZ9/ LS8AauM7Qo9Q1+aB5Y2KHUVDIDyOfRGHWqKeA6ONfrmK53iJ6lrVM4t9g0eUpHsOh/1FPQqJa+l7 jbvSHPsljPt6uz0DLIStr2+61mDIss5+CrBK+YAmk2XklE3JDxuSduit2FjagYEWvLVdy70js/QB 80ZuUpPCttkhyxJ3A7bMWVVfmUqby5oThSInR5AD9GOM83ig6/s5Dk3uzzBwTwB7CA6LVn1EEZWB OTGErTam6IDkHV7ygI4gQXrsBlD6HxRXfBZIh/4xk8DTS3KV86JkJ86ewh6p6qMojTO9dD1OooiX t6nW8ahJmbJG9/ptFIdCywz8wmIMdJQyA1hdeRC8L41XLoq27vOqCgOj/H7rpIBpt2JWBBFi148O 9iGjI48KjDTzZ7B2W19oMpKesPZs3iwmOAVbrOGR74hUdUVWUgb3Bf39lH+pkos1+1/BL3FG3RxP E2iCKhFgLKBnUTTRkG3zJ7Chf4zu6oC6N71A0cXmI2jRBHs4olzX2J7nXF6VolzkR7Q0G/fGvWK1 7wv0M0gekvdV3DgVFcbGwMwpFdjYqVqQMUayxkGN6PyLiTDyWBDJHEuMHixmaH1L5S2IcRUdLmXz q0DWPhb6wpEMni8K7wP+PggNz5kohZpvZoSwt0XigBzFc89WnWigfprCZwqu8tiKg1L3om/lvjG2 iVRjySaDqU4llGRD/ZspNr4cH9U4AS8SHjvwPJPQ8bESdelTXFUfMB2xhF4Glu+EGfYT2EB2O/nx yjTkiJ6NzRoDcXfMJ7i1w7taumjpkrmvbKdnWQIX1RkOW/A3SIy19uCIJe37Exn/PNVM98ZJLuPM T1F+L2cpoqO71qs4+QsWpCUcjGx+zglkIwZwjQPHrf/u1vIXUK5FgHWWH+DE4agrbKXdXSKlA/JO 7zofqKzsCSsSa8XO4/AwvSbmfFcTC19qzc7u/cSGZlpSNElWMO2oX1Ued8sZlnrdiZVa/JoraTMT xVEbyT81mfbuhABANLCh0MAxPCi3NlhjiQfU5wEmTcxN9edZZPpHTMs6CAlfV4AnWE6Y5r1GJ4Ks WCI/PO4Gz883kKqXxCceSWl8Zk8KjfrS3H6atbW0zTIYUpB81jhJhISstGEZSrPW+a2wRmF1LMSs llWhFTrwl2tayyBAuXAoXTY3YRPQNYFZUyY8Ps1dMkNL80+aAW3errN1fpcPJwE+qd8v5CwMh0YH 4a4vHddUhIw7Ty6jxDaSalEFEM422/YDbuPdB4L5SbIHQ1r+KmVt6jy7VwADoj+UCdGfkxIFQB8P QeE1b1VPPqY4f/EKQ9mBoOyhF8PXjq8fzHgwCS1BLHBtPYrVt9s4rixfZCnBt6P0s9WBvJNtBpCv iHxv6EYEbTaviyzTwrKTyPp6PAeS45N4C2st/TzVbdyejQUOrsNqmtKbtT+jakKGdyWynRYXcNOp mWK+xjCPSq3/LT6QRYPVHxy8PjKdJ1PrM1GOYmKI+upkWCSAzNibdS7Ic0dUgu7G5ZISulFYpNfA MC/pzwsJW1/K6RKiX3mmJTRxcvhI/+ArbfnyyrLaGB8/tQ9FYkvvvIJYTTUHt+g8zH/7IZegl64/ Jn/7Yh2uFjlQPqvzD++nWF2wXeGcjoF+t06L2fXNvI0Glrp9/SFwnYtPnWqRAcsKkN3IkeYS/rMb h/hpY1GimovQ0h6np5tlu7/BLNx8Povpk3pSqq7VPTrpmDFsFmMnAh0AMW6el3AE1j8u2ZkkCi2P Ruz0/uvTHgMg49WzfNcz2FeveIcPQLERZ2dVrgyImQzoxFLTzu6N0tz49BdXIRyOCpsglv3NSy83 OvHQ8NJyAYlXOtch+8vTkQ3wVQEg6g4TuEz9ECGBaoeHnGO6K8Hkz6KNEnHcSWeby/hksDUxU6yA GAXkpswm1p71Hawj/f44MFGuDCqeHr/hEOhFFratlrRns2TSpXjUGkpe1ouDnr8cz9QOwn7lIHwI HgfXyk/0H9P9vfqNTdxeknW679GfK4sJCy6uKqHKfqkwPG4UpOBfOmv9IWqPeAGbCXjsJvMO9uzq cK4g5dMVzoqooPA9vEF55/GoNWbmiVQ8sNjtBps+xTv0SqalZhXDJnRT4t0naN6WgS3Vb1yxbd89 V9I5HmxVI5JfMLvh2jZw47FaAFRBa4Broe77RZqho9icaACia32b+FWQEJ8tetTnZBXXjkbQguc/ zcpHvuqLbLe0rGfeAkr0PzYne1stsAFS7Z47DYGKGLDNrBAoJ4STi5+I1KUXsRd4t/wcL5znF9Vu NNqEZVh5oI3qc3QxzlRP8aZ49AbO8gwaGKtYejND5OcnLNSVFUPjKU8ijGblw6npgPij8AnRX5li J9yt5DRmkY9w1Aqok5jxLFxUqCXp28f08YwrPMFAFEqG9rX2Znum2QWNhgZ0XLs+glPBHDsTbC1l RxBMAGUGVAZnq2p/v44ivCg+5SJm3fx4tub7QmEnzV+zEdmjpiuPXQpE+IAvFfhUaN1VORFRpe6a Nn6NnGgtImQNAx/FqP21PqR95D8s0Bv/Cq4/mSUMkY+Q7qVt5riHspdEShO+1Sw+0Bl8yCrYBvsJ LSvfG7Gy5NUYt3oL8ePP5cNhpTouSpA1iRDUpSoENn29lM8Swtsanx0eilOMf/ZVNO4ckUqRTDIK 2KpoPjBSKkZ74z6hZS6mDNUMfEQCttfP5YfkJd01AiDAUTlOLErTRPVK5SAOHBuGhyzVyXRUi7FL 8wxy3vWX637zzxTWeON16fTpvRve8nk8qD+M1AcpM/ybvWVPCS9hXVgxlxzfjoXzByeQEtw2wir4 Xs8hD/XjuNZcXy0FqK/N/3mK2nqyaYk3Y91qss4n9736d37CSs5lpcP2KdE69BqvSVCbrwUmHZdB Xeo42466LB0Q5ANecKtkHPFiBCrZUX4WqplizyNB1JA6x9SeggwiSqAcp6gedO5sl4H5ku2GlFm/ /JOnqj/MVi9TEaDz1CiTSkWE6QyJoebu8S4U9FeaZNB8l5Afk8wy6NoVuUYdcly7q98zEk4qOiNv VtBBrSfNBjDynfMQY1cJ3wXya+RBXonr4WqDQVl7rOtxtjvPvYpdorYwO7N9bH17EFRRuAZELQHF ojIf/szFddTReG/ngym3jD4BSUkUijS5sUAPPp2niJBNyfD0ixRGNWemvVPyQ+WKNTHsdwUEs453 jPsI5TxB65T8bcRUMUqJniodu/bah75mmxyC5pYehyMnvthcFQVmIvwMm3p3bMmE0fDg7Nca0YbS Ldk7aIt/HC72o42YpYcFdIJZsAKCyYwRE2sNdYVXDX2MX6RxS+J5zVYNkVBZEn5AV25xJcKY/AhT g1zZCbS1S183WZeCHk0tVcIetmI9rfirBvUssRmpiUjtnZGHMwcFr3YGk8Ir+yerBNl9zA2ql3a0 g8V67zZ0l9qRbiyiy/vv8p1vw8NmACQRfdXf5utgih7QkTNoI3rJOLg5g5+spj41Szcjd+G39OF6 3VXyEAW4PD6QH9V0FqO1owNkrsUsiHpqloS3hCOutWnJ6oMQjmIsfP6o4fn3Ysb4+GtJRvFvWsAY Lx39KebO8NloEuFbhYxoMFZtLPVV3KFQIHEzla858YrUhWsplwrqtSHnDE1G0zLRQ5CZXHtnLOFz dySDAxTGuUJwju2syGywif0JfO/TI+7jo1ZVFFeNlqMJ3u6/APTWp/IrVm5biY41oMRx7lCQXXoF gBpEfr0WkPUn75UVR/9ANzyEqCtFvO6TdBRjWXt9cdz+xq/QrjjYNv3vUeGab9Fzj7+JJdENPdKD EwGcHFbqd5ruY56dDTFfx2rpS3HzG3eNczWRG4c1C2Sw4vCKwXB6d4i2VYlmyiwQSQsCn40reJ7C cVFKtfecLC2NMzVca6NcDPwxDwCMCQBF6BpdfDTeFPZSUJ+xIYEm/RYArc172KSsnLOuUee8L5Rv yji3TdVJgVfoM96wg2OuD9GVj0C07qRIh3iQegwXV/KiMaq+25iKo2IQATuPV6pndYKMSxBb4FXr gTathq/gEyYa25/HcELEcRmYPQ9L7hpQs2v+jvkz4sdhxa/CbPdOBKbkuvCJ+VUMijDres19Kh9P kX5d/NwD2oVtWCBYfmLXst/c1T2lT9Bl+JNxmQXaHdmjLADfa7v8P4ytp6ZRC8RFUlBDoz0OHFO7 Px4M6ZZlmIGr/TftR6VrjoAExZaZXvqzDuCZbjbwK08b20UrHaogY+dnixNuA21PMQLrF1/JQtms qxh1Mcjt+D2nUAjCrRVDWZvyecMwJxQlonwR7xdNupuJiWqmfjBTnv3XGG5mZLYdQc0qbnWoHFOY 6R5ZeUbxWWNC5q1/q8JmPCvWVbtP/cJWPynckgbprZNjCB3x7kqplT79NZI7uJ4HPSwrEJzxddVk /b8d+021ZF+CULqHR+yfQuzV+GIelpTAPvvBdBQUG54tXVu34eH6Bdtns8NJrlNn/VhoZG6dWiCp /8LkRjKZqu35pzsTr+HsQl85LirAIyceurdKa+5xhH2KpE+oPGX6AeDZ `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/common/rd_pe_as.vhd
5
25238
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SYrTT8vRVlz4UcbcwKgJ/U2zcY0Gw+2M2xSPd1pCai5wVCAHUg1U7EY/KACUq4fVXVxbAR+6kD91 +7bt9SIT/w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SrkE43E0DHSeNJItWd7ftK0x9usmjrS5g/8t5TOe5u9NX+OZBrNZKow6mNsFzQJyBhPtb5HpJwCJ gdALQI4luG7aLmleMTOilyx6bkrkmMvLcQB1pvf/hf/Pb8VJRBoc2sO2Y77lbCDxRHIAci+oou6q qPNzbkg0P9G4nlYiDV0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hVbmY8XUxCZkcX+QFvZWdwniOnOI887VPdjJOihjNGombqL4NOu2IQDAFjsRZRVsJ7GJAwUYdtIl vHuSnCeSwExj+7HFTf5qUMR924i+ZamuuTEu0/7bt01+Fale4VAEvHFh2dE/ZCb5jiS+FSIeI0AZ NW+0U/NA63QMYepLe1j+TpK/hDn1IHfFsvTP/KUq23ntTs/2Bw/CECwhlnmnL8VS5RmPx1YTT7sz PiNT36ft+DgOmrLp7LoXDRDWt4sKbbQTO3vWxGVMDxvz9+jea6S4w+g1o+zthF37N+X93TVe+JRH HVyN856chxJZxOFJbmsuW05ivQxfoPS8lvl4Kg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fZ6/SYhW8TG8yxkmGHpw9sbSg7zzri3DOGB9q0SdOXhya3Mioz6gmHnbrV2ebXufk63R39HqzCBf wKTDvfKqegBEdFT4ZJ1+bgC1VYJDxHjyNeTx7rQYko2recj18a6bZaVbH7lL5ua1Yd+2Is+zHcTK ZiCtnFlDaWZRrKmfjlo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F0obLtODuPglv4OWUeueqwSWpOtsiwy5TNdPfzLpejVjWZjuW3LuakjFNh0Rff3e3Ve23Qea2tJ4 BitB9zJkp75pwzMxjG3OgSPouZbZ2Hft4GW2OlsldBUfOBdSfFaS3OUi8SRAkaCUttngZMD7Za3v 7cWS5g3qnIMfMu/RfSKF7IQLhO5IadoRInOhBxEOgT6UlQOILJvHj0X9p05gWcIzZkXhc71N2/qZ TENjfk7pS3FlvlxspcNx7+iqPHEgvTaSTORvjbvp/ARyHr9cUDR1X+TZHnADA6b6QarADp1yeEsw 2S/qjtcGcabE6Z5Jrv/Bapia/oKVPbETNu1Uxw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block pcN12pC4aGPN73n+MnBWYO6P+NEHtuBzDkl8If00SYsVP9RMvFu4sUVmMmoXlVbtPHH+p5mDLmEz HZt6CkcpYxazPJO5aCtXJUXAA/nl3RcfJwwgGkl+JVChzKWjOEOW83EWSJs29rp5wjZHpSrb8SAs hjfWUWvnIBybSY7SpLeQlQKPugwrky9EZw034lAZEXkwEtOqQ1IcrqcFr0hH8pv7hHcC7c2wWQjr 2ocNrIaj8QkotxfMhE46umweN/MipYB5paFKwHlxJk20VBImpG+IYaYY+eCwv5BJxjaLZfYWTK9w 84rZ4l7qiw4kliewyIC9ZmtQ7p80yHkXLoPFB8xipY37G9c13FUMqwGB92uTHYNphz/3thkVoyYn CUdukqBWF+ZNjHxIhUn3/0gmVw3OvqORmgYGXx1uuS29WGEsK+pR/UUwHDIEZJmx0d+xFph9fVmF rK/QC60Y0JTW4Oo3WGVJN/2cEkJl3LNPZyG0FPbQs/JqzOPU9ipGXSCxyArC6owvWjB8LOSHVUQ1 tXdk6ilfH4tU47XMTJ3wnScs1wRamrcBmqytjiiSEnXG9Exke3fTihhBW2FfKmupoiO7gw7Ip3VK mn1F+8Z+sljxoYGX4Jw3X3DdPclry6gkWxX8ICT0x/Fpzgep4Eipnwr37KsrLn7xLk+WfVlg1u7N MznOy3mKts3crdIw+SfKFbJ+6nclV2GfN5z2oBxUrcmAt4mtkINXUyOPtkGEd/ex0Xr6oAEk1k+r wzeoxi9v36exla9q8eIbI4TrO90Iwqp/mSYWM9aNIgGxSvJNbyqpxu2eb2tDm6OGHhmJ8JODsVdc DRxadOpoRELwmhjWl/2BkBbtdClgZK+ybPpQFBmTLPjYZPTe3EAzBPU4bNakaqM58cKNkQcqjCEc SGn1yGOQa0AMHpv94cKyTuPs0mHBBVhzfkIlrEJXgA2yDQqG1oTD/gVyHzr1lTY3iWNykE6IDAsQ fsXQXs5cKTqf6HHfZ/s5gfbGLpNOVmCOkSwPm9KzCVxQp7zl7p31sWWB960QM2o8tRondbr4XRBp q6l2d3pfv3UmJ7Ck8yBLa959gsoB8kGjwSdz8jwQr+vIzek8T9HHgU56CT8PXvmzff++fBVlgopE GRIFk27WU15LQHy9bUlWGH/PNqiQgFsMUGKOCPTI4bUk0v5ApjVr/G+02zuJLbdJ3ogi/rtmoTBz IbfKz/3q9/qalvBS2GOqyK1zErUP+WldugOhPM/VhZK1XAKwftJg4HA/n8fG4w7QkuUqC2PUeQeI AfrOSRL6h98yU4wQXyGQMN/j2ITKkn4HQLh33JS/hTp44C1alq5SGl8OgD4VdJA3YmKQBuzc/Pim AA2SM6ejIfvT8e0TcZA8cobMb7ChKWcpbeZCdyVkE377KLdWa76EQ9vpHBEvwEaivPYWi4BsMTsF UkWqXLPlRs39QQTQWGP7QJ7dNyjfcPgxaUSW5bj6GI2vYDNwM34dDcmfX76c/OgMn5l7shaIVrk7 w3d9jezTy5uQgoTgUSTw7Vpkn8YYrP41FEyZdlRsisA3dmcL5vbZaWEjjIFflk7QD+7NrwgRqZJZ GCfio6IVUwyaGV5UG4YnhLeBKr/0Xf/KeVZeajQJAlvKqdVMWe/ce1EyrWti+aCXcmQgF3ZIXHcS C9NbjTXvdUtNrv5k2dDaEdlx1Myz/CTmi+a9cp5eeI2Z31a/ukRKjDkvcbcclSBnPOc9/xMYq3H8 zUeJ0xJ+MqOIZdlipEyCiqc9mMEM/aYZR7XO5xxRWguTnCvGTkGVziIFmIcVZbWJHQaRTTuPletH D4dqatUvO3G/xZBuHHHznxb5i+meEd/8SGLoEt00xM9VuddELfPiGR9vB2+kyor3syIwvlkNxv9z 9r0ks2bwTG09eVBZGYvKnqEbz29x6XUHh2RRGdk3DpU6DI9ihjnPvq9zsujYbMS7j7aqoKW9GUy+ ui0yKJx5rdBjjcJ1uUF4Q9hI9s0Z4Yx23WfWkw3t/Y6HGQUtvbNj2y3Xw9V6kvi/nVhHMNTBeSF1 igKWMeTj5wykWhy/z8zgCOqCOgs16Bti11TjuznJD7rm1O9ho+uc5AYmlFaXyTYhjLigieIaOTQC jQbd4DDzRuH4WghZFIQR6Cw2xDAoyBGqSzjkkWS6OTc9CHeoNSG+weFcR1VDULGDpGDvY3lR791/ DwMdoa1XET7zdscoI33w+7KgJ5cTY/fQvJmeHIvHlWiVPnQjKTS0+I9j9ctSROKNPm7mSBQpkuHw 3j5aQAYiYhn3YY5w938gwvkNq04jjMgguSEBfTj/AEpMVrj9+892MdGZ8dOMnBIHxOBsiivQnRva 0OMzn9HPqHZbff/nC3C5yRW5zzUz9Ac8CYeD2nQdXGLMV+mfa+K+ZbRhjM58lchMIrdjsyfhv9UD aji3LImuV+0S9x0j+ZL7ubVvT/LfLVYkc//X3oLnNiooxwDvWNREYEy7NAVceNqbQp1iWSiazWcT iMIckKxWxvO1Kv4XQLTHH2bf0cSHEz1oWSF27d+P36NfE4bBJiqghsQtYXxoNBEdhP2Xo7kIJKml 8JwAuj3lfX/pt+B0Dv0QLAM1FgKh9ycWvIJiGBQRRtlDA1XbiN4gDFdIwONGyZgvOxIjDsdNy5nB 6ptfBcAcCmQ0XPfQlggz45euZ75mP8v4xYtVz18e1M/FK2jkfaXCseXojN9VuYxm6TDaacEsY2Nf 3EdQTEvm20gB7Bp7ZIepRsbUVju1IUXScgrYarG2AdeGgap+jqvc8mUpwWjgklIkDKbxi1BLZsCH EPrSznxsWVLbVP7YC+OIM6bTll6TIjhXGUd7O/NfhFRxq3i16OyPTAktRa5Y02V7n5FY8ZHzQZYR ELFityriaLOzF5tVMK9Q9bHwGMnmLf7TRvDNnko/zyah/ZqiLB1Oq+m7mP7mJA5R2nNL88RPyE1t E2AUfO0nrJAL8EAFWEy1X/rgvKnbk0IPxe6V42tBFjbPxQ7srRjMgX6C92keCjCgnQuh2rPFpGbj kCUrkOxdouIpdWfP6JZdWloRf3e8nPHC7XDefFOBqW4EeaC4yj7zGkEgoea6xUbx44ofTZKZor80 cSFn7vbe3elGiEk5JfakbD/xKU7/0Sl+J0hgYgL8S2TLxrXgq8vn89fB936fXTaNUuBMe6BhTRH2 j6Es2kPCXqKsgTU3pKyxWm06jkk4RgENyE4M9Px9j7t+A4EYOGm1NOysgLFAWX1IlWRbtjUd8BZO BjL33c3uSp4mIWAq5tFZcmm59c2s2kn8RWXeJysX8e3S6vycSzG5DS31+VqfLDM3qOEaDkOqfh7g x55KDYgGNMgY/9coRTm+IIUxQ9bYCsv5f6oSkQMDX48XBrSR68gYbMN+7Fk1cwr/2IDQrnDD9Keb r7SiU6P3wdG0qjHrmmLRDLaTB/SLMDv6GXV+rJASltK8BpJpQdSM05mdXmy9DGpWxSWryhCkTL9q zNj4g1xRAiVEH0B4Rf4R6zA4eLcXZXrHDpKB9OhzlFZUCbbnklQVl/8FEttizueW36nUA1d9bZJq krhpT8boBEnTIreyvqbl8Mfpb/ZH9KA+sNXwOn/fN/pNsdcWepUrvu2ParAjuHY/TWCGvzM3ypOg /Yt+hXXTbi2zz2BwfsywdA5vXesvKilFQSxRQbIo8C4FxGTAO7YKMTCHiyqIYMnhSWrYW4ZRZkSi aL05fvJcfLg8kptUDm3dNP7dgr7yxeNhap0Q1Zf0doUu9CGIvUhzUP2qfLPzOsxwh5NDTg7FDL40 FstJPpUhehLBuWlg67F2WMdPmqe+g78eAzyvS6gRJNRl1LEA6tO7IMKc/D4R9/R/CmjGCNlsUVlC oCSny5w659TBiadEf3e9Kplu4ALbT4QCePmSKMF/saxGXDr+D/8HaF8vohir33O+f1as8Z7v+mTt EXg79e9XsppLkGE5cWoL6uwxXbAbjjkh5pNUp2tWWI7lJGr8zI7CTfK5OVxlIaBrWuEWEvo1Mlib TSIVZkLHm66VCNdAwAwb7xLhBNY1Yac0f/L1yyDBCOjCl696ISoUFGqKdR4JiI9bLgLG6X6zDJyH 8qxsfNGUtXstplM1thQqZVoJ8SKDgoYIrjsrHy1TMpTd9RDCBgFRZJqEEgt8tlWPZVcebHEZ6kpC nLWUHyAHA+ppZsB3R/KZxSxSRQADOodtXjnJ5KXQhOvzGqYvh12j1GAaudHA2uTq0plOUheETjlq oQh9iebVguxxlDAQJpOuDh0SJwbnZEh/LAgZZvj8MqL4lz/4ZExV+1NN74wl0Faw7MELZ3//mXBZ 4j42YAh2UOMUAVz/8BKnitbAxCiC24uLSVaj4MX8nZlpVvyausF5VZENND4zbBRjakw9fc96SSg8 572FFbjwRDIE56rVZfZWaRLxh3UfhpZxf4h30zrH8zolLQpJyxxoj16ig+u3nmnGZ4R3oPvEH34+ LOjfHvWuahK/lPp96Irz49lDpUoxqophIU8EL/gsRwD12DiKgSHyvKopkmp2LHKLVqpHiGkqq/dv NssJhxp5NCODRNHNO2yzHXn1rjUhbc4Dlwj9g9uSAIEoMNJFZsc1KgEqB0s4oOS/ZHbNysOi1eOk kUeAFFclBpACWIfHX6k33+63eIMFLyt8eWZpwL3t5/VDJB/Wc+/DEsJN/Lz1PfMbcoLOQc6PumQ2 6m/gQ7uYvh7/7GHqOIazQvrW/4mgN1nykSfu2TaTCJwNknN5F6MV7i/BjkMSGX6S+MXUv/xp4Avr ToT5IcRWX9kRU+fJzEMXzOs/jofFpzNKn5O5p94xIZppTEt1e+uRN8v6BS1r1tKEfZbKrD+xyejB jKG4VXX1lTZo27ezaDbOJDDbLj6wVs/85u45IvF3Cg35qHSEmg5EwZUSRezPEYr2sOpF0jVmBZC2 B8Ft5C0kN2LOEYyrjB2LS4xBFSSSqdApWrQd2Ic/agonqf6uYvFm1VkHtV4LvlGNuiFZW3yx8hyz dj6NE4bG++ni6FAP8iBwJ/xL1GrEpq9Pz3aS7LM/D2HlolTTPpwb1AkwZSTB7rANruKRPfw1UREk 2lCY4Fb+RVBe+XCHJATQPJ2tW2d64xKqlqTkWjCDxoNFJEBRIK93cFbDJd+HauALYzDdAbO1KKD+ bMmtUljOUwnYWgRBhgU4ESfZsfyKTJTYHiQB51rcqqnNww8oK7ejMz+SrX44bA+L/GzXNQndoAq4 dJ3Jwfvbrl80JZFN33osnQ0wmyjrGRFco8TfEGtKPoaoA9mXbDk5sgao5F8n0lIZk8qWmla29f9n hABk57apcnpvHElVCVmrAAMsjTxneJFRFSmCwY4615P0bbu26sQ5NWNHELuf9vq2mj6FjnLNeWwI bbZtw/3uPURfZttAVL/ZVgHF6yP9Wb4jaGauRVRU7bIk26lrRDQX2pqGvuBbq5rC09NvV86pI9cc AjjO32ZYYlSJ4KyWXIhhGMLg6vRUFP9Cld+lv8R9/+UsT5U6ElITRVKMVwjsOBD5o7Qz0imgNKyO Fcq7fBugKInWuvH6aGYx5DyqEaiJ5SPu52vyRmePwtRghgN99mih6RkXJmDM75jG3egbkYSKi39L Jmd4Wt1EzPGN+1JIg5H0s6ewzq/PsMRLFhcFiWVBKupoe9pD6CenIQ95ZpMCOfVaDUCsegGFuC94 3iiQ8hpnaoB6U08LTiYH+RYhCrUHlhVRKcxW5gWuJRg90XA6p01l2lvmVmFqmgyWo402yoocEnck mX/kKpOdXKxj/Wd9NysMMTTTIVvEHuQUZAAnSuF2Lv0hoKICorYWPYgo4BEYqVCnP9gWyrPKHtqI EVpTe0ARobVWjwRAfNL1v2c+ta/3zfFkE0mgGLfMQeG+S1HB+7f5MbH5mGwyPN2y8j+btlo9skXA 9E/96Qmoqdv3HqGCTzXqjd1A3pHg9osvAKTNEtmblx8tudBxNH9tqBb3yak1szU6uvEXofy/4Vm3 Esb9seDBm/u9prscg1hEONwmfP4lOJOjkd9B82P9OtRAgVSlxu+0aZ7nuk/6FCUMTeRAHxnyt+Fh xrKulS82qq4MbPdAEdpjUyFEhJ2djPqvaZRFo/PnrmQM32l/A21HyeYWJM0op9vKoYB5LOu7zgVx ULpkbH6Ff83/KSqw8DWYAtLjhFOq01mNVvZ1rzHa1/Ql3DcwfceWWhr31cXwBZli6eHJBQgbyffV zO8MXRN7KGg6kKYtpWTnAJeoT4SgHgYSXzJmI1b1WiUoWeArQB+70coW1vaUvAhWATyoZnwIjyG3 OaVUrt2UcPk5eP5AMVEUqkqLpfxBV74F3kpAZAOFXawEqwZ/cqk3yIdK69rM4472IUxVgxVU0eVy 6Mw/bToNnqytfbCDF0ZlMIsi+CBjLLB09K/KJq38/s+Rc/Wyz8OGMCD9+03fwi+4Z47hA2SCxOIa /HrntLyKpwYCXQ5tAZiGn5KqpJIe97LwlgFlk3Z5hF+BqKq5vCjUN7mU6b1I/+iMhLtDL4mvn07i FZsPKGKIvhUdQER/kRyCGP1KmvzRM0XeLaFpL+kPdS2UdSCaVJOuwMh+FOh0niJkbJgiyKtDSIH7 hwDefALfIQgHzErKAiH9ahK2jh3GWLOR/PZrI+VofEi2XieVGsNzYnQmSfDBRfXPhWQR4dMy3Gf6 0daa0NVazwsElj1Ljf7vwchY3ha/6d5jLEIvx/yKfMlW6+Qlapjko4pW6PU69kwsLM1umv9TC+py Am1FSbH1hetZ6mVlT7PG2s3Uyc8fh7ak5QtTdbwFM7nCdthvyfKl+/clbtdVOD6ekKKW6iS2MC+8 +YvB/WjfSJQozGoJ3B6nTHpS9HyKbZqGwxUdr4HMye3eeyx2PrVkWWFT/BiKExJhB6Skx7arjMuX lsNEiumv5iq9wqp7Im6HGfpc+9U6kWe5cyf8gA4blWsX6quZ2TpQ1WqNjpe3623KgvOJgz0Kjvr5 RUyeGgNxphs5gTgASn2E4qcWrTnjjDt70ssoWsuS+q5Vm/9LaAsT1qLWZ1Fe9p6xre2sbj+oHLzs /X4BXkXqjhi4ANrIrd7ZWR3EO4MR8im83y3F5oYZUUqH83AoptLykWppSZ5StruMyWUHukwt1RHd rdlzr3spGe6ebVRW6iJfCK7/05wDLRJeP0q0NQ7hr5inu9fxTWgsWIucMXpW6Bki9npQ5qXFJZWU Lo1uUqSJB37gxurlPQPQgwibkbuzP+WR5V2lXZ3bm7V9tSnAtCZrFaqkq+CLixb9Kjfhy7K6/j6k MmJK0BGuKY05ruHXsqdAXCX5pqwl27GS4RT21FGQAl8kpyoXaDZbaxbvS36JdpH4vJ4WSDXVlesA 3255La5ndZI4LCH63SGxjs5j+UIN45bK4iE19ifKjjXxC18bA902CPfJAYkFGjt/txGCgSJq61vw DsSGDBIxLdDqcX1Pa8Ia2XaVB+C3KFoqsf8l8Q3kjMOCXpHVUg649l4i0Kn8jV14gawzeFmxKPgL hsu5vn9cO2tP3kUDCHmhEcypCxymmYC9oaI9LZ7Y/i2NjophXgi4FzPWjaL0mq/CWuwQtdKpRlwF exMRIrKgdvClrLGA0i6MmCyIb4SkOdCG/nZxPSwBiGhD66zy+9TobNeTZW1cWQhLyWXFE6nnGwSV Wj4xLAehUvlH+AzqhHyzEiVTS5mPq/m5DkMCPqHoEYsCpbc+ksY5dfFCgxtKKFyg8X2eLGR04Z8v REHn6pq2CJ7Z7iD6cFih7ranE0p9KqDo4U2zXYbMoLw4036/qtApTDzQmBJ73WnvQvRNGVHOgF3Q cQ/OxCorK/AjvB4VW2F5Qr5PFh2luAaP5/qfJFsZBSh1lrmswHZRNEqFU8b14YDsQe49ny7ql3dk Ho5+OzXT6Dpo4SLrctNLvdu7ICALQjNRu5tiJ+4BX7pcdfIXI8l0ADp/Kr6HQFIWV7kU7nEL/hiM RjUzyz9zcw2MCZZ2KM7Hg/YC+e8vzz3tEmmfDm1QQsGkuaT1Oxd00shVAvdj8o+DUO7N5rr2986q K3V5RA1nmxXn/jg6m1EAqSDqWQm4DtkRfeIb9pp4CsJpPXAA+tmtIe8sQNy/p8vRP5NpFlxVU/eY zLqB2aVp1sE0pk0KbAvcd/2PLDOFBeThi2b642uxD47gd/JnJg9r4RXPAkviiPbQrpuTMa2n3IdR uNJAQ0tpEetz3hSicRWpTtBY6v7HYYTss88jmtUmPq0NZoBasG9QOmcb7mcYFJp9lW9lrM4dlCSt 2ULt6aZqluYegwruxqJ7sy9crnlF7HvD9iTdJzRki6ADoL/27vssG5nwKOCUcekoFv+K9+mMYyVv 9wk2q3Nj3D3CJhMzQfaGXAg05EGvLGby/nz8AYfgQWObKC7o9lkJ5ksamlmAiJZHMBbLi6y+7FW8 Z89msrAZTvwBe+F7GUTZajl3vSvme95YomVtBVNDWLqo3gnOcuPxnKo95exNmI3g7ZaVbKtFVQXC 9wNWq2fwW3QPD/usEI5udB6L3fw+KVOutpxQiulKxIS1T5CJAAzKKLZNa6jBxBHdJMQNwUVkfBMl a4nL46BHNjYLl+j84Gga3jTukBr2zOKedY0SVmdr8dyiwy950EmkBTBQks4tlaqFAnjuWQXqSyRX dVs7tIpOA+cTgYbFjSNEWD+LMlL+jV6cllS7hrioxCPN6OeO9afHuJwFH5ROlENY2zdE18HpQqSY kdCBjlN5ex+IkXbZZ0KRWIJsNIcTnmMwmQh6CzNKki4BkZHRj5i4O3qWgM4vp/1bsm0qAQanjjqX i8YNIA1eAbxJ6bsy65w1UtG1l+islgGK2sogQ+EOizZHJsrVs0BLsxYdTNU/xW370WT+k5Blhnmd orkkCZiA1RZ4q830fqRxOSP5uT+xVY55DU65i75hE/6S8LihMjkEEla5azFbwQelVq+qDx8NESt5 ShkfKG8khNMEU/9beMp/rOBeZnFDr4i5nM/ntiFyEhdiebnFNuolzghnsImOJntlNAuZF9jeCfXZ 4lRQAmSW7tZp8NdHpEqZWjTTgXil2Jk+VDaJ3i9Z61Klsxh2FReu+31tavojTEhvQXktpHEEt91Z 29W2o0XsSSkGh3W+LN9hIoQAtP375A6EsgFIC0OEP1fLYVuyZRwtg8cE4D+F/xwM+5bz8FDDzbVu 3pf5asOzo2KvOBxhKXzq9Tb7S4MWUlU0VvbBzpmy8g+22p4Bu/MMHwza4rCkiTh6a0ipF8ir0xkr v+VJVY8DSbHoLKtLdG5wcSKDjTZ++CT6ZcNhkHmKIFxFu9QoqbyKVaCqh/UpuZeoL1xXs7D0fXNc afonh5smCaXuRbohgQo3dxn25AvGkCVyay0+reqFXXKuQQuUtRYoty+o6i+q29w83pH5dL7To8ij K4tgB0PFS72e4RubuN3VPvQKPyxM5o7I23SRdr5wG6H4L4iqXAJtjUJQvXEiN8/vukS4wCL/+32q HuAYJfuUcus2Pag6nt290ubYoLqpe9o1JVnG4dnzn7loaw7gjB7qPi0yxKEDk8kkiRJWTSR6nWPB gfW5xpJQdsjRURkNn5qZ/2WsdvWconP9lgpCmkktMExeTe1xNosSYBgJL+hpiovDcPk7g0VImm6d si2npoMpeyPmya3ElTXa3zrT+2vTmacGYm51aJ4usha9wUeVsGLR4HLWxEoHcK/z1+qhjcaD0L6R OCbWMaF9KyE95ygXiZQg2siM+ohLMTkEpOUPLMlmJdAxe2abhUybYO/VdVXMGGyzh6bmPyz6Km/3 3ev7W62ii1br7lHdhYaovSSVJTT9FJpP0+GJt97QwHnX/SFk8xQcuX/ehIypAxe604qx3cFeawVr WHMZ3rj5dKCTeuZE303Va6TmCH17V16La22xRR+Q5DsfdspJld7ltDn3IH8LF24rscXLKyfRUtNm Ne7lynwtQ8/GkYMJSzx6FrjNgdxafxx9xDEL4KXslp/a12N9i1UdCO9k6RdZR/gL8dSabTX9jSoX +DWreGV2uOoqv0+U4V3s7van3Sk2qzSDiIZcdhkEzuXwcELTiILkd8IbEbfqPecast3Cav6VcXfy j/I4SofqeMsgj3OhdwT3a3dvlX3TkJGOWothod7Fkx12ZLqCn35QK8R0GtGVqf4skolpapiSsxjO Mo0R62/O7oT5dShZ2/vEbShiLI06iI196m/7lgqZnTM2nZ7wYkVhGrOClUcUIj3DUPFBYJ3Bn1Jx qDmSUAZcNZdKOzPuK12YVI7/JE01201B0jANFFN/NqT+H6hkqw8pWWz1zObIuL76i/41bb6Fk27s iUjfJ/9gvWT4QnUKmb5VJIwu2NtY209jaU3Z+WtE7y4C8kS71NGV6C+GhSuq6YYvz5HycK6RiyPt emMPmFc+iXhsTTMogotsVCwJEtiLbc7SFpc5LlBiRdnh6fp5i11IlWwS+cOwAlrwu+iicPZR3Og/ HTdAdYEPaKk36X7tZVSbUj13M7GMcF1IdTVe3RjmrAukXNj1K8ZeyKW283vQ1kd/3zXWhZu7vLli itr59wxOqdiesgxB7M6QeVDJJWowCloD4Yj4CxANaC85fkK8ETg4KDWl+zfxMisTw5RkQdLji44D 7iab6O7NrkgCuZ8XFf9mOlBgn1TZT0KLyVqqItKiFkfz9ORvvSk1P+kNc6YeYgSf3TPEHZ6vCbdR G3Ita4REedzJukeol5ub932gU63PdxA318R1TwZ+jMYCAfrP4kaqJE+sTkSGH3dRYXJorqpDPpVH L2jSEQiAmigNp2S8qc0NEvJWWxKmn+UYYsmZV8D5REi6LZJgCZPFPTZr7i/p0/ordqHp0nI5ar0h fezGkusIC3YNWdMS72w7ngLHMQUjfNO6kBbcbYUcp+Oora/xEJ+hmt9VBlaoOkJQfjj33ggHPqxh +hwsUVbS6PKVmMe9im9ZKAdIdhldQknmZ0uZtM91NyTqsqZ6QPpgACeViu0UFIqBfthTOSMIJEp0 T1KCyKw1rDNaiy5/nnN1bvGXJaOdcGAdDewVEih+ovw59Nk17I5r9z8PBo8yKdepRKdPxsHIkrWy H54Aq0EXfXDEf+8I5JmO7HtpDaLqgkvT3+uTLznas4jyo92ukukIb0IX4X+SyBo/aHctC1BOM+N6 YAkjTFhHvbwFZNpRtyv5OptJvHFIIjhnsVE6BuvmPnP4ET2EQPfO1GGqbsXPiGHRu34EqZhaxmwz HR6DMBDdng7otEi3/vUct745mP04xCcnxRy4c1/ws/pHh6ll93btEnV7fA8lGrMiLpvC04WQP+FF URKlP9mgbqS/Vh4moSYW9Nism5EYcrbEij+8+dVeo3Xni5VJArnkJ+MzSLRkrMgz66AxiEje2jVU B+Ny2ivP7gXWvknaH/PZVf+02/i6SSJCEZssKQoJ5Uupfv3gNKLouZcKNpa2C2U4XDH5kmigA/lK C5x7DFHNizNAk0oFCAkcJB70szxPRivsO/itPeOybkqIjHKLe7LnNz9i9rFoyQkUvqw/9GzLDG9t h7aYClFTVVSIhwNpCVtnAwEaP3HldiMg8h0GgDycOA6Kh3WdvFkXABEMK2F5lRLP3TzXtytnjS4b +2s2dtJ0rGI3Sqh8+sFZo/P3GKCpCFhWkAKZC/iEWTrl2O7hqmLtcI3+5Sgvng+9X4M7rP6ndoCv TgarkWoZen/v6r3/5RnXTaNr2GNIQr+zCKqqSyXrPWE0mAabjkZqgFiih1qC6CNVugLRiFILPaNh TJhZSu9GZhVFVnLT9F3oxL7okJ8P0/KMKjrS+Zcd2OKE014c87TNHZhnPDLIpa+8lPLGZhhZXmLF svu7h3zWk16frhbqfuApV1YFIca9axhhQfxKv+yRin7V15laCMUrdleuOKa8wFDcWVUBmxC34eVt S2w1uJwyedDXHxBfv+sVoFzCa6z8AJ5u2iasWI4a7jXd6C35OdHMMYnhSHzJxIDuezVMk9P8fz7O mwTxKzVEfK/Q6EauLNTIxnpdtqj3fhBshhoA1TnEDdx5JrB/DsmWjCbEfEMndMjGyE/2omNmSifX ZfHu3sGOf5kuSfi2HmEOmaHBLlhaJuucl6U+To9fFtSfG7i1dngHC7nTMCx4wGylWyZ3WElF2Aa3 TSpcM5mRKCk4JzHxARNuTAv/YfuzRlqbJ/jmu0bC4Pp9A0IOsoTI2ITBjsoDTwQU17B7U4MzTBg1 ndL98XyQth97C6nPEduITPCBMNJlc6kCEYKo8PBJFYutI6vq3RgqQeZ1KMvEJENCohTCWg+e+ZTz CrpWtN8AY+YvrW1Tsk3dSare5LiuZ/L8cYsmlBzQbis9NGIO9LQ++ZdGJqOiJHjwIjPLNlGT6KLE XFmHzO4tDBFv6/nA5oiRZ2b40KM2S4iZ+yrsMeUovGnP2SIRINs65ox5uddP0l4yIWf/cu3EbyAB 19LxDByPa3btIc5nfSsfFXzX6BzLjpklNjAHSEoDah75ori9+BC9IWRjioI2emBDarAr84IiuzYw dhVH62EHmO2n/W7zIi8IIF/4/pJd7iASF97FkeRwSqcMx6IxVxm7uLEUGASfnRyxpATwA57RCPlC ywdhEPJT7ptaLcaqT08dBOo61llPVboIQTd3N5ztYUw/xDyim+MFQ1FSG+Pq8gNWNvdOG5ompiLN K06VbLtzb+jAVLcPVRI/bk8JHh0CI0Eg4w8KZ9d8x+LygdSR+xvSovPhd8iGXWC2nnwtGl5lz6d/ I4tgMzVetDi5YlquHSoxv1yoZqEl3AFrWft/NV057kgIT63GLASSe+Go8R8W4vxB3dPpBkB20oS4 jqr4SNroADqu1Us8ITpw0j2TCtIVyFbcm51Rudc5Kdcpm3bclGrKlt/muWYJB6/87j/amx0t9pBj D1xjX8PZZWA7qacy/Nru6Y/OCKwdNTSrNbODDVmJsWONK1UPpK3Wk+s8T5KNNk/NHiFKp7UipT/r 2ihtdGTSvdsf/VBqVJ8ZFNQq2uzl+Ksz5ij7FOSnpf1sks3HBfdY8kfVUvWlQg87erCBIHX6AtkJ Y6p49DTQGIRvSNfiw06fgg0zJwv2Zzx0uXMK1V1LWo6l//B7S5n7JxheH5JO49CcOLZQmypCacUg iE4FKMSZQiuSUz2jBjl7mT6cObZojRieGB9p5P3Yh4AusPr4+c1SSYaIdC/7M+DBW4zBN12CsupF Z137USvcGnj5T3XMchUd5sLh+OWkJDA4Yo+a/WLl7iR9Pb57iXK0zaMES/0zQaheGNs9tAOyxX6R WpdqT99k0hv6DvZiuBaeZHFvlZD/MK3ujNcCPqx3n0qBMgjXy1gDwZI27CE3fpEF0NaKiT6n/Ps+ wzVVCrSSS7CCt8ufwXfeLJ0FbkOiGPcC4Vl9DQPcIVQm49qL2asptNskFr9hIy3EjJp+LBGiytA9 oT/pVq9WZ4EV2B1kjTVCk22Ix+lFPrGoZUL9/H9BTpBP0KETdEZLhgBqe55q+6NuH6SITI1k45RR lakwPUniJLZqinx6yNaJZ4g5xC2blm0kjKPj+Xv8/oJOPcYmGt61R0/qFm3Q2HY4VNTSQNjvD8gp MZHw9xYYu1ELPD/Ogh2cv0ir1TQ6L/8ZgsqhWPm00t0z8HtWOpaL+h+ra8/Z/HGun9yRDd2RxD6/ KvHmcvslil1AcfCH+UGPegFnYXQ7fuA9jkkOnKbbc4bLeSQaPDkGXvyC5sZDfGkBLmumDOJofQDX Sl6YsXp8oaA+YYTchPgqbVdGlJk4kOSKQ/d96UkVWAn63E7lOxJzxiVGo2SFA0E8cuQIQdZBogCK klq3qeVSsCrhL8O2tMkHXlknnTG1tqam/+6amI2wr1pVRXvZkY12BU3OgxgFCqjyrL52zHnz70kO /u05IMNZaKj/vz7VvwXFJJVvZda8P8BOShhORPR9VrRNSf/ZylNsFqIK5r6Xt0QbtEznUgWK+4SA HlUsIq6HuN3PdFEgVGLT3sqT/W7/qOm9rLnqCSBS3cqG3J9wiGfb9bRwJ1ilxCpF7X5Z7MJnS96E cwUE4r2uhX4xOmJAETWn+mMJreyVLb5Qpl78rAHHQua36WCC6UAfyTh8OALR1JROtrFxqzsSwGSy npci2NIjt+Ov3dVRLboVOtnTzadFAlyjttf6bBj/Cy2aQFdMQtafBEDLRg86iXnOLzZbhE5Tg4IP f2C3FIAK/1XFg2k7ZKKGy9FvYYdVmNnrgdRb+ZiyZpAy2MOJgrmWCjzGyTjEXTpNDVxyrUfNPYtT azhDnsHWWGIIaJhcWJNN0u7VVWECwlqakdIV59GK+RTvuzn98t/16EBFSfLshRtYgFMq7TilDid3 zThp6qGLVx3tAPdOe2N08v7iRHJoUlhrPUyRzeHpKyVSYSTgCvZzAjzb6Kg4VaR77wbZ7q7QQUHJ cljtgupVHAvRT197XKoQwT0gKCHTVOfod7913sWtvDNRA49ucv4fL2gn2285cN4FWuj2//T+AurY DevNbxJzd3wztT+7/0/b8tGbvbRsTpKz/Bwn5BCqJhDDLOO0lT2aMXF93Y9ExJ1UQBZcZ0WpxArQ JiMs6Y65Nmf8n6QeZxpWgLJNLjZpS7+O19QqLQbR6w3fhceCEYePmWOq2GVmfO8zIBGoXaWSOjbS NTjS/0Wua0WC8Zt/wN1tRBXHc+hlKX8D4sWBIWKo4f6kRGUhigOZkw3saHRYctfaAgIjBra531Z6 ER8irYnm1znMG4oSo5Xc1xPNwTGUko2mfUf1H9A32oSRtOuQpYWSsg/AGgSk56inK76OUSejRhEZ QUUFvd2bv0nKuMStsNsqsFrtAFgotzVnl4jDCWokxvvPabru0bsPobBYKUSYu8cU0ibE1tsv22yt bQbWXZDhKA2CXUyY/HXfDbmazEhVA7FafoP1kBwlMl2N2xwUf7l8tMiehEwfqbHv4IQoTNv+VBBW tUMBDdIhCW1vAA4WYuKL733pPrwuo2Oxa+7MM76bJrrqVAmWbTL5Udr9tNBHhC82n/B487nx3PUV +C3ciTKtT+K4mwgS2FERvHkmdKiDU0+msE6ngnnfO0nAEK1IBPOh377gn8m2l35IF3uahi/e1ygx EYkGyOJzm9N8nXERNFLXN+gW3z2D82a9gJZifqrcXnz/cEaneuOxDoPRF5EmtlluAIHRN6AqLEGd wYhQRLoir2h37fuXlV60cHtbQZ/6RD+1RvAs+6Z79axsZiOgfhUx8n6cXnFLfU27qEG2ZHv1aqOO DeDgTNmCHaQIar3fRhBkXUA3WWhGYM4l9Yy5yFQAwo5g547D5uBJwZGeF9P6n2etI3Lpy5efCZaI lun9CmMgIqpV/OW8iAhAkJVNEdTZtJNDO8pW5Q8PwApytZpbc0gkh1lxFZNhyuEGCTUjidGI9TRP 8pAzSBYBrYHcapyIphdyZQv568HinSXYvEvYSVp1FNTpDs48XBxcFqQhqmH4qzw6Cdro+UWZncqa QPI0wAPWdfElllyQcqyIkQK22CJziHyfoNz+fBsdEmjOytRPVONh4NiV/HGLSoH6LpyeZRplKcJ0 hdEdQAwdiH/TseA0Lmn/d6ZXo70KZlSjROnvojVt3AkZa0twC1qGVvbC+e1VkTfdKtxsQ0SiaysK wYw1bKjbIlZTufMPdgx0PXIZi0i0J8lPduI2ofjBQsSHWCpq5zYed75AEBmmTMVGV5o/HqC4y2cu +znjXZE7HA6xhItiF/ofmH58fgYB3VcerIghz3mFa5JwR+jurgdYTOJLUjhlFVQirToQp3B5FcTI xvIvUVfKV6qKFgoIojfB1H4k2JGDnRDX2xMhbdybVhzW7B9LjKLFBAAdtewsuytrT4yCN3FWfiNM f2/qdXjMYjAvkrzUZ0HcqMwmGMLJqSvnoWuy2xgxHDfLf2YGcP7bescIoQuBKRpv/2eEsDWxrl8K ztfy0RZHKan+4TKzQaYVVX5y1rfp8fhJsScqAPp4sEEjzyY+/KuEqP4ntlSXEaR/T25hIMvrFtYe cNe8kF0/1iVv96aCw/R3ff7OA0AJIU/Nb1Tyov7etMSQxMMzBV0vlbj4vuZPPHE/6PNFps42M1IL qMzv7kNVrui8Z+JDqK9mpjvRPsmq1EB1juo6lJTc73BAxp/aqiQiuDPRBiICwo74XRPZR4KC4G6S AJEDsK5jNVEUgKlber/st1FTMb4F02iuekIyl3QUFZe4Xij31bojHm4FZRtXZftEqXzLUvhZTQjH 4vNth46bvYsFDZNXhOpE6QZ3SuH+lX+8oW3f0lVeeT1lJ683osIimbBVyJeTGo9KcZfVjYNkYrCT vGrW/KxkcMkKyIVXbv2/pEQOXhQ6wgYfiIoG5I/Gn1VF9QoHco7qoIsE5iSs8eu/j+Nb+Hg0Uwmk Tc008Ji4M6r02qcMTBS/6Epo6+q7FyOAPTSRzKlJiALmso3ZoeJfHmXSbQHHsolhAPV2iukZKuIU z4Q1alLTeFZMkLYzkM+y6J7OOC8K21gGjCbSLkAVpki2nG85R/++Agv8j2No1xc9PDIHZf0xsPWu h6YqEcnZdPNJNI9gb4baKCld4E0Pwo6lQvm77lp4MuQ8mwmFMpA/d1ZCArb3tRUcvGGAz38R2Ejt swgaJ8zDPTxknAnShdz7kX2AI1y/wqD/3sf6VD9sAViXKg117lLu7tZ9ygAcdb91H6QcHXt7BUa2 +vjl8Xs+bfB7CjaLOtWM3+pibsEuerbMieHS3KkUMVzNbamojTo8wSjZMnYHdjqbAraF7IBDZxgn zsi3tiFiHuD5RzNIfHbtcPC2bnyDRZHHKlGPho5rs/PywBXX0ULrlPKgHSnBbTX21huBqArbZJDD tbOLYDFIwH2H2t/rSurrgjKsYLUlGvvQTFMKbRui84FNASCvDCSVFIcb+V0J0lztkjWlQyrheQAT 4uoXQd7+N6/p1hTPgVH46BAgOhJwdyyw5Yz4eQ1tznC7LxX0unQ0cVjiduCcsfnpKzLpeV/o/Vjt wMC33cetIeC4iftjCtFh8icJcfJGvPrivuncAlMeMQ1xF846OJz/xCMqtLUesvZY4Qv0qRef4A2o bRRuYCbGChG24dHTYRyeENfKbvHUEYxtzfoD3g+yNRfcq5EgLrN6YZJGjhT3EcTwBvOoPicQHjxZ k2QbfRmLs9ZObu4QJ9evkxNDhwnhL7nn399AwKp0ybr86+w5Lqk94eV/zYYV2bRtTqaAbnEBwGzx H0E8ioErmWCrhiLtkRxvW0hu7PSdd8j/EtbmERcXMRp7nF7sRVWnhmncd8wJV9N/TvMVFhg6pCp7 H1o3Yrj/vMNtGI8pbhUYaNLO/BUOIc4Tvkn3bgVMMIhB6VwSVvZfLZHIySmPQ0z16E0bCM8QIaoH imX3ztp8CVqnGJqpkNVdoSXkxQ/x7sMr8cubveNb+3keBzPBRY2X8UK2qlEmpXv9ew8Vad+7qpgO MksBI45MOEJLATyjZIoAd0HdrCgSDRybViSKeUUg5waWsrMOcYtX60u8rgIr/LHJaPNf5ACxJr4C BbQ2LH6+w+ZEDXWzbb7HBA5BIJk949iE92gs3MB8vJWCosb6k9MdPrHsimk66psjU1E16+Jf1ch6 dg+379MdJXZFWVvzmYFcsD3b9cXBnrwF/Si6GVFEdvRHO6MhlYdGoIE/ALo+396zUfPydu+raYWC FGQyXL+ukRjyQjduhaGIcoCi40aX1Zv1/pNNsQf2lMDDADGlILBY+KUIJRFR3jlzR06U7B0S/7jt VX9BJpQ0JgYyWvvcUm57pwnXvkfGFVCvuPqigTolw6cosr065SBuqi/ohE8pmNcZQPQiJClKdsOI gWcIKyPjboCdlPo5H3G0oBRrBbi2d6xb4Gr5/vH1RzP/+iq/QORFZ44sfVQbsiWzrdeDLG4qjSzV 10tDJ7b1QJ6gJhYTa0GquvPJH/ceSeSHTGN5f54e9N7mdplj3IXSFwF7+T5yJKiRbK7Al+7MFDdL Kx4ps+kUl/CgK/CQPU5sAMwIR/yE++baYVekMDHWXu8rtSoydurAJKfbBXVJmqiG/bF03mgJDaDE WyiBSVKB1CDlpmS64q3OEJF7Q3wTmxjqTDmQQIOOJ2Aa6zeO3cRQqExAp3sIRdjRd0C6xAutGlKR hhl5yjbai4GViAe2te698GFzlcLoIJfQrMZcCWExocVm8rD9Oum5xUUxNzpGjro234Me5CNM/3EO oCGOSEAVzviEDVXdm1ZqG7QxMeBdLcfDPtuIT04HvCm/bOaHOeSY08Y+4MxZ/nP+yJVRW9omrBIu WUoNWt3ivjBoockcDNkiW/dLqRv4fpshHSZOp15MapSIJQbLqgle5droXw+5KRk0GFrzQTcnV+z2 Bygc6S50MGICW+c51n6kX5jFpdvxl/2kun/H6FpH5yQpYbqYh5P/DtMS7JteLOHG7sazWxxAY21z B74E3gh2/sAbgqgaBZiNDrf8SyG3PkNDgXYLg86tZN+NMFCczhW+BVo/AX92WWXVvgTtiOPOb4K0 gZ9lY4wZm8e5uRtWFqy4YPuo0JLtcwEURVbf8sWQnhyx3WM2zhgy75kvZCdbeGyxnc3dYv827K4i M3KizGsHqjKYpb7MZmF4ZOZvYu7VTepeN+QkUjbcE4gPcMQMAqOpisBf25uWqwNhJx8EsmaIxJLn Dint9YURjvwyqzEwi/mwS11e6sso+LSsHsxm5ZzFA1msM+e4yOc3qGGje9Hjv8McPjCrtWRvM8pN 0qUxVVQYPV/jb92rItyrvleBOUgxbNwO4G6Fwu3nXSBErBwycYxYf32kxa/98gKiBY94yBte8QZm LQxNjtLIgCVjqj1q+vGR4GyhrLLqcCx8deaUac0irq3ZMH6YU5pi88lcAcFoN3RHXgiuK2nIuQwY QjChvglfoZ841FgX0GmG4wrOZJnO9WNjldPRsaWT5R6zkx+Qa8hivV78py3X7gth7ye6hWW74Sbp rDW531ie6ugPmtAJiLTKUnE0mqaWrZPH7tgpK2O/2KGy7l8jSnQ4Kzf5jwCXroXD9nbfh57nbkmP d6FsdWrUZUqhHuWCOMmPIRZ9v7MzWUWtFmdyWs2ngqdavjeJVgpre7SNuZMpS8dh5XihAZqtRUBI 3Gpm/VWSpGGzJnGj63Y19LeBIgsUJ7N965pTiFIJLk/jSJ5qaxRllne9VB2niy4x5zlU93EJsvBa Rf6qSJcBCmyNSLpzwNEx5FD0Y0EKOLqktU6CFXJ3i82zb+47148YQUM31pj1kHJzBh/FpWDfKqXm tuDg/9dCJOZx/E4oqT62cEEavO8h5lD3pORHQhyAJleZxv3JDay+NAW9uGUzKXgecgpo6wZS1iEc P0NRmkNEp7cRYBqBOwhwTnbxbl2TQBe/egtRo4iDdFeM03qrPhA4T+CArFwbCCh4BmoR9YIneM3D zTPJpll6u3rnH12UoSkQR4iKKYRYA5s2FuKXDkd6cPIOYnc3d37U+v8hJdCNGCMEkQ0EKNEoIoHz 5TF3HwtmKEE4IlYWmfvWDtG3wMjXZz56zOd5gHW5xzc0RyXvSKTMiNNShYpGcFTip94I/g1TGQ41 pIVIHgdtQBMGshYF7MejBKMyZwU0cB6YTnDbFNZmX3m4t/NHSzwPFPO3JdyVHTJ5O+pEz4fgoEnf FU89+1S+2v42P8Wdu7LOGl23Vfdn7xFvOdZ4AHs2AzCk/xiQP0hp/tCPR4R+C8MVtaWUHYkrJDTD WYx4D10nRKTVOR/g/hIhXbulCD6ZwVPKzt81WqmWmApQhYdXNrRJ1AdxcaCkKzOYKuyN1fCbYlxy GUrofv7OPz3myRnmwWyzcl68INQAoPvOkYPJugB/98MkdcaG6dD1wuQwMfRZSWpKejqxVXrdM1WF 5mI/y9/+DuA/oqSntFd3Kha7wbpxBXQ15FRsHK407cdxzmwPlwZ2/eszgkKqArOqnRm9W6KujmDG 3mUTX7YjNWwQ0DbUN3YaWAHa51FWzJ93peQyswvci7k8Dyd9h0YwNza5yFO7WjTEGggMHHKhl2K9 snAa/MnQJ02Xj+Ljl2WWQieAfD8mk5IlaA6LjfzJAMqyCva81+d6+VluZyFtzSUgVURqW+CbK9Qd AGCLnOCkWsTw2NawPUKT7pk7lX77Mnx/anod4gsvck7KzDhuFtwO/ox9nNnrbQ7d68f16rRPIgHE 4055ZZEO4Behqw7jRWgtwUMF1Vp+DUoSZfr5TDK6IkwJwuTAld/fPKDZBBnFGdOucC0hJ/gHGERX 4dYwSINdcQNumf+xGx5vnVlvZUrNTvROsvFt0pth//zAF5mEgAlo8LekDbzQeGnLk5Yyb0QvmWqT ke++0mKCfE+Oogo6iaGL5ysiZJjAVCiBvc8bjFOoNcyq2KbbAHht/LkrchhGBaYVB/KqTOyuFoxg 20WAts7zOnxOeTWi2ImqVAl8PI6G7HIjpiEdNRh6zIPy3TohbrRpGMJgzg+wmoEQiV+laBCDY642 qER0UZZriiZBdP+pyb2UJ8ly2y5n+YLV1kBsXQAKeeAUCrpbfhxwbTE+ZHMH9PE0hvwnmFBQm3m8 1alrYven9KiaZriZke2YckaHeM6Pvpfs/JnvL8ApeGQwVTkPVYlkaL/2vJkFOXA/h6PdGxqSsjlN cMrg09lDI5DYiNnmyv3DgqaJc94R2ATN2h9HcCfQhRSJftcvlRGDTEF+MnaQmMv0irAWQEYN0GXR SXpq7S6KPn0Bg9vA14/R2ALzkEtbfy86S5oMxjP47Wv3E+aX7cOw5j/qh45OghY0XNQbFWz5zMsm pkkohMCu5iu+bZrUBe5EONQZgI2+V6O6k60oIdYsqQ80otwN5YNTiCLJ+Qb67OrHD69onuXCofE5 v9ETlbjtCg8SsfnwbeuclGt0BLQMr3it1VxMgA9G7PjLkOapi/47H8fed/HCSgRI2aiNph1p+Uvt v5H3CPIkY0usGHih0f27OzuQYkigi04iRQfRUCcjaGHbWEdLyUw08JxgQorr6WhVYDOLmBfPiiKC hMTgcQTVdv1zgRIkNvdImBvomIaFiGWQuLY1Q0zmpWEk96HjgP8roinClN74iPue/izoHZ6AU8AE FcHHgL7GNn/UHOh2ZAbAxB4WRfNrfEGkwPfUkcOHoAO5w9pbSd7pRaQ2b9qLMgk9D/has2FR0IsV htH8EDc361UZ0q0bc7FR8Dkdt4DBVcDF9OWMxPGRjGJ6ufMPwUgCCtPKTyJi5UgRlvuPsSR4eDaC kzZuMuZrNa/qn6p86y0mGv73CcuQVWCjGIfu/ba/pBkm+L98ob0mC9299eAPy0lEic//nddfs3Kn 3GMkHM2MWnkSuOcrufD0S2elHFFhH1f7jeueC1bZ3qafOlXEqfTYo1d7VFJsLKbiApNRyGYAzBMY JycB/0uCHwKHSNFH+iclt25YYQ66bThTfBSu3L0aSp+SdzoX0rvQTsHN0siKNDc/aTBJEB9pJ3sz FCeC9rBD04AZSd/2qXyohIE64FsCUSMSYTN1eFoBRqWEbtXVPS0Vf56cTnSfqSgfD4oRZ1Z1MlhQ aoD3ryt1808PE5GA9KTgv0CfzHdELhvWMgacFwexEp9ssw9rYboTmhTzOy4oRTKidOK6l9vnTuBd WtpJGjYYA3h1J4M0JXIEQbM5UOvFXL9cFVzVXk8iM8nbtXwaN4AN9hQIDDcsLg1QfNubcyMcRmXc bw8JshnU7h/UwXHIFHI9HmonOZIx4H9kpgf+hrxignPYisER11AouSQNszrB1D/qAPDwjNBlBRsX QYlbW3yfROSe5MCxwYG9GMv7eIvhJ5DnqzLIHCUspvTHWM6zSUbPjaiVjjX0KTJYWYfY2z3XHM2y /U2Y/ruzAotAsXZ52gmvN+vhEaKC2NPy0Zs7uEIsc428BdcNTWOh1tsXjLIbS2SSwFDk98amM6Ob HjBIL/UvxtEy0PfN0Nzd0uxKS1E8LUAQlIYHWfglr6agM94fZeVgZfNHpdXIha510hobTgRVppet XWKGu8P8hyxjGnbKgdy3e4WB1rN+rS8T0NLsvCyhzH5cfj8JQ/bDt6/69GP2e00d2jT9o6s1etdE gjaJsdxAZs8os00AbvMO+z7oCugSS68dGRME/a0lP5lIRh9+4FhRd9hWhIc5ZzdbHMDLFH/DnzwN o5IwZzgwLx6txxVfJSZLrlu8iJdkthuHkSRB5EOh+cCjHNEtVcm+yFrr2EnyHe5Wxd0mIj9fpUjo i9EeF9CrnfzTV2YRxG9sQeQVTv/OdRraxNfvc0t8YhQ0S0aypg+jFKsq1SB0iSVtYUWoGszYB6QG BPlWRKA3rYjYvpM5WDIkBooMddLV/TCK40CHMMrMPggTpemSSbHfmvAMtql0SqQvNv6rSoumIw2S 6whlscv4zYW7HGo+fZ2M12YVblJkjUU9Y3CEx/l6xGpg3P/DgZm8urWWqHxjBeiBdxbNmGvWSEfI e2hocvdXyyi9m++jhSpbygpzqyewRsjOV0T0MwQ7ZsQzauGlsfZ7m1SbHr8BM1Cjg0uFsnUsk0T2 GtSAyMriTKLzVABa2tni `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/blk_mem_gen_v8_2/hdl/blk_mem_gen_top.vhd
11
73440
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fpLVXmHUjNZYaHG83u/TeuNWOSz6lkSIauGdrAhwbr2dJ4fecXpc3GWO6skA5m0g/ifDpYiKnHkb M7uwMlgcLg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R0HmhDgUaVKY/vnP0VYPBqVPAM4D7HubyCyc4cq3IQ+82/x6FCXxTxqgUIWi+cADNskY6Zd/LJPo OGERgXEaWxaECtcR5nNM6juCSUKoatv2fXui86uocluAEwiE8keRK3MDn8hF9JYgDVaZ08gAp/5r TUVejTgQZlASVg0V0s8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Qh+P4zwUHmB92dq9eyV3fQsewyiB1qCB4MaD7V1y4PukTjMaNMLi6fRZrxWIkjqhqCLoe5ixShrD eIJzP7w34ulNXkSJ9wCaG9ggcLwpVxWmy3JOLpCPjDskIUrd2ouCHC6vIB/FOZ/GigeYgSoSZJ+E 8acTDiRJwjtcNfGBE6bgomgU0qwWvo9TsCj8r0Kg0oZlVSbGUdubrBRi9qATpdwBptMQRHunT+Sn IvTqy+nqSfgEkIjplJn9eSjmcFVljeTQNCkCaB/m54FO/iM/+QeVnLKG4WSJvgWE8oX8E94jA191 vyE0MvHC1JFxVZEVoh3oyCIv1QfE3AvkBuvOYA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tOkpeUSanlCu73mPmCZkdlzsKrAItQbA1AemEJgZrVD3/awbVG6J26coX1nn7AirzzA3ILmnCgCh +CXSCMsthBO0sNdDfx4gVT6Cs11W+eO9OqDBT0L4EqaPK/QzRXXcinFsg92GoZeOsidLiSZmMkqv cb0G8Knjjy6Yd/agz5g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CBq8V9tNozEnv8P1RwyL4lVr6+OFNwoVinywVPoMQfcGqDFlBStuWb9KLW/u4FpUVQ41F+qTggqR cug9ubtRJecS6G2I7+XLQemrvIzPNesE65y4XHMzRWJ6MXFjaqkdTouPWbwnna8ejO7jy2DHgItA GkHtb2MtbnSgwiDe31AAPaKy1Q2s8Yv1+7HQK0jTCeT31cjBRXGflhaZ7EAr5K/WoQgYaVmoZ5vQ +hcC/AvuuLkyC+iJj6QECrT3YfIa1hQwNeSbJhpHuNy9qNTYJNBET6Pz9cTf4eJnk2VdEif9vtD1 XACp1SfcHr2k3CvR7IE/R6ZBtgIewr7ITq5Zig== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52624) `protect data_block oOtHYrKEhLvCrRvtxdI4rjJWPavgBfRmbNcCW0XIiCpQaAQHxDjbz9UB5Shi+bPo3Rp9uQ87cbET 11WDYPSBCAXFNEMUn4Qei+m51v4GkZ8OMiCtU7vLZDuCM5e7TOm29Gz7zOWpchL3brwDp3EMxfM/ xrQpktHxohc9PjgdN5lw3WQKg1SD0GbRtTpkAJd7fy5urTpfq8zhCr6QNDUOx7lcLH/aWSapQGaF SnaT2whE3dswr4ruqP9a6aaBXG0OJjLoy7zgZ1xeemPzHhfmvCwBw8I7k3vg1oDm12oywhwRRg7E 2b46PIloTnpycV335F9zRFOXi8Ze12Mn/DfW8dhJs6aMWMbO0CnFmkjHHgOTr4V4ZdSZECSr2bmB JDljCSqDYbIHAgd0CobpJN0f03nPdmT1Hf8EI22VL+6Q5eacegRCoPHessfD5hPTiJoPZFgn0ecL PJrhzNyqXYHNCjA6bPiFfTORBIhUwiyTCAzxT8I1ltiVImAJBg84i4LdQSbQhvbPbhDll5khwKId kLem1PKLWp1SXOwaIG4n1PVunekWsmSbAmZVGH9ChdyDhSPwl7XIeskFs34yLvTb07shgYchC10/ IInyJn03CgsXWWVkhdw85X4o0UGBLZHyJDp3w689GSjB4rlpPostI7pehGbIPfasfHxNYDgNhhTR U0WnEpsj6hHAd59bFmp4UIu7sFTaf5aE0+rAbpOuwAvfUcq6DSFPE+daTwgMI/HwRghA+NVSx/DF 58JTiqCqHqZwS9649xxzUsV4/ikgNMfiJ3QQU/8vpJwfwYQ7JMgYMMR11dhSGaczCmrtC6UPDTT+ gmvsVfdwr4igx5C1e7T5fqk30gzOtcmov6UnjFC0swlk4WBB9fF+/vjY6UwAnoLO40bJm9a8Jtx7 Uw5RpSr0TMFQ2bRD7RFc2GtukQDyxNEKUGdeVLQUdD9iD0TXJNcJBN481Ta7ee6GrXFTpYxaG82o kC3AX39MtVxgrP4+viZnWLWw72gmSTPEg6LYKt/7nDC2Ltrp8nOXTO9jeOyoog+A7DE2E6sIvARc glhBApVMbTH3L76mO8UHs70ZwQ9hWSLlSvUjncmjUYthBz25e5I3eB5lTFY+zS8fEUwYx/iwba3X CJbiEeCUzB0I2HiP6yjOuG0uZUNK/PuLaHy0rOpNbGuJLHTHIyDH/J/ZZpFVG98IifGycF0TpF4B ZSazOVPT0wKQag2dMQzf7XBwUltrVr2v+uY7riJ5HMPm96rXP/JFbgQ5vyLLOO9lpwkytxV1IRCN BncxjNeq/aPHzoz4QFH4LOUiWijQ0F1jFD91i3OJWKNRyZ/uFxFCHhZfbN4x7DDoI25SEUIG7Upc YjZ9m+4Sd0wM8AcM2SZIGc0KczNZRtZUd1neXH1dmILOo0oUk/Cz6/pTzqZWgoL68OzKGVaoyhoz dpCaO59YBBNE4O0gOj2eOFLePXSz+OC/vqzGXuDQlrBMv7h5wlDCnVcnv4mmsu25rSc5bgfAKPL1 v/wiSUpEnPxC+S4qJRYbQWPHqkHeODiZf60j2Oy0nflGmbEp/USfkJP8Jc9fNpFdUmz3a9pKqVJZ e4DIlpR7bGn9xYmNPckA3YPY7nfQZrTNi0V6LHsqlpI9AGYegMkj1jwIjgJsc9r7pyx4ey9U77ZU SM17zQjiV2wTxTc9KbaP9QX95/sIWGssfMn7pLK1FFBBz2f5EhyuYcb8OgNwtz9ZNUHVwSONd/hi 7P235wBhsaDcrevHZYNXDaOkGEDGfjtkpcAQbQJOSikQQmN3nW0+VgOnwD28UKVT0TV5sPVNzGOD axmLWVc+XOV9BgBzcAhAjHLRpijCXp94d0mIRKPeAnD5De/6qPvDmLoTFIVNFNyhSvj8+gsCsvJO 6dhrGZUwCrLzc9pf/x+4fuK1L7XhRvxLebzUdTxcspD3lbl9I3hxw31t7M04ErghMieoV3BOHCTp qD8fEb5SwSoBm9MciwGFRhLQnIYKwqWd/GtHNlV4oVTjfR2CnPKZZGmOCVOFOx0xY6LdZXIkSPjr v3vvNwEXo3oQsGa+szg7QyUmCjsEzugnSV6y7mFNNdMEeP4RKwJO+dmH/0J8yYXFO2name8YVupF 7/NMUBJaw5m6V7mUOrLSOchODJ2vHdpbz0AFxHjglNWdVoOzerAIjLH5tyuhZR2es3vzGOI1y7q2 CZndROVMURcFQ8ZYuMqWliTZyeYRQwHsLp3s0wbOsPkDr3psRlJt9aizMk0RqUZ3CY2ii2Nw4Ze1 G0nX3BfW09+oAh1PW+Mw6RfcZARXch/dy9AarI9Cvkj+AVefcnlX7Ns0aZ3vk2/AAqzZXWy/o+zy VKBvkOYo61yz451hUlv37KNybDhbLtgRVE8c25GNsw5xIlx6oBYem2mswisy55lkMuLKnRSk7vvU bc6xK+5s4SHeweEHcwu/O4ngGqfvj6/pLcm7mSqsgjJExlHLE7c3EW1NLjzW1yZPUjmw4oz5haLF BZhYIphIeI+Ox2FH1WX9tjBztTWxwBnp5f7my6RvWiB7ZfBGRRP+5DmyeS4e2vG1jYHfon0GEAxn mnwQ1ObxuzJNMzpuEe5Zsok5Dnv/XlsYlH0bA8Irwr3hu2XIymqVNB2WyG8XWiaAvrmlVBb/ncDX DOqQVOmzIm8vq0RslndCpvr4SBkIX9osUWvFaMSTRC0wvy5F6+hRex1hweH4ijzh6z6PGdVvyCcG vLTb3RBHrXPMRV2KBm41/n6zOy3JbzIzXXPTKMZGTIuyOZMj1sVnY/lzwFStDWRr3+HGfWdynvGN Te6twq5RoOGRvYi3+NupEW0McIYr6zU2GqMmZoAfqYaZ2BapxcTPoied3YSpTrcCEpVs6NTLTVYC fRoO7jb/uzxugwNua2lIcxP5IBnXU8saUSHBs9hx729LjqmIOdJL7bqzttv8ua9zmWlLrRj4kDRO Ro/sYtrsAlbGqZm7ugq1RTA2u8wKR4XRNKS3WSUUuNcVCILHgrGtezHGc2hEm0MNJ9Nkvg0oFynr DUNjrv7S8vxmDKnzGy+9Gu7VZhpK5kpLHhRru0gYc+c64NzO7TFlJkKFh4oQsF7wWCV936VPGcTN ntEjZH1xQ8Jg0FbAq1/flz8347OSZ+PVeHy4i+axzCBrH54K3lR/sMqfyh1z65DZ76dhzo3AC5a4 sR1QPmDs7Lp8Q+qNF72ZazGZt1C9JbVi+7pVEvf4cDu0tRu/soXB8UmI7cM8oFCaCGH1G7Wl6LKf B7X2t8hho8gSgqCH6gKeRMyyc46xxV29G6TxA6nRhHkARTEVODGlWP0qcb5BQj2+XU5WseeL/Uq3 j0/SC/3EPVydfio9a8E5AX52yudzZe2QKQ6OvfPzkRiTpmNx/S0/+z5eEaKtpekQOioseK5mbPOy ZXoK/TnPHkd9Kx4sLYSLRlATn/ccxOgDGr4C068cM8r0Xo6cQcVvYAWMWAskr6XLvSiMftzeiYmM HCJ6zNrXyvJgRdc/DmIw8KVSD79JfnDjZZvIpBqzTD/n2WYgZrSnQcB/0y+tm6klw/6kUCOwMR4+ /TAj/Smkc2KMFuTZppLwoym7kyNw2cEWm6B5PU69G8UPtFQVEe8OSM/YDRoW7Vzz37nJm0Seioin f5HgCn86w+N3ryU+br6Suk2cKGwXKtn07iE3KAU6YUSWjan97GRmmwJo2rVVK0/oKeT2z6xGPotc OMnuUGJ2N6vOEgQLAhhUefv5XXw+yNHubTz5ytyBVdz9IOFh5NDEB/NBBt1pqjXqtotsJfcvrjs5 vSir+H9dB3MdGHSTQx8DoHDEZz6r9rXQUZoDq58qCuLQtun+cw1N5FjQVlxn3ucI/GuXRr8jPet7 PqUricGUpLHglpSwAkf4X9SGvGqYe7CzLGwk3NYnMCU9/oQ/LOavhz8u2fMN4qaR2OidDqK5Nb4J 0NtLLBpPSNlhxk41WcfO/Vxr9sh5DyVpNS7cbamS9Mwo57SKMUe0T5/hdujb96yp9q8S26jartn8 dshA1D6a7jW9gaNfXKYt9lmqVK9SCfm6CUt3rS9chVPuGMqQedAHN4rf1hhvhIdx1bggdgcytEAC tnDhqfHHTsX4fOMc+35KFOK7NDCPq9EIOpWvVZMA2vH+1iLDh3DzBrXeCB6QAdJhvNbeUzWn1/Hb 4uVfjLaiwFmP/YBdSfMZjU47jhF0cnMGFcYW3R4GkFAnoWJaCUcFV2Wrn/pOIlJZ1Zo+9PuqcVY5 3+ge2SXK9aSx1K0MIMq4l381R/0ou+udLYgXCWz7DpVOuT5TXp66VJBxW0+w0aoSn1R9w+qX3GOv utiFHmw0y6Ax7s+q2+STdM2aeJKEmk3bGSvQZF0nhTRzabOtvimWh8lbPPzzGWHV3UAno4ASbeVQ N6w/U4x2VtrZ/w2nyB7LRcTO69I8GfZn4x8KzihStzBuuUcawB6nwgNWb7isNw2XmkrUFWZK14c4 r/R/QKVjGbHj1y69U0DkhKipKswR7Dk/iv9Rb4gMEIT5UkigrltiOnHfWo6KfjmA1PwjmZijSQum n2oBdfFdHCIQMeBcuwg/SBQ5PaH2FQGRPZOr4VZsAm8Lee4k9zRzsaeh7izk5fkzDFiCVhpSkI/w NGcI94PXrC1YvYrw9U6zKwb4jUWkyr5q8vmMPEs+OkH4YUCUDsNnQlpAiLIyF1hfQH6RwZRpZRW/ b7mrkGG2ouVv7WPhCouxNK2t3yBKbbp7rrGnJMR+NJ3VhHOw8JOUooWfFukefq5WqAZmOzWnS71c ryxpIhxR+1S3IczJkCsAQXdM8i0xWM9E30X+tKYO0oBQ4/udv3dfaqftu+kbusadfam14jo5XWeV au4v3QxSV4CaNbb/c4qgJI5nF+zzehGBoNEnF+lHKekP3iqRK3ER8G2TE2YvPiO8MFWOE6PT7SZA q8c2b/emxBVhNpjBFC7nrA4/fSOUY8ZxvyWJvouvOr+wEdN3klEj13UvHmUaT8q/zLIjezJayN6D hC/NDo+cdj+46xLaCviJ/ycoLObGBzvZ8RZGwWGg5SDv7KYXZPlYC7kF7K61Sn0PaG17n9C5LP/I RByO85c4DJqqJbnRG6rVnZroSa9A0Dhionk12gvFrVvLDSS8YVd+Zf28uzoXTfPe7FLj1f2JxCI0 6eCUfnOEt2pitpU1aaKsarCbpKislohCLkJBF8/OYiqtntlkf3W4cJCEyU+DziDUob041FN8OGjl hCIRiDeQMWLXVLzKxLAKUcwn8Bf4Ayi/kPttoa5wzlWfqXlH23smwHRZD3+m4GnYtW901uGSGTEf G8vXZl+3AHGSPzNTc2THGWHgoX+ZIR5YHh+t5ha51zowSxrACqlv47N63H7d5qwYNt+s+m1QxlBl q7aP2kWX7eHOzeDcJHbNzYLc+yusGqRU6SB8O4nnh0miBlqQQPv19YL5+6ySnWEUUQdiRFHJXfXi 8ak53MR4v0RdvQmn5JcpkzMWB8K+NkPaY7Ogi/emHneqrpHYCRMCOs1RUDNGO6Hm4A27dmt04sWz z6bQYVUaOldr6qWQoJx2WPCqObUlSeqbEFvPTrbJcFeyn/LcQbPWxiqLrjRb2locFryWYSWQ+Z4F 5iG8m+WxFSoswql7zfPDSitRNsVHwJvtcNyQ8bzY2HvdSQqCdaOoT6+RQsmFpXHu6d1OF6An7Cen OBOA3ISz+aHx3DFkavFC6T+ZQAVf1CyWqeMvxEm04PoH+GJzgDRntfRPZKvApG8+/9X3FeLGSijg ojDWyxtLhYLp/BkuxF+2JtbMNTOfquvRSY+VyLlCkBbkNX4GXe1zNwwu4J24y5z2secUoYVzgwlm te+xQ+0JF1imGU/GbZkfuSk4YxhIlLgiwmAmfcJTLASoHn/Qc0+mqAPa1KTpSUR5q0ZzWwL4LmIm mBaZ1nUDUneChEquumPxJUIus8Vuh5uQHliWvH5BXOjixaV07AswDctgj0gf3l69du6pVNr/k/x8 N0XMRGCeCDZFBWZLRf1hUIwHgnBqkG/ciomkhYa4+/LweA+ZSVrJLFaJOVF4XLPmm8+YTD8+GCRW 3cbvCwoqSUW6uKBl+c5dBScA3BcJFLwO5gaycJg8WeTyGfMZiUcsYWzT5k6sWikjtbAb3gdauEDs Jqukz3Ikaxbyco/SWESBNf3alWfbSP8Uvs6JXiLGMbJ2ajcyCn2k9EdjxHcV9M36da8MaXIM9dlY 8TqsoGu3NYPGdZ9g2AK69wSuiC/h8e/SpZem26NGhjO3UkDVbzsJNJj/dO1U8h0XyXG/KN+E/sW/ vbaxcbgQNi7tFWYYsqEvwOy7sOMH1tkOhrijxgwKG0yf2ElIAM04q33IYK/NDOF5uHN6FHRLNemt b4NGIFwA11oBOWuuyzLeIah4lLDdXgEW40k/GowtiQFGrBY4FbYyQWF7qtNXWHdHK19aw448a58X 5O228mWFfn0xXPERaQvy9eUTIDDRxFPKr3JsV6aHWms60WH973bUJbv4oYRE3nT0JbbMmbyoqToi cCqt5B6MMx+b0IrfpSNRGzQHKQfuXs6FWtSVbXr63hRiBVHabAYHEXiAlSgzYuVIlsRjfqwXhFxH 7OhiDRe52HK2XdtQAhwFMFWAgiIvuOl2iVmsvLKIRa5QNhNPazr5zHhqAai9tGkQuCxNHCZU/3pD nqhsIiMxUlKB7MWnSSrtnMFAxB1V44IgP9a2YDATFqkngz8+DY+WSWsNI+TVg1VQEAqo7zEjpscU mK4etUzsS69zM5ij/5YLuA0TCQRh4qUViBGYEUgA15i7BYtN2Q+3VBG5s9ozPDWfbr3CSUOUGH7C 59ihDX/U0TuP7aktcwvM5FGvbwxB9lxBX0hispE03F+Jl4Wkjluv3XBy0RrEIi+S9Onqb2wS7LTz uy9yOJrqYZQVhA1m/zPacD66R/D+wea8ZKGJv5H0cbBd/ZbhfSNlbZdpQx24mm13YmJhvUbDFz2H m3JL1pNS0N7+f7OHTFC6TJUn5Mi7aED/3Sp14Sglxg6QlGBex5v5Zj9pCJf180sCE9vGAhA0ZhFZ q5R0T/N4O2e7XaFrXDv3RI2EgbEqfg+hgM+6RxOzy7FKkDj2chbld09otYfNdgsG/0d8M13foP4d n9nij0+zW8LzSyVtE/fjN+hjFmbBaTbtE8YGR3H8TugBP1WIUweEnNBfXaDuSvVCEfhwFIhNnKuG g4ETt7o1xqEAB1BX4dVLOizjtL3x6bdMBR0Ge/mgQ68jOqPYMR1jX+sqQ8+8ZKCIzys6dM0c0K47 x7vA3AVRdFyYiY2MBywUQ+883KRpjb1JpUUFzNcUs2KCohCynYF4GzOILlzDWpTttEDSdeCQ3Xmu qtJCrDz9nZgQR5bnn1WDflbCiKEcvDPrD9+RJEmdverHQMzX5SLkXkFX/yMReGSs317+1iwWTZxG ysCYgJQAGp1vHGQMvpt6q0p3PExToRE56UT9072TbRk9xxZninc26WZJDLEKCnDYkkraUeC63Cpf jZOWcwTzzgdiAmhcsup4KTnYdDAte+mfQYEL/dwdKcQgmfop6btJ2JscXl4kmTYjAYBFzuVRxGTK BmnWfrDVysoKuH7BE1U9+mIKPgR/O+uC40gvjKrYaLVPXqDOTS/Y1B7DTgxWATKN/hkn4FSHBHiU voddO7VIa+jiCtMmT6bMvA5l/JyDE6MZ5odw6R+4ZTS7RWpvRyXch/4Pa8JHuW0ndTPSos7iSm3q 4L/l+t9q3RKm1JEmnPuGSfx/y+fH7QbjGvthI2obohHmRf0c4mcJPI4VKvP+skRLdj8PCBBiMQvp X7jzrcp7nNb5thc8OHs/AG1V4oBClCWLORO8HL7mzV5+QLWZ7zoJNsJZ9LnneICPcfrXnmLMHuQQ KcGpfJSZv69j2N6dM0pWlkK4JcnhIPnOmkvbE1hLig4PA0IlyF6SqXFRvaWFoofvbpdC/gPdn4iZ OpOC6v7iabkuAVrxNvvQoodXpSieCkZcqoVF87GuRsy7I837yOlNFMyB/NbGzLpedRPr7aG7RFdw TnuuFyEZ0nsXAlSFc/wFQnSNEtxOqjXYhTERV2aOmV0Q5SMWGiniXou3NMIcMLYjLFeeNQ8YHzYc RO/LmtN06dCCVeTPAZqGwhFmQ6a6DvaAtc/4br/Ds0fH7Qw7nYHS30QYXxelc7U+Sm/wd7Q7WiKX WZpsb88AwxLXVJ9uOeDD5x4tcFgLipwgMUxR+BR329tEjUZqjovuhyEK9ArNz334wS756idfahah ypgEeLY4AmkVBiXzZHb/n4G5TghpVkqcO3A4jkQ03WJkw/CpJD68Pm6SoF/+QwnJW5r3tcEyC8Cx APs7ZPpXFiQQYf89wb+sSoOTA6AV9ZZa7XHM9Y/Jt+4LlEH7XM61mI2+5HKQbAI422B91r8mvU4H 3YmNdNjBUr7lxmLTWFh/3+6SwV468GrcJO6r8AlE82jxvEqsB5GwRxJ07+GLNZZCr0NRdHrPjJ2V D7UNuB9za2w2mL4pz3f10qkE3NDsSs6tafwICrnBsHPgNvX5HsvaDf7v1vGpP30qxuvDs7/Vi4YJ DM7v59wkr16/fUSo1r0pCQ0Hlyz0M9Ew3ut9g62DWhUeVcdEuiHKhxK31bjQQ7ZdtpS3PTYGtsna AcQo/+W5piX7WzBd1uDgN4TY13M713hJR9Z4IzfdNgMGQYBKbnzUh/DkcNYyJwMuQpLoIpbb2RzT hQY6my378+pMXb2GhbF5uSDN+IpW008gEWdj5k4AfpJQgY7sC7Cg+m0sTKoajXBAOUW9jFyw5eAC vgJEfTh+NTIC9Pc/aEVvEQx+0ZB3C0n+0AtqnVgzNu96bKcBGGGzy/+tgTGHKB8qMRgXfBOo42il xdyWlSW/bx0NdeiRvaf8TY4fR1K6G2G0EDatY2DWVFaLUk15XLzpbrtNMORDrbmmRE+ZWxszW1D1 I7fg4dC1Ann/WNbZRFTz/oTD8qUOQDIS4/saJy3w2H8AW2FsRDzUpGf6rm3W7XbL22dva+QXo0iH VYQtYOr2J0kKSgDkknU2yfmbgIc7DLhZExzZUVG7EkwpfFSJEaYrEyH6YJ8L017hi5gg6SDaYJb4 JrCoj0RULIcXSnmzC0FqXB7KuxfYcU0nhJgpYisb+9XIbo/M6vHuBGi2omd3/e75GhBnFBojYuzh LPXvDllnZvo4ArdFfrgF0CS7tKvkWVHOVCjGyE1rgYxUKUinCk09mSQ9vf4SPIGoG5DXlhDG0RDd U15B1wlHsCH/+MA4qIA0ONfrykREcLfvw0KKmHwf5ovCeOAshkBznJ3kQVzg6PQSE8M403A4UHsF wOs7DbMUDXN5FixnSthAQn288aoa1LCy8yBcXANYxkC4Lf3e0sEQOWv3LvUx6bJMn4cSg04YRtvF TFValDTzdtnbNJ4hg3A0KfdVfKYOTjIH8EDwfjNaJ8uXTzetzCf0EK0ScREf5M3q4cre2TpP75LX QQn022DM+Ee9/oiAh9HupGQqkOrTR448j4VBc5ZfqzrTdaAeuSbfm/buFXcx0P+hX16j1TqB1eag oDI0xb/Lmt8Z3ij9+dA/y4P56KOZKEi+3gJ0d1iJKvWRUPOzUVUUC8v0PRMru5VhpNB6qOrNcsUs zNxFK3UfqbmMgaaZJpOBaF0P8WX5iDBp7C2L8u1NV9ks595WrQiJGYg01rJLEaQU81Elx6suAQOL QZNbkqee/LFajtEqZUeKTQQJuEXaDgjR6sNHcStm8vUaFWywggkWtk9fwGRTCy0slOtjn25YOwY/ J/Nyvsm08/Rq99T9mMrMX+Ndywz4RHLUTkJm/+ooT2nCUJdY8pMF5rbfUbWvQnSwSZ4uQGm2MBDS +0+ofP5XPfuyyXy+4f0t0/VOTEX4wOslHf50Smkq4GuZp6GXByxtxdAUfHQTWMQcK89rq6EX0IoL truFcKCMiiIPv7Bz0YSbk2eUww2mxqUDYNHP5T1TufV6ECN2Y8hbk0cmr9bumHrJHuulUM8BxV9l +pkcmx3eICBXjUNUthnofPQG87fRYHTS8HQFVoWE6gNZM4BGtBxszQ4mBRP0J05B6s5xxcXlROI7 990Kkz8vkwyJb9sRqLjhbIcOk7E3oW2O9qc/p7MuiTLTryLCkhdGLNThbsE5ThPuR7HYKI393Ub+ t5ielgUjVAO4tAZqONc5iA4X3FRJUgQXE30NSe1Lv+CLT6knkeQAxA46XHm4d8MSOkGtQWdOIXzM OIZhOC0ygaOAdczyrtAKIaNTGeM14biMlDI3Mjmidvh+Xc8Dr6ak0TO3ciX1w02BeAqsGNn9L/zw o3FvbPVPQST09+4C5J+yEWMd1Uqil/aib3gLru3WyE+hCXOqbuWRyFNsbjHZJJvGr7abOFigzO3k 8eJsRz/IyZvDzWIjoJON49ocatuCxk/v3kG/UiiWV7mJICCjQFX4Uid6LcgXELab2D3OPql81O7M MLAsrgLLfCk1oXziBSl5xwXy2ik27jdED0MOpzP456yno6tWdTh/wG0eMD9VaqPvtZEIMGK3li2N wdbowPVX5EmHCJN5YPxEfF87/bGsoVkmWeouYtErtV0WGeX71YsTEuWWFbSORbDuHyLdp5EJvl4E mPVZjV7ARVCms3dGmZgwL+zahQsibR8xoM+kGQ5jmzWdcWaxXDfVLVw0yi2N5XR9SgU81OExfJ1h 2TMDXNVYnN5LE/cueW/6e5GW6v0XT6eLNJGkvUXZ/OZLcUlCHnzdM20zS7irTQlAHr/kdCNQDx2R Rsx9X9Ii0mTc01af0tb2dgJ6cpWyyxPwrKvtWxN7hov8A30idyP6scfIGVPUy1UzYE2o4iIcleuP BGdABUNHPRyDrARL5MdEj/LOxktf6WjnIcJ+0ZGuFzSTIKQALXHRbbki8Q6tWiH+CUQ5Ft3CfDJu LIB7pjBaGwl4uQtAAdjCocjU4xfAS0KzQiMZW9g088H1cpnTP/mgzYWD2kQ2GXkSyWPvfgu2+i1n DMYz3ro9XzlvDCYKi3mv/OaWmDmjMGBX2ajj3n5YYQPduWdwenkt3ua6UEL6yq4p3pmZTQuEiPVA V2p5HYqJkaUOq737vYbi2Nfj+Nq1a88WfZnYGyOqq5N4HnNReZgFX4h5obobSiHfvemp4xD/OfuE uGKqQeAJzIMS+R+CyQDJ3ctgEdxpLhPF7O0e6NZ2d9XLdvRQRy3he0K1twJxcLXJbnQuENFcdCZw 9NlVsH4kl474sp8i/6kDlj/FznjQ+c8WXP2832MCyfzHaa/zZx4tAOCrfWnkql6oMRpaAJlZ2m10 E6ttZYvS2qmlrFNPhe25cEb9bvW9/X28nl4h4PeM6EZR2EoXPbSQ5cUsN+hmyzau3eciUUTtUSH9 4nDU3lDLG/lPtO0ybxGDgOU6yKg4gVTS/P1V79YlDvjp3WgtHDjMo+I/HTS7U0FOwZoDLT+y1mjh goyEyk7uINI59ehJyryooVZ9xZfNFWB0zoErhJdJtXIGjwdxvnuGK81fwBWGKPgdr6j39/CPZiOM QqMdXF8sCWTeKGcrH00WwW8/0TroWw8WjKb6sbQEx/nPqxFpizOm6eRqBuEyLHyj93tjoo3c5rjU Gc+QG8+n6S8E+qDFOmXTgwL1KgZldNonSnLp+LW+fisbFTPrAEnG1I3J6qRYxkykqn8nImU1jR5s m8Ei/y2Qa7I6MDTnV1wiJkWW3trg8MIOLZVA7BV7X99Sba1S7rKZEDexiqQJn2mAMiy8p1bDul8p KhvZXdLaPOPgrHQ/8Lnnx2xoeYaUAzu8uOwYGKaasgOpF2mVCOjYWnhHCy8xtc+9VVLtC31KqE0r xhkzGRNfnh0Ik9Hz0QxCd38JuGtt0iVqIgb3HE5Sf7dw1v+TMJfNEPHJaKSwo0Lo2DIOitWoIsnl VGYfe6/KhZo9KnciqWgBoPKiBixu3WP8931bDGiNAz23g67Qjcvn5llrxqbY6ojvrAhSUue/eY3F q2fk93e1i0AYQalX4ZAuyWb5yFYEBgR/7hDukIycr5qCH6mBdcZT+oRtY9uSG454vuCzaywkAUPl AflEgZj3TAnBoW9MbXrsB/OkJ9s3sJ8wGtDI1zJNNlpbKjeswkJPMx2RQbXGu2Z/MmbChXZtTjKo FuNffBR+BUwDvXbG1fRQS1KzEBfl3Q+5dQRXQQtov9x/t/WoEStlm2JXoJyjTPPhQYswFiuMsD4S Yqnpvj5l6vh0GSVMQ8C6bo1jEKSHDVJewLclvYdbtwqhL/MzF8UTxZOWR04puhkDcRhzQIBGhlIh 1ScbW8Z86bvidXp7OjjoU8Dgl3ZwCU/ElmDbcUa23Zj44NDZ8K6r+HuHakWzg77PiYYzooSznCsp YrG12QqeCKeQWTBeuk1iuJDNJzeehv+Zrxtro7IrrFJ2qbsNFje5fol6sK7hTc2Fj04sexCN8TG2 f4UUDT6Sh1dmxyvmUfEa6DAwjWdzgTbK3uRXpCvunjtW6yzNUmgVXpHJior0ZBEhlP+T2F/I5mLx ONlDKu63MaxsO7dPDD5PXvcnFyIcmiDN1QdY6M7C4ApAGJYNdH5jBlhnBiBXQja5XnAZn5l5YBeM ITksldIsI2lSbtP/lqjAUtRExyMY2j3HvZaolRepfU1+mEP90IY3qNhVG8KnUVHNPpqQBkbQ2tnW FoAVPw2/QBRNMfvEOZr9rd5sh6AaaFWnAnLkrNqRRAzIrv78cOz5o+SUETN6rkhP6Uhpc/GvXmvR sV4W4lq95X4DjuQ8guDWOVphXQt52lFi+vjrEg1M4OPB17nYWjwVdAhzk+ucF22RWO0+Awr8gcUv Z80V22T1BEVxJuXyBU3/gCfO9ce4QYea0/fCPEeLR/fhkiW20Lq7UokdeIHk2ksIVNBOk9sdrBv+ Uvvq8sDNXmt1uGauEq1drQkvWdjn7yGcQJYIjX7I0W58UDCd9yQEYZqs8z3RzafIfcEq6lP2e/mf dd8djFp20aFnAAg+W2nCJoKhFnchuKT8mnWt36Pn6IeYs+fPFKp3cnNcaH6I1+bRkrrvZC0VyOv8 kfsw9o1XdBzHHk6daXRB0gNp1lOU5J0rHWNO74QGMIIwevKUq3kuRYxpwaw1LNRmMcjPzZZDQnbp ria2Ol6cPP/xCfx+pgB40AaEbOKuASjrgbtoubY5YbsjHs9DKc/ZIpEsCFrx0ZATz/cse1an3826 hqfGtLvzcYdnqO3p/3F8rFitdzk0xhydGms9HaOz4jIiwQOvmfM+0N/Vy/c0bT/hFl8BiGdu1RNl 1OmYcp+1un3eD45Tqtl00qdGhs+k4ungMy9vXHTGgqOYZiKGfL4OKWPQJ5hceVh6LNQPgkKGXgtp TmyN7nL4FacZXzphhYmrlsre5hkZ0p4gJVQ9n82eLm5Md5ku781F5VIARTRGH5qknrNYFP4bfxfr +93XE3SMHK6+9JP5Rc5m7+l10PmDwNEBLf2nftl7H4tcjElZn6J0MAYYvMC7g9oGRgH6fY6aqn06 ZNz2IA4/ZxWwNw5CtW6N071bVfKB4PgrZ8Grn7McaUnK5XLqlX5ejmcgULnw3jWRWg1V1lo4VVZQ c3j8qNnb/KVoW2UyXMDSibptfSdywo/8vFmXJfK63XiF2398l9Pd2ZGHMs328g4msmWbKf47j401 qjdjHzq/d8MmwvQ38Ml1hkzaqNUMMSTv3MYsS8cKQ/BT/iQC8ttCuWxJumkw5KltpAALnaKkLn+2 u9x70+H7iq8NInvyZiWFy2/UWNGpI6c5iOnCq5vkLCA+skiCQzoMIwmcGvjFwvbJFoVW/V8bzt6B Y0jWO4QCk+bQj7rT8s3mXCttoPjSi7a86vaWQPlH4ylPSMyCoYk8PYHrCQdOzjGQDKjPaAfgoddV XP3oHIphDKei/1ugYabOfiyYZANAuNNNYMwNFvAuJw9Z51tXPCvQKTaUBtjOJx+qUpWDUb3QlHqE 7UrdAMGzdzqu34RW+bnl/BAc/NAKJcI1gYe823P0225pme+9gBfTF3iGbkQiOYyeObMg/QAqpFnJ t9z0qpZJ5sp9hDKtq0BUHPjYV3/efs9JglhAcw5rGYeLerYW42VDgM1THxIu9++6mR1f89hbfH9U XCFbm9qsMSIv0p6Zu019DkSRGzy9heQP2iOWDIc2GclvQzly9B5M2kqVpvUAIw4Q1h9JkpZnM8YS 5/jOeS1VAFTsUEgnrIhn/jIwa4dsUQrtxuCwq2PQEHIa3KYSWo5ragdGdQP2iOks5P6wlwRsN4ou 4x6RJ6bQbj4wuUBqNwO7Jpm0N9t5S/th1kMMLuiTwTfljXCt4q1U+T6332XenzszQr0Ru5jUUGsV d5CdCu4y6kgM5mNuxOJMlKa3YrhbIuR9bl3R9bKEWt++fhhNAd+empnDseDTaHM+Ip/u8iMmMlGA KLDdBomy+4ZZwfypjrt0uH6D9MEByMv7PZTUeKUy+6LtsJHkPxlbUDBd0HkoTyLhHSptxphhJt0z TJ1jI+VzeIqqSB9/dBQi7iJrpGsA1e0LgxMpx+L1zMdrBqndtU9i7GUfOT6fZmL8QzvgtDm5Sdjj 3vdKO9JyvQEQZrCpEeooFuJ+zyhuZLm3Oocc/xAN4YKQKkSzHDdWtoDPfJdXB/wYKl5ReyBs3AyU p3t1YlrhSvkvYAQPr1c0ZUmHk3EmlQFPAqL6N5qYCPDlOO698NKEc99qw35fvEdIiVJJBBW/RNmd M99nQQE6tpVZs+gTdodl32X7FSEvnWxVfUnRFnknTz0HZjaXohz+Gm7bFt76VlbP8WDd6i3LXajF CxMT4kFecy9fKW6EmGao/LK97aYIlHzu/DMx2A7PkrWJWHLNBd486sBvZlXL+NdPiimUZidSOYod oF/iSjofjV/bxZ0Rosd4Y1oBg5AD4RzifWkNjOXasQvfDQV5e1T7mlCXOxffb9tfhitFqjR5RJtC 7ENydM2MVTFZ/ogbLdvI2Slm6xXW2En+U2VsA3oDJOsSMRxBpE1iIEGkBIwbsPSjVUm1mNknkg86 ggBp3pP1f63IjWYIYFVb+CY/M0TABOdjGbSyx0uqJkqpTtXCCzytMWu//0RIn2+af61yCRkHxCmA fetuu2+t4v5WWemJ4vJAZ7dEPEDsis+u6uSDMF9T4z6/YB1WCMCp6s1Mo/KpbB9lPSpHvxaDxL5d QE/A5aABzkfkprvhdDDv6tGM+E4RkS9kZrYB+sAswu3KmoX8Og3EP950LkFVRchBFdBJ2ENe3nml X9LxE2H3nCNfuT+aEDW44jvswQb4AyG5Z7xg5TNwbTi7lfEZmQpKq/JehdoCbuulpqHRcTnrs2p5 RgU8J5WFZJw1eYSOYvBs+USsmxdfHQMoPE8OY5E942/gkQY20xAKQc9PmydnU88iRx+Z27INtKy9 50YFjjdWyYzDJGJ4AIIfFo0uKAdf/B5CzVETHSSDnBN5zbW57rtiGC9YW9IgB4aF2pxuJIPgMX05 h4o86+1dp7gKXfSYqyjVLkyi6dyZJZcYtXWokhL9RfxWp32BDiwVcnUgsQAoxDmegUI9FebA/m5V HePd0KaA3nQ12SYnVFMdglfQdCaFBHqvAGfCisiJWd/j+S/1gYrE5p3j82rD3TTzcverrTkCZXa7 X2TWso17ElUQWf1Ai3yKzcHQorAiKaUh1RstGHz1R2io6BJ2PETr0/x/wmSKq0tykvTJ8DPt2JxW F9pQOEXg5WEQAr+pOecrXnE+nMnbJpdyfQ83EiGPSsncMInB6u6cOv6nXJM5ytLGaoZElwSVYuVy TW1Srq7DLlx9uI1es6Kf2iTS+0KtSufvTxJ8xiquILmMFw0EcuIYiAYEO6n11DD0uKkhDC/C1DQs aZoLMJmlsbvzrt5EdlCY9nHDblpy1hiymXoYxwSf42piJazTXJlIY6Vsj7xUQ/PHuRggBb2G8eDe PcrlKLL7JlbP2hSf+TMpOR1appI6U4Ve8NQTHkfaS2AkuTA5NrGnYgjZiTCrgOV/QY+Xz0kPL50N blJDJQeM/CXFOa2HIO7PMZmzcH3X14kVtINcnlI3bANOu9bJsLMGsNa/4dwK70VLKOCh4ajtig+z onuko8brICjzMoUuP796eVE9j4e1+gJjRoEx9coIl5a44T+uK1FV4GiFyIhp1Wcimv8zLmBcA5lR +bi5mIbmua1q9WuMeSoiLQ2+ur56rk9xO3+3ft5HiALs9k7tRIPhaoetsqSdh5hk7/5JPNh8OHrM EDqMjanyJ6KJExTBl0JVuqXXIL17gYJk3brEfC4sPJks4Mir4ThqfDav7LDUc5W9bskKxd9i2Ng8 dk/KKlvxb9i3fFmcBwN3WJZUF/0CU71gCbzAaLCt+etCVWhVVCXacPzEB1GJcTX9Fkh3TNnBJVvM /VkBTzx4aX07eDRD8NsMa7TkjUlzF82nTZX5D2QWMgUlRUd7yr8kfJyKgPQizlK67E3I4umcXpyQ ZQs194duMerFQciz5p9Gr0U8dHjCOPwi1QelQHZKqtrhDd4trXYB798LKBTPx37K9VZdzJjzB2DM deLPzveo91RWpCDcGGtkBbT6Ugh7J4JQI40dnkUXvA/BuebU4M+LYs87tneE5axvf2bP7EowXS/e yxYy8x+ugW2eV19rZ667M1cCx34BQD2ofdXC+7OYGMGfs5P6lFpdq3IQM6PgOcTKGsLriKYnPhlx RtlYxwIIMtbrlUH4ifYI4WCkyS7UIAi5AIF8qLwzy8pmJ9lc+o53q9uVZNCGKIHF4o2VAGDp/N+p p1Ej8TOmCwSPHqi6Uir6Pc1MDSER/ONvFUBu/SaKe7VQkkonjqQ1Ng8ebNkcRjsk3WOvXrMluzuy 2CBxfzjJZG/6AMjJf/F+jxXWrSTBBm7F+YQGoqRH3LaQe2c3U/rVxOF4R7EYJyiXSTrJGHKXvl9Q 3RhqSTsOfDXZNUsg/zSYodJdqym2yLZO8mRUy4I3cmcYI15Ju6vL1Frc0qjO1bu0VcBQpQe7d8zw GC1lpxRFNDJ83TAi2uB+B/viiA1xJaK/2eE9IymimYRrioDE71uE9nuoRvdW6Je5qtoJ2N6GASZd xuT3c4jMcd/6sDCb9BJHqt+32tnUVa7t9p1w40+VI0bs9gTEsossuH2iaYL942H6U9zOjunEjNIP wkGq7d8rqNfRn0SKZ249nYjfHVblGwcglTEr4rYCz3rAyawVRT+Bh3cOKZu8o98Fe61nrQMN6BRG 2UFknYDuKxlXmxqd/kh+6nQuEctFRwUBQo8MUfHARODUrueITgmC7rZJ0gFNEbct+9vd+rzegH9J beo0+9Vjbiv4z/hflYMiKNhGZ1DpJAaE0Z3QBmpcaqgZYimb9BLkPBCL9q3QRSi/96Y8nEcHxTFZ VE0TLjsuyUGXpErknmX+GGaz4JXfmaGY2CtilINrc1xv7AnpSRHQuM2wN3qlIHHIJvzc3ewwJ3EM f832ZCXtAFMkc6aTORxQtKpo/8hccYZ+wZhoMGMTAq366VLbxoKhNqeE8VWIhMi3H8o+Ar7CWP+6 wg8swbaZIM2PtmYLLLSe+m+uoUDOSdeC0js/ps1XYZDhGPKrN14c6IjBYU+ZSMuii8RZCsT9GXEl qHAalfZPiz4ijdUy0e+On49D/0O72QnN0q3b7N71Gr828ieMU65hrt5daCU0mzXOAZDvN4TH2YjT bz0yGYQHT4SLqA4B2lj536pXJY7iqerMEDkHGdcEHBV2L09MWooe70FLviGdNXzc30NfuZDFxdxK ni6jr1whfYA+ensN3UkGynItYiIN71+NGuPcGQd3bWwqbdEwZsyjhdHtpqyeCR8Hv5Jai0+bFZMW mbPF+5A0dDB7Bla0jMcf/TX9CwtJepE9oC1Gdwmx6x5aUBj7+bqkcXWEpPUszYom22wBRez/KId5 q7Zxr8cF0sfUSgW+OkmhIUhUI/kNFhY9nvulMfcmyjPUBK7CpoDrIaxM1sEyHxhuE4PuOBRk92FH 6ensi3SaAgtyO3EmnX1M5xk1DPj0IoK/lAO866GvOXYfCa1lu3eNRb7GjubPVa8Yapf6luwdAkXh uzyFVU+UFV5fVEzOiB25K/VBoicYqrSGIZfNGlMnvZJBGctnLsEApONiOwMIvKdVVPL6kif/Dkt8 EHMj/wDpB4KPK0WeEodmymzErQTW+nMRwtJCZh3a4SKUFCGYEXDczDT4QLS8cLu/KEgu/U5G3Abq MHA7VXaGcgce1u2YRm/MES1pib0pACDkl44+AgCUlL3MEnFpg5wzdAI33tJR8XZ8cgWeL1vyPJjy T1liohqhmL1StZ/eFXJvYq+vH8gxRBPQsDKEpYZyUruYTmXhGSDdsI48wai8lPVqEFFSP2RJgwFu 8lHKibiRI5JucmSSzP4AP6BJhjP+Dg8wHkpgWwM0qcm9IRtnzTk+M9Gv/GTJd1Y7PJxs4tbIUBvt AsJcCRKH8wAp+sKJS5xTy5+ADRgYMQk+K/T6qHaYqIvOd50tVp2UXi9haANeywCRBNahm60JbfKr uGW0Rfm3QZjhCvQu1XARhzJGtWYhsihEG1iJ2/ZxeE4G/Qoa96SoNvw6MK1K9RC2mkmIbS87ZI/Z ZNnykclxbFST+xvrlSwL1t8pDCiFpnwyBdaeqFjAQJXAIdff3KE9dDG8UnID5Hrt4w2V8sWe1PkL jJTFpkIO8gXcps4fbbtiJZxHwcERtFdbhmkFZAsPi4XUQoA2FfnUH/YXA51m2Utwlncp0EyHx8QW r/36yTOkKmrTMjL8YzL5D9Ig3YT7roB2BtZU8LIOS0LE1/VqG23JneEywxXXhs82dKCHemq3+u08 sHUVDAmBq6cdDj6yhE5T/36IqaYxXmsmqIhdmDQougQSF8I+CsEWqh///JCswfKu0GdjKE3+wanB psb6ARWz04FwscrqFrBfVcszqb55GBIoI4MmHs5S8Ma2L2Ky8u2VJkaqxCCV2lnVEhL6beQoMAd4 D2dysEJf8Fe12YsOpDoN26lTG7PxfRWsndmHfJ5lwLjIVLT3G3w+G3F1ZG+DftIvJuPfqYI6tpLA oQEagyAoZR/ARMssX3AI93Tw9P6JySJpC0hRgkx4JtWNX0biJocxMHCm7lyZnIUqzxLGxhwdJ7Kj Dv3TiEPFNZlFcdC6TWxL4DSth49kH7tEnwMsjPtkNcTvyg1ZhEGt5bdHN3LCB/vdpg68PRKfzKud rjreuwSarvTyXsGCpVGSWfGC9sx/TF6+7mOtox1hteTjBe0KZeNZtNAEFqaUPn4eIJHaPIlLTvel Nc3f4yGQTRiUUPYMEtHqAYakCClDaLjgqXV1E3fNTnC39AxZyN2OdQsFJmODWnpfYCCTptCdJi5h XaULX1XAvmDl2oqYR+mDcDtB9UUGz/tBTlwPX9uD7MdbV7q/22K/j/0P+dJPONq0qpNUvJoUN7rX aNPE1EaPINULYLzi/JYK5YZrOlyE9NfDWn78TxytfLoVd1LUZ+myNCKuvHURDBVbGRbylSqOygQU m4Z6ls02xYp+YwyGx3C13thh+gGSIuaw7SCLxy0BE0PCAfWon6hBOUr4Ub+mM6UGMmxlQSX6bYDf s7wabe8pV9wCDZkMrs1ePc+4hH5G2xKZJh8Vxjq3syFZcbHwQUN2UuWN33S7Io/YXeRyhr18ZhS6 qvi2obSZqCftikWqLGQLxgZ1YWO67d29pnE7fzgnkrgQPYBpPVFXMzEQeGTDo29TyzpjwN+1UBbP d6YLGn4Z55VZfwzvylkL5KbG9v/MqzuyEjxzuDkTtgCWrvcOS8/CdCxZj1Bix/eUyEDNZgrgbsIt 7fOiLJBt+6O7t011+kuWG5KDWF8DCYKsVAqcVYGXWGpOZII2SnPJxw+sm+gxFUMXwRY7hWlypBq/ NlhbrohYZWCOrovrR2y1S2CWILnvcsMYRnFIAk/AqnxBDDmTx7p+p2t+Dq+CGjddcMK9obrs522o TbkCuOhKvz/8+Z1udOl3ScWCvMWV/aEJ/Jz46vDS1f5+WIeHJXAzOmabI2B2v5c0Zh2sni5wjNFE 02DFJcCHQTNZOYWb9jiwT3KFBsX3jUEs5jSrjkZ9imWa/ZR4CynqkomIZaZmUIh5ffYvZcZnJzcs 2RbfcCSZrsdrSTKfzCGo8/NTxCzc+BDKfntll6jrUlK1EA9BfGvgoAwzyQ4vlpKEqObSZdmqNQ31 OwPqZzqxT3vfRKM3kufdONswClrKLTbZYR1HPbfROKZzoNk+bQgeSwHPv0p4gWTYY135G1xOmnF9 nUbP5X2dHhyhaWw1W01xxrwBNauKp3nsWLASiJJpw41U/5PKgf77z094cnzDYO0Hstp3DP0b0TDl 1TzOAQv3J2guOwbuj2Vfomr5RvZFUh+DaFKUEnZ6CmV6jQ2D47tUpr13ssK/EeR1hsj37r+NJ5Ye aBVUqovFNYQIPuVWXiVyjs6Wlh/npPa/uwVhqvU+DBGOmgC969uyqqFJ/inXZgWYBlnHfKycj5ax n3YMtq+rd0UNl7ccM9eYA2XQpIdNoZVdMiUpGl135TBCwWOz07RLcZz6BEMfnAkLUwlCrzAB1eQK Ix/q344eC7pbGtChWuJmKQuYf9uwj0+BZ0uvOUWhDl4Wtucc7/FHrRrpDuUJ8jfhHfA2dO65bFS+ KdGA+Jmqvgk3ArxAi/Pm0tMlcx39L2xGr6+xeTRZUOBqjWs/T8ngfTp3Z8FyOM8vWiArDiRyw1fK nL3O2VIzBpvlir8jHQmszd/HTDQovlV4vM45zheYZxHa8MenzCJewfllfMxlcpEz8AbPH66cv84Z hPrTbcgu3QEhorYig1ReREljf1pEpPBXMa1tEJvwu66HdznTbc42dgXxw261s2RtHknN2uQ6/Sb5 NMoRG4Zyh+7+Mbpp2uuuY6rWrDar3cuK4bM3/YeTwDAubvYgm7xrlqbSTtivk8fiTV+WAeiUfP0O EmAahdXpJQ88S3U2UMsfHqeovBPAV805P/sLcalqUwiP5aZvXUeuzMOqt5kb/0Y3OP4U5tzOrtlv nMl0oQ9EoGfnOTECAKjvdkhsMe1E+dL8ceRNrv7wyXcZHOSyHNFx6Dy3a5tAzApBSlukBPMx11wx 2uBdoIDyPrbtpp3+wSRGq//ENOSnRWhfJBQDHm/7ou86xU38U/BQ6j31Cx0+gx7UaqSJXGIvGsCu ZA9pKtlLGTTfKXbfPRqmxezMzkLwNqiN/oxXuSGQ41UbdZmZu7XVGtqvglUFCFPEWHmtH1eaBkJC SellCP5ueC6PTM4GdBgN9wquhjkQUiG3b6D7jF2Yd2Q4LPT1DG8aYzdb9RPqlZUFmNu6nFpsLgwI cbbZmFz3zsgCFirAlDwb5v67y3gUetqV2i1nhDkdXVrigAFM82Zg4SpKOd6TlfMwbpDx1JQjaap9 NonnkSgs8/zPLeDX+X0nJez3mltk2DCQ1QRZ578avqXMDQpky4TitDrEhjZLO1eZN0ceqH995M4O 5jokV26Wfa+vzHqQoJ74+ZmC+OdXjYA0NnMMYcShR93xnlbPal0RX5MX8/e064ViI2NY3dQokRFV 7aC3PsSjqbxAvVH8NbcWBm2dhQwBxfnceK/7/XXbePr9h0eSMo1iHcAvHWnYc00iJgkS4203TCTN 9vLsrAZalwCT+XECeLDqjduPDvuLfCXhVjGd9s0RuhZCq33mA9FQpVe++2UVdZEIqXOfyLshQWYp eYcjXobl4ZjbqiT4QLYdKQqIG/bRTw4mIf+od2FzBYnqQgbvQh9x0ujWYqqq2bctFwRjFvfpgzkp 5kcqC2nCHjWBfOvfcpNjkXDpi0V/gM+gZJNf0LQ+BpIOJLjax1r6KLFZNWUy4W/ZcfT7+C7ppJtL wm0LtXys/WDQAZrCsDXKdsah3C/nsQy/DuuklfM3hg4VK43BqZQ/bqsHNOIBpODMReLOoJ5gfbof 7beurPfrY2omgiSTV09C6FExHpGfwVYhpSCZ188OLbNtyHaR2EJwkpJL96HVvoomTiCBQDga1gZz 7mcy97AXBQDwgvvo2SElhpsgsRxxqefEg9qSYc9z6Ih6HZjN3uOV7OcrU/QTH5fum5Wr8EU+yJQR XE3WKjvD17q/brcT0tl+UBRuXg5s/MPAJDLWX6IGXChQoN3vEXo6emPv2MWYM/V4UwJ53LP1TMIu JXVF+5uq3zs9Mfi18ybGee9HzkzsZoLvihiknY1FWurwi8bHTIKTVWM2eBGODI2skTcVEM4ID4tb xjB8PWbKJCtvQKR7/yUwHoOZDLsubxjj6pSGDamLOrv3EfFZg4p5COzLRBa8CZxs09UhDCdcWj71 7zIxnH03qgiQoPONyy5SU34+NhS1XWFA0y8jM7xeMCc+PnCE1caYy2NS9ai/ER028GdvRX56Ir0M 9/WQgGMeEdtRGCfg0VLYsPY2kN45mW/dg2ocPksQwsuGGuiQIaMDWRf+FASrTjEOPqlvbLRJKkiV k0dj/i6bQ9srWJvn/cMnVgZmFEHIMek7quxxaa0oa4CkoY16ZYwtDMtQgHZ6PXlSqr1CcRHawbAk x93LrZpFygbAg3KvGVK/yLU72VSw1/EKYVVwcJt3oOCmh1ew8f9N7Dwx3UlyO0dgsVNHWZ9ZPr5M XHSfvX6dDauNRcdHcCJbaEettzAwVxWqp2Jx2UrNi23r1UJnMZnUU7LZeYEwKpBqhox7FVHldCG/ pAqIxNfjjL5MTFu+a+kpHqcAYHyaSdOGUYFcKcAa4RexiTUbnDcy5lPNHtSGJHf9I1ihdwTWVzmf vomo4JSsHI+gRlqfOBO0YL89IfIHntPyy3eIRoFCBolEa7N7vPTdYA5RucUX3Ysep5bupqo11gC/ HwlTz7ulLEb5HH14LcBsjmjk8Oj5KSpYF7RBrAPeN7iTJ5hn1Pc7wJMRLN42ySD+JYP/8J5IjRQz nlrjKKbNkZJVNGbuqZiAtIbfKpLbiGAAC2urinQ9d8czJVZM0uAeruMao0xp3K6rW6jWGuPLw1Ny HHI7TQTSd9Pu7VV58TY30AJqOY2I13XyWw/lyeAJQbJ+S7Xsw/cub/0KqOxfjhunrgikBNpph/6e iuH2TCRAYfJIP5CwY0mlzn46/EzczR0v7HC8oha+hUh0hUJ1SKOzr+jMxiL2bFWmzgxkgMhgjBfU 7uhnbKvxI5ZguQ01eR2nTEJv3phCjdkptHlbnpbQ9dDxho3jlqnWI8+/mAgOvxyE0KA58HBOB6tI IW0sxCnxZWO89KpBUp204Fyv74wIygKRPtjix9g/DX0Ho+Nrkh60Zfej6vM/0r2rBFraZEXaZGvF 8n3x9jHYz58QYbZVOkXePrY3lT1g/eliIDWHxfTLcLGE5x0//6gj5Y0aemHacfSnX1dMeF/vF0+7 mBaxjhZw91E2OMPddF3n22f0WvDQm3TkqZ6I7fvu/eaJsSJFQYFrVVxW6y774H9g2OsSjefPQZri LAqUnNaKhcwNj8cFnDumsYu45FUsDVnP0KzmkPJnE/GIhkZeVi6ixRMGlzmatnea40FANnGh+VoU 4flcKKuA0QADD64gDD8E6hKm2lCQNRb/v24hLKFxBjqAmmkf5nrRcpZcluxEY+b4MdNKIZZgYHyK sAcsCXwJAqdXmdjM38F+UVgHosSYP8RSYAf+gTxa6RXEuE7ZMz+sM8LfroAjhs0e9aOhHAWT7k/F 4ud/ojxkv8gaFSIO+gcin15GPCBFitLgbGmGPAWTrYZW/QPD+r559hTjqMIDgckB8/JiPbwH3vnk LwO1cDI7edrzk8Bvzlk2ivH5CHsTtXKAL9kriWadMCoIZoE2ndU0V8jyNekltOW4qICOBdrOfVF1 pEzoo1Fv2VBMK/M9yAEnnZI3wqPKWl8rSxsSqsQ98+VK4RVRmGdWhwBT8XrZppRbEdywzmqKPxTE /F3S3x0qsKYQ75EYTl2TrErpiknTS4LtCMZv1vhqGBxSrt1r7sriiexjfU7Bo3FxH58wV+pwcqI+ Ks9+LYe2Ngb1fvQDtWpH10QMCbnZPKxVhU4fBqW8nP83tVy4rRDpPaLTBBDIQNwgFiU+YMpDGL0R 8ataBfTrdJjN/mNIls2yKS23dFl+b81W68Z84TqIbI7qSnyay6waQ4c8TYnmnKSO2X7aIZ3arN0b 3vpsl0dXbh4FyozIgKASFAzgyGHve4GIUr1LmUhPWzjPu/NcEZc/YQtOAgwmLMMNy0m+s6jXVm72 wmhosK1MeTuQfIlT5XGIpAi6tpDr5jfE+Uz+OGjvV2vdVeO2qQzmqQ1nHbpTcqEgSHF6ibcmwVZn sSD5CTBCy/sjYGE8RAZxFq2DlmEWNDrgPJ1fGaNk0N8eTHxCmPGylOtU+4xjmoyGvV0J6jEUmK2t wdFuH1lGGkWiN9gmv+WNAAcYzpwFDHsm5UpNDThb7wj/5s9MwL0pTyNmCIurxmIyI6PI9ozipXcz 4ZoFvh2qmVogEeicZhARaSCFtA3FkUXDEpjBXS0JuNqTz7r57aDARTfhEqD1+APiI5uxdaByzK59 GQpw7XjY+7GSrliqlFkcZgZ2Z1xF3EkPjO0R5t+VTKHs8/h6MgMQqQAWxIQfE0XYTrvVf/hMSKjN fVKR8YQ6HUU14hICYqruwUTP+4DlC+F1FQex9sSWOJf08xTIxtzt/1UEtrBOpzB1bb6ynQF71nhE wl/Bxsx5Rh+F5wGu0RNyNtr0dffnre4/EGag5y8mNNZJya6CNrxl1XdSRjJsqLGwGaZm4MofZ0s9 r3lS4qsktitqKcoqIugGRkd1dIJj6kYRUEHB1QXKltfVwfIXlI0HTnbMwUiO4L5cihQzWRLA0IFJ VQKZLyy5BgyC46+8aSQifaaNlm0pWiG/t9nfDSAZ8/Pe04wRUcNSSvsArqnC4E6uXYBKHWtFgoRH zfWazOI00rVTo3+RHKL+aT21OC9xXYVMTqrqKaCDruK3Gf13XVMwv626jbKO3W7ZfopPA79GjVBc mobYt1OCFJMJ7AktgrBszsihLe63Gg/CA12gUGgxBvUS3uAIOIwFcZcfetSv6DWiw88xhqsXFyBo K+ADw8Q4/ME+5BSOp6A6j8ztU/cFb4NnwWTsxNl3RrKei4BvRI6pt4zO1F++6Wrg1zKPGqC1910X LoUUhwBHH/ZsJRiOtSJP1OXZSyxRan4PsTLRNWEztM4BCbQFECWeLNlpOYXaU/6di3yIQRkaDWyp FvJmSPjSZ57Ef+wWGYrJpnRKFIyoyumvKmj6Ft6oantSE0z5BQVrLt9Mk8teYgNd+Gqw0Z2JxsbQ nq4wcHtf+DjlZD+UhyKMVDkHMckO0peQEnurf4urPtnYJZag1MX92+XLtd/hHwuql2g8weHeUfcg xcEV51efQds9Nr6tCw0ot/lN89R0cdT6AeM/uzuHVROPzCdl/fQS0xAkwifPM8TGk2HSrWSAZH/e EI5WanEEGAQtMC7PL3eem5zAYbCJCfmdxSUGv4oBpceZP0E2wd6fTOhmGJ083DcCC9N/EjlTj39o HVmBvEAO3xfHbnPQC/Beigsc2fWhdC13PLCOgbxJF+1RHL9qSNqbrtSwqPGJTHZmTl+ySgz+QhAr ETHyfDQU2e0cOhazunqfO2Tz3QiXX8EfxZ3KtpySzfGahihU2AxTPy9Z2G6a70sFryXnfh5nrhDh bNXQ+G/JqF6c/7vlQVS8l1sdSZSyLtOcRxJnE9x9Ctvc67DH9l8TMDECSq2Ozli4OQnTZTsl1oSg Y8SIMpwmq0f44PjrnsaXz4o7asjpO728kGEIvXFxCNtFs96Nyb4NIlN+xH4dibA5g1XVysa6fZhW fcTvROVbSIAlR6YYc1q0pSTiSGuj8WXBIVLmKoNv4LtPb5t6tonBMLaT6WjPD8jREByLZgStHU3f e+gGoghsZ6oytiSLWgg67GBo3VBL/nvI8MYyBuQGNzGbdTHKiNnIvN+O9N5DIy7TaaEf9v1oQtkS 354zK5rYtDxdSySBC8AoQRDoHMKq5R2lGAgUuSxuG/rEdFpYXyA+56u9+vXi1xt3Ra6FJVI6yB/+ kXIo7ale0Twl4vCgWdbwedPgCRY309cML3WaSHPgPk8m3C0Lc6qTOIWfKQLzG4gWcqN9eLZYYhWn 2nNxasKHDce/StFeGlfe+RznKaMabWo6h0bUMYJkM0ogi8kVYtkHmPLSyj23YvvA/1kgKqmG/M4A YcxixRbWSCfkR6+h+ni2QKPTQF+4lHjijwUxsf4gHvYfGtIsuMN+xu/yhi+nLXxJu+aZs5+s5+VD SMLw9EiOhWAtHU7p5e0cn/IHBdyYz7CIJR+CftzXtjAEEy9KEv5JZKbBf1OGsS/xlOnURwCyd2Qq fOheV9qWhBNQ+S/4UyAc/z1qDy3lS3KBkPJrE0amO8sLRcYqCcV/+JHexTS982LKn3ghyYHroWzX XmIg1NZyLpiaD+18vHY+mPyZCe1yFm7EdhERb2tlSHMrBY96UU4RXZpD1K4FboGgsXjfYs5KkiHD eTZ54xUOJspcI5ZGUYPnsgJf6SdUFIR/xEvAfpwtx5XCHeBnq7dKVuFuMM3yuheFrmsMrKvmI34Q gc9LWAAqk05/Apdm46jo3Xy4PLd92MevdUrPmfFNPdrO+RhrpD20jW3fjvUMt4KLvBqGJIMZ6nBW g/ci2a404yb9wNu7aYTKap4AqO4puVu7mOtQCkD7u7rTgwYktRCtA0lI4XiZzS/3LZAQkEIvU8GD ymdnozr+oABx80jvEfWd0/awa8BclRRCTAwgqqnb6xyKD0z44HOZCfhTw07XuCmaIAyy+zxZTyqS BiSWukL9ihUgHLvbzY98E3F2Q0TWQrwDhoJK2MeRHzabX7tItiZd9sXzNvCgyIB/aenKhhSVuvpW btYyT07naUVxwzg6IBDkrUkbL/MM8kR1x1iS8f70kr9rMxhHbNc9NaD2RNOu4lEx6nALGRXEd7at dOPCQF833o5LtWvnYb+kaapZwrnih3/Ods3YROMZqHmw47FwCOzclc+RpcDIFpTXXnAOakcKUCbM CsGodPdqvo2y3WllmOjKT1d2rTklKjJ+Eaub/MwivbaXl3iwb/WL6+wWFwLxFLviozKRzBnyrooq ow1U7oUUM8OKzRldUBXVUfK6GeMulU6wwA2U2UMU6875X4ezISjX+Up8GI+U2Y92xHZni0cl+++3 D7t6QzwAXyPi67cTFQO9WdExmr8AKggnJhjzOer7EdMC6lMDYFgQvkHAppqpH12QIUeSvKY2LDy6 RvumnaucjnmyKDhcSuZ8jpXEeU6bv8s1qdVs68q4PU8/RDRg6CmqmEY8V+LIWmbgbZ10ch5J/Sk+ b92oZi9wfCBw1z1Oh0nwvCGBUFQFXrHdy5AUn4yk3acWzguirJ5Lfb62OGza+i8nR0giXA0q9PPs vr8smW/cJAk+mry3hpWtoSkAgWgrPSKy1CDavzJRA0b9F4KxyRAA8kTlZmCpag7Odlf+LbwtCCo/ 8XGpXSuuo/vyTWv8BK6EjcgkK1FRZDBU6KaMgqOC2nioTuoANg0B5IvU6kFszdoxx9ML46FapEX3 fob3MuHo7RSAlE/bXRUP5ietVjRCayUUjHQLTrzZ29CWUhA3VADdm969lC3b1cQvNl35COV2yceZ I7vLDB4fWrpYl0icli2yz3UmhgF4Z/sxPRhxwpNUWSCGqlyB1HTO1xpoobOVOjPTviYqRHaTfMiy m9jbvfkdDUDZEbHdnhx6C2TOOWwE8BNacIvyS3OtuikMYqsAqOxRru7aYPsR5MwHlLK0Yts5xrVI 7N78rh0KWbsmfG6iFv2jDL6k14bhSIa1lh9Ozi6Lhhcf2kE2RLrjhFV3Kp8ZcpeKV5OgUUlmYEoo QiRfOLxEqgS1IYOqQ353bonrzrLkJPSNPLKs4QFeynVm/hsnUOvw+KTcSjxC21mb+8GEF8XGlYvd X7BZDVMR4oTAkt50kvKXgmnZexk/UjTfjFgn4cmNUKZb8rrmdFtRGcG+psVGPEAxfR94TO6OBBKa PzTfIJeqAvrXEormO/yQxe/DQx/qMdj+c0tbIHa2/00ZTaRimNcfHHSvVe9G0BfQo6m94UNG+RV0 aLzqSqjNQ0q7v/j3tew5XF+ZJ77pnqkZ3fAHq1/KXBX+QuC+zRt4K0XbVLL2FmRuwEGtG4YU3OfQ 8MqXtjGT40lJ5HMm7L+GAK/Chc/HcDzGjKsADfdzbjE3nn/F9AV3L1vIO+Yadr3cmnESXrPbuK2c iAfLFlMlciEvUzcfhBdJKQ10fXfDC/TCLaR23qF/YtGreSkfuw0ym9POG8VFuyuNB4HJIdAC950J 9VlypRgu/4f3JvE59RcDLXU6jFWRB7sFf993ahgXZP+SuhdSrka6lMGm/e3+A4sF824kCPi5l/os m5sefpIOHqOy5m8ToaORJM1vYJrYw+RU5D4GgguoYlULRaPM0i6uMLN1oDrVAKxbsxleZbaD8dp0 aBn9hKvTi9pY1drOQRAMc7BkEzTO/fY3hB6PAqwCTMEKyQ+PecyweDG9jI78ueSiffXIYvNVlDL1 sOWxNTzF60hX6Dc4Omj5mXR5TIBm+8SJXmxaDiG6q8/2LFZwjmIGMBxviWaoi/Fc7xpP0YHKRu3R ukd0oiC002eP+vrgz1sbh7HLqYH/SWvEbYlfdhXxJnpTPPTPSNJEviaZoBImFUsnSmQ7SGbr48Dk VZDIrrRVBYQpGb0dgVE74VXiJ5j3hex/PFYciJ09TdK3wuJ9QWprgloO5HJiU+sN4hF4TN+QzgQG 3AWr/ShjoVBpj0OZzVqUy3FH1ia1KlmWPMoK9a8Nt+L2obcVPN7I7xS5JH2dTYeABn4f+zAKhzsz KUstrv2L74Wdk8yxfhS9HyLb3Ybvs0+bUFf5mDt2/UuMhReMR4QQfr0utuigsKnSK50YQpUQM5E4 5LgqobeDzH3CMxJaqLlM7LXXuPqMZBYDSR5EoB5pFUkvM6KBBV1QtAQauZrYQbjI3r6xTH0QE21Q DhylvOfecxWTC0bz3E7is7/KdVVFDfYGapZwl9eqH0lgMFvnDN/Ove/65Z1qKQ9VbvtCC4LBTVPa 7f+VNakqVxDH9g8fTNXdbebUnp9GtegDV3QDe+JPLvq/rl/uaAdqmt0JLS2UVjtXRjuCGqCEhFX4 WH94/eAFlp9xIeCFkyHiHKtMeRRqHu/472157qKM/RqFLHsdpCnmIOLmQj0yMGqXXratTku+XzIP mc/XXgSH+iLFmr21oEoE039wXLKqt1DXCLreoEHyJEejtkyvn+iojRSLad4qvNA3rPl7BPJzyJG/ XuwSOAzTymcToBHVDaRGN/94NrNUAGfv1GO6rJX1+PwB7U/gSdNp1rWYLOt85+vHNmoZGyWUQc5k axDz2GURYj5RHFDyklPqk9kHR9OhNktTea13sIProzWb0RiOrFEACttBANE7g0Anh9Q+izRecMK8 oCz4gTVzumwpYgqNDFl8zRdfwm5k29fLoKp2d8RlweuLzWSQjBKLxWS5CJGOU8HPGTi7FoXgWR8x HRgG5i+gtCMHvF6xQ/PyC1Ux1wBwMeZVaxzuvOnXQ0MIAIeE0+SBuBKQ0s6g9aWqmDp+houp65O0 A+K1wSobDZiveOITdvFewNBkr4/QqS0x4WkxJ+UZiSx0vasmI78tClsZhZeNmwTgML/RLZbOoMYr lg1roAn3y5k8mL6dhzjA15LrAgHNG3UwUAo+Ih0tw0RBBfne5giwiRE59xZ5xXhHxF0yXU84DrcI SAlK5+TTaZ7JDUP2wnkTUqwmuxkyB1ejHERlCyoXPBxb1BHVOTdJ9eD6u7Z8Ef9LJSKT/RuPReJj x0NWrVLRrw+wesKVLODf6a/+Wo58AtGmSe08By6kYHmX5XdPZqkkVeWBDs/ziOMjCkp5HMYZ1Ls/ iBbVAk+Qhn4lfsqw2w90ex32sKZNO6oMjY4y/Rktiv8NRz68TZL8tI5XHYdM+54B9SXe9lpvzYyB FBNsyxJ+ZVMAIO+hrApbpmK6BXwXMbf0NEc+9dM2FG6sBbqQS0C7kDBzfD0P667PNQKl/5GLbNIV nN9gxDdYmzGKWR6u9Md2Lc3WrEQMWCTfiKljqQCwWmvE5xATRO/XBZjWGjNSnrEufcpsD/Pk+TCO /veOifkrIt/5bS7VtmW4FHG5uxIi0g0QpYm7qevWKMTB/zxL4eCPFLe0ju+iNhTYaIR1XR/ww6mu tj+ogdCskmcpiWUbYBpfG1aIgsIPqGhhJQ4SxfoNKRuKejyAzMKrGvvzOKUMbUjbBsW0pDjEebLJ qwAjsOWBGzWuxVzOhDmDsSxA/rO/RU9vK5G+Sej3DZ08ebchioTE2wzJD3GsmPvGfm8CK1ouczHH QEMSd0r7TB4caE0lPsOaUW3mi1y3yI3CPLZIiFVCoUI9qMoHRbQMrgyIK88/u1AkBWqpT6rKQ0km XZqraK+wnGUv38ox/COLJ+ZPcedEGhxXcxt8e3aoT1UAFNYLdXzoYbBszAX3qUuZf5TGl+uvinPR Ar0p8/uZDEr2sKo6Rkg9pg18L+8DAD3dLqpThUJihwxtmgsA3gKSMtVDlG8OiesB3v5C2Lr0Xmkn LofPyuSR0kuQq5RjsfAqAUAvwMz8BIkHvKlWpZxEV7HlTA+IUG9bwEZXT1xYJSNcIhu5kX7Ic92I 0DE/BgB6cv3g3TulsjCT2Qz7ov526NUGrCyWtdJvVqc4FmmmfnCbjs9vQilFki5lrGh8T1C2ulJn RC+1GqreJdAEhjw/JmE9EY62Fcg7MAW1q1OPqcvlomAvIZh2+g1Uz5rbwmmz9QfXthB0AAQNihdW nEgrb45o5pAswORDufeBYf6PlHpuZDkjfrYSm83zSQQNwq1oCzcKc6hVUjaenu6XpWdyU0XZjTaq WICIgjM7W/msNA7Qz/9weauMy23W+SFNER059dbrLWYBz6YnVKLnqcx8Flsnkdu4zU2cp47p+dfK 7ZQWyVPrKnmr6M3zrRH5vjoru+Ldb/CGH+YO0C9vbqTy0ZmvVqnS6X0uN/b4dXZgJGk9c6zpOyrg bCNjTX7kSzf9T3kvZeGyEO/GkI9cOStktmsKCOx3eH93759knmYiMsIvygYIt+b6H59iKTYKBiQI 4Qh6nZsohCt90onbvp/2se0TfVp3m9RBmIY2lizj9lndQpzHc8UTbpgrm1cGp0LYGPiKunWhzuwK KpKDPyE3PuD4OCSuIrkyJgHcioleNO8u3E2ih+36gjIAXKoSZA8EPkq74Hkzw5JickkkhqsBYPtm b5UVSSgKsjhHvVQvDS9suSRBR3g6rUhMcdG0LY1FzgSi3Arbcs7uU1P0ro1/3i+PLJe4yZef7q8b s51xNmynnTd71Bd0X3M+QlkqyBbGrV2Soy1Nn4dBmZgBwoAjFf3SfZva885keaZaRGXEgTmmyqaq /iJkCwA1eYxcA36GFRBWa3PbQnAnSsVavImHtWgGm4hVLYY3TjE+9pbfLpY0lP+jMfzFtkli7A2a amXwdjJpsAW6wN9dmQTJP+i/RPfF1Iu7ObVGpYL75B4N4cf2i+/9uBJT3ZqYyboFDBVEt/zElehY j37ITph/bBL6AV8NRxMvCse6vOm8+kXH9zmploX5xteez9DD66m+O8RYz9tVfrlk2MgW2TeVLJwE 5VDIsxOd/h8tBU/JyLty/0C+rDRDtoCrBAeVS4Otp9LjgwLZeedYWDEDljQd9sD6Cj5aRIg6NxFN gVDAW9SvAIhBrdsG6rM4Qf+3GUhrukZdpGpt8fXfrYijHitun4PA+6sVEOM6J10dui/CoPpF6JAm Hgc9ScXA1nH0EQdCnro7Ja1NL+gpO6nxqptNLKZnTKd14QQ3EbJO7wTBB2Rz8ZWh+tSMBdaxB408 TE+WMZt8fGrSxsGzTfPKlRkHWgLO0nTy51rTB5+giJiWi59EIgcopX2vYC/KilIMcnYOwcawzKon gk2sJ+dvAVQZxXbGJZ9V3fcerqW4pX76ABXE/2J9DNKiGXXH32nB+jk6HiFjwJG3dzD3usOcig41 Madhsl2aCUXhRSH5H24RcpWg4ATc5ATv89csZ3HxVDWJM4fHre22WDG3pet62xBqEy0a/J9bIrUY cOiBoGsNgvpLlXXpA5KvLBTvcS/esF07rIWlsTwoG5ED4ql9muw+0g2JHbIKW2PLSDb2Z/xSf1Oz /08uU1ThdA8MIQWF9ua+6mbrlhsKJUAf+j7KC8cW2rZ5ixGKBCFvNuknjO8YTr8ZZfkR9++tgrHF Xnn9XygD3ALiDOCHou7g0dTtLd5s7NC5i1K1xZ3B6xUvsdT0R8al0EIWHmk6qnLt7mtp26L6ruNV 2ey3L+/MB7P0oP2x5ZH7QXkjLNuJ3HsKCaFHl5/OEIULfmY4nV2qqph1KJOv1LHXTc5w3AdReKtS 8rv/nV321cUgMm0KLBlT1YTPYvgPFBRBuxVEBQPP45WM5/Jjvota4l0Y4rFbrViRH0mRDMOrg2QQ dpAEB945MDgzNfflVYQuLuMmM8qlSdxHJJgzBAcrMIxR0i4lL5uDV4TfGBaCOXe+yDdKoU1QuXlr zwWNOwmjd5ANMHA/o1eMMYP6xurpU6jDdL/rO/+CvvuikLMJAQILSxt0pp6I2E3SdhU9oNggsQT2 Q3btpmxHCk/IBzaAwfwv9RHHLT9w02BE6ouUOM4NDWxc9F3t/tsQoRMhTgcNNjn6QOU9Ck6fMTzb fFY5j3JXqfdBGvjNKhq6GxRyiApF4fB6tqt99ER0kVaVScGJPkbgV9/Gzm2IDnlwkWnx55DwIXFS urtBNPHIB1w0Nn/bWA5cCNLpN0L/lw1u22lDRkuzobsEGjepkG8w2yj2n2XemTRmgQIMULTPOjZc k4f6BWe2EViTBZBSpoEw+81zrU2H2eevhieMTodcMWjNnNvXsZRciSSwKFXiz9qu7IixNVAjO2mp zLeKuNgojv/ikt9nmnJnabUsM2HOOn+Q4jw4AIfCngF6OsnbYkpCEt+6x2eOYubRpD9dd13BiWA3 HKlXSGC0uTSQGpO+CJ24e3G1W+jdwp0SDP0xLmU45JDRJVryH4NKyLITHMeSG5nrZnn1dV5+P/hw Y1BpZAG2GA6vTUJHCR7FYXnRmBhDJTOHWrlBqOXLoH44nMqfMbaaTGYhBALV+u90xwEyzn8M+4Gw 8sMTqn7GjI2fj8BN6eXPZ8XmwEbup6o//9rSMPlJgVLBHYFWRPNmCWYeV4b8ptWVMbYoOsJ7dCqE 5kqgYoa9Jm5/oEeNKSqskD4TIZZs05rseiKhjzKgOs/mqVr2Q6K+/kU/+M+ZfwL8T5QQKAMMJozq wAzqP/0ftPXUnQwGzy7y4yD0Jca8Q0NVKJnQudHIaTojCwyFJoviIO2LlUnYHm56unHot6hT/Piy n1rsWN/DkDLKwWYdrxthd4ajhIQp7khgosBSXWHK3myQogLNOxzagitRhruK9M3wzxZNjrhqTHQy Bf/kXXZLNYOWAwHUn4IDmqSCPewn0NQGjryNBIsChWBdOlDRMnSO9KcAAkSTvJoI5FSUbuiUiJ2W V7p77Lw0vBN3GAJThtCFKTWQNVdx+xCFsOhkEjKaB2hfxeXPV50Hb8GysQiS1D2Aw8NP5Wf6PVeg IE955mQLXtbc3S89z4Kly0U/VBUyjVHvSIEH7zagmlma/zL4kmOcMdkeS62HXdrYE0zPLd43WeLy xRbUZliPcwYkJQS8rFwD5nYRbtCJI4myXJd+opw/AN5EE9BeF5YgETKF+7lSVfHUh0IBULs2kvtQ z4bctEX8K+yH4toTjFqElZPvXX/2n5vMGUukTKhhT46FI7aa8DnrTame364zGuIEZFWfHsWObqgJ jWyJxq9B44E5OVp+3LU+jHBrEJ13LVYnFpQpIBCrVKO9MO3klYTQ2sm2BLcMmzczD/5V3cdxzZ41 +cnaI2X41rm7YZvaDHLRGxiFHPjfDZTlLN+Ob6AQO5hQ6BVycOhF4fzfrdP3b41LBoh6KAFxg6ky wRr9l2utI39t5t1Z8ktciohCokwwvUmCRpUK3QP4aJ/FPOIQKux6DIrId0da/4IiPKPqbxG0aFy4 RFMrfDjDmfWSyL45g0JK1hZe6HvD09b8GC0DJbBS4T4bNmNrib63wv4h0mgpGm82c+pDKSdXGEo3 l56WOmDHInh9To43pTuqwPAIFxtdHCrqKRk3+rSrVNyjWQIwn4Ju7mSCV/Y6zlbR9yMohVmx4xhb GZE6LmKesIOS+sWwoXwJWf0KKgDrBBq/6gV1nRHD5PX9p9nIQ6ANJq24cvORGOdS2V3Zsg7nLT+P p74gGBic8fP9074Rd0PEi3m2VC9TCgNcuCxrBHe4HcY8Txza3wp7TYtWEUHSLiSA85o/4UBv+mId /TvTVZtBpgf/JmjXyr6yW/PjEe2ZI9UjlJYL1E4lonb4BZKluOtht1jRLdZrd20k3MXY3ukiL6/U Vqn9ONfMZN0ATAGyMmZQ2JC9dl8XSxW0R9Zi3P4eZRORKHPLR8iDLvAMvmly6prUKH8zC+jW8DpA l8bfZqQ6HlXtJTK5fP0KEAt4Cp8qws0AGpdJ9Vbc0/aB1NH1g13VqFxC5LezDiJ1lZWmlECazLn3 s+eObyHfSfQqG8MvlPEeRQgHym5+teYJ1YvyG6+dr48K8ysFxL+us6M+fudpBCjH61y2PtRDQnid 73PXK37ZIrw5URhrnwq0Nzkq+mWkG6gmkQ7nVMkgD2bwJ5Ra5ZTUgixlWQts8yR1WYHeup7Clz33 jkk1SRislhzK9sZtLFmaint8+qhLh9Vc+jxj138H8IK74iG0UzcNE8UzgsGoktrfoZVidsfF2IYu c5E4Oy71rkLr2qK4hOujIUwVS2bVNISbdpdvlFz6ehyDdWj+OPLZmtQ27VteS2r639oogddcSiAz wGTJqxrQDp+N9Spq4s15Mwax/t5SzSrPofeOgWA/eykVjZRfq+fjdOb+fT8OWcRJXkHh/e8DWtXt NzqxOOAHpSmrhhCZWmnI/I49chCL0NOG7n8WOaxNWWZlkF33L8uQlrfCISEAZv7p/x4MLQ1L6Vf0 WPyNJQOtCzRF0D+P7ASi7RoiM8KgKAihbAviRMPTE2Yo0RSQ8zRCya6bd7Gszn3rzF5MkdxfnMkA MdY4ooqjbmAD5ad9c8utJOS8UWu+pMNpl9DcG6F8BfFvvlb8drOT0mHYDWwYBEjWQEgqGVfLdPMB llLylDtpQ4hWVTqmql1k2+IgwVUbe0Ga++20U5uTJqLs0L6I5tDiqlShurfRXhrXoyjxQtRsLTlA XzCGjZgD/uRZcRUI/HK4fNhy9HRhcO+HukE95o/bz2OAfIfuHISCF//wE8PpuV9QN1KEPB4J4Lij V8JWvYvCN2lWoTwPSzXUO0p4i4qWpqVJYuSzXe+dzSNFyk3no9ffVCnrg2UCtXWJTXy815ptfrY8 DxpcUtky7b6678PN0OVmV3np/7Xgu0fgu7zz0R7bj1wHp7lv2Mw/WwmQpI4ejtrGpUNIZDDE+esV ZHhsLI9u2ypcCl4JbuvMtmgTHgg2IzNsCczWEGzIYXwO09NLeuwJEYrSoYIgELey28rUajt1MAfZ p1vKBgU8Jxn8nhH3L3zyTXw1NDBVgW96CzrYG9SsaqLtoWchcgK+iN0FdMMLcwBPQ3Dwy7G8WUb/ 6+EDpKJI8q8xLRDzlnKfrf1ciIciS7FDvAMOvwAfbsrC7WlC+wM3rG0KcasvMPMYUrmkpuCFKe1o TDvXhVu+JR1JAQiwCJ4CoaeVvDOQNFCEPutyiENpTiswNktUhN59oNjMKJAQ4b6rx5n50zXpO5Sr dmmOTbODDosWkHhyJ8nVbIL7DM4YrVeyQruc7RRLqrKGVvK9isUC3sMICdV/UVo8gYepFA1B1OuW S5sT5h1umqLsqm0D2s9Nh1qBafslt9RebkHc7suIxnbvAKigfSD2KAyt9vZlKYPZ2pTUypXcPKP4 Ygqg4RjqLZgeFXgtAEYQMsReVOdfq033vw1lJwu/nC5jz9aVwQJmiQhipZeLZFxMdDgKmSyypgB0 +u32jyJJ9kbFDO3VM5rYaBW5e5OP56e/WFSekOYK/u6EGHvFhvGWhZ2kKtUM3qiuUWMi/koVKWal TM8sw4c2L4u/DuyH2uKcqiqvD/U91WvXl4xims225kGHoxxlhnE180c9+1OWO5M1947sEUWKaDoQ UsGVpo4pp6+1HtCWcxiTZNZASWoiKMoVdHreUijBs8HzfUgVWsmtiDMCwojQBye5TI9pyr5pbUPX KU3OvKb38We/xWq9/om7VEkgynjNPhLOnaGjoJrAh7Irvt/5RXwDfcAWFM2mr+JS/eQ7nMPgUlUT m11t3J8NJ9M/bWBFfiejoDFqAfx+5DPdka+b6te4oe3qzBDiWVODmHEXwLNVYm3p4yLQOBdtIA2y uIIaeID2elhqhgwQO1mVyDUxqYkPpk76nCo7lAWEEeXTSjm0hOAOtRhCMA/tUYEfqRdgI+eUYKZD 9zkG+F7K88SbjNTakoj6zsgvJNQqMYmJ9VDkgL6dTA2sdtFsA+WWee1S2sphWfOFq+/Tns6SoFoU suq+w22ywaYsTvGBYiHocT6P3ro4KSUO/+sueHt19xAiXYA2yE/O1f/NFALtRPX7ILfKRwfw9VOh JS2FUwI+eMCFmDdEw5zgriXBdB1lN4Jk9laAT8IeQVhtNxRoCROy91S2gYiLq4IWPbPpf4Fui5KR MTpJVZmT24YaaOEjSN9eJ/TwTPxOq9+JiKohx82EZuOH3ZiTm8J6A9eOGuJs4lhBwiRhTVcy7+AT zOc8msu+N4f6m0nQ+tXqK1hyqSgRIWco6Zobz5BOvGjM0R9nz7VAJBDCWuebS62DaI6DyKe2e8v5 cSzRYyGYjlXwWpJiayHoSPhIQsuKtzQsUPBw0yZz+Q3PF/tzF/jDE/nWS8VlfdjTp6BTKQqWMVx3 914EKdY6LvQhWrZ0ft/MJfJOeiNau5b6xkD07ZQOTNaQEHzD7WXkU/MeJ14novmI++dEIHsAMxMW T8AoQF5DmNOHUnTs1S4mOuW7u8FeWcM+85im5bbPoEqz0hPUB/pJh9t1C4nRSRj/iMtTWwb0FLfE mvz8Np0een1ecAQiuAmebFlR7QOwHBp+JqGH0lylJBGLjFVfwBsmPxu07x6S/83At2i6J6i/sZ/b pCtwf4gkTKmubPJjZqQnhqYhSqqpR/0TD/rW5Gnwv+ZXyfrlG1tsUpsHaFPmv0k1BUpF6siIBpsM YnJseXQu8uH1IQrMh/KRiADNHEAi7j39+iCRUB3UKN3x3jr5X5tUxJXe7P62zpVBU6i0657zwYZP 5BiULG1ehuh8rSE++XQvPmLe9mZUyGA/GuTrEFPbGFWlLqE4Buf6c9PuusjZpj7/zHLmJFHP/FOH UvZ8YN9cG0sG/wTNHGlc8JWCBUNdw8T1mNZor0hnYlJnzkYvpuEf+Z0ieaKzF+JQNPPsquMKKXce VIIhGqC5h3wMOIA93H6wUP5q5iX64B0uQrrbFCWx4T4AtVsjl1lUU4OK49lFRxwEH3SeZfuKoevt lnxRTE4xiTKEYTJKEUU0Syu63x5oT/iQp3GHDfzyQDp09Eu/q/vRfQVU3ThVQZPvLO0zMm5K6ebb 9JP+NYcMRZuMndisOoNQEIDLmMCPu8lSevl37fiuu3jD/q8xCDwGpdnQGUZU8hq1QSFt+H9jKubD e9mggxUcj7x1ua43ZRLb0jlbdUJnax8nqbqq0Tj1vcgNRG/stWcvlRWbIJSrrnKHiIWk2qpmzX8t Rl/5kok5C5zQmTeQHK06WqQ0A1gs7CDkAYkcWBXIBJbs7rY/I5hWIZ2Djiwt1bo2MxefipvIhDmz G5iLwcCpw+HjDDlEi6v9qBGXABdb+SJ1npJTh1hjg9FqQdMw184zDvN6T986iJXeZvHmCl46X2XP R5PrjgT/8AcrWtl+Exk1p0b0XfwrhhJjU44ujHpXr3KHNeB1bzJioVdhks6bnhDwp+IqTaKYAHlF 7aE89kvim9MPbfqA8yiNoYnqCV0xGvQsvZ/Kt7MdI6oHD4Ke913QbGINAUjND6rgRU1weH1ADCKj 0mlnV7A6j7zrQ2QFniX8pTChZrZf7kj1dnMEUw7TpavaHJtp8ofaEOGUSMc3OQP2ipSsQOoG8Wd7 RX8cNdyDn2XsPxAaf2hEpTa32b/A5UV5kjhPaKcDkwDbuBfqpPs4HJ57oCpiFdWvyU22c6Zxm+t9 Quj+3eENsUSiJd8bIJlPk0RZpSMIQxnf8VjgTDF7vaROwgDQxLvFTEpSOB5VUU0hiEM8FM9eyfw1 xuZgJblLthBdtEya+5HNMorfuxjmI5vdZstCgPmS9Y7cwFhAOjploCUuU/Tinsj1TsYnTdjLHuqp Rc2YRiKZPtmxjpQGuraW6CL6qWB/HtceIB5Lt60KRD7gpTafwvavCGLNt7vXDFaqB2R4cQOHArue hWHssTWVz5TttlALuDDsQKD5ImSgWzrtLA9eXCyN0DwFs5ghW5ycocz/IHLFu6kE6566xVgJeoEO PraAyf6/1hd5XJxfTA+0LvGaGCQHoI32siZxvYS0dXx6UBjcU9mDWBd9sgBygU5E4p8myobDEBPh Q2ZqmqKyn9KdAmNb7mC26z3M9tqFoKihMOQpOonTTwtgC2efwtl8uBlEAFgxrIeHMQk7Il9pluC3 n7pGCkzAfh4+NHBw3qg4cs+FrT3osRksX/27yeNI64g6YzsharwOw09gl6eXkB55FoMtD9ZoUhaI UGBG3nzkh4eEshRPjmF1OxykU0Zrt3XU7bFODHkTuvTiMDbREPmyScA/3MWWsqhSTFkCejpnCG0P CYk9UWe+jCVSeq/M5izHdkRRlfN71Q8AGddqA9GcE/0zfBNFaCzCOWkaudNfGiqzt0v5G4uuyB2a PKYqzKYKic3b1pRZrjDYw+X8CcWCE5OPWleDa2fxmU1tqcdSdHLXp9aMyEC95jRJw9RXgXMSWiYK DOJjOSgiqg/w4jqDN+rTRX2NYsNw8the2qlefjSQjToStao7EROMF0WVMQvsNZpDqQ7NaeJbEh98 EaCIBZtyk/Raka1N689XxW8DT+Nayyr/gioFuz5Y+f1niFmTHN/cnIrlNGMvlnotpcpWzWa5gay5 gKDc5bOa/0Cke9FwB6NhveMlqQ/u5Pgc3U6REfX+Bv5zZH6aZPz3+NdtnpJDgroe1hILsLbJ5CiB toEKDUXdQ8jbiYcOESK/VJGpB2yxO+XDl4x7lMycT6YSMZOacklaxBwKPTelMAxHnzcxKD7/OPAa RRIkRoAGciCiK2mRaDIHErsugt1vUIx3Yo+qDHzHDgQfoeEqbgCFvdj43KjzMH2qX+7TkpmO5Yt/ g3GD+d40MERYJqx6/Z9flMs7/TyeYezSt/BqgIpc5DoEhATvydm6ltnZStklDytWVZPKA6VaKGYF ZgJMEASn8b5JyJfZ1JWos7Yzq5hpJiPx/4Ex1dBpDdd/xGlyJEYjFib3H2gw5QooKmC2vPMnKv0w v+l6yygUUKcotdfYI1uBK7sf/pOkjUeSCNDUYFp2ykN3nG/j2vc00W0pRkbrACzBotjbzB3KbAuO sGV6KWJmp6JshTriXose2gkGXnkAJJt4QXV8xnhMOx05gI9FPVaRauQU+fkYiBqP72/LfN6UDCqg zcCfYuU+/YIDPXk/7nqzMrZDVVLU4DUmsB1F1u6SEiaF99PwNOvwYRyl0sV7k+mJSD8NPy0MPhXX pow1N3yp60Y0qWqEjgBiBtUb272J2dcGSiKdBSrwlcISLiOc/jy8akcU/63YN6b18n29poqcpf+i +n+5cZjS9tEyU0PzYByrrPtftcLNAbAriOiq4xmpgNE94kuF0eJNyh4w1u3YmhDT0fg1RP5xMHa/ c9lqcH4X+CEl3Q5kdR3iLl1VfA87JsObuh6L3IMurS2WuD5R4jW8uaJRL/bM3VSHOMri+/GPeS37 l1byhFrq+MC9giMUN9ayobW2lpbRGD9Lxs1adizLWYqMoP7npEMTREJy0OeGOG7AvXFsNKd0zZRx meDG0DXJsXPURcbzqN/epPBr1HbSIQrgVzvcv9u7i5f2SpFP7Uwxz8PuTU8rC8YGoROgMJcljDgs 8imYZY+eLETqNXeWc3qP3jqzKslJlmSu1/j6GSkk3Lt7T+wVaz7tWEPSA92iyl3co6J3Mc1LAFGS OlUa4M5+d91mLnK013B+2Lz6UPOC3cJo2QQQ4cdURHbGGA5+QxAvfdcRKLKOWwzxcx1TpxUwVyO3 3WNhCtFz7D20Sg8tjJwoDxgarXgl8qkK4i3YQkiAIl+p0raGJhZpfT6fScYsHe9ObeaQI8xI2m/E C98QZGt5JoqcihwSwvbN7XApHzEzmOnl7N3wx6+w1xDjPPSW10ehjHxZwiblTLAenuKkx+SC8coW XuXxwKVhGBQWmxqEkgcJno1riiI2GpG3CWVy5H2svxOvKFrcpTUU9xOKxzlj3ucjr82kdcHK5A7g 1XHNIfWpBkRd8cnsH6SlDJ3fI//9llCHBFhY1kOVeqFNV3D64yfAGaypq6WFprDgBxfQJZyXbinm xpI+OVJ1dafe0O4+WvHbPFcBpaW3dDttJMQ6uIV2ZX4JCBMWmDndbfAfu7QL0joJG0Ps4PqLxWEf yZ+waigNtyooTQQifU2WQc+SGKiEqe9wf/qSQehdFs59lb+JZmW3sSQ3YsCMgt/cQD2hxNa0ydBi 5aUa9SRfunoy6FMxXJHXGduY03qvTSjdHjljS/SjLnyfjYaSiHVgGtJLgqVuXzH1jtx/LvsMvr+w 6foDYzOBBSjQ2mcWJAk9HIi21dQ//mJw65A5NqvuNBE0XEdJ4lZGVdtGHLLXewQ3Rs8LjED7I8n8 gqhlcvXUD7S8EHXJmxqE8abeAehHd50JCMaGYe3De7znlcKmYZFcUOc27AA4FCzkHfnSQuzDX2MW SdE031irZtbhoFbowCsokUh0i0shpn64a1H4IfgZo8UPFNy6y4TG6OqgXu+udBcD3N975pk92mT7 ne4pxs8O5Z7K+N83ril1+L7re/3xFXDCEBjCdqI/r7Rc0u3HPGa3a9LPcRAZ2fRZUTmjOi6WUr73 YlrPPHS7mrM/C0HzH+/MPi4326rX8+VkggYUtIdfYmvsOfzh3YHK2t8NFHM9LiII7yWGKQcD8QFr TIlKK/Gjb5r83S0HXAfFIUAV01J2Wc9SjqHviHR5DXdUSQoX81M0W9MD2wgpiPovng+680fVgAof v+mKUF5y+l/r9o8R/4FjUwCNjDTxct48rQBS8zEKf0Vkt+wtJNFGb//x7p7D5SlGzHJx5sCZUrd6 9qiYEvJe6nVi3WcIZ0nPSYYU47dOGSLdTTTdSYwoj9VGbWHSofiCpG6H8uGK0uitZG5qt7Tt1CS1 k8t3eAKZP6tmtnJNKqZ/Gkru0CLpFo/ftVzJq5CvVuLHThs2JBM3UVS4CsKrzQuki1r9CfJXfZSx FeBya3GJqdgfLFbawoR/q3y5ehnnFRnu62QzoQwc++PkK5Bgc0T/4sIwXdCCSWUdbJuLNmVFW/5e XCyYdVgR2fPrNSmaIleMLdcPrVAqEbAumBkw6FgbZgrbzO8i1+aEA6qBsVBP7/KkOQbvdWf3X2c5 zGkt3SUXx8y3GZ2FBL7wlKaiZFrBUY8fFcizr0kc0sIJKmGJKh3/EpEwSdl4hpg/wHJtSsCoShNv pG5B1YQkqwyVS5eJ3mujBGdKXKUV901mUEIJc96MaM7DPc+SJcqSvF8rvFoEsWA5oRUzHsUG1RSg w9zmSk0tJ8zFyI+Wb5D8rol4RCm7eAleYYtMo5bEoHeQ7Jx1HBbG4bUYi8/XDjpZKUpD55moBr5d 931HU3mYrr6X9hqu4gdqjrzZ3GnPe8wCgK8Sr4yWm9tGNCNIJykfRuYsj0EegD4xoh6UdWUuwN0e ujOEpnQAqoSokI09UkAoO9I200X2SnE2CJkilVQ+AE+c/HcSiiSIBJN4OQnL/4biC57H6mjyjyGM ZLaFVUeGr4ymTcUDuAPyHa7kE9TMtxrixwyi4FxuBp8tndR/P2WfL5HvmXRI9wcqN1WTVZeUNcJQ dG0pYedHufPuSvV/7RiBIuB6sBp1e2yW/t9CK+i3Cq3Re2WhzTwoXycG5BQ2e+ybMi8Bv7gNQ+IY VDlbI9+DMU9ThyiyaOo+PQER1JACEHM5Ww1BtXRMknPMPzluiMMIU/e8YrUgyNXzMmlKvtEG3A/b t98cnzFT/SObu6myy3Afq86R3aqDRykKYfip3iBDYWuXnEu1Rc+RcUxAtMuysYO0LYILvyBmaPcE +9D8GdKIRuLf/uplXyDR3HbdwYoOpAkbTRkJ2wi5qy0qSUmoiGAi6Befp5ucV9mXLqNQIRsYo9ve rU3xt32UBU8QYtkjerNlmxntSwEgDS4eyUqSBoM7aPAFar3fEzvZHj+bX8Z+oQY/3eZEjDe3t5fp EbkaP/fGiz9+cSrXU7fq5XisqpTCgVeT/JMzH5XgGjPnj1rt4LtMt8D2munF3fLNkDm5c4qOTuw2 VMaHe/61ReajhEZI6k35LQ4HPbdYmeKueCzVjBoz+I2TlBBF48+1E0Foa88PN14/TOkoz57/FTVc cmlbeQ/VSCJuMQPZMFaUM6w7sMIhCsf+7SsDuABi6BexVInn3qUV1Mk0m/eyVvDX8QeO8jXz1N6B W8APmvVWAmwBp2LPvovk76ODguuqb/alEmtU/3OuTsRbzpYyInK6giIgrL24rwoCcUACt4ttH30a fbSAAKuRRwEnKeHRbjz+EWyLk8hdhE4qTUmFezb1MsmyX1uNyuHGJ6uWTQ8clecwCWHMtZYCY1sO FPaVLP8K7zrWq/iOkDMH4uiOWipKvxpaJJ3aaJckrVXr46NhUXvcioSbI2GaoKvdCr5hTrWdIDc3 BS8fuzqSevy6P+rMAYxKnD/1/JRaQYoSGAtxGSVPpH68QqKVYboTe236YimJA9XiHDDS2jQRq3zc u/yE3zHtIs5cF5+uXjxQg5y8Vj4UnIq8YZcnCQ6ncUbM/BD5mLhVDDogCcOBO4XRblGbwH+P1EkX sVRubhTEZmhYgzX9/6+GHBwIhknrKyqHrgByUDFe/XaasoYoPN6eIRj6mDzuo9uLvx+unBXnBrrc 1BbELTJfMMI9NZpoO5Lrg36yvSwbPfDknbLaY5PBED4JobniQhGnYPMVCGBYHP0UTFezEI8IhDcD hkoEWY6UnhoU59mtVSvA2YCwK1EpwgBSEvMyv8p/PmCajeM5mpfb+DuzkDZNphVfNoSCh94Qvh2v EomjRh95CXvYi/rhg46eMNBoscWlH3EEDsuB9daC7egdaVB9CxMq9B95/Y1ixjal+rESStzrhPTw 6sh9o6w7pTBqbNr4YwMVHaP/jbCWXGh5q3NxxctW3YX0wCEb8uGyHsOfD4DI7jgE8yeIPgVXZddm OlTkcuU05WkOl1zaDSMGC+VyT2Qgbkwe2jBjyeB5CHtZyM1MFR3QgQ9Th3XVE+m+plHRjWQZD621 bY0gxpTuhvuthspbs79CSbaTeQMYsPtbAPdhRaGbEjYeteoFXdnG5lQBU6DrpL3R/PPAXNdyfnO4 L6Jmv5BgQyZpTIqnu5wKbj42jRn6Yxeh4WgtaflsjeFNq0FkVn2LgfW3dHnHF/ajI08IhnHWa42Z /svp1eXNszO22ZOdWxBQfw5r0PqbY5OQcIWgSzkfwQJhQZhN4uqJ/tGSpFHHX4FEOmrYMZU3ZQiN 5cjc0gIThwaPCoUvPRFjEBhrdROKCFwKYMheH60yGiO8bX2afjKZw8Q3uxQOeZtSBCgzINJWFTW7 /B8jHerWxzLPUn/ByycNT6ZNIsUl2tJNducgFhnO7VAO7nqueZworIAUBtfVhPbj4qEibuPN4Lau VxB0GyK6fXuOsorF5wGOWtE1imiWYlBL7ObURu/6XVZvUf1TMJJTYLoW51c/FVBpcdYLhfHNCrzN wP6986WrnSF1tntCe20WWlgA8ASKSNQtmCGf3uowF8CTZIXxYwxH4TYHv8o9X1VtwpO3wIAA6i95 EKC/dVUMxzuOx2tb+4yd+NsDJcBVrsqstcMl8kjoee0j/OCXHdxGQeuNeKdGpxyNwwoly7tFfQ+x n/iW9M9M96Dw1n+WderKFdVXD1KVVmMGIMm3dOtZhEV2iSqESMtGkRpGoE2WuIuQwJ1cfMtyxfCn zGmoB/RqBS2ySTNM7zoRmqgqWn/uDXckk5T37vr1WAPsWzcUKZ21hvyT8TKabDgmL1Vi5Tq6MYM1 y6BC+ieDWnfuxMXryqAwi6FgqaJCtkjZBVYvCIn04ULyRUHUD60Z0pBG23Qr+W4hhntpZyhSdsrT RYsPU3TkYzr8bOQvdgY/OTgI9LaWVLpRmHyZs5r7jUPY6IRUEbP8BA5Q6/IWH5KDcSeWEt2X9Bpx +URCeRruW3ostIlElgtirSsL31ua/l3ETEjuq1GKwRY8+fc0D9XmOtYKNC/GotpW30jjMRDgTF9h 5dYkHRgsbmBtIjR67BryPZFSeVT5b2V9il2m8yMHBf2H92LtAAu/5+/Vv0Xtfi5bV7353XJCijWW pP2cqLDqbUZz1goXLZAvvZTmmhFN804GTsKnHV6shyphXKMha5kp9MLFrju6P4K7fYqMCssKUNQT zo6A/SCrgkJ3JCDHSkEpw6U9wVTjaiefnmdX64PEJOoOzhOs2y9D4i21pH1js/TVbhqZOgufMvW1 65hNc3SUfieR3CfNuPY7Z2e9Y1oLxMeJhYQlSPLiIZeO2wtnmS05643rV049bPm1SFchzTt6dLx7 nHZzEUs28c/rQ2/LIrBVqSymfegAa4Hq2ANGRhpQPasUw2NsBc3VjYEkGX7/sBTYz2EVR+oUf66V /3LVwKeU5TmXxJrgb1EDvFyI4Bb3mcfGhrE7MOA5x6JVJisGzhdK0cgzU5ubgApzEJbXczCxfiD7 MwsAV/lOE9yogE1i0YFxcYs/C3RmhSBzcSY2qGzpc8I1Yua1ZvajEf6LuAE7iKvD+EYoGoq6HgvI rqwvwXwtVQ+O4jKXtXD7BNeqsZguAyOMHozmZ2b3KSNgVPl0kF+V2U7duV54jmSY0fuf3AGZBgEp 5kLVtGhIOyW+OAJPLyZt3nnhmkOmR40VOsU9eRoyprP8ZRDNHSNn4yV/uQkxi2a8KHteShbbAYwT t4AK8qagzrnDnMJHHC3E+jT8RSiJmDIE38D3SnLLQAZ3gUsyUqMUlLqOvrBtbVuDLBCORcGohTxM YWch8ONXOHkO8jrDp9fOUEGMHmzQOS5g6ZZWLKoXvXxz1Z/hAGe1VV88+IPdEZhTeGXPehblEaxf V5vhm9H9BiigcLtfTn69OelIz8ooLuSU5kWALhcMMBBjpxfgES5TEvI5+1lkOVVeJ7eVZRe6jvcY n/+7mI8E6eZhqjNRJqJsrY5a9UM8XhXBUObt71JrXqmTy8bHznL5oV6+0BMxQfMsvkbAgxzsAGCO nHb+wptMW5n1u4H7GBX7qca2+YqaJ1CFaw7jaul+6WvrAu97womcQwMgqsNat+qCIuXD/+wSHWwH zS7zl93XraJyUPEPgrlwLfjkRubbfh6JBYZnhkcb5ZmfKcE735KVKa5jGjhXZRgzjh+P7eJfF5p6 qLpOAyJHQK3RO+iKaWyq2gtHKUGFhMUY9yL35F4vWv+iZqCHt5rhhMStKWBF1FB5iVm8FffFeebx EUWoIPb6TvERV+Iwd7vGl5bCAJ7k3HQa9DED1+/AOHw87A/IWmUyVxDu3LQdxr1xKM4wsjRC6QED nW+CqbjfB06UbPLeWCg1+l5/smNe4gUymlAWORk5Ymhl8MK344DSBl0bEh4h6+QVgJT7rzEbGKjT 37I0qMAZR0qamqRu3WnmNaxBvWyCzS2/lW41/lGwqbbjLlvLX8VfaLqht1mrAojdnYRFCW9GJrqG ayUTgqDH1JKMte1o697ZiClksbgGA8kMstNQSnZwJL/0Jur9Ooy7MihhNduTpq+EccXSutIqJBNF Md9ddQKprGvuVUqLy0VKkqeMQg4e+3XqBMWIvWm3Ygl7S73muf7rUN4Nk91bH7KcgyigOyGsvxYy XJQpIXAyDBZjD1yTJ6GOt/7NSONV0z3b9DAPHi6JPz1qwl4XBzpqjkzjtuzoz+8eW6CPFnTv9Xsr pFYhgpQxwOsAafj1PH+OugTQbAQuIsuBUTIuuYtq7M5Yx93OtE1dn8+Yn4+/l8xV7Cmr/u5PTJZN fVKuyxvF6/fajvxiqgOI7g6gSBrGbITe9iS4aHkw7jLI6S4EB8+tclfXBxRdkbbcDkXBcOGu6+xr jJuUkJPDsahQwBemdVMopY1DNMRiXG4lXCd8ZKyjjt47Mbs0S9+l4nXgYUb6LkSwpy68gU0JdkD0 Zny7CzTKr5NqvU1H/rb/vkybFtXhu4Uh8QBdo1UXdhOeQDx1EGkUBHmIl95OVk47k/16vrZxX/or YOaxasTcPiAcFZgkrvGMI0/785/NrONA6UkIgwbc/ZdWAyJGjRwAFc6nLOo6Zqh5Bo0OQE6cO+PV nm0VdzrWPTwlmMCWI+LMgCEv+gIDz4O6H8cXtWptclruyihwANI8c0HnRDh4A7GOGwxGgkJKNZaj 39gwd9Dd0uEnDUfcNoNOtzt6v2vQ2zbCyh+sD1npyYhP2v2nD5QVwU1zjRYxJk9TBQi3h+m1huB6 I3CCe1f/LjGJOZAXKUcY/xe/WDzvM/AIqsi9fdDRqaJromo9lvX6iXU84lvexS8Sg2aWryWHjLtI xVLDaQdXal6jD5Fu6qHP3rXZTFrCLaRuN6ezx7Sj7natp91/98+N+2D130RJjiPVyKyaf9TqnrNl yxMGpvz5o6SbSy//GRflX4RLZtfp5uv4dEjQjimhdP4b5iOb0EeyuNnHxMuUYYfj5GGMB0OqKnnT TMOxWvhzVCR18Ld0QhQvcPiL2u6vcl4ne4L13pjtSu22vQ44LTnGGndxDgZ0/EDf1z9BzD31mdTG yCmyH05wS7H71fHudAl0P9DY0kg90SaM7p0PuFhgGy86aA9W1+NhbqFBnDPriQK5n2XRyRWaSd2M eftzwhlVWgPCbMWeIhjXo7JsUnaAXrKpbmd3fGR7n34W51nYwfd49pJ9qeXBA2dI5c5sr4Av57yo jfEy/ocARMMuOCkPdQqEKY4Kt6AjfrQ80JcuBxk3GbstkSC4kX7yoZ337r2ao6CncujYOfy7gNFK i2kyUQMgeO6TcduOr7rcrUGFDbXuYafva5Th5UrcXQbjf/l9XbYSePONFkoXhRyxX0uMENFsdzYp L2tl55AWzExPI0J4oYBHjKdSUHr7Fw5q9a8G75Ucbh3twU1YAzm6JxIu3T3jDAQmFo39Yg6raxW0 ZiP0c1nIdUrzWWIPN5VS4ejj1gzz+qpSX0fC837IDioa4l581+19p+bpZHbVmipG35IFI90oKLsS 68uB92aPnQXb0WKH0PsypAGqyS1tcbq24rbGMRVutmuGMvCAsw73GP1iv9lNLR7ABm4JaKybEsqL ZNQC6s4Y714ABYOBSp63NyhCRepxIWlC+/iJq2fRlHg8a8mSJYtIhJ2ztg22+yD/QrRq/MKy0N/s x8DbRuPqbEuvkPAKjNBfZU3zDAZo+UPXXEmDrDreqpSk+CzCEn7V20llVkPKl5xrJIHGUzJOprR0 rSjWxZ4m62v2vGYo6Z08U+Y3K6SKZbTJAUBFagPlq5M6ALmLSr61y77eWl94aJr7bPlvKzhdRvZ1 3z/8S4yWgtVk99R7NimIsWFah92FVfAkhBZsGC6NlmlsaXG6RMBuxdClz2KWjpmmuFpriVbacmbS u+wm4nWqGl21T5dW8YKQfDdxCqpF9NWj4tWROw0t+354x1AGVh/xkFomRivNvzc6sxk5kwCnah5N nmXdc/W2OxsJ3wBOh4kH42WK90cl0/g7YQRws7K50MwQqCULH21j6GpBwBaq9uYWLrN+hblUI2QX GpbUmrurEEXsuFJbrvf7X4KsEhaE0qBgn+xbswTMonaWCdzhiDXMtg+ndHk+7QzqUEVMoO++8XMC +wrrS2IL6GEJUMciUp6D8mTqjVJd8ftsnsP1PpoDjffa8n0e7VqBzivKFe8V/+P33BeuTLVnAl9L PYxfuHFmAkZTyxM8tR7NJI29wzAzh8Cmd0flurMl+Qvaog5YnmUSEwOge3jlU/1C6M8+4GK9EHgN mY2sosSc+VxUXyR5YZkF7hAsI9GCvQiAgKOJRUjdbD6+EIcbxe819SWpquPesM56qkyt2z4Iu4ve iIEsnMoWhFbK2/5d+LF2oCuBC2LfyaRGkwU4bpoeUtBmPXimpKXa3d+PzIKaxKXP1rd9FFFpXje1 9q1fFq428r4hYT/3LsTxvwTRM01hUwCG+atoilVnRnFCUSgzrd9wBxEs1zuABZloJlp8QYXc+E9I V1evtxW/HxaUsmMRoQGsj9M5RyOE34v9R24/2XLVrJml67CZqJW0EMIoWmV0ey9yQT46L2Qi8oPl Rx85oSHzYzThFMXhGouNpcpa69CH760EJ0gr/vKnYZEYSaLoKeEj/7A78BV+qcsGiGwKyiaM8FAx xpYHGBAt0Qp0F83tnQ1FycUobxReOp+jD2seMAWs5BPqcICGbkjBMUu7ExtKhuXJH0GoEFYtG2KK 1bU7Oo7pauJVdp3Eli3wNwbEXiYnzkyHn+zkhJwespb7En7HEYd1t/CF/6eHG5jc31Q5iXxyPd3n wZMYfvTJMriXHpixtedZLjXXi+e1T41Sw0M54H/ocLzTrjkrzG6EFOdCTfDNBeh+fpEly/Xdue1y gPdH3V2CMXJQRjouZ6lcFm80YCeIrr78PXjN9L6ZUJHbVGI00qMH9uxTeC9xzdq5irqpPGdGzRHb xEfqCbNbpP/wjndb1skpLul8bRsn9eAkN4VBXr48oWaaQaDdWOFtz8OouT5BP3IMr1/T2vISOUES p+y5uR4dsm0zyB8lZpc9/VdL+W9azVuD2Vc+TJekWvJw2fhBnca2VA3GL4rV+pmPUb7sespW9lag nMLrJV9Oh/ljBptJ3gj0rcB7cJ4mu9NW1z7qQvSYxWl4/+QKLOEFElZnC1qkt5x0gm1G5HrxIvoR KsaioV8++1yK6qHwZr/ZGA6qn9KOUj8IW8nwSZrnOD0wmkII2n6a+jWdhLMMwUlJ0lKpD/JPCUGU ePTBhUyWYNrYdbqDCbcOB/sykDUhMrhJZxBT/wssYG0DQeL+dGM9HfWOfcAWe6h1dHjVNu3yoULt Hw7KpGwAhKMyQIpxlEipMkRySd/27IIBXTQLTAzoLI3jUoK/iAmBpuyqmzpH5VOp9eiCvg50KpfU LFQlNG2zeqE0Dh3F2euDyhFuZmiXITZNGghJakAfjjmdnwSyNIcNFYyz7kNGN6rP979LN/75Q8jy 2KWNkELtJA2ggctWLCThLvMAqyEiiSiom2FPU4y/eAwPXxqaMLvbbi0kltmMRWCQWNtSiXmDSWK4 3s2pQ4PP+jyGv2N1/CkrCCK9CcQu2QwGdG8ZuhDwfPuN7jI5pD3hObIF6MzlLaOWv7Ex1ScxbCj9 REYQfe8a6w3z+NW0I55hXMA9mOlwvxkSxmPB3S5aGj5eE4O5sK7LwuD3n5+BQYTUAUX1PJraozKT lmSuBIa/SO8y5oLe9Pt348de5yPv9Vpfv2mJPEz/m2k9azzBIaYQopZlRfV33z/29azFX86doygx xSJEq0U/PS+zNOlCds/fw2A+ANh2KWMHzt10ilI0sq05O38hx274Gc0SZyoT1EnKkEdqGAFq/IX1 yruFi8GU+y17w905JQXpBtY3OXzghTYe9N6B+aihmsPDLgOJOweEuepuZpDqm9kYe43LkhhyuyO1 hGnTJkb7wnWjTLE+PSkmR0xs/L71nK7uHRNwFm5S4DfFD6rLeZ6bCYorNcVn2HBKUyuk7119vMw+ AG92ns3M2peuQp4oKWL22oPwmEPZOVFFPMpfFM+lt6emA5loUkyQ00FoYcGuSnGSKHdQgSzs+QqZ QeIjATb/7RMIRqjH+qk3nr+sEVlr7zBvtBYfrx/MkfttvfDt72hOu8UH5LQa724tlVADulcWcnTh mfkQwOmSOhSUM9ois+9dCgyTIb+8KYxoc40VqjcEzMc7Zf0E4JjjV9npo5P5IhL+7CVCGnewUtYr bp5oxzR1Fq1FlWiC/uI38ykh5HVlt+7GQjx4kxac3TmAOLzXPYUyeSv6XI0Mg/GRKWIcI6CTGSEJ mtYa7rYBv2+9RYZcT9UmQPC0Ied06DbuGhCyTzNAtmO5B5QDhAhuw/3HyB7NFCY33FSNdo6Xdz/k qeOLmIcDv75KVYJDpC239blSzyvRKAVPf6mkH9wqewrkAfl/py+MGGBPrH01Yxi2h9FPrXZujFu7 tKFj1LNfqUqQ6FDmfnwR86hLhltXNks35IlooBTiB/04SNfWtNFEl9dxukIkWSWb7RCZ2DUJ+odH eDBRM9mpt3/w8D4s1qjUrIJSG4xiu1mkHYVxfozmks26uRMZiEx7ExipqCLwqZ/wRD0B4mrufCt6 SmmGlhUWPGeapHh8iBKEvnM9oJJCJTyJodHgrfyT4FdBO0dJ11hbWZXwB4hYiPXPe9EGPY0ziFC8 +0goP+UiZRsf0exr/kTIygyk/9GrBtBWS7UJ9H+NOr7MO3cSl2w1sqm9VNy7KcpUY01LebaK+VgF 9Z7qCNqqXD1Wy0XANUjepPzkoW7OkhLuGsIwqvo24yq5vF26gD+RaJl/OC3ltCu1Tn+LLhxZFwPW 0Kt3ZxzaBYxYzPIhtK+I4CuVJCSWfpp7yMD3mQ9h0vDnywuHJBoDWHby1T/K9wmCb2h4MsgxaWWa H2ropuYb2tcXgwBoT4uW8VFox+a17ivKwTIrEztKZ7/5HuSzJxKYwD7y6z7/LhBxq1HIvGLw53vY cpJ33JEqFEgVoSRnH/eKLN3/yIqfeJgWSolMy1Vr5WNq/TXeS7GPOtYuaJ+0ba5r3BtUecqNtnko Wo2mzbv3pjYxJVbyTDsMEMKXQq3CJ6GKUnBSxGOtLAHw5MnT+K5bNRIqg4+eOxE3rirzM+nhGtwo LezQR3lxe7jVwToPwb6HDqgEwdnbzWW/ae601Gr9WNTxkXge6Vk3f99+9/5lWLzSxeRZ+LF7asLA MtS1E7UoiIbrkqyHtlDv/PQR9WdiP9pk/pzCN6xNJG/ggAI2dBOcixbvYgW+2fxkTZsl3QRs+C/5 qlfdKhZoGL4HDCXVtQa8QGaXh79gQucOaGWpvhBx8Vvha9vOBk5GAKknmDBdCNwmDyAXSujGvFqV iMAXxYOOTs9dCAH8eF8C3f1aySIye4uqBhFvfOOJbA0aQvgUw6ENYkoBQKUtN1RUPEKMEBYVWJhe efRDJF9bGPPc0p+lzXq43lr+Pw84nZe9rx5v4SMRU6llYGD0u1PycSScP0cmII7/9hP7lCDPg56C x70YoyZ5445tX9H2uuU0kEVbFCcoh3iTTo94+c+YJP2Dx45yXq8FdpE0iPXZBoHInJhCFDsWvVHs cnEb/VrJfxruus8M94lUk/6Ij8Zpc/jU2xamarqKaMjfFRZ1aYMMA6/eovqKxNtTYrkyHRUa8Riy XtwSXLuv95M5kHv1D5XIG5hodQr4V+KNL+fokQ5nGCNg0RH7FQuBQKj9YbmmRu7rYI4JX1OsRA7T OixeTIAYRieNr5115rJB/cdQc0BcH/LcUek7oXlZcFWkTFsSq7Ypk+2j2rg+AYu8DpqLXCa0d1As EZCYSHYd2RXFCmG76uIrjD65Ypnbp4qkzdHj+Te2VmGA7OPL2FHFljtqP61H4TDuehd3O6qKmYAR hjJSXali/OOhQlYx678AeN5mjGMWCGk4QqgdVtBNaUiLEnKqVRHlXQrztxUdER9OFdaSG/VT2p57 yowvYX486pIC5uWaDLVsDKbyNbSlbvVXhJ/gdB5BQwlOZelurYpRc8gu5AHWQlto1Uu9ef03MWIo yJskR6LAGK2sPWsQfZXyYZFaObqQPoav7tJtGsvL6YG7prcIomTXXMqxRNGBzjqfwFNVVH5/c4kP PpYnNrqtPg94KHctNMTFT7xFK9XWviZ/WF6Fdqmg5B8P214ksuwt/2fwPHF/5BR6Rme809gCbXmY /RdmSi3xprxA7pmZIjDv+lrdPlBM3ZjnbLJSaKPI/9OpyDWPxDoxiZs84OVUOzHvZMTR9q33xaEI GPYKrsg9Eoe7/IlUgNJbmjITLHjXUWUE6foDNPp1Xd0QbQCvIi7QauP/dhi98tJXFnlx1h+xd+oI sTUw/bvNpRS6gTrKsQzA9kOcIc9cvFbI+NdZg0Ue80tfOWEhb8b8GeGZZ/BqMcHtoHUAFYDoDEs0 sueZxzHfi6ek4/Cs9FadOl2ZwDOk4FWKawHdDnIZ530Bkp11yyFUi9YSLEl/BegnVsOAAwRa9meJ urNvuoMkQXdH9ojUkeHgJrXHgNCEQOvY4Q10XCRGyus2H4+KLyH/L17GPp54j/UU+cLaRDHcWMLH 2mS0+LszegwHvBEGzSs/aItw1MOUJPEb7SZGYfSvBV3Ae7k9ftwW3jbOJexyllcIM2Tp86TnaixR IAxVSuKycrwddHK6trNTI0MNBG4JnflPpr9qFjtQ2FEHMOC7PSJAu2Omfa9ZA51Vj9FCRPX6gA5o IpGOwCODyxCCkfBZ+F2jbINPdUIQRWFTUzDnBD71HaA00r8rfbQQON8AWPIc7bAgP5yjZvChz9eF QLZwpRvnHRwiYcIPwlFhsoHHYM/ND8lrXX+xdlgNCN1RUYJJrNWeg6rxZ0JOUxKYyedsVpLRMf9a CIhQBngS6vAUFByhm6818EU0wtL2DJ1h/lSVi4+hSk7sZ0C5m+0arQvDqRyHqMBzoleYRgpQIr1G iEUm+azcWAjA6bN8yAb+pYC97WIIfLtztD9WA/LJxRo9pNUbILnQzlguDzuxNdOLMvHlFnV80HHE bksV6Hu3rDfmQQTkKel4fROj/13tHvBRl49CfeNOCHYhJn5jjSkuVyYWZL6lLhRkC4oVQ8Znk6Ux 0IlkoDoHFZsBbo5ggQYQegn2ZzcIwNtCyrSi/A2QPSB8QLbdwIDvWkciITUV4SZoW5fa2glT1NPO 7gZeHPlU+Wf5bCN6y6Ho2EvNRsgSuu4jfdM7mQlQwrJuvl/OhvHforeHKS1OyQtQ8Jq3wXFIUDMy abfgp+HEao8b9sylqnygl9sv049a2e1lqTRiVqzr6y3Ix+j8naI54wuSra3ipy3qTwL8omrLHSfd MoK4IyqNMRWILMxIRMK5ruQLJHAGdSiwWZ0uJVGqE22fxbM1BCbm0cuNcqyHMkLug5Rf0ueUOPsB uzLi9MYuhMU8YS2w8kr7mTQuR7p1U0YXRgaolDLxIIQ6h/smNiPvDmMG736OT9GMQ+9QpDD3OmWG QZ/7kt7hBDW63DYtWt/yrROrkRSy/VRpsRTtdBvhWN19FSPcNcLbdLSbRizS+jtMxBBuEq/dfe6U ekZGz1r1HkeMOhJB5TKU7pHUC5C3zoIcalGfXGhOH5XcscNhxPe00ZYRlgsQIFqkps/fcTUU2/XM PnGbybegrg+gyG4WzMYKPl6oooX1n++WwznLicgvHQYB4DI4GX/8amKzsc2qN/0q4csfFHGzwFiX /ILZCad058sX7RveaAlBSDAO248F3gxNN+jOhE07bvhXBeAAaKeunLzFwEKnCblnePE5H/X7qJPt Dpe9G66Rg8xgYZUlxezABIA8nNegizBv4LHjCVF09Lr4cMP1SsMNk04ZL/65Z8Qllp4zftcXVJAE 51Z+whk1lAAIY0PX7trQlftpT8KWhLpXPcbgLvNAsDQFmHYbKcP9My13KkH48+8SpKJSrX7jAWwD CRilP62iC4v0NkSyu2HChD35I+1O6LwGmSFuCL7Adqa2pB2boWDedKZ2dTohxCr4Afk+CnW+g0jH Y4I0vQvKgQQM2Ez1atMwpJPEYpjwB1rE3K8fNQtk3H2aU/OypPdNRuom6++Um5uDb9mmwKwtayyj T9Mb7kyZ0In6AOhBSqbQfxD+WBgMM8Vtr6XERpeV1KdnNprF6H0k+u1YpIgUXI0ypE0Sm2uA6/b+ hgHgEH24mx9AL654sygTlB9RJI/HiczhJkOZ0AoZp6Q78CGCaGVVWVFrQ6H74ESLPThCzS+SyNqD IsmJev9YHAxopF2PUJshwBbnaGEA11HSZ+KhJQGT0CxTRji0yScwi5LzNkrIMK1jfaYcoln8lJ58 1xVoZG6EZsinnqaQpO21aKPrvcir0X4sgFl1GUGI1EoJlY7Cxj5fUT+iHPBgQxgdbdoomNRcNIHt jYhxxtQDZpmQNC+2d2yLSQVV1VP6QxxD3/9p35id8IuIHk0TyW+8iSD5+SOj2KhvnJtrcX3ZIN3I Mc33naPgl4fzAJhnJ9LJDXgf2M6n0E7PXrjvIUNYDpNQ5E7LbkfiPaZlGLV5JF2z0S2Z5MFyOfrC ZDegqQ/IVLWglhTCp2q+V84ua/QYnOP7QkTVSRdYxNUqFJAV5/FSyrdLwXkbN8IULgqYXWTZu4aQ 3u0dp0oRN//y6vZKTchTr77r0k9pa7yUulbGcsUAfk0mZRjB77r/nnVeNBG+zvC4JZblLg8MWeRw i5gsS0mLac/CN/qKxJ2nCHHFxn8K3YHAOsorm2dNrPrlZBwfVyKteCkEs4eh8D8w6FI1x4H8X/Ja z3jc/TgZy8wV93pCgZuZtpkWBuouaCLf5GmFAoyZtIkPCH3XjOCa6jTZEcWv1thdVkVZwKnWwgCk 03VD249UJb/mMwKFtnyg2dVB27KLPv7kgfXlWUte7oL0fw6Hc26+GjC/VUDHOMef70W3UNVVY6uN DJY794c6HPUSaLa5KEYFAVJC7mXaWJS3uEAldR3nUbjKI0NRyuSo3d5utGl6wvll72xuok0Skj0J LK8YfDCqCQo7C4dCaz3BkyC/5I6ICqeobMF2B95QnFzwQ4imZz/IJXADY6n9AAMjjRbAfgHCqEDK kDg+Nr/a7/gf7sKfXMoONl/aVrpNJ1qL8l+qTx+JZttkHllFCunp2t7bzN0kXTP1dP3s/iBIHxar 9sljyxiT5XUDYePBfQpfgbN3vpa7kXNtiYOiDVcZWP08MSp1NucomlWvCxJOT2t/yP/j/5tnzpua UIcYgDu/u9g5uMRXdMmHAqBkIRL1jpMjZHazB6qIEyiQCvugVaQAwB29nBmhd/jzpoTdN4XGgtbl UofgQpyoUpBf7AO+oJU5zqHffFS8XbLjG5gFYUvsl/mQuKw3vo4OSXi90Wn245jUllAK8IMcbvLV TLr0dyMD8+Fva1GE3nImxuVKFJMsqt88bm9HXYCBElpJmCt+3Ut+9Uz0P4Noo/2fwXfcynX4Pi7O 08Pp6LFDDcHME2BLFDtCXmDA+LlpcQvv8RgS0WexVntbzUzRIlYy/zXIorBQQ06K1jU8flEpHGHl hbHvakgRDONzwwZZl+5q5zkZWkRsoTKfBPSLJlAJM1PsWZF8qT371si9QBzl1+QShseErVrzFLSL Bb+RcfPCPUdfl9SPX8SutCafQw59f8zJQNrvhkhuj0QXClJNcuUEuMwiUVeTRZL1/pY0uV0VTJ9Z H3yCbkKX/0y4ZYSRNCURgJUt/1gm63YOvXlmGCduyLS80JLCEBPrRcS1bURqqVqdGFn2NaTZe58n r56TbEhutzLR8rbsjZ/V6GlnSMprrfwQJxGxUVPnNs+IEe4ivtS5qpMHZtq9FsWwsJMh8mIsvtiN ppFPMu/Xvr/27mlnusqgPSmoAu3UBpPG9KLn0MpFXReRp+9iq2txiIHxQJqsBL81o2mV0/etA509 orPccvXxc1pGGR4xDEBR/wrMKndsWB9PAxMLWIdFA//u0lXljSV4w5BgritOqqkiixlIjVC2KTxH qSPD7U7NG8vH5kmH0pOydX1y/gTBRuVh1tuv2p2QWboYSwGYYXvf/Dh+RdvCSCJRw63IG9KYLXtW gv0SxQnGRtcedzzI5VnRPoAj8XXVYotdJeeO08wkW3i8bwKHGPaRC3iFhhXE12hZmg5ITQDahw6X cupmh/xZ8zounXuZRk7HhJ5VCGbRybZZBK1y0h14A/YtLRYpXG6zBhdnbqbtRqXk7usrNJeo+eEQ mOW5JQKox0UsHqEnx/+WHfj3eXzKpTyhLYXUeiNKCF395uU00IiafSznUYxFDtXo3HcaKlGfqJio JANi6kWE2VEcbyPn1d03isoOMT3i25N/QK+jM0etemTFPufQ6kQw5UVqkQtFlQGOcPzziIYbF++v oRuAIHwp4uMRKnsdYcCFW+bb67vnzvNFBhIVQ/Itodcz5gOYPkH049TIK5DVm1+HtESruGqETuS1 66GtyMMd2wkNh5mxltbXE+DX2oq0lbx+hm9l2dR53QpH4jfD831P5yDTQvvnI5o2Og6QuRe+/uxD meqN+MG0mCXr8hEoy+gNDhtwRUvX4sPlXF1TQLdpvuPflnWMb/G8QK+8/babJOez5i3lnX6nXmHM KpQIJOF7lNodF2e0dHGj6llpMqzUGE1zFHZf8Jo3ujHnF4DoQ6nZV9rxyeoUaxfQGC5k+1/2VKpB 2IoPO/wyLFbHehTmdSRXOAQvygGg00iimdl16R4AyoK5uwbnsoissGrPyUKK38p11L69gTj7cNyR synqvsjt1WCBXJ33vJI+pPTUdauhfj2rFTdBn6fM2JcGL38Oc26Cc43nLRs8togU8Y1ZmoJGkf3l xrdOrRmpHiU0EVGlfnEfC/f2CBGOXBLnCv+Puv6ZDn8ZA4XlIBnvFU/5BOZvB/goZkfcS5hYVqvJ WUP70kRuG9c/O8iXocWA4nOe6LaOntAIQZ9nGhgcq1ocSH2RwW4jMVqL9QDA29gq1hB96Ambb+oM +BilOJpKvM+ihylh/g1WZJv8ciLXbXtDypQKX2qTz2akh8hVZJFwE7Y4TElTHt6vZfET+JjxcvDI G8Dt6lRV9PyryQYzBIXNSW91THW2Zsi3nlZtaW8yj8MPGEXNrcDZj+SM6iFkYdOTJOarOFnH6eCP +6WyUJfxixn9AM6iqIPyQufwtV1Uo433QGbI5k+H6G0qL3u0A3o2yu2F2Rf+tCmdc5AvIgZYu30X RY6GHJfND8O1n2goTc7CL8QOf+x8SEWgEr1khITjE7/CzQzYuDGMRcyFCE9uxDgbotg8T3/pYtji l+bhcMnFYsbKnGLmcu4d5UEUuv0hqhttSoc7jNYd/xz7GHJcklVBpvp6CgS1t56CpT0wQWr6MZgl jdEAgka2a/OkcnWSHcc6mxOMXveoFt8G33UFkABEntdJ2gwOQTRbkzHfRXe37hKXVPoRhHUROpeT 6Bl3vWeMNQbP0zLsy4aubxqQ6sEPzoQ6PSeZTR9PDeLgoxZ8VOhbychd1/jBCwyXhtrFBO0xcBox 3PY8ymHoDNL/fgy8xORBCkKL2qXGQB9fWnO9sygRwFh1W/bNlZJtMA79nuHgsGUt4FNCkzfsz/58 uEFQLrNaACsUegtAbJksD6zoZE3Mp67a7nc8m7O5v4ANZD4/vsR+8YyZh/B71D4jSD3V0Nv7MY6b ofPGLAhXhN7PjIXf+r75XyYsytDuBCxDr88eqZlWgCTHgPlV0J1r8TI/lrMkCOlvsmf2ozT4/UMc MluTnajGRzVRB+ctzleIOfC/aSnqOFjwrrfraXFkpZEARset3J06hLwK4t2yzEilNB69L/XGMzOd iynvKFpMyQC2ucJrknmVyPRoZixWU57xgJKHJ6GvmIBAb5PCyGTviWAq3thV8kAISU+xJhNJJj8i IPy6WZ2PdDeUYcRzUCrukiro2aok/C8XpxiEx1J6D2iI96d6wa6dyc2DCKJRXze/q4OmEB0Yy8uv G4o1kRonKreSOBjeTHCTVoPBLtAp6EwzP6tJ89kTpScZRWnZaamVFZp8q4rWtJdbSWK3spmiV7Oz m77LDZ1exeWcwTt8Uyfn0wfsr8NxNATCIonMpgdTXq8UpyAyVTtMJqTnpqR5anNQhyHzvqiq/ac8 Q8hUSUALj5ZbzR5wt7aw2+Ct8ll8vRp4/3kQFmPo/QWpYwktVwwZmGgQXpUiGKii1me6H0zvyZVR mrO8So2Vp1kWvvTssni6b4tIbtk5hvEMsdObI+/gH7K6g4omWPPGwmRvUgNsm9rIL600k4KviSci R74xO6gnMbpxW4PrLcBKdbbZux8f2ryMCXoYzjXJYnUNbozhNuCMk2zndpCYjOKBCzNThhFEO7i9 s5PYevt4SZ034fLsTeRKA6vBKBlamDdqicYvLy3sNwqarv8veh556XV1M1N6jy2xFmBEHA5iNsq0 KneA0AZJ0VBV2RQnynrfEi2QPZ9NSA09S/lQNlBZbbe5uyCMskxK7FFieJ8lCgpRHoZTJCKpcUoP kul0tFdk4aNBiB954dsZGqv433ru/49c3+PnCrf+4u4600bcLHkMw3RZuB6UD+IdPknMOSxnkal/ msK5PEJJ/vnqHMd2bL4mlOnkZP71wbiyVOs+ODYGY/6sYBOnvSHGEXXBU8NxAh4Wg6/QPlrOEUwD 8wrai6GmOLKhhRLfbAnqEI9DnclHCxYlBZVOD7xSAQnAxKDlURAHoJW9KDqrs6OsKh4xCO81U4w/ 5HbNgeeRfwNs1pVU7YOn+hbqbBZY78+Skc2st6H561gTYxJ6rMfknPTUT/4QUsrKsCazrL8UKYBy RGuBrPDnV6zUJJ2dGEE/tvQB7rM0cT8XCWE3c7HLeGBssMcXxX2awwR2onW9tRiVeDts5CvFrqLj zgP8ucNCghsEwWaK4UrgjPB/ciwGYrFuV4WW4N1W1jVe6j6iHzTUavhDcOE9vEwScEmMUmTmuJuG ZwohHI/mpcsfbHBrGVb/4ZoftCc+GF3PGVN5yHfehQjJ0SEyK4YHHKueeQB6vuWFq+jcJtQlZPbn NpJZmFWmNsWqMGI1IeWX8ynUXOor2KJYU4OyJBa8BbtLMUD1VqqvZaOjMsm778wmkVJMu5fQ1y+a 2KJx3TVzy2s1LtnE9eP9j72XHiLEGSVZKu5P4uAc4HkcXh273tTojCILWH9fMDVOwh1qxk2ga2/Q rmg73EyLL2nsmRAlcbM2i4EhXf2hSRnxZcsw28+uYgmTJ+OmmPtSSZzY+tNlbB+yC3+n2VZNUuH4 5B53A9p7AGO4Oqp9KhwRexHesg4BMKAqF3C5uv+sZY2iIGnjQNGM/+MrAumVUHz89rYaUFJvFKsn 6J/TfNRTtctE+bESUhoWO/0c8Hq4pSFWEuzCGdZZ1Bm4PP3DJb/j5Wfti2jdmIDMF60hGyIqHq4m 9Zylsys8WRx7dJnsvR4wxhWgRC0vPAShRsl48qauSyHAoEuStHfLXQx8MQhXWhZB8VZw3SFZcwP1 uXjUMUQJUw12WGBRlN4dlK+TiTKG2DX+nlwiAlXY46fGrkSOfuXxBj72tIBNXOWn1Qs08QBfDt3P HkfIAaAenxx62HwLLckqwq01K69wlXV03wXgNt9S0LxOf6utuFXmTMgJrjS9ho56L6LVnQDMV7/6 6AO9KJBo8BG8CWYLOtcxMloDVt/1TUfUDhunSl029dThVlwp2s35Q4IWlk5lFN+sjAy7bSdOsFpP OS32bfYEdJYUu3GAAZXycFMYFqN+F0y9IzfI6zDWimULZ9sJiLag17JPTPyEs6jKlKIIeFamQV8G d1cKUvNeNzs0WQUWP8QrrlCQVztJVpDoZDclGP5SRZfCC8dAU8uqAJfC4xw2Of/7RRaUjbjQQT/K 1I9OC3NDHHvyd9tqzRArNrXslMkfuugxo8L/B+pzdnDgS3ekwRjaNvT/AqCmvGCMHR811IkKA1ic 4Dhf+jLnMHlN3uhFQnH/WziOWkb9lRfnTlS/uwtsrHOZ0XBCQ/jx0uz2ZcQl03+ZwULdPE6FhC3b E4+1ePGdQYmZKnsaIVN2a3RGY1WyjxQYwolSbgHhDwLbJfhjG+HFSEy9Z1Zc6LsQtS8Y8F/nUm8/ y+bQRfhkEIlE3UY9BWkHvJGZbLQNOtOcxc3j74M2pcrVQZnedBaAddkOmeI00i31o0FouNLJRWSW jfw8/FzDtwSI/MMpNoDFlJPnUxFQ8Ey+WZebMj7mCuWNbk7XQHNBVtQlGPSGTd4r+bNv+v+sX749 jr/dWh2pKTM6zWuEVt2gZa1z9BpcpQsliEJsFpGE7QbyuLMoyRPr1di510GL8ze+yNm/YbEUIvGX RtJa6EkfKg4NTiwSyN7U/sBRhEPbjQZ3CJ6YKMMtvBnrtvm1YEJM/hCceVr5uOJ4Df4AKnrwtyxx /89lIr7SdNsvems2IlU0hwbNOv83Mmvyxu/NcIuj9Nlc1FBZi/qQRiRrvnzkl3Mbjzt5c2ez1ufB QSXV2ZDL3tB6VAp9/NHlQ3bKmC/T37RuI3ihLC80CP9FUeYyLqCkULArcCkywY3pfSeSxmBQ738K D/SPDNo/7+dhaoRhYTXrpF8yjqoX/Gd9WyaKmVp4hW14alEfo7eQXLVvrEikht7mYLfvo4f0j3hy CwtpUqMKmPCvw2+OrXFjOoW0dcXJb/eNk7e3LK5lgkFVOf6VdZh8pMSGkijGtpCzaJLAIQbSS+Qh rNpuUMYd2hlRP1Gnef6/XXcpzixOYeRiXvXV2kBtR58ZwGzsNmHlCwRLJDqgh8Okghp88+HFyjbh s03WkxqKexQ+t0+Sc1TyVZibuVe7+noYf+fIHb7OyjecpZTllupyAxlVpARskzHHEhAcTohQBl9S n1YhnKklfVMHyOguOG0bai8LmpJe43GgwTEPBRdQzMY/xmCLc9YfhGf1Kf0ZlE8pLPCjX2gVrKa0 VPCoDeOaLUTRui87RXPMDvVv9f4lOVMyeGbJ6FsU4tPJtWeNLFvoa1VbuUAzWCSmBNXEY/p1Xhg9 n69LIAsTd8k2Z6OiZDBh2ElrsP/0rhTSb4Uhr6x6bfTihFiOylSHdfGO/Jo8KrZc8oVotk67NiNP UupBL0UBGejO8mPbcXCYgzQWHMPLA6dHhm71C1Q5SOVrK50trNPWqz0+efSVt52BNLNqP970jBSp HupnrLB502/PO/NOBWs3VwlqsifKQso62l2vT5AO2FYJXhdsk3HuggdQDNzfWoVWUTO2aHQHVztD 4RqfQoZMwSq1fpsu+H64JMdVIe/QKYONwkmNHegnKXRDB5vPZkCF4mC+nKeB2scOv4sWoRJzzbbX zYlzhstE/Mjg3DtL4bZZ3EBgMpvVMeIwHM1GTYWmm4yondyvE4aE8DxWV3Q3HLICxYV/5D/XBu3C 7bb3yx707nPSX6L2nlLTLnqMg/35SovfVjjZ+6z5rrIzFf/GFrUyz45tVpXGN2WaW0czY6SJ7JnF NVLIEilpjEFOX2DLPHzCYVtdxHm2+dVXuaOQU0m7GUij/tYkOgjZEN1aRZLn+WBG2IbbqhpCtK1c w9P+JQiJw5fVvjTV3q4EyLn8kw8F6I23Od5Msp8nXVn/LfdSlcTDucmqO9WQhWWQVn2eN5Oizgpe sII+3GxNQ4N8nMg0qcLVFFso9RegxYBr4r6qYyYdHD3z+sJIKBRfekh6k29LYJkr42xiSbZxD07o BUFY+PL1Obdv+RXhXNXkOj317xEWg8BRoWIbuspZRa0zlw5+kVRxtxpRYhUIDjOISrprLFt0uyVw 93Y4mdMn/e8Jg818FeeyPLIb9bjbObRGcMQAytjh20v8Nc9Iz/qtgl1UkmdLWCHS21ur134ln+nh YEjYMsRTB4P5A0BMPsPMkjvtwCGc5J5sVFdvoAWWSyM08F5akKNPW+0uOEOtyzgOgHJ0k2NY/Yl5 2l3ko2KemfnPTKXnd+WHxDkKgNIpHIDbP7n/W7Ezz0d9ddVeCKckt242y/I6va8YZ1+tPeVpCiT7 uN0UHlJJxtXvhv0rIHuRfpYlkf54Ik3V49Tyc2160fP18ZXsKN1NCxO/5HOV6xd9Tii6JJ2wox3t yuW1iICWnqYYWW1qfNFTXXpjaI7IqJ2RS+tBYkXdyJVUAqrh5SCHOhnlRw7KBCWp2XQQBAy+vV0X fADEJzSp5dabWYahkpc7e+fQSKsayv9MQijNv83JX7Epr5mzmSBrBLjzE9W97BGH8cMd1LfvEokd hyFkjQfCo9R7tdVFUOYRxn1N5HzjD74bKSe+O/1FA0arWwKJHWKstItuFLbMtGMjh8RkA663qS7k aFpvbtyzW7KG/bf5d/hknRqD2QN3bx3nAhAhT/IIS4o0kVebvebjDGNBUnCYCk2Sb3Jd0XhprQv/ 1bwGGsyPsvSb6UeF7LoPIAym1zO0zcaGzH8qd/7EhOaLEW66nAEHSL40pFpSKaAIeY9xkrOzOBS2 npL0na27z4YGXzmVetFA+AKtuK/DC7ev8BD8sna4yNsWyJ8MwIRIi2JnKTUXwOJicDVUXv+xYWuZ mjvxj45qh3a9rQ9vOaWqbK6duiDPXtOV7ybEApEXBBVV7O/TNdblHm7vVTVKxTgTwShuc6CiUhfc dr7R9IGpz4uw3OBxst1fsz1aP8+lhEZsMpE4tw23aAd5U7i14ipwDDYwN371XSWEi0W231wYvaN1 4IJFpFAKcvAHbsNTBnkbYrxTBvAh7R6DrwZYynLUg4MySyFDqqlBcQtc6o9xVyxX3bLQnXECnWkZ Q2pvLJTO9DHQfnF6Ju0+edGrHmqrMgjqny0XXFlhEyR7DLSnWsBwiW44MPilI0KxJ4jeglFgu2I2 LbPiVJJWwCWWUy0yxgkZ296Sa8jNYex0BqIdzRr5UlsI2gPxSQcEmQWpmGxMZ8fgijxYxFPDBUCo sxIbEiV08h4kRkBvua8vcPa05N20Ri7jz3m6k8eSYHlh4FcrXiLyFcI9Vl7WJzNY1p6j6vC87ojC ZfRSOXmXUUu2GwFV9fFbo2pxNG6KtOoykygLS/lCvo5RLideemU52TCEA+AtWbRk2meOlI1ybrQn QRgsNj/oWneOESQON7CRZpigr4zUQ79mBM4KMZdyRM5FNtml7upjTH7GBLIt9svmgQLEQFq9pF1Z 2ihz1ut8fe7rhUoWHY8TLRiUiHG62i6nrut8fDVzerJjAAwFZMZ3Snm7KIvQwtQwTdaVMSwacU2Z Xef90hmlP6BjksqpA+VGcTwx9kwmNr13pSNilSyXcsEm7RDSrwbJD7uHJqZ5xLWYHGiOGQuHkBZa KVi6gKykGasRAu9W58GnHP42UmL/lMzjHd76SiAIGID2c7R0aHR6lXtLqMYjGvURo39pql/JvP+r UQzSYTOegpc9dBMFMZOXRx/mpsUC6UMt4Hh+1y/FmviX2O+8c5FTxj2Vg48KwvGL2bSE4E/szgBd xuogInAPbxMcdqCMn1ZQUYL8tEmGeBWbSsglkpruZkvMUNMxlRAN08Stxnf+BdnxFEQSrd2abiQC iAD+brgWD3VhAsAQ67DQE+P9d2yYpoC0xs25N8GY0p8x8M2UsczfCiLT5osX1YBDSdyar1zEdHz1 ahGyYG9/Pq0BVvyhVW8OtZ8SRXT4HQghjH189DKBaku89Sb0LyD4gRqv7Z0tQ/mQVksPu+x0TYPr sbWXnPNZGY0C5TenDYuWbaGOnhdwPKbqOOLnPXRLx5B5WPPKf+ZfwiES9J4WmBGLjBVk5KkhJv8N nkotHjZo1/tQvtjs64/F5FsaI9dWGlD3ZA0Q/NTUAm7iKZsmMujvsVoWsBD1GPSGd4WtDtZtweL1 blPZtVoGcrc0IOg42bXRNyBURs4HfzH99AIy07gOhzsWve20YuqZQsrTCTC8PXdVZLhIWAp5pP35 yyur9VkWWiFr9iVX3WiwKhLkPU2W0cj/W7rf9QrvWaJ9qBk1xZW7CA8/25m6iBkT1l7to/H58Msh iJfZM9S7k1TYFaqofOcqyScaS+gaMCpZNUY3Ygs8NUtqwNl84x6Cd/mg4CfmTA8MaDhpO4g8MjH8 TfwEWgb8OCbn4zLCRajKlxSgPwG19nDVwWqY2vsfpd37BqvZ7EXpYd1vycrXr9nHUZ2ORCkMTHZR FO/veidYEGwGUbspd7TY2ErDiaDVi/2nrMpYTDiAMu69vzgr8PvW64cFQ7ZUM7b5VCE9EeAST51Y BLttFWZSMY/lWMxocnxRAV+A0ObTMjPdy0k8mnraK0lcQoSFkiCNOF6rtlWKORAo/pkYj0hy/aWz aAU/SJ+L+CJW4Diix/zEC7tCYryIIfAPivhbBmfxklgSaQ3BhTVtZgS7EBwhOue0nG9kLtfZY8GN CFv3syEdoRROnfeVhossyKnoIjqmc3H8dLHXr3k3zwBklNLQzNGvXpnA9r3+gUcnfi0TSj+WfUOq agliSiLyul1L/rfc8Snr6XUYh5J4XncsSvvDtX8OnzztjOZyoBEYLwjFvcx2wPq2II76w1fiHJRy 7TQyD2J11ov/fJp6F33MXDz0u9SLCw7pi1qCKTO1DBApnTHaulKkc6diN06ITKGNFr73eKiB4QLc 7BD44Ae/1/zub78ZoekUE+Lzl2xqGBMkMVs6sl/xuQVPTcXOpHWadjQ0P5o3t8eJAAAlyDGBWOyl 63Ett0+bHFpKNWoTXVt/734ThIXYXbJ3QeiFl7G7oFRCnGpi2kpbi99DxdCHrr7uuhOrsYJa7Uyl KTDj3hT90vW80JIoK1PsuaaHb+B95pXqFwwWEMpFB+0SklmVcGpAu6Xtb1p+YOmIcZ1Pp4nEyjlz plxD0VtiYismw+BNDcs+OEIB9mL86DRW70RM7iXhiIJtwtjFE8IV4BHO9HEL/OveuEVe8Hal3WMn qVyXBwCt+CrXT9R9ciQ7zNOooIh6YSY/tjYhj6LFuIEKrVGNP1rDWtnlWaNJ/8BVlS3BUVkdR9BG sU9epCXYh2XmdlvtpEvrOiqjgxTXT67tlqURhUUqj8qgx+k+4AqXoYvMaex8hoQnUYi6JheOxGh3 X8nIpHZE/7eO0+oa7Z7P+XtmH9VVLf8+RcMZqHDhgxoEz8CqwNrD95SSN/x0GSy5A2GPFVWwjvgQ d3OxShOcNKYvJWHbu99MHt3sJ+SycJh1YQeztjf7ykyUcQ7hblK5Z5oDu7QUHpblV3AxMt24+Q0G 1EE/Os7P7SknNw2TuMf59f2y3nLCPdSqdWezD5NMETaG1SylwWc3C99R3IFbTaiutTJF3YACx6yG e2oTIEPwQ/YNL0cDsRIEwMC/h7qp6LQUQTN7d6ZJulH+6pHMbd/G9y4dNLnlZAcn+A+EFdb+aGo0 4QUR6TACb9Aka23a3G099AZRYFNxsejGZcuTCtJXCtcmTAt06mxYGvC8HIywxXKwDtg/0tODoQcm wlghd/6XTZFIUDqsAsj2ETbF/vFSr4/RSPX6ioTse7lRUScz/rjBL/7xbbPialIv2Tb0x5cN4jsN dPuJQ+0XhJtHsfnoUxIkck79A4RQLy9zpI6YIGmmltVW5V2sv9GWO/mslgWlB/i7xFxL00bIDLQq h1x/PXka2cC+6n7Q905R18IUWjc+xKXoPj5tESpShP6ET3JVo9aIEjgDw8u/YZp5JiUxWKR3z/tq 2SkkCo5HVWHDWoQYDsBqNrvie8fdK4dCMiBkg8/IHSQHUQdiINbDd17oT3jWOy3HN+gseHCoH90R NoHepctyisO2ETWH9BfIQyCQV2+b8ira5XDur++Rkt2i42U2lyfI7kEetvjqpgfkCq2CsArJRH39 M1Ns8jLY6MxJypofkLbn5U4SpK/Jx0KVUfdxOv+TJvHAJWeHsOCSbjx7FjsAtPJ0PwAwC++D5r3c Ja3wgWd3JaxOAqDCSgC4jVJmD08Hxinpnq0/4e+7/wQnlUnpBAws/xLUBvAPbEXzGNaB/VpLmQIC huahuOZ0mjS3qC3RfFVxmIURVPyw/w+OCM4n+/K07PUAJxhxJfpOC2uQoqogjUM8casesoGhlumP gWXVpNt6oxtHeZNpFpC8TnYOe+Jt76pKNlNKKMSx8Fuuz9n0RS4P3EiY0NWllzHPFEZcRxiRkyBI jaSb7vrTD+FsVWfpUN3IbbavlAq5oXmVPZxHtHyqkAEmiCIJYrciUP/g9Z0HPSQhThvervyX4i1Q Om6Qog0aNkDb0DoR56QjMnf3QXTMiPI8uwtlt+NanNCxUDYn7RMjEEVxoPr2fRrSRF6sYpc59F0z 7dU7ROd3nSU3nMahxL3cOVkLTJbEi+jC1jmiyCHcQkOQwEoS2WZt3rpu+7molD4ZfLu8yxJDdnCq fUaEQpMKFvmSAZH3F+yoy1JjdVmklcrd7k7QagoKKiiWG7D96MTbDl/jvCx4W9ADkVNcPZDAxsKc rlz+pVxLT/yPdslipMM8+0X/+TDTMjVA5wb4fJAOuk6FLS9VS1OcHTFl6OfG7zOuJW1QK5BQ5SAx fcJXsUtakhfUrOmsPBdPirpgUByzJo3wxaYJiaDoGgFcLwOCeolTiT1TV5ZI+5d8026/CXXEv+Ds IIYhWKr8uFGYMWKXBXKToaS8+hQgDrqs8247YVB6wQ85KLKel3KmucDpjgzDs8hJNboOliQEagtV e1CyyanAYCcNN/kfCcIhxY4cp6euFGcfp+I385Q0hsT4+h/nht5wlBXl7+HTpaG2L2l+JTZ6ms4n S1nvcXcjqrOTs/QS6boXtcQMdjpw5iBBxZP5+ZiMY3OTpMEJ6zDw0fIUh54LFguNuh2vwtCm8ILh bFI7kFZzryUYDGVoUg3HY0ArRqtwuZAmJILOQT2h5skaZGn9BZbX8iaCf0XDQ2NnF4hvYBX00GMW 0WRKUbAHEjoZSpaYLCWyaRzUbxd9HRIsRp2rPoa/q2p6SIBeu4e0bDYEegCjQsUVDBOgkjc7CrX8 zHCNDTzQbCJfBtWlBYaLX+DhP3X1cLJcvel9jY1vXHzkUplQbIUKpkaxiOAEZEiR6bQQPAUHHlWx m9D0piZOOxnR/r9MV2ukryyeWiLCefVYCovmBfhsZ5wUWUWpkVplsrvNC1+W2REejdsN4UiS5PP5 kH+yPivaoZb6KBKLlX15zhgilYPNZY/Dje6IcvTAcsv9bV9SwsPSvhWavWCP71Q69eq2WRH/I15T CXQkSJvthOmu/GjkuYougz2+F653+vhGjSC7qAyn6572oFzraY4x/VHYWiykc3F1y3KoVEp6ZUw3 kbmj9zyTxoryVKd8wpcdifeHZZ8hF6DSMjRYA2DlBcPE8msuXY19BZAoZG+lZH+TzbhY8M3zAkAa DbT3hH6cCVZLGKC1jdhVfmjYHc1sjjZxcbSnJRvSPSDefLfGZjjXsSCXRjYaOmcvAPLZQkty20QP J9FhUXd8Yhmdt8Okza/jlRfT3aHRuTMh+bFvO5iA4MIc95XdqoVOgm36StYhqA0PlU0WP6utxIxT 6eJQpG97BThVlAUT19iZEmPov85pNBG7tBTbvv/i1nKlEgFUznj4ORHGUKAFNGB0NVCkT+ApyPNH Wkk/vB2gzyoH/ZNHFqMXpC+VsbApAOAtWZGyPZeFxXbVL8H5DOY9txxwS2NcJS/2Fa/CBQQYguVR QrWNMkTFbN9BbeSARfrV6QlBdu5k+gFrW/X9fuCllsBwCDREdes4BDDtukmSykIpNpbXd9kLi/wP wb1gAEpuxz5WJniW2sEN32uTya9BF22HhoNVgnHpBbZe5B76gUS+cbv4tbF5gLf9kZSLzQSzhim0 A16oMzDvUL5Dd2KONGSEkumZ+j33EnnSBZ8XQ2SCXic6b/rHSB7A1RvUdwciq8AOFLSeCQZBdJ2i MXb5NgZSdv6q287S4/bIESCahdDv9YUk8v5O3rFBiMLCRbeaFNvaDVQl542Nj4mDkw7vkGq73ogc 0O91SQO8asgIq3F5NDXqq4W8no5LUR66GIrBM2ZlBGL+nHzP8Y//3mRCyiMGekJFZ6G0PiWVEzIz 9SIfrss+fndVBmC8CpxnVEXAPMedIyMgyl6d67yqL4DQ22F0FdOjwodPyWqQ6ZKy5YhveSsFDYld t9t9cOLJpwAa1VTTYZJk+SiBqUIodPWuhfGiJVgx8IBqzxWWtEypEW6b3lm8bmzNeFdoBGG2bilM aN/Yx4zc9gytmeKHY3vby6E/dUpY2O30r6lVyO70hGcPcNfVtKUaMZ6Tdjxu1r0X1xKq3l1mBqA9 qDZ/PATDvzFTLpNTOPtQyNG2osSMY1jkrMY8xSZ2g1k3GZcLa3eZ3ymZ6o82C6R0FOXILYBO13zS vYEcdzvOTsjkrcI2KM6SND40vMrqsocdpiPLghRpuRKc3muEWuzovlPtlL7VuQ9Q7FBXCxICj3MN kFAqHSXa8ah/spEB9QNmaQy0JHjPPL/4nM/f7yW1hN1ZUvh6Q7riPlgdKkYeeGb2Wg+16LZbQtFU 2C0JK/180MA3AoLQUXtGRRYq6+0sZbTO/9HijEhGfLCzvj469WrJIPmUTSK70nPRoDN6zk4rw9MS Qb3vFy854YVfj7ZmhEToLkKKVtlpUBHEUbeQ+y4GR5JLnGJFcSr3dYW1qyTBbtY66JsXvkwQ5NI9 nJ4tUygQmIcrZxWYvcU+Rs4omQok8qsqFOHut1hKmTR05BHeHQY1jYZTe0QlQ/au65h1r6Q46qLA sDz+0MzXHKtDDs0mKqrGTmsKD21lg+Kb25691JVK5/y2z1+vSgibN9KPLwoV4i/WtH3AGLeWlwKV ZCcjhwmHGpNWREfTjTAjf68m8lKb9TCK1wtUV7q+V9mv+3mA5LZcV0h3RG+n/CD9djf5DhqZ4i23 ky5lPhyoVejQzK1xG8eCyIuhJsPV0AXO6BCGndRdS9YCuZh0PANzgTe7impYXyu+Yuw+c/Q4coVh Ju4jM1kWvfxMOZbPMxTsBpsc2oQafOyBXAwm6UDUXml4YOO3Y3eTCivEHKddTYrGgrYacDZqqhll 8P+hmBuXMhviAF0+sBjgIR/7CAxCcrCLXV3is5wOFgtYPuwZ8FBI0mlXPmy8TwCY3nkghPYij84w +HIFP+utTuQfpeZgl6TuOfclZAEGYZYBJMsLc90ijs6d7Eq0jtkAHEE5/0JJBmGZV//xFmuCam5p I1LnI7tyqSeKFsQ8y5A0WD2F6kXifxjTRHQi+ALATLxIzFeS4OMa3e+sS8TNN73/FpcOdgnrJPbr EMprROzdBWHISKPfGW90AzcrLJzYvONaZSdzGk8PWHoZepKRW3/bv8QXE7bEAkwN3Wptl4nMIwdB 99AKFc8/Y5voQUURvkQy9wgaQn7qko1dXHG+IVNnhhdIpPvHWWHTUP4KLr4lpQLRvlQqN7RbYXIP qG4gel7hkWD0WvKR2fELGMWZiJ0YwvGPGBMEVFKmVBVpLopR20IsPolhuPmVMXZCCfmf2XJZiYVm VEm0eEbQJWKhG/cxHGqbkvAdiSjE80N44FW/T0LxvuLj+jxMzPTk9DKfu4DWwF20CPS1XQNbfvUN fYvx4rdO3r0rO+BuR0z/vHZ94B1THpGmJu0CPzIWdGPdAAdU0T7BR7b0kAbiATnQ9Fp+lBX/GQGN IRHer4R/htBEOg/vIPWyfKUvy6iieF4wzYUYZWjKZxZrlXQ7PBAGUHbCegu2qubI9BQQdDE966J/ 0KceNFnKxsl0Lc9wyliIVIslSBs/iyRLlNSoS7jdCD68p1IoqkKbm50O2WKtzzAxEd1v0s63lild QpxZNfYNKDQT2ZdO5ab8U408wl4PS8zpIaWRAsI1/UyY7wrlCq2ThCAqSFTUj582FjmuwZHCeqUB s7/25d0vT1VJIAXNfUFhXnWMV68bqvWT8w2HwPqes7csakQfcd23OF3yC3yfA7PjOOjc4SLRs0ly /X/OkUYgqxs8RsRM8XiOqjjwmsvHQyzjAVDKhxns5Doz3phhBGGb6+Giw2OgXJXSEVYQ8yxBEThX FMP+Q1hjW0UA/nejPUgnOY1C6wG7wRgNBbKRhnw0LkQ3Admpr88AbWbx/Q/mn4Sm6EM4RMlANaHn Ya0GcL0RuRW95H7GcQ== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_v8_2/hdl/blk_mem_gen_bindec.vhd
11
10218
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block aoaiVYtNKEi2IphLWpIYjhvGKL56iz/1hZrHOqzlyh19Tnzq147vxUgLj/EcxcTLR51bcs5dOszO wlKfbCa4VQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lejGYRNobHIkkh7AcLRdJgDyNmrlmFzcR6R55zgS3CXlES5RJ/8KwMnqbXzIWfuTKb2xwqA/Publ ritBFmk1IUZfNdhlN5elCoQ6cAJiogE069ugAOLS3qmNg/lXlDeToZFO97UDDHA6Pt/4T1hGxQ3R ViWNx06G+gIkLYtoiaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fXY0SCBIfBmx3C+PAIngrpitGp84fUtrDM3GaoM07m/CqZ4bE1nhNZePa5i9ju3W56rRhWqZxF+K w0TufAJlbcT7yC6lY4Xt3pnRw1vzdLBRwx1IOkHCc4/Fyeu/eZ6VhyeIhfOPGfVtzapshmhcH21Y hJu37LINaUhWAWc4waocECWLC6YhUjtjmb5lfvi1PIK9xzCgIzebnl/OPFunVaEV4GvTJ2tEDPYa yMKvOF2Z87c0ocAY/DVhXZCbpgoezPS+vCDL2PjtQe5QuElrABhwbYtHIL/kDtVi5S4sHHoa40g+ c7GQWmkJ19H+WhKrwgwn9j6/ej6j4oX6ALcLOA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qJ5QYH29KgWTd3pRXcHFFJQRAqPmxVl/Ikmgsj5cO1yp0WvvJ3LqgO024s/esNqGuGny3F0ThToe EEugA1rC+gsVSSJ5TES4Qpa0MYXN/Tn28KZuXOhtqq+Kpw93uC1kgFQ79iBlwtg+Pt/d6Gs3qOuA 8IvGyiu6A39e9BuaHms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qXXJ2SQBq5UdS8pqGKLP9O1fOlC1GJMDsLq01JcpbvAYvPpDIuFgpnWA2MW2y5sWKxl0eFFo7n0c 0D2sV2PYINxxnSmeiHhAl7rjUlo2/BicV6mRP0CB1vdkq0bGSEmI+3wY7PTq1IXFFqZqeY55Gp95 8Ns2SwigIHSSSUclFogfWpynLrhFkjBAkzA1XYOdHTX1UKikzl/w58cor6e3pXqYl075iIwAABz6 WZU59++1gEuEDc9YAzZW9GtgcsRblmm3CbJoZKZ4MyQcgVZm2uRSQTcgD4z3jD+ZlGIXu9EYy3wU ma1EpkWO5L1EZWCgb433YoR/SdJALYQc20+dVw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block rDuxC8F163jNS0GGKhEMuiD2cBL33FtltVj3vzQSFMiPaRdGFoGMKkxN5vO6DRcdXs5oUrr+luPO VIK6o2akDZUXRM+a5cS/+54xYx6P5C/muio/NBgZUf9/VMtRO6UG25B4uJ5yaroQ+ft8yFhjDgIi PinhhJKkR7pPpgc4pm0jRndAG4MziPwAOEYgGht4A3GVCX8M6TS5Z0lrwnHKYYfo3obV1z/Sa1fo wMrzyHxuHEjkRTXTKENS9EHgmhJEJX+JC68K/N/T3A7Bc4DADj6WZTGtkaOwWZ945Wr7K+4qNVXN +TSGXlbB+dC0TC7xSsZue6tJN2Yv2o1rwNedyfw+kN6wwP+f7BFpbYMBnbovmbCdS3zjs593KCF+ Jia4LrmWyuZrSw6FLKBEPA6bhXYJ4FbenhX0oFH5rJii1k+I9BBnuypVFo3vFftFyaIk0Xo2izXb ty1nIudtCTOg3AQEFpSzC/GQsjYSZXp2IfMWEt7sVbBHu5D0KHJQIzW1joJFqlzci7eFdhV+Uz1X 5v8fycIxd/trTPJxYwOEdllnXAeuqK1ef4lj/TN+alL3BroB6ESrk1j1mR1V4X1h9KEJncUINhpC 8M3iLzC0MpwxsfLjFljsiOeH0On6P0jnNU1TgfCM3pezHcXHJ5vtvIvKcxL79WF0t43FETw46N+Q hXZDPzOLw9w2wuOFx1gNrEJSxy45C5Qz2f3erkKXSZr0zBOcK71ILebr5IuscSzAmqUl89yaQai6 m6IfKL19RQqW5l3JWR9ZX3u367JGFC8R8DOqAoPcFeEQ5K6k1Z7TZ1iSXmqetXyaMIlj1s/fDA/6 gbgP1sj8N0KClpL84omws1kQRYJhgECxMLJAsY7qhqDP0iAj0cwqVxvdzMaL+3rpBlF2VXr9FLfi wsfwdqcknfK2hes15FfuV4wtnLG0JH36h6hd9oArMAbfxmQqOJD7uvxMf2a/XaouyOIjYaa6YyiS ePDpGx9fsbNcW9HQZphjxyW1/Z3pTh/lJ5HtFeBSZAkKNWB1ClLRC3PQPa9sbAT/6H4ynSeKiCzf FdzRUPDWX2/dHEEX5kx3qJw8oLP4Taw5p6vsxwo84SUImE4TgGokzP2eXhCp1cT9OZjZ1uL/lScw LPFWhHL8yXJiLI+UPeqL5pD3Yk2/0KRZVbsetDYP/JgfXx7O+I9ITvMmiTIdXJUeP/54XUHQnv1/ 9+X+uQTDemfjXKpFg2Pwc7oJQhNete5qObTDs2O94yUuBrqytLZuvCq1QhUE6xkA0Y2ohMq1cFbf zW13KL3Uh+hQ5CoBTeUb4TEizN+U6Qa0UxqAJCBC7jqWZboPhrPciY4ecCxBfhta4tcoc0Ctu+/7 OJ8dPMCgWuO1gc7IzAAAVEpq6MVvqnZqoGhm2JfoZPr/Dw4dBeO0RkhHVRT0tzqg8Zg4LyD+Prwp EAFiGMmTKa/TLh0kij9x/rQbyhiVFqru+GxNZzaGA0spNjzoPYdO8cWtScyOGXD/IeWhrpS6+6Af 0Hax/9nLdf1DvGwBbE0s8YaoF0mQIgcQQGOWO1wTrB+IPNvOZ5r5LnpDnoLaeD8SN4loD0tGjUmR dR0+CP7OAyyUARR0nBSQhgD/ESzVauWeH2Ro+F3A7qb9EAx3FUIj+tC4kwkaKhRRZSIfWYixowNg xzRksKDK9H/ripzPBcMUA5LaGZMeZqag/vRXUmC5j6Pg7Yfhq/OXvC2Oiv+vU9f1Rn0n/e+NMdWn XxblTb81wk1d97PNeXSJ7zGkSv14XNikMZkkyf7mWmfKKsfXVLjlI23+03ljPu15JvwAQZxroF/2 qrE8sq0hmyQ82XoXtfiAv6gBZy0NaMxH2cqfF4DvkUperIVqXu0GSNILUspnKyO4RF49ISJ721w4 iFIV+UJdyV6WfQFEBXZ+M0ayFFloUhdWxBukHP6R8Y4w7aSCa0b4xuvH4AILnfD8Y+MdNbKoiKWt K7r5bdQbIV7bfX7t1Fvrp5QUT3TT/oDXx2NXf1CTRYES8kHNGGz6C+YMRQfKlxSFieLCTx+BLVuL 4+0+Q9s7tsj0QPYUdp4wvZndeVKFjkiKB05P+7CqNtoVBhxAfLPWHQxU1qRISwIMZwq0yDpP349b BVIlFwF4o4uqyxm+dEc7MAFlDk7ZHOxtBCIdI+ofzzzhfXmJDDQZUaHMyyyOp0RB5bxbETUW3Oru vEeGLirhRXZqnaiT6MFlYI0iiEz5xmhho/2q+yVDvkGFxjIfQtNXh4b+UGx+6ygQ/z4eFLbpsHGN z5WUcUX9SeaMj20tR6+kEbxskIcpczYS679Gdpxee0XIZ0hkC8WjqoLVz93GjqJWsGpKJyg5UzpG fLIVZ4FiOHKEmgeewwMEI4bNMMkSEGxkgqyW/YX67ZCScwHugVzF9niPTGcau+QlyC/yPUy4txKY NFVzXD5U1cYvGdX4ADrPEbpvfiAJ+E6FHEhwBzU8MsjonxKRNSf/hxM9E4nSGqWwtZJOkcgGoLIP GZXLhWMHtxXyUVS1hL1Zv9xL8mOpdu/MkRDckgDqEVg2loNMpnRskEhLiOHPu6JkSOZnR2kV1FkU 7W6WfkL4RRx74NisFFSF9i0ljLyGsjHdoTWDhLlbHKhef8t2x26PgCaSpSPbquBC29cobgy4iGM5 kEMHhuDVBVP8rhbCj0GvnNTrao0M8Z3suTR7sh5prjnRCLBEzd+gBg+LHkdP6ennlKc3xIxYsLoT 8Z6dym5p4U2jYmLQK1rlhEMx3oa210nom6+Fx2vprtu4c82HkxMxcW4YAZWr8G0fppTcs7ZWDohs 84MfuXc7k7AvNX+cQ8mE7DTwQ927EJh6S5aj0xvwlXAm6W2boBuJO2H5e4ZlCeC66W/Ae8UJ5Iz2 AMDzt6YWKrjJQN2x3H2MXFCpxU+3+lnZ2xCXVuofVMDHTxdRgMJ7IqD7rn62QMaInDfVf2PnUql9 94VuZnOCsj33frTN27WogL7HzPO/e1yYr8gzYzQDSfguM7HTJ9lX/U0wc4D+dhpVap2dFX+QN6Gg xbiWH1EmC9memvCPpi1wa9viw0MmOdd23nbjBp9FMqh3ij6o2AMMfc91QDw/1Aht25d2WeBfLmUi IHbZYUAmkZ2lCNWpeD0z+STzV1IxIACv8x2VT8SduRvwgZ7bIOyIaVEOHfJrZiRo9JHx/n807lPg /mgjTiXmh4/wuQ5xlWo7bq5K5Cm6YhvCKGWvuNHCDMv2Hq6sHYMdaD1IA1qfszd/HijUmEHVza98 1+KvKaXtIvD8InBM2/pqB27lss75l4Gfwm9UjxsF8MCVhlFdev9ryCXeXNxTVA+3bu9Bn8axXmUY 3ow3vODAjNTm97emAzywJi1q2RNcKrV2QgTUTHfLbpX+VafDcJs4oNsZEzrt0gyt9EK34WRqRIUZ CEbGahu9xjGmRl3pBmVealEqcfVcbPnfW69vyI46Ewfak0M6nu+sPlAvmusV4yLd1G0hP/p3RZNd O9HO61Yt36nMAnkRa3kvc8UsxjnkV+3/C0bhHeAkYocMCsTUkzcTNmEbPak0rerakkNJmGLcvy8Y AjiuKe9ivcGeo3OKbgYQYvKmYUGAlQP13wq3OTp9sGXbKBeYoafXf3Yg6uqxCa+su/DxaFfOkcbT MHH8MYrEl55Z6ckLRvqyKBtFclO/nARuI6Jr5iO3Jp1KouZVUcHOGmXmrcklWuSFn+IuYhRohBtH UtwNd5ZM4LpKrHeQ+83fcuVKPnuHqScQJyToBFoT8SsGlWW9lznjzQIPZHR5c4OhLfiXwtP43fBZ 4DgXLk3vAS0aPVkfVcOumbSn/Xa3Rpyl3y87Zh9kqRyLMVO74hRK+1Z3dR/BiFccMCklHeW3Fqm9 IbGnp6lnws7SyJPCCfOXKDfAVhSAa29r5rOIOb4M9Y4FNi54G1Ff96KbGdCW3IqKU5ULuo2OxOuF 35NGXX5nWfVgtNSLYWZ1N4iAlb6R8Wuo00nF8cVEO+UHjmkUMPKcoq6NPpGb7byryMZUWwflJGAt kPGn2zJTsAn6f6R0zLlYOCWdcpjeJKEgPpe5gFfVY+bPeGB6CXeXJ+86yJIoiMQLJ5lp3fP33U2r OR5GAdkQWDGR4hzIvLgH9h6n5vsQX9fnKoTZLI65VJkk4IZpietYQmHGOIrjgmR1odV0wlSLNaUz /nDSPra0r2iFJL0w/TdCU7FX5SjmUHmgn/43w8nN/O/3d3OL3tj3XeWwVww9yYHZSq2RzIGdB0K9 Yo5TdZJuKZWTD1n6bepoSbaAnQk+5QJUFsFwU0GRl7k8H1xGaA2CYh9FGp3FVJQIHUk5bPQ7h4k0 7PLIl8U41WFKmIJ5P9LahtJcayyeamqNjptlES6pWKp9KbvCT7Mh5exQIVpo1ZxVwrAOBps1H+Hs 06ysIKJSso0ijgjwB7LbRA9/+WtJa8AqAEmiTcxZAXxpe7wq3ToRUZm8Hj9GBOck+oPeIuyusmL/ bCMM6Xa/7dqljzVu0zIDn/qb2XQeEhNdDOEdMmOA4UPFlMm5CTfBya7XXRZe29E8MeiYCpml/MpC dspy1CM1c76yToL1Zfw1UMChyynVOd6S7EvanYowCLexIfP3Lk+sRLu+dR65SkzSoxudDpgtTx1p NJOrMrZhRX03/EgO6Pbspl/Ejl4Wh2oAlFfI8tqgaXjig6rQGp5dLaL9k932pLtuNfI7jBFDO6pc O/91Q6i69npJuICYs+fuy0cVlX+bMuJU6PQJpPA9MfY4WODhp/vcAGSILKo8bnOXEf34/WCtbARr mbht7QZl/zh+teLY21WQSqlMpyqsdi+LB8IautFg0Ck4XWeCu1eHAB3ItKMuwlA3JwU21xweqJsw gr9I8oj35DdrmySCnI0uBvSJ28LhlHCZma/MxUW8lYpv+8hKZdGEYQd9BxxpUQBRfyyDqB6UGLfN kRtfBPYthYVcR5Atz9xkDDEqnR7w7n5tfY+rqntBJBkrDJLDPIspaFLKMA0sM15LBQiatMcay9Er Ge6OrX9yCSI8k1kQd2D3Vhnxw+AcW6PNDldG/XHCkMXIQUXSLArgcN/oSPjEhRoVe9v1r4uJSaOw 89MZVTVesQ0JkNXyFlM5PsK4Ztjt02LkPRLKQcq9mgMr7VkBCseGXKm1iLxA/9sxH4w5KJEiOYTy FcupYL/H337mtzuHkrG0g76XUys5J/xnzW84eB2fWRUgElhulrX5ltiTqGn5AP8A1xZDSkSzbdAI SKbIQ1ZmtyDJxnkpNn2eursdmQrr0pIMEkuZHJ3g5jehGH/OKy/lQ9vg3vskvtlI5XT6gb+nEk3F 27w30vro4iXLx4X834jJzjNwXPnf8LD6vMFZOm2jpwqZOgKcnqPymJULtrYFD7hZt+InxNcUaJ8Y baYJxtKuOZBb91Ud/Uo21s8FgO5Yb+H8O4pEw4c3ZxkrGG7r8LpFVJ4P4jspPRaROB38NJv5WKoU wvlcr8/FSYzgPnLkVg6K/3UvZUWRIeJyDFA8lsmFbO0AYnTXDEfmGpkvgLdMCVENVmr13S02yujA VkR5F+RBuIY5g0adpN9slWq4V0P9d+P8eBm69r/f9wSbgHRgiTIrW5yKtbAT0VpH073kON/KBsuc AEQ2KJr6MRXRIDu1kg1kDnZ2phdGu6AD0HwN9wMa1n03rDJ0eMhATjmkL+O881z7d8Ki2ygho1g5 4PAMUNhN32+es/DppWHSl1K/gYGbE+AS0DGeW/x41V9D/LKv6vUniJ+bmMXB7+K3y1tbfExqQeVe VhqBP6u244dswWUhV4CdwcdiMqPG04v1/Z+ZJbd1/CWBm5O3yZqtsJAqeipFTcbVbwucc3eVbC8e DsQp8XwZRF3PCxOXc8OC8nt6k6IBxDOr+iaiqkOEjIt7yqseqK8PzUh7gABI6tpfoMOf1+4Tiewb /Z3UWIfEJutXiJJ9sZevDrfVQz7T2Q4G20B4hcXGmrgsA+WwosE7mmfKUWHN4XwuT0aAFJxvdLBG srQCDXrVQsjWgeQ3toaE0uUas02AkZ30glNCaGz7ceScygeJtzcpNSyDsVCmtdYP5hsYtGTMAIuz 5u9TQnfTdDxVFgZQhgSYuRRxLmps2uaLlxOsGbmjsUXRsSVaF7OIQL0oi1/mS7/O6tm9EQyQ2/XG BGpauKbQxwkVDf1QHnVqbGUgzO9vj6tMj1hyP2FKrDaEXT/9203NXkwc9UI5Cijj2UhZd1mjnqur BqIzcbegRkJ1mtEnNE8maCV8tXHrt6jctRUxJyWL7cHCB4+u72gXI0OZT93zk+LWAGlY8GnZQ5uT lkAzGlIkW/5cDIuwBsN68clAulIkx2ZoRZPjhAc0lga+lGGFtSOb7yc/Mbd/ld06qy1LyRuZqJt2 3gqFe2R74X5wWSwd0/ECiqE1EE+WhRwvHJ2Lv7czOaYq9KW/x2oato9yMTms7eppVB6cGvUdoo/s 13VbB6xUxuVzd6q8Rx2OTXK3eu8CdzyI95+nz/kp9AjFiow8xVeL1zXbBLrJw7QAH05EV5jUrKgt R6AUet2T0ImXSr5AYiYp4HpwAFEON/QlSSPq26aWA8RZKGPH5aZxFwq0Go+NPZS3TO7WMoSS4Yzp JA1TmnY/p73xEOablE2rzSVIZc8HrY4Irq9HBdOaLuVijshXjEWLwK9FTsmrRGVxOKQTk/+nEFMI mKrQifCut1axzygPWFT1GxbBhpCILE/GTBcNr6bwQZC8fqiBnUYy/UbuPGnS/vbgS/RIZpVB+Yyx EaC7YAq+BKehn94m/+wq+YAjHrsiiCa9pftqzKZrM5bdRSuvoSQLfwJLb4EI/B/AWM7sl8GR5lJe ZG6+OPKbMIyYuiib52abPf4Xk2V/pyMVFS9j4I/Oks7+8be6ZWmgr49C/LTrwHah8wa8+uwjUQFv 3/HJ1/ua06MRTDLyeZz1DTG2JYq31TfBT/raR5VbsMfLIk3DM7MmYcJKbaB1gX75WDRu8muQmfV2 c8LGyv82iXsTD5NHSV4/JLzx7xL4x0epm8hiP1NA/JH6O5shd82ZBzOQ1jqvkQ+9Hg5bjdnHrkLg BWlYGikxuXrnMInTD58p1fmXs61+m/xob7sA3XpODOf/9LCo19IEPkWqdDfTocmZwPNn9XK+igU/ Iprr9iT7EpVVqaX58qlB/QVdrxWWWP99nSuCmqYgsEIfxJumjj8dZGh/O9ZNmxUKHsPaNEeYMLAQ SP9BOCrqmLeodGFZtZIvubbYiFid8NLtdf+lLFf2oRjNNB0mdoElMHCoRiKuELaE8DjNjldNt5UV hAYHwjq/ogXCd4jQSl3lViWM9qtqORZ6odBUIA+glCp79VX9fVz+6vO2Ij3ZRxhRon6ksfGlQKTD k6dNDkCDPDCgSmHF+9lAXNAV1jc0JsNlYvNbe3XVBxyxPPC8ECD0qhh8HEnYiGmaTmJMMkdZt8W+ 23peclqwxiwZoLXMcyJUIuaNFvC7VRXEjLeJOZXlIZIUiic6IkAoh9/8VnfDM2eqSezSPpLz2SbV UauPMOKKO0Doa8oZmXtCI7wgdoYnnTiSUEyd/XpIFnUrbtQPjkITHEaPSxfpnV7nPqKn0qELAP8P INZqoxTSWaRyZRjnmZyZnxE1vWKA2ng3clDaNGXs8eVWjxWDRWrNzSo3jbzSahsV5pPTOEt/Vrst 7I1VBwMkxMxBiVMTjlimTKeziHtHoKlEp1kxn2WKwbURL93cyBq9i0uPSHps9+gcjExq43am8PMG bSdg19vo6EG3kg== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/builtin/reset_builtin.vhd
5
19078
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qOipVmY3fn0qNeJcBgG1sNHjIJOb4KstB81rnTtb8GNEMjlu+MPGjXbHiFsd7tiwTZIAB5lnmiSJ hkKu2/ksag== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mGhRFBwllporpLxI60+dRp/4eGRGHBGy3DIcDt6ess1EfQNt39yaEmH3/epnLkz2L6N+WBDQj0dN oOfOwEB0+WQJt6J1aAx6KHxqG89t+e/knjk21TuIBuV0M8CBHOC7jZojSH91xue39VWSzezWI4Vk j6ix3IW3HNCbVDBeo3U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XqbYI4GvYTVvdPof8LLtoyIUzAkdShzKP4ayR4CfhZYnWI91PJbQZOFsgIfX6A3BYMlkaivrvJiq YvAOH7CuQOI/YhtdyHXMKcOAJKrw0f5UDX2HWbrH8UCv2EeYjG4V0RAGXESUJ+myJ16w4G00+JNb v/RwgNHqqiHbguLSuARpf0vFVGScEb4WyrpgVT0NrqAtcBcmsTmXUC6CQqFFN6BvzDCreGQ0ktTQ dEWG9gkL3GIiHaF8fXkkxCgiShjAYq1l2R53CvS2Yxvvzh/Ho1VtEEM3cAJnO2bRJKD3zYF2c8S/ LQY2uCH6190IFW5CsgO9IuvI24DnaT/56aYHXA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block keYOERLKRipzOBB2uWzVpHyCDtBAuP1QXo8eS2ad1WXCfAaV7Rh53wnMq4g4cdIneM1VJogRYc7n 4kuvPEeCWT2XjsoJR8WeWZwkkQ/Sdy8Ne1984QxR6E0W58tT6AVA4EJIyFyNN39PfgsvfYIsNplb 76PRpomFk19FAVAU46M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aM/cTcRyKOUDxBTfQxqpzOnnTVFSI4bzG70fvzamupKuPyIi3W+LLR1JwEW6OqIVEnQr0MScTes/ 17LlIwNY5MP0NmQJl5RtOaAZQJ4auyDcvhC+mDQn0tsqiuUB4AcF+1wGYxgwVY9p4IGAzXx9vaRD 8UOguvI61/vR59Z9pMhjo8cMXes3QBPww/cGA+HgIG0jnlQZ+UmIUsPJKwOvqbYIqQ37vTVtVX6S PtvL0auT1SGCP8+Y2HXBCWOARJ22MNriWrBi+HSR5WCTJp8D4S8LJyN26t9S/LuD8hneZO0EsASm W8WTOco12jpzuPoFpAXJFrDmuFd2+iPU0Eekwg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384) `protect data_block PzWnawgXRBY43rTD01QMUX3ONSElj0BrrBdOK+wstylcq+EYFPfFjMffIR5wO8P/f7BcdRgcowk8 l/75ot6e+g41rkeZRFv1pRPLXnD5KrZknYweJ24moFgAxoutVPbZz6jCoBc54n/+VAg7HY82R3yA eCUd1Glp9IbdnbKfLUU/xXELXzcT0Kqbsb5qGFxb/Ml7jUwZ7xS1ZwUqpX2vZdrFKIpdN4w1V20z D90/YtbJiH7Fld73+2axzX+ZFTmM8Yj5kg3emgOSacN4bAtFk0LG5yVr9CYlm6wjNY5STgje3QT5 TYxrNyNTc/Yoskiw77XqG9xtCsV4Q4gMLMi69pO13fkNS6VqgUW1i/lUjli/dLadmks1zZtpQSjS 0KKcf4cy5tBqlDAQ0SEz5Zckzlq+hAH/2QqkzyVfl7ssQJxYDaTei8GKJlqb/MNnkZR9PyAd3E2x H0Su+tD2xRjHCrXrGyeRxmUFAJk4O74FwvZ7Xh0aVY4+mEZOxeUURdVJSg2FU0r4ZRMm9yTYZHvq l1pUH/69M95YDJrj43fxIudLBZlXU61CtMQwUau2kQj7qg0gkb+ROpCzDzxVF4K5OY2uwmY03pX0 yJbefQS4Ysx7L9ncTYpjeKANPvGuGsvNianpG+Gi+m9gvdUeCC8l/nBygIX7CKAa9P1qv/ixGDyk pYo3A/FZlAzTFqi86RxQwr7ZrF6bYbExumxM/6iLNg/AJlpvPKDoIp9WKIQtaU0tEViwhJNikQtD Ste3DWHnED7rGQCe9kzGqDbUKlBRiZG7J54i/7AWUAisqRgYXRKwWZpZ+r5RQWzhJUwL+KeQi2Tk ZZVhW14q5ho7rS0wHEn4naO2Z8cMMkmUrnMSHvQl+UPr1OhGtEUTREoPh0p6OpfJU6+ieZKZI3lN vEoMnlyVdaTZpmn8JlEMJXSWi0TWyqgF40rmCju6W4gL2MDQSzhwoS7WFEyoVhMFBAazZKIWsVoO n+jdNLUg8svGqrIVOxn+1oICjZPDZkReqnBRrj28jfsM7FmZMu9xfY2FQRMbZclU0rjQtiGTNDaj 2Jwk95GWz63ysx66wPNx/7r9oh05NnRkLFpfu1PPhUKT3Rf+CDIFIxBbDeN01uRsGqk9xKErAAhX jBqixtnx+dwAuw5ptrKt29ON0BKac5sL+s3oupVogtVZPRTCx3vE6EbDAs996ssIJUBt6pJuiyCF kZ/Rr+kL/kxk08s0L+EtONRWdNVQqyHLIPZEWBImzI7tUHJmdOG7SAe75bvb6F99nQ3+v58IkvMV bgdKOoxYtQQIfVaseopLmfIqzFbnTjOTouirR1ubO82Z5rSH/CXJQSs+t0nZEk3SKOHabE6XK0Mj 5YobPWQneo1OctVP+NUxKyVyqSd/cF0TmpdQKqUucXzGqmNELy/TBMTplALZKDihXTQaULQ8XYG2 KfAFPidxoEoNIsCr2wq64cTLPIFh0CbH8utsFs4FpDLKm0ToNzd6MR9AmCapBFn201J+QheSBgun 1AKvMm8uTYzJCQLmZzfaQ3PtEwOG16nG0usFgn2xOqvGZxIWK499MHgWIXJMvLsXC2u5LqWBvrDh srE0Oh3G57q586r2a8q0C/R5vxaIk31nc9JFHBj1o2NUL0UHHEJWni7s+xUZdBVKAkCpgs1/gBAl q1hsgcZo8MBup7SX5+LrHylqmfLepoO8xib77eGuGBSQXfB5KKTOeq8wr5gKB4z/Fa98FNWTxZ8d A0Rxajn6xDf3+VRZPG+wdq682jqqM6F1GWG6hJ8OD3ylSpXXEAVtFgqE3dsvhqQVbtRgEyD55i8z VD9xirPlutn0MyWYBSbLdNyoKq1JbyCtwg7hcOUjudIH6NpbSukYgIRTe2jVRbNQGCKHBigblpXp WTq4rSTFGlPgFsIw0FZ64zCm0Z8GcCKK/1PrchqYfffTT7xxtZ83wgX7d2F6ARq6sTRt9B/tdd3Z jqEntCSxY2xa0YZLMU0ZcumTaWCqfWFthhLcW3godpMX3n6s9fTRNzolsYJNkXNt9dg+Km18kyh+ 0FONeRT+EkHXVHANAQOEIVoyybSZvoAkkXLmcdKKtjMG9BCdHdPB0EPRsbwspaEoA7ZaxcYWSvU6 EtpK/1mFH5bDK4rHU900ohDO6xckmfUTb5TdJJGI7gct3PKFwt2kDYoCAofHk8asWsZRtNo5HPVb rz1BVD5L+htIZSUDyLAhBHpiKuDi4zfUILRwQkZAkOLB/cjwjlhh95cFzPTeP6A2waR4guXeoWra 8Ptl/6aJlxMQy4d+wzuWKR7aa3u//fC/nN75AoDQJzavGZjd1owObelBYa1EbVy5sK6BWlAjym+W 6CqG48EPjQZKoRmgeiWRPbNCBiMAY54fSpUCakNtvjWZ8LaXgBG00FCLsNUc0FVDp8UQS8ifNAK1 9Mq+qOBYM32Lh3/8sd9R/SygLCY+qzndwBNyxGhtDUQiVPIbNdM2BWievUVQl/1lnvrkgVzIqfHj hbkHj2vsqFj9p4V6wHjCcIPKgFz8rCWj4vfQ6kGBkfuLkl+Y62sMbeo/EtNBmFwiHcRQiGCVNZ5z 3yWj2jo2TE44ZDuTQHNKdMIHOr5M9fln6X3u20vehUfyg50IRbHm+bF3JYrGXzmlGmMSTRX43GdX y4p7J93m5j5KHltyoxc/rDBM+6k5oxFh342n+RobAwD5sf10wftxFLX8dlDLbWzbROX274u8K1w4 fQWAlVi6idhYxRoUAoOfjxbhHNJ0PmivUiLcdQqEHHiBtC/B/3slcX4xB/JeiKb0As6/gIk+94zA u4usq3KmLmrFtmrcOeCJ7mAdRJoQYi2TfbpkkXUcpHzfoubQCdxCVH5mJmH73hWZpamruBgcbE11 bjjZ8VgdWc1q0kmEmTLicvfxwUV/sSr01l0mjw+dUkEBj31GD1CSmMepuQQW3ZgBIc/9gP6/S+SV RTqRV9eoAcDNO1gdkkOhR6FHWn1oOxltJpuC48BY+SEGj5dU7vf626vFEIyZqoi6nvhDK4VJeFrd JrJqjtMW2+Bz8a2ReA9YXN0F35XBhsX73v6h61fjYprb4PGlKw3dm8kLIvKjFLa+kOD1z02o1YVI 2A2f9cNEbnwr8K6pJU7vkqtVL3LNw07vCh8Hg9R/Ue/YYKh0pbw72KtX3b7W8mCGP9M8IM3K3CZu heUjAajEJ6JQHUS4Ggf5ieG2ZypwBzbS2PIk3QkFJnxx0Eh+7DE/vxhsIXZ9I+Znr0o8OgWKmjpx REQRPXc/Y8JXgZ39i3JPK+zRoT4/LiQptcwByDpfKfxqEiK2e+n1RjVsQBzcQ1Pe0/2M/J3lM7p1 qlUHdTCX+a3ajfR29cWIb/9A5gABTW4AnnrTy68ki4um1VO++h/egY+gZt7aGKc81VvLtezSyEBO wUu4DBrRq2vGB8tnU7x83Y7wOnRoIr+2/4AXJH5wIUJagtgYNW+qcVKbq7IjrmZYIzL1Hom4MQck o5/issewkAZIVnBGB3hafRmyVWdrhmAjfJHzg6W1g27E9XKVXmDqyQ6oYgrq1wqmuI5kpBcZRYQM ZLMdjBhOXkGmJTiXJj+nPxGX5mQMwnGocBvw9tZ9qYea8R8hxN8UZ5bT7MZlh9MeLvSnyO5NLyGS iA7l/IKyUizW5lUSQ0fZ8AQmQelwkJDkoyXDAeQsQwTYITYGqsRMHRm0hwa4jf9zQcNdZw8L76wf 7j0/2p3NCXX/WhzB/oTkHkOQEAamKihV7rEAINXNe2ZZii6y1afM6x08vxjuy0fruxitVg/B9mv+ RQKlTwd7V5ChFXGQ5SWMC+MMALHhvq/oO4D+33o1rFIW4Uvv5X/wB6UDAi3TER/pmFr+Dtsy0skL /uGT2IUCCwEd93FURvw30Pbg88kncvX3bhUFRKF3SPB3gIY6UPzb+tUp2rpBUxNJqqV4zIw1rcqI rT1EPyc0tXi/quv5IOveij1eDMzpXx6y5tD2QfSg2lpyHQmo3uKJ9CZtNSlGT2v974mvVOMfXiyn kK2cUWdg+c9ZPFB1eQrVg3LMk8SE+qmhVtH5FvrXk0/48rlCYXRd1qXGI2OSbsym0zuN9OITRZ9W 1i27QnHN2FJBs+HmS2QRjKOxXcw0nXElqO5reesC8SZPJzac1TOxuvkmvbZQNInYayyZcLRjaokr AqHCPIbcR94xiHCyjQ/0B3gni5IvU0gM/fmRSqXDLkvghksEwAIXbaJSvTd9PFoNcMT8qJB9TH1U mTyT/IwZYcU2ruFhbEw09bzYQ41GawJXCR5egQa+NTggtbdjeMy0bCdTivqnt79UtJZpBUoWK8qA ZnXcJMv80CXleV+RsW89u1DYeClfBOYqnBSeg9wppyX0iLcWYCxxkPfoWZv0Iw1ehWYENycn7W6e 5PwK9Z+gOFR6wqIoh3xjy/IGsEhCJn7wFpfIbyzifQBM6rrmKoc6UumSVa3ROxL5U7GhWhvdkyHH 7RaN/fZ6qSzkE++U98aMRAXd0u2MhpE9Bi4yTFjlL270SE2wxx2FpjBxhIIxmxfSPOsVOKNpGnhd CHsnFhxWDOqOPYzHKbV2uIpb0OFM4vcQsM03lnemQFsocmOaLtGAKvwfVksFWQqCe566VLKF4/Ta lCrNKIAMb4/vhAaVF5ATA6ao7CMXzXp0BA2xuXl7YFivtGDETp5lg5NE95R8BJzSqKHfRlwC3R41 jG6UTJ0muttMnzGX2kT0/gZkg4vaEr4AJtrUd0mRL1Q3H/zcjWEpvYCpEC4VnPlWG+X1QUpAm7oi ra4dYqyNlATyn6A8gS6eHoyLXiQt03HBgCCXReuZQkTdIee5FyzEpsHYkWINSY3CcdgzzVHoepvC SOlAhYZhrR1NMpOgvgBuWA1Za2ydPnM7eSuidGLPt1C3i5V07OKXQjDDMZu55EnCZGaeXaU8y7Y4 Hge8tgCoeNMAbIycWLSxpSHbu6lhYLsbqbcNHNFeXFL5+ud/ZSCwUhher7FZy/qQdKvhVMsUg/up jrSeE5E0KU+KxuWpPTTI4nnYz0gAKNGBGH0Bzbo/Iv48GvX6Lnm7w5Sz4FjCWR+cONfHe1DG/Bof n3zp4AAHl5y5klFD2F9wbxMjwzYavJb71soMG2f6P7SgDY+tqIFS7/9+JXjzIXbyJ1Fk4dEUNPTi ePT5PM7FSGUui1wtLoXx+xpE7/fmrEjKhHgdwbN9NZ3W8j4ZqctjZ3CiHIDAZuA9nWC0293SmKPh uTTY4R9bNzTRgDP1SMO/32TzJCi+sN1yCSf8pe3r0+meuo2Ri9Fx7huBpWnFTW3b8S2hI9VQDYoR FtmC/NjpxygX84mM0Gt94A3eD3Dcl3rXC+B1CvyUpkK/LlnGADvBqNEjNmzAbmlvl3KE6UppjQvY pJXbdCqebZjSYs8o5HB/RfgTC2CfehHx76dMjach736dxwsqM6MCjmRR0R5lIvahcKh8i5IFtrEq MGvM+5O3W1UBkr8oZYOW/o7bjFSNVeeWUOPRZo2ddXdk1Qhesgud7id+5uxuvc1+uJYesu5OZm90 ZKJ00llxGqq7lrPgsR/WZ4bxtjl2Qo+SlMyZAvquB0sbrqItCVgDhkak6cZpUaVaK5t72ltN/AMg ipAaUvpovkxHXdNrWCuFfG0X5jBw8GO7HNmdjm/CIfkn3BzDZ6outGPE1mYsMY3jQJgaoEXMsqx2 Lugg/1ILn3BldQCEB1utdrvVurSRa8klTYpCSf1NuLSCZ/8439nhnMo7tFRGPJmQhvs+gCsgC865 /8W3Lj8/dOYkr9ThRQrJqf5bvrsNkXr4eA92tB3Z5OWeYdyThXpUID+G+F/efIalKcjs9t8t0vnx MOKPrnI4sekg9La+io/WYVK521CLuNbpxOF5qW9NI51NC9Nh/OzMswxPszQuXTLZEEZsOumbTKC7 uE25QaWJxl+wQYYTq4/9LOdb9TPajQ40enUaQuFLkyrW8PcvOvYmnmb/kWFryysWq1bxLuHNkAnk lgGUX8h/Vl7Nf0D0Jso3jHiCSeowtHq6fbFg2MsCBNuJpiAyVnLi02EVTGOv98VU+tCAxCQGgdkT OfxqjWtxVmkR+t0WA52XRM2xUd822ZkufH5qYzr/DKKrq3VsRyGNJ3OCjGungDlX+7ugbBBh+3nE JbLa6AJi04OUXU4inxtyxNv/xJBYMWH3ozBQMyEWQAnK2BVBw5KCMydVn6vNrfh0eykDHHrVEkcD jjoe2V+6DByLFN9rlJrnjeekO2M2GvLq6+KMTnl1Pq91KmnMiB27Vu9KDU2eKh7aPRaTScPfp9l9 5vzr2t8m/k8uc1fo6ndcrfKyBcQyffJW74zRcMlgk3hOgnbWQPIn0HPrhh/CcrnjM7jwFWNxRI+d NkPQs5vEzFS75Xxy7U3ndwAlS4ijgjr+Wdejj61AIZr2J+E49plzAzwdrxkvrE0FKRRMGK74MR/Z EcktgNP45gUlaABjhIm/51lIL/4TPA/6D7eIqD4TAVgn16xM9E6oG9IUf/qlj9JiDYlvE5M6IiZb LrZvBGOmrFzJLIRYEorbGJM2sshaKQy6sPENQHjMxdSDry62YjAUhjyARwHu5ygR0Ohs+QmAt4xs kNA991fLRj2PX6Bj7T9QyMccsprw/lju51Qsy9KvfC9XyR15ndpfKYBovSWUmW2/LOiPT5Vv0GDr 4RM5QQBaXtA7WpoCbo4N3QqA536ICyWV3l/6kFAuhOPjwqjaPtWZtaDxnKRHAvhI6W8et+9Hkx5j U5AebmXCt3fXNPVaZfYtdzMcJB7QScwfs4atGumxpOcg1/S6hDhvInodki45ig1mS14exxysgeOp mfmspQwHC/ikNUC6GfoOiOZLMnfMZ9NBRKeENZ9lPlY5OczBa0kAadX5jvU509+C5iuszWlWqiII PlcmWSXg0BtzAMCEsS5//qQ+K6lNfLYt7s7ynBxIGM5iZJ1Eqy+BHlKAVwW00MWjaX9dYs+UCpaq f8JAV/O2Uq79ZVvsnUL2yTGrFjeuIBoCpuyLer7J/u5mrnDT9odoWIm357x9pjZyWFhCxBjPphjz J94m5o5d8L2invMMX507cj+w6s5Qo3U0lfcrHv8sB8i9BPngAOdh0+AMZ9fRMbjEL5eLhkk3FEar f3tmr0/MugTwHFpki0144zBWmg5/+zc4RbZdaiIAT2+2BsLKDVy/EYnKPTmTt/0w/JDHzUCJxjDC D7/jkglDuf3SMjWt9fHbuwPaZD9OfGvXInVYxp2bGJdiA8R6OYfFYmM73oyPNZh8WchOPFO//d9E qsjb401FmZNN8zWUfIvaS9Oe1Bs/0ZiPzYCe0zwKQccQ/3UZDXTfLZiUcOv1Djx17Igbp92XYmYC SJoorSk1xmh0ddzGgLfPA9sOpDjaauAB/IlhNQV6AgKKJ1dzw+2cVu0AICU8f3ikrWDynBMTPt3R QadKe89/utjvaCrlx8lxceJRscFIpu2HbNQ/TzLFPheTowJ1VXIf3/EHsQF2rP0PzxjEeBSXI8OB 0gUj2gMB51te/4QrqT000+pXvCY//kGb6QhJpZVqsj/sUeWJLUyiH0T/dXunpcZe74Wef3yQh8RB 9VQuzQcEBThVl9bkkB7KIME+LIoHgzrPtfApYKpODv+xoneer3S018gFTVTu7UfEfCFfGqfevpqO fuNmMMRroJV4htQdAC77kd9qdxXRXgbamssRvd90aJBJWzuREReewSZADJ1k6pqJ4PzQ7V4KCSoI SatU3hHewu1n4SkH9dqYqB4SrH4QTLO1beGvs4ALHuIKzV/kMxegHqd/6ZkHu0joSQ29angnZLOB qh9xWM6igDB3OoI8K7HKG3dy39aHdoIATVwlfLLQonLgKdvOY7jjPxp+I2o2dvAKokPz4o2lBmvm JVx3/xhzdbzxQJS9BNAVO2LzrbaqxKQ+DVDKOj/spAXgFvU+T9cwPU9WIcRBpIpmjfBURPhHyKZu t74/3cTHD1QqmzqPQxntnnWTUzccisDTvDONYcR61Hp5x9ITseZm1y4t396q/um0xlxwRSzqa5jU NX9WWdfFOWHz0LbrotfXpLLVjpxp/YD2N07XuQ5lljSULnD3lZ/MWJCazWkYhMjtFri/Z7atU+nb s1UnY/b1Mg4od5XmBH1KE8dZd/jowevPhgk3D8mKqDgg6KZj941k5OYcaONctHyMhFY/ALKa2/rf 0cVqL2kEcFz3MU97UbIJlNXr1fyO6bd4YcpBWC/WamoCPDnt8v3FDfixg0ybs6Ed1zoxWE8rhkor 1PwamSELsJs6mfk6M2BG9LJDixGD3n7RfPlq75KlUeYOBh0troC44apEAL+js5LQMK4m2cVWb5AW hHKfa/vSCZYIV5IVeZCFFc+m0KTpRVFACLa8noUPG/Hdq0+sTL7+oaEpBXi9iJIH82toNYkbt2MQ EgR49MJSw0Zi8KpzCWG7tlS61+ZtKIfUrkDwBzWbTtmubQ/plIDil1s/LrGiWWLH+0pNQhp0zRSR 1SbFlL2Y0EW2G2aK8jSnM8DvPx9T9OX+HiRUsZ2BZeniaWYR/wbnHTVXXSxh/d8ub4jQbgUnyGdR RaWOfeX+u+GlIlKlZtlT4mnjWteG7F7ai9hpeCbs9DyGcyZhnoLGl/bwK66O8OjJzWNZfu2Tlc83 RYaFi9OJRfA7TCktcBbUSIOzh2ap1KbQoYqAuNhdp7ttW+aUHG5mnVdCTaRfxUQtzAcxwGW4VKE7 zK7khsdNHMGScL8cEwBcxaBbSEO10Qq9N3WN+PsZhQsnkDDdBG3iJk1v06e4TbY4tlZbHnkJWbwH Pi8QQiNGu58jX0hsUO4E9O9/llwpUgiYA3F6juLemwfk12TN4Lst1/o4Nsa1Eo2+qeW/HtKYHBbR 7liQp5HBr1m1LROMHL/4y5dJZRxc1iPRzKh9pfTwD9J3kMEt5fmJoEXypA5MvrvjO1RNxbfdZ2Bp 1XTPBWoIEp3ovjS9HT1Ozg3UHX08GrU07bLx2xsWbjzTkmmH5W9f54jUouqHtQPLwdkP65gyANNr 9ujDq5nUYln8C4w0QiU1wxSwNAqhn1i7lBU4zn1Dn4JVKkMAyNNfuw2or6fXvK0Cvm83mVpClVrZ TCkm9H89K7Oxk7ehvQZCWBldYteUt8JCRXbTVBOvu6EN4EIx8ACRaQbBuJLjcUrE9zD2coBOaTDP cn1zdIORGwm4v1owom5uK8NpeXGMVZERk0rO6hiehFRuPr0rvjzpuHeH/WpYxeQ0hHLwjEzQe5DV AEHXj69g5aIv610GyUhbyZLOts61WaXSwpoPke9RVAK3iWAvWl+9cxUZwwo3LuHd6DQ+n1NYh3Ge ccP1V3d6bnvq+hpB1hCjHtrvvtecIArz2YdzSLIp9QO+VLr8t8suc8KTVGB8ddj1DKL3MGSkgy0j 2tMK1VP+Jmc25aCUyokkusE5fA2ZlqyFJTuAoUbXbyMMjOkQ4LNYxAM9GP2qa8J78lf4ger71nqT Q1m7JogZtsc8WHV3GmkGm6Z3FYlJyCtthma+nuqKiQZJthWyMYaQJ/EoyZ1NaZaQkJpwf0Qy/VlD z+MEtNw6u0WCoWLpqMwpnaBPRYZWI2ncUQ879bofB7OUCuA+LeZQjSvgMB2GOLwa7Aw7y78AlTUb WnPFRPmR/0UVWXvgxsATkcsjqf8KOZyrRMhJKTbmGh4e7BkNdjftPbyTAo8HBT279pyvcdZtGbn+ 4oYV+mYILWi4iVLzdKiAnMoLMPGj3v/Lo66ttKoaIpQ41vvAP3DPFvSOq1FldCfSIBs9rIjsejXL oZq97Jp1HBtmzUBLOwDof3dJqsPzz5La71RptMl7KOBEkoCKOZXVLGVpSpKd7ZrRAkRxBzKX3+ed EI75pu4h5eKQg8MLNaAzvoBFqoalkvhPLzqGoQL5bWxCL4jgSslxGByBWkOpldZgLVv87/xXcU4o YQeXf7yOc7WRWbEjdfuRbKh2vB/NthC8uihEM5mY+FtGl1RgY/Ral55rOWwJKyvWAMX/xAXtdLKJ 9oi931PeTwkN7INRfPei8oi2U9iZ1fsQxdpLy1ddSvoFkYfn+RKAdBaCBTLJBtbCDfyWWZwXv6Rn UUuxI4/RHMXZHu3M/4CYT+M2/3KKcd2o18U8VUX7szSOpQQUZgjUvLnH/q5RzqvYMaVSaj8Uvu3s yjeygVFay+0gnAcCwsDGnaiHeiCI+b6pIN9YqyVnmmqMMIvtTEb/ehHoOUZbaLpsqUlZl5I/6q9F bUQNbEphNsHGBKR29m/X9FPu9aG6YNiw7ds2AiGtRsjl8dvZBmcmt1XjvlZ8SCpskNGONDEbMHpt I91V4ULoyH5zPxc/Y8X75HJyQ/82EFh9vO+M4wG+BKfB6pOnvVd8LWEvdBSBsWPm5pi0gYEAQtpd yDrV4h7sCI4E06T4RvK8Xtf97Djko2cOOjs/y6MjpitF/DrJy/f89OaZfJkY5n8iE2Cnv4x/k69g eq2yFVen0sKSS+OCZenyFlQ/GzkEcDb6Vd09GkouWALC0D/X8e0d3eoSbwXqcQ05D9qj1v3u9vZ/ QWYPiZXIyXRM1B2shcOYWxIjFjN8glneW0AUePQbmISP6KJP42jK7264WoSUdEyAYFSY4wjuR1SU N3VW+0EV3MMsP5PkpmNSR6sbn4dzQdnuuko6nxX02NreeBqe0XL98evY3Du5P0a5N8vUoQhyW25k OQAMSkAgZM1TdP5Y9OnAHaxJfkVKXqKvHtZWK4kLY9Uv5ilMauDON0nInsqR565OcULQYUyyrcff LtLRj8cPp8/Gh57aclfmiCk2/AzexGvXD2xXblfLtukVOTy1ttgsV28qLiYyNIRX3x6313FRPKFG tLY5nw7vZ4AeiNNZjof/MVTSz6iy7uZ16Sz2m3Ow2w4dvzwVA3L9BczOkECecG3xX7pHqYBllCVo SczF7BsRDZdbjukjqpOhd2A5rBjyGkulH10XiYkFWL9InHyppP9B6UubtRRlk++G+Tm0wDjKPCQH RFeWM97oqjsXPkLEDZa9os9b7rdYFszq42TEjRsYx3FUIcxIkUu0wnGf+stWUq0IJr/sb0dCmWsn 1crSP0+cLG6NVNNvNgkRtz28SOpQgCwCSqTg5xY+UoFvCWjQqDEGiR9MQksm9KfPGP4YrWxS0BYV Bddxl+FReYYETM/1Ahit7TnKm7Mwi9n9CuqJHyDkn6samL1sibxO4aLbOBEhNOPaWyGEjkWN7kAF ecWCgq++0mH2NDfpizDWaa1L37CrJhQLKRuxg0iUxhsevgYjNE+SiVFE1Ipnx7LIe55IVwyKjd9i 4dxuWP34TNYQMkdWDHn1DkzhM7AwD7LhzV6z+su7qyBBzpC7FVpRkL3M9OCsfwzyy5mQ5tZg5/bf /9+fEzSkkyHyVQkUNBSUsGd6pZMYGy58/bv72P8qE3XqzJP9VGxfxliRFwnLwjLhU0QA8h5vOz3U YpgeXhidFLJqMZfPylok5PLl9kV3fVJxxPGDJeHwfEHG5q3nlpblpzCdXWozKiDry/1lgV7xPW7l G4+a543Np/bgeGpOHAr5VD9DeIfqRu+jvvA7MxdLJh+hDDWWvJTMmyvqvT1lOoTpcJ2IK4863+kj /rZqWb7Zy0QDXPbpcOr5PLEN9oBOCJ1SQ+S2/CwlW7pnXneze4sAMFah4IY+qSCvcp4yvxF1wjMR dYZZuEm1gq3YkjR1+bNE/hsFFauVBI4X/e7Lfm/p3XnYWaIU6OXL6ftjmyNgtEwwkMvjLiN5KDV7 Zp0hNidpKNmarHp3qgqJVoe67qW0mW/Zn8SP6F4IYlqZ1vmGOs3+Q/m8qIvQapkacLcI6R+yRAY+ gEqWjCYdtz0oq0FZqRNCFtxQXqyDST1ZSlVqIUmiA/mTxcOik2GXzAhgn/5ksb/AGuK2Erdeirjh cOyvctP0lbQZ4Guw2+hZPZSCi+zNjmhU6hEPYzhvFlzYMqgkA8RaJnOUUVvnSsElQHS+92at2G1G TTXx2yIZaYA/IkyuCVfILAnlJwSVuapPJMdlEGmrjGwsFV5X2O0sPPgQLKv4RmoYib2gwWdUix4l SoWP0w5hDoLmGtDL9ILjPz3aGcuQ4NhNBOd+yHAkWwsDMHCUlsoTA5zjSiW47MD6Q/vsYY7Z0V9R 6pW8LqGVA1SlBnJypCyjqN0N6Pa3iJviKPCGmXtd10YT5wxziUCVXDRSmY6/Pc7ZrCcgOXt3aM/9 xtCoXhGShrStO5WbBZXnRk+Sp8l28Raae70jD86WdFXHT1NNuOTZGFAT6l1IF4crXmjRMQOgUHDx C01khYrcpU7d2T6PzgCGTTUmzl5U24BadOTwdh6L/n6BaMDSW3GpLhqUFTbx4bon5EiXKYcoY1hM S33o9yQfZQno5zvaz6zelCgoKONDMcKPnuZ+1f+G7plUaKLuCfh2fhfY64i7wqCyuorB3SiULNWg miBl4kKPSaeXgyJ+pvaVwKuMQUv3HDcUPgjYppBqlspNoYFwuQhhrLWdKhmMiTqVjFLuVuONBrfd n+bc8eF46aUqT/fi/EpnzeFUrlcG/UhgO638SFDcU7dLANmKBccZFStUYayd4NeV4KD8W2cdNUY4 oPbIv9YC9T94qYsoaoc1X9Eb7UIw4INELzCSBPtq+a1n3QDMGHRBJFiD4oB+r4XB+HJErlpB3u8m kvBFIUZFIrP9CbOf5yy217j+SVmxJ5xF4JKtSwtaoIxmllif7Zp/RPeiSySAoJ5oIDKb4dU3lzOY 29yO9Kq+5vtqluv+rCNY8Wwo/GFCPSh+FPzURemNYusHLOXZmBfDpjd49sP0LLuDwxZOJL1fIMNl Y+gy6JUR5odeN9byRk2tdfVzfQ2kqSxIH1UIsT6HwD35JWNQdUXXRBmdNaLTap+3/ozcgs8MNYA+ WkUnR/ZBY87G6eoVfXgVUak7vqJFMKxKb30J3E1uzk0vgj11U/TPYT4WsnPcYXsXlwHENZKU3cNE hEo3SYRQstTBnnBBI0bN1FJ3J59PH5j3ycv8dtHTPAk/N6siPGP//UMqPMyAdPG2yt/CTxeTlN5W QmtSH4JEq5M0XWGtVJHsGHZDUt/jW+xL6d2GcNx0HYmE4VG97xszkMVxS7bp+URUXL04Ayds4KoB kWUvCikGvLl4ORKXlCOfPYWKOFu73DhxkrMVVEVTRu8fNlYLEYnPd/SZcvVbiDBtxZjhXqQM5x5x JJsb81FZdHe2Y2a4W7dDULzxJmRUCgC156y9gnQ0P8MWFh0032n+kXAPMivHY+1v29IR7igmh6xp tuEI+D4NJHetJQNy9hLppQrf+AbPTRx1gbAD0KvLietGBHtiJVoTNUa5yTWzwxi3TWUzGcU5CFbx a84CAvkxjPxAG8Aj5VUUTygAUfe8N4tNVq6SCDGCnkPC5KuJ7GJvtW2eo6K4xRCOrFDGQ3VT93Z3 60gobCiMfkeOv25i2VbptESHrM9p74onAE4Sk5tUnFKqU5PwZj3J7GJ65RrrjiyfshlHZbvnihqW 6dEZ4qlEhYghoDk4LwSR/fadkHvsBQmOUjVHaxySj8wzY3toYS9sUOUDosKGyRq/HxEWHeKYoQn4 1jKENPTNqt7VMdK2EywnDBBP+Txrh6y79tCh8A5ElIdWxzIykX2FqA44d4+4M7OrjdA8PLEA9ieb EE0jXa6hwFuz6oEH3OW3Qh3+uZY8VyVtMvnybsO0XmLRWua4PgJUHAiHjkmv3wFR1eb96ckOrVW7 TucolEggUx3pWsIPpJywQN1GdPcXyVs1dEi/PzFIi4kMoB52K2rV2Ks2k1brdEYR2gIf7wTDJwto nfICRxrRZY1PE8uZGe5F68s/jQ+/YInNdhRW5O0jnCSMRvQS8/OmvzRHiAazSz8zpnOM3JsDCS66 aAkwDEPrgve/t0kBCTct8Cz1WsCdOSN8woSpc45rNYgoh4ZTXzR/gu3Kev61EZeI5porwkGRjgpD OYVn8l3trxIdfqYCypf3tq+vM0b1rFeKsepfBKILdmQxHAHlAbeMzRHZXG7zn9z36EunSjjJyi0d IhZrpTYVMhY6dcJQV+Baervsh0U3ULV5X0GUzlodGKv1Dm8ZLCd7R77z1BlFMf+t8o/7EgPhO/sG 5LucTCPxrqAkbhLnAmdjQQH9XjOWdePgVCIP4qq0YGmYJ2xEQzL/qV7RyeRAMXz56sXM9ohhbdIJ AeYNUJaunBWIR83uwsjL6tcCnaJG/SRQ3Sezdbo7a9N74Xn0wLk1V6YwB07jEjgLqHwmDJPiazvA mIGy2kOEdZQV7887pcRCimH+sYygv2puAOZZhSo2O57R/9yAD+ILaWrdQGR/YPaFInWSV/llCi39 4ksBF6ScK5FKK6jbkDD2J1W7VdOkFitY/otvCmKRb/ssS2KUoUxIW/CQRxmWtB8hpDQ8jr8YSCqt MUBb9CVQwUoOtEzJZKMGzmKLs92+QDLgUD/Wm0CAmFYogzYiYlQ3UW6ZUw40pNFh0SxleobosIql EKeb/ecWHdjnVDsEbb7VVZAsilOriKW5D8Dp7H75gdCExvsUsdpkVzCz5v/s2/sQxrR+DG1rpYwE 2VSukKkR0LUsoB6OJB+vmPu516FQTMXyS+pCHFo6RIrIO9nqREqDxDpQddFDD8OayITHTrVC0TBA 2R9ALGIeW6wGh10vN8g/XFEkkBHhvmMOxYvBeXhrAlBPKr+oADqDvDnjP11AbFTL/niC0YRKKsh1 WWbpgRmNaerN5OeIo96Z/SSbYCBjgKL0I74WH4GJ2v69koIX+K8ct1QjHny2zMcfJZonwZlMaQXR zlcaJQg63Gpyqdlc3BdWhH3bGB5TaI1gQ3dc2iSm/Dj0GrziMQDU3lHaEJINHUTFwfmsdHd6uc71 uReYbhI9L1AhZf8f8jgv3EF9D+w+DI6pQMb+HaxDqnF6m9/M864wkyZCXzZViIhpWp2T7IeCECfH taSHBauq8ZHAxi+/7VkKXY3NTADT4kwls3RXfXJDHF9XRAhdEECrtuUJ74BZacPt/UCcoZtw81tg V3SoH0zmlfi7iHEgAflCcVaglCcQIZm0jQP0dyGj9JG4rA3ge5Cb30BToJZvFRP9Yv3y5ygrY3tE R9TL8Cb5zr2/wCTpm74T0SAaGVU5kg9iR4xHms80drJVbeRUUTSecE+HxYfjy5RH/G3d3Or5rOVQ d1H4YMjNNHdH/+q+BF8l8tSAktSP6Pqzd9tgEGFPI0YXa1jGCDeT7kJi1aR+zkBWD90njKafyxIg 9G7xOl0AZkI+OTbxbCV8c6ylI5Oum1PeW9/GOYUVS9X+Fx/ncMxM99kouwlt5RM4RUY2SO8BTTRm 4QtlUpELKY993xvG63U8WrOwiKoX/TrppLbx87hvnKu7lFq5XnosKldbGnEjtazn1zXHYTpfaZmw sJ3iTDvuy2MSdjYvZcnyM/W/g0HpI/zrtpZJb9uhWbssMFh9QPq05b5bSeUoBnzoYaIZWGkpnzgC shm3bkx/AOFIOia5wJ4HldVxsABTvM9XsNnvDvisYB4M1MLgtw5UEY+vv2c+l9DB9ziqyzYMkuSt q6H237dCm2d8PjfUENVs3U2VNV0SuxxYAs+4pn7Nk6aJG9gvrbjYa65M66M38qS+zez9eIbizMBR 29AxbG+oc9xO7DFKay8AEDNiL/rEZXmC7engL5Fs1jzJyj368JBX/pUlnyQyfFDdRzo5mhg/GndC EiQlSzyvisvLUfuOxtFQITJywIe3E9gJGzc+gjQsGP7wu+hFgl97T3Dsi0pLxiMonI4IPPFA+G77 1L1ASFz5HM7hL+MrqALWtm/y/WSUQWx9jI1NgXHb40OGkvKsaDoNsZQUZjfN5H35PeVmOKJjxzA/ 1U1pOnmBOxxZpPEkYaxo4XZNk+fSZ7wbIO1PhD4guPZFfH66YY630iQM7HMLDE6btTAFrybPvvtr 2Bw7d8JtGoryQ8xVoFYKoOsfb1Q1gEIvDCnGP8lPfFBKG7/51ZsETBKNX1Nt9kWHQZ3hbkdHXzEP Zzh3KSyAPvyTYdPFCTRqwtbaZhpRlPNKaINykHQe0LB2r0+6rhQMODSzEaqE2JqG6fofGbeIrKYs c2eLI5ATxburOAmkOjoj+kWN3fsnTkxP8UIY3ZRheZlgbyb/R/k1WMZuL+HaowA7OliRqBSpxG9P d8rzYg0kQ4cocSsh/tsJoOrkpaTtEwvPlstIuEYsRLfUkPh3ycuS/z1QDaeyOS5z72/Dow1DgCAo FUgOXXbz8V1WEjdho9LWCCjNW7WfCDA81c3Sjgw4h4DOgftSc+FqiNYirW6GMljd851Ca/G/4vK7 Ii0Cp4yAsnnPItK9yAQsKjQZwWsuTOZDPcL3IlNk+j5+Ov7Ba/NY5ub3DTdznZ9o+hOTcz6+tM0Y EjUE/pDSs1LzC0uJc4vvVOoKAfkiXVCks3MpvEyx9NmarKKgjw6/DqR/buqwQtkkariG+rRI4+wT hdn6wwfokIDssO62Ss5f `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/hdl/builtin/reset_builtin.vhd
5
19078
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qOipVmY3fn0qNeJcBgG1sNHjIJOb4KstB81rnTtb8GNEMjlu+MPGjXbHiFsd7tiwTZIAB5lnmiSJ hkKu2/ksag== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mGhRFBwllporpLxI60+dRp/4eGRGHBGy3DIcDt6ess1EfQNt39yaEmH3/epnLkz2L6N+WBDQj0dN oOfOwEB0+WQJt6J1aAx6KHxqG89t+e/knjk21TuIBuV0M8CBHOC7jZojSH91xue39VWSzezWI4Vk j6ix3IW3HNCbVDBeo3U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XqbYI4GvYTVvdPof8LLtoyIUzAkdShzKP4ayR4CfhZYnWI91PJbQZOFsgIfX6A3BYMlkaivrvJiq YvAOH7CuQOI/YhtdyHXMKcOAJKrw0f5UDX2HWbrH8UCv2EeYjG4V0RAGXESUJ+myJ16w4G00+JNb v/RwgNHqqiHbguLSuARpf0vFVGScEb4WyrpgVT0NrqAtcBcmsTmXUC6CQqFFN6BvzDCreGQ0ktTQ dEWG9gkL3GIiHaF8fXkkxCgiShjAYq1l2R53CvS2Yxvvzh/Ho1VtEEM3cAJnO2bRJKD3zYF2c8S/ LQY2uCH6190IFW5CsgO9IuvI24DnaT/56aYHXA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block keYOERLKRipzOBB2uWzVpHyCDtBAuP1QXo8eS2ad1WXCfAaV7Rh53wnMq4g4cdIneM1VJogRYc7n 4kuvPEeCWT2XjsoJR8WeWZwkkQ/Sdy8Ne1984QxR6E0W58tT6AVA4EJIyFyNN39PfgsvfYIsNplb 76PRpomFk19FAVAU46M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aM/cTcRyKOUDxBTfQxqpzOnnTVFSI4bzG70fvzamupKuPyIi3W+LLR1JwEW6OqIVEnQr0MScTes/ 17LlIwNY5MP0NmQJl5RtOaAZQJ4auyDcvhC+mDQn0tsqiuUB4AcF+1wGYxgwVY9p4IGAzXx9vaRD 8UOguvI61/vR59Z9pMhjo8cMXes3QBPww/cGA+HgIG0jnlQZ+UmIUsPJKwOvqbYIqQ37vTVtVX6S PtvL0auT1SGCP8+Y2HXBCWOARJ22MNriWrBi+HSR5WCTJp8D4S8LJyN26t9S/LuD8hneZO0EsASm W8WTOco12jpzuPoFpAXJFrDmuFd2+iPU0Eekwg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384) `protect data_block PzWnawgXRBY43rTD01QMUX3ONSElj0BrrBdOK+wstylcq+EYFPfFjMffIR5wO8P/f7BcdRgcowk8 l/75ot6e+g41rkeZRFv1pRPLXnD5KrZknYweJ24moFgAxoutVPbZz6jCoBc54n/+VAg7HY82R3yA eCUd1Glp9IbdnbKfLUU/xXELXzcT0Kqbsb5qGFxb/Ml7jUwZ7xS1ZwUqpX2vZdrFKIpdN4w1V20z D90/YtbJiH7Fld73+2axzX+ZFTmM8Yj5kg3emgOSacN4bAtFk0LG5yVr9CYlm6wjNY5STgje3QT5 TYxrNyNTc/Yoskiw77XqG9xtCsV4Q4gMLMi69pO13fkNS6VqgUW1i/lUjli/dLadmks1zZtpQSjS 0KKcf4cy5tBqlDAQ0SEz5Zckzlq+hAH/2QqkzyVfl7ssQJxYDaTei8GKJlqb/MNnkZR9PyAd3E2x H0Su+tD2xRjHCrXrGyeRxmUFAJk4O74FwvZ7Xh0aVY4+mEZOxeUURdVJSg2FU0r4ZRMm9yTYZHvq l1pUH/69M95YDJrj43fxIudLBZlXU61CtMQwUau2kQj7qg0gkb+ROpCzDzxVF4K5OY2uwmY03pX0 yJbefQS4Ysx7L9ncTYpjeKANPvGuGsvNianpG+Gi+m9gvdUeCC8l/nBygIX7CKAa9P1qv/ixGDyk pYo3A/FZlAzTFqi86RxQwr7ZrF6bYbExumxM/6iLNg/AJlpvPKDoIp9WKIQtaU0tEViwhJNikQtD Ste3DWHnED7rGQCe9kzGqDbUKlBRiZG7J54i/7AWUAisqRgYXRKwWZpZ+r5RQWzhJUwL+KeQi2Tk ZZVhW14q5ho7rS0wHEn4naO2Z8cMMkmUrnMSHvQl+UPr1OhGtEUTREoPh0p6OpfJU6+ieZKZI3lN vEoMnlyVdaTZpmn8JlEMJXSWi0TWyqgF40rmCju6W4gL2MDQSzhwoS7WFEyoVhMFBAazZKIWsVoO n+jdNLUg8svGqrIVOxn+1oICjZPDZkReqnBRrj28jfsM7FmZMu9xfY2FQRMbZclU0rjQtiGTNDaj 2Jwk95GWz63ysx66wPNx/7r9oh05NnRkLFpfu1PPhUKT3Rf+CDIFIxBbDeN01uRsGqk9xKErAAhX jBqixtnx+dwAuw5ptrKt29ON0BKac5sL+s3oupVogtVZPRTCx3vE6EbDAs996ssIJUBt6pJuiyCF kZ/Rr+kL/kxk08s0L+EtONRWdNVQqyHLIPZEWBImzI7tUHJmdOG7SAe75bvb6F99nQ3+v58IkvMV bgdKOoxYtQQIfVaseopLmfIqzFbnTjOTouirR1ubO82Z5rSH/CXJQSs+t0nZEk3SKOHabE6XK0Mj 5YobPWQneo1OctVP+NUxKyVyqSd/cF0TmpdQKqUucXzGqmNELy/TBMTplALZKDihXTQaULQ8XYG2 KfAFPidxoEoNIsCr2wq64cTLPIFh0CbH8utsFs4FpDLKm0ToNzd6MR9AmCapBFn201J+QheSBgun 1AKvMm8uTYzJCQLmZzfaQ3PtEwOG16nG0usFgn2xOqvGZxIWK499MHgWIXJMvLsXC2u5LqWBvrDh srE0Oh3G57q586r2a8q0C/R5vxaIk31nc9JFHBj1o2NUL0UHHEJWni7s+xUZdBVKAkCpgs1/gBAl q1hsgcZo8MBup7SX5+LrHylqmfLepoO8xib77eGuGBSQXfB5KKTOeq8wr5gKB4z/Fa98FNWTxZ8d A0Rxajn6xDf3+VRZPG+wdq682jqqM6F1GWG6hJ8OD3ylSpXXEAVtFgqE3dsvhqQVbtRgEyD55i8z VD9xirPlutn0MyWYBSbLdNyoKq1JbyCtwg7hcOUjudIH6NpbSukYgIRTe2jVRbNQGCKHBigblpXp WTq4rSTFGlPgFsIw0FZ64zCm0Z8GcCKK/1PrchqYfffTT7xxtZ83wgX7d2F6ARq6sTRt9B/tdd3Z jqEntCSxY2xa0YZLMU0ZcumTaWCqfWFthhLcW3godpMX3n6s9fTRNzolsYJNkXNt9dg+Km18kyh+ 0FONeRT+EkHXVHANAQOEIVoyybSZvoAkkXLmcdKKtjMG9BCdHdPB0EPRsbwspaEoA7ZaxcYWSvU6 EtpK/1mFH5bDK4rHU900ohDO6xckmfUTb5TdJJGI7gct3PKFwt2kDYoCAofHk8asWsZRtNo5HPVb rz1BVD5L+htIZSUDyLAhBHpiKuDi4zfUILRwQkZAkOLB/cjwjlhh95cFzPTeP6A2waR4guXeoWra 8Ptl/6aJlxMQy4d+wzuWKR7aa3u//fC/nN75AoDQJzavGZjd1owObelBYa1EbVy5sK6BWlAjym+W 6CqG48EPjQZKoRmgeiWRPbNCBiMAY54fSpUCakNtvjWZ8LaXgBG00FCLsNUc0FVDp8UQS8ifNAK1 9Mq+qOBYM32Lh3/8sd9R/SygLCY+qzndwBNyxGhtDUQiVPIbNdM2BWievUVQl/1lnvrkgVzIqfHj hbkHj2vsqFj9p4V6wHjCcIPKgFz8rCWj4vfQ6kGBkfuLkl+Y62sMbeo/EtNBmFwiHcRQiGCVNZ5z 3yWj2jo2TE44ZDuTQHNKdMIHOr5M9fln6X3u20vehUfyg50IRbHm+bF3JYrGXzmlGmMSTRX43GdX y4p7J93m5j5KHltyoxc/rDBM+6k5oxFh342n+RobAwD5sf10wftxFLX8dlDLbWzbROX274u8K1w4 fQWAlVi6idhYxRoUAoOfjxbhHNJ0PmivUiLcdQqEHHiBtC/B/3slcX4xB/JeiKb0As6/gIk+94zA u4usq3KmLmrFtmrcOeCJ7mAdRJoQYi2TfbpkkXUcpHzfoubQCdxCVH5mJmH73hWZpamruBgcbE11 bjjZ8VgdWc1q0kmEmTLicvfxwUV/sSr01l0mjw+dUkEBj31GD1CSmMepuQQW3ZgBIc/9gP6/S+SV RTqRV9eoAcDNO1gdkkOhR6FHWn1oOxltJpuC48BY+SEGj5dU7vf626vFEIyZqoi6nvhDK4VJeFrd JrJqjtMW2+Bz8a2ReA9YXN0F35XBhsX73v6h61fjYprb4PGlKw3dm8kLIvKjFLa+kOD1z02o1YVI 2A2f9cNEbnwr8K6pJU7vkqtVL3LNw07vCh8Hg9R/Ue/YYKh0pbw72KtX3b7W8mCGP9M8IM3K3CZu heUjAajEJ6JQHUS4Ggf5ieG2ZypwBzbS2PIk3QkFJnxx0Eh+7DE/vxhsIXZ9I+Znr0o8OgWKmjpx REQRPXc/Y8JXgZ39i3JPK+zRoT4/LiQptcwByDpfKfxqEiK2e+n1RjVsQBzcQ1Pe0/2M/J3lM7p1 qlUHdTCX+a3ajfR29cWIb/9A5gABTW4AnnrTy68ki4um1VO++h/egY+gZt7aGKc81VvLtezSyEBO wUu4DBrRq2vGB8tnU7x83Y7wOnRoIr+2/4AXJH5wIUJagtgYNW+qcVKbq7IjrmZYIzL1Hom4MQck o5/issewkAZIVnBGB3hafRmyVWdrhmAjfJHzg6W1g27E9XKVXmDqyQ6oYgrq1wqmuI5kpBcZRYQM ZLMdjBhOXkGmJTiXJj+nPxGX5mQMwnGocBvw9tZ9qYea8R8hxN8UZ5bT7MZlh9MeLvSnyO5NLyGS iA7l/IKyUizW5lUSQ0fZ8AQmQelwkJDkoyXDAeQsQwTYITYGqsRMHRm0hwa4jf9zQcNdZw8L76wf 7j0/2p3NCXX/WhzB/oTkHkOQEAamKihV7rEAINXNe2ZZii6y1afM6x08vxjuy0fruxitVg/B9mv+ RQKlTwd7V5ChFXGQ5SWMC+MMALHhvq/oO4D+33o1rFIW4Uvv5X/wB6UDAi3TER/pmFr+Dtsy0skL /uGT2IUCCwEd93FURvw30Pbg88kncvX3bhUFRKF3SPB3gIY6UPzb+tUp2rpBUxNJqqV4zIw1rcqI rT1EPyc0tXi/quv5IOveij1eDMzpXx6y5tD2QfSg2lpyHQmo3uKJ9CZtNSlGT2v974mvVOMfXiyn kK2cUWdg+c9ZPFB1eQrVg3LMk8SE+qmhVtH5FvrXk0/48rlCYXRd1qXGI2OSbsym0zuN9OITRZ9W 1i27QnHN2FJBs+HmS2QRjKOxXcw0nXElqO5reesC8SZPJzac1TOxuvkmvbZQNInYayyZcLRjaokr AqHCPIbcR94xiHCyjQ/0B3gni5IvU0gM/fmRSqXDLkvghksEwAIXbaJSvTd9PFoNcMT8qJB9TH1U mTyT/IwZYcU2ruFhbEw09bzYQ41GawJXCR5egQa+NTggtbdjeMy0bCdTivqnt79UtJZpBUoWK8qA ZnXcJMv80CXleV+RsW89u1DYeClfBOYqnBSeg9wppyX0iLcWYCxxkPfoWZv0Iw1ehWYENycn7W6e 5PwK9Z+gOFR6wqIoh3xjy/IGsEhCJn7wFpfIbyzifQBM6rrmKoc6UumSVa3ROxL5U7GhWhvdkyHH 7RaN/fZ6qSzkE++U98aMRAXd0u2MhpE9Bi4yTFjlL270SE2wxx2FpjBxhIIxmxfSPOsVOKNpGnhd CHsnFhxWDOqOPYzHKbV2uIpb0OFM4vcQsM03lnemQFsocmOaLtGAKvwfVksFWQqCe566VLKF4/Ta lCrNKIAMb4/vhAaVF5ATA6ao7CMXzXp0BA2xuXl7YFivtGDETp5lg5NE95R8BJzSqKHfRlwC3R41 jG6UTJ0muttMnzGX2kT0/gZkg4vaEr4AJtrUd0mRL1Q3H/zcjWEpvYCpEC4VnPlWG+X1QUpAm7oi ra4dYqyNlATyn6A8gS6eHoyLXiQt03HBgCCXReuZQkTdIee5FyzEpsHYkWINSY3CcdgzzVHoepvC SOlAhYZhrR1NMpOgvgBuWA1Za2ydPnM7eSuidGLPt1C3i5V07OKXQjDDMZu55EnCZGaeXaU8y7Y4 Hge8tgCoeNMAbIycWLSxpSHbu6lhYLsbqbcNHNFeXFL5+ud/ZSCwUhher7FZy/qQdKvhVMsUg/up jrSeE5E0KU+KxuWpPTTI4nnYz0gAKNGBGH0Bzbo/Iv48GvX6Lnm7w5Sz4FjCWR+cONfHe1DG/Bof n3zp4AAHl5y5klFD2F9wbxMjwzYavJb71soMG2f6P7SgDY+tqIFS7/9+JXjzIXbyJ1Fk4dEUNPTi ePT5PM7FSGUui1wtLoXx+xpE7/fmrEjKhHgdwbN9NZ3W8j4ZqctjZ3CiHIDAZuA9nWC0293SmKPh uTTY4R9bNzTRgDP1SMO/32TzJCi+sN1yCSf8pe3r0+meuo2Ri9Fx7huBpWnFTW3b8S2hI9VQDYoR FtmC/NjpxygX84mM0Gt94A3eD3Dcl3rXC+B1CvyUpkK/LlnGADvBqNEjNmzAbmlvl3KE6UppjQvY pJXbdCqebZjSYs8o5HB/RfgTC2CfehHx76dMjach736dxwsqM6MCjmRR0R5lIvahcKh8i5IFtrEq MGvM+5O3W1UBkr8oZYOW/o7bjFSNVeeWUOPRZo2ddXdk1Qhesgud7id+5uxuvc1+uJYesu5OZm90 ZKJ00llxGqq7lrPgsR/WZ4bxtjl2Qo+SlMyZAvquB0sbrqItCVgDhkak6cZpUaVaK5t72ltN/AMg ipAaUvpovkxHXdNrWCuFfG0X5jBw8GO7HNmdjm/CIfkn3BzDZ6outGPE1mYsMY3jQJgaoEXMsqx2 Lugg/1ILn3BldQCEB1utdrvVurSRa8klTYpCSf1NuLSCZ/8439nhnMo7tFRGPJmQhvs+gCsgC865 /8W3Lj8/dOYkr9ThRQrJqf5bvrsNkXr4eA92tB3Z5OWeYdyThXpUID+G+F/efIalKcjs9t8t0vnx MOKPrnI4sekg9La+io/WYVK521CLuNbpxOF5qW9NI51NC9Nh/OzMswxPszQuXTLZEEZsOumbTKC7 uE25QaWJxl+wQYYTq4/9LOdb9TPajQ40enUaQuFLkyrW8PcvOvYmnmb/kWFryysWq1bxLuHNkAnk lgGUX8h/Vl7Nf0D0Jso3jHiCSeowtHq6fbFg2MsCBNuJpiAyVnLi02EVTGOv98VU+tCAxCQGgdkT OfxqjWtxVmkR+t0WA52XRM2xUd822ZkufH5qYzr/DKKrq3VsRyGNJ3OCjGungDlX+7ugbBBh+3nE JbLa6AJi04OUXU4inxtyxNv/xJBYMWH3ozBQMyEWQAnK2BVBw5KCMydVn6vNrfh0eykDHHrVEkcD jjoe2V+6DByLFN9rlJrnjeekO2M2GvLq6+KMTnl1Pq91KmnMiB27Vu9KDU2eKh7aPRaTScPfp9l9 5vzr2t8m/k8uc1fo6ndcrfKyBcQyffJW74zRcMlgk3hOgnbWQPIn0HPrhh/CcrnjM7jwFWNxRI+d NkPQs5vEzFS75Xxy7U3ndwAlS4ijgjr+Wdejj61AIZr2J+E49plzAzwdrxkvrE0FKRRMGK74MR/Z EcktgNP45gUlaABjhIm/51lIL/4TPA/6D7eIqD4TAVgn16xM9E6oG9IUf/qlj9JiDYlvE5M6IiZb LrZvBGOmrFzJLIRYEorbGJM2sshaKQy6sPENQHjMxdSDry62YjAUhjyARwHu5ygR0Ohs+QmAt4xs kNA991fLRj2PX6Bj7T9QyMccsprw/lju51Qsy9KvfC9XyR15ndpfKYBovSWUmW2/LOiPT5Vv0GDr 4RM5QQBaXtA7WpoCbo4N3QqA536ICyWV3l/6kFAuhOPjwqjaPtWZtaDxnKRHAvhI6W8et+9Hkx5j U5AebmXCt3fXNPVaZfYtdzMcJB7QScwfs4atGumxpOcg1/S6hDhvInodki45ig1mS14exxysgeOp mfmspQwHC/ikNUC6GfoOiOZLMnfMZ9NBRKeENZ9lPlY5OczBa0kAadX5jvU509+C5iuszWlWqiII PlcmWSXg0BtzAMCEsS5//qQ+K6lNfLYt7s7ynBxIGM5iZJ1Eqy+BHlKAVwW00MWjaX9dYs+UCpaq f8JAV/O2Uq79ZVvsnUL2yTGrFjeuIBoCpuyLer7J/u5mrnDT9odoWIm357x9pjZyWFhCxBjPphjz J94m5o5d8L2invMMX507cj+w6s5Qo3U0lfcrHv8sB8i9BPngAOdh0+AMZ9fRMbjEL5eLhkk3FEar f3tmr0/MugTwHFpki0144zBWmg5/+zc4RbZdaiIAT2+2BsLKDVy/EYnKPTmTt/0w/JDHzUCJxjDC D7/jkglDuf3SMjWt9fHbuwPaZD9OfGvXInVYxp2bGJdiA8R6OYfFYmM73oyPNZh8WchOPFO//d9E qsjb401FmZNN8zWUfIvaS9Oe1Bs/0ZiPzYCe0zwKQccQ/3UZDXTfLZiUcOv1Djx17Igbp92XYmYC SJoorSk1xmh0ddzGgLfPA9sOpDjaauAB/IlhNQV6AgKKJ1dzw+2cVu0AICU8f3ikrWDynBMTPt3R QadKe89/utjvaCrlx8lxceJRscFIpu2HbNQ/TzLFPheTowJ1VXIf3/EHsQF2rP0PzxjEeBSXI8OB 0gUj2gMB51te/4QrqT000+pXvCY//kGb6QhJpZVqsj/sUeWJLUyiH0T/dXunpcZe74Wef3yQh8RB 9VQuzQcEBThVl9bkkB7KIME+LIoHgzrPtfApYKpODv+xoneer3S018gFTVTu7UfEfCFfGqfevpqO fuNmMMRroJV4htQdAC77kd9qdxXRXgbamssRvd90aJBJWzuREReewSZADJ1k6pqJ4PzQ7V4KCSoI SatU3hHewu1n4SkH9dqYqB4SrH4QTLO1beGvs4ALHuIKzV/kMxegHqd/6ZkHu0joSQ29angnZLOB qh9xWM6igDB3OoI8K7HKG3dy39aHdoIATVwlfLLQonLgKdvOY7jjPxp+I2o2dvAKokPz4o2lBmvm JVx3/xhzdbzxQJS9BNAVO2LzrbaqxKQ+DVDKOj/spAXgFvU+T9cwPU9WIcRBpIpmjfBURPhHyKZu t74/3cTHD1QqmzqPQxntnnWTUzccisDTvDONYcR61Hp5x9ITseZm1y4t396q/um0xlxwRSzqa5jU NX9WWdfFOWHz0LbrotfXpLLVjpxp/YD2N07XuQ5lljSULnD3lZ/MWJCazWkYhMjtFri/Z7atU+nb s1UnY/b1Mg4od5XmBH1KE8dZd/jowevPhgk3D8mKqDgg6KZj941k5OYcaONctHyMhFY/ALKa2/rf 0cVqL2kEcFz3MU97UbIJlNXr1fyO6bd4YcpBWC/WamoCPDnt8v3FDfixg0ybs6Ed1zoxWE8rhkor 1PwamSELsJs6mfk6M2BG9LJDixGD3n7RfPlq75KlUeYOBh0troC44apEAL+js5LQMK4m2cVWb5AW hHKfa/vSCZYIV5IVeZCFFc+m0KTpRVFACLa8noUPG/Hdq0+sTL7+oaEpBXi9iJIH82toNYkbt2MQ EgR49MJSw0Zi8KpzCWG7tlS61+ZtKIfUrkDwBzWbTtmubQ/plIDil1s/LrGiWWLH+0pNQhp0zRSR 1SbFlL2Y0EW2G2aK8jSnM8DvPx9T9OX+HiRUsZ2BZeniaWYR/wbnHTVXXSxh/d8ub4jQbgUnyGdR RaWOfeX+u+GlIlKlZtlT4mnjWteG7F7ai9hpeCbs9DyGcyZhnoLGl/bwK66O8OjJzWNZfu2Tlc83 RYaFi9OJRfA7TCktcBbUSIOzh2ap1KbQoYqAuNhdp7ttW+aUHG5mnVdCTaRfxUQtzAcxwGW4VKE7 zK7khsdNHMGScL8cEwBcxaBbSEO10Qq9N3WN+PsZhQsnkDDdBG3iJk1v06e4TbY4tlZbHnkJWbwH Pi8QQiNGu58jX0hsUO4E9O9/llwpUgiYA3F6juLemwfk12TN4Lst1/o4Nsa1Eo2+qeW/HtKYHBbR 7liQp5HBr1m1LROMHL/4y5dJZRxc1iPRzKh9pfTwD9J3kMEt5fmJoEXypA5MvrvjO1RNxbfdZ2Bp 1XTPBWoIEp3ovjS9HT1Ozg3UHX08GrU07bLx2xsWbjzTkmmH5W9f54jUouqHtQPLwdkP65gyANNr 9ujDq5nUYln8C4w0QiU1wxSwNAqhn1i7lBU4zn1Dn4JVKkMAyNNfuw2or6fXvK0Cvm83mVpClVrZ TCkm9H89K7Oxk7ehvQZCWBldYteUt8JCRXbTVBOvu6EN4EIx8ACRaQbBuJLjcUrE9zD2coBOaTDP cn1zdIORGwm4v1owom5uK8NpeXGMVZERk0rO6hiehFRuPr0rvjzpuHeH/WpYxeQ0hHLwjEzQe5DV AEHXj69g5aIv610GyUhbyZLOts61WaXSwpoPke9RVAK3iWAvWl+9cxUZwwo3LuHd6DQ+n1NYh3Ge ccP1V3d6bnvq+hpB1hCjHtrvvtecIArz2YdzSLIp9QO+VLr8t8suc8KTVGB8ddj1DKL3MGSkgy0j 2tMK1VP+Jmc25aCUyokkusE5fA2ZlqyFJTuAoUbXbyMMjOkQ4LNYxAM9GP2qa8J78lf4ger71nqT Q1m7JogZtsc8WHV3GmkGm6Z3FYlJyCtthma+nuqKiQZJthWyMYaQJ/EoyZ1NaZaQkJpwf0Qy/VlD z+MEtNw6u0WCoWLpqMwpnaBPRYZWI2ncUQ879bofB7OUCuA+LeZQjSvgMB2GOLwa7Aw7y78AlTUb WnPFRPmR/0UVWXvgxsATkcsjqf8KOZyrRMhJKTbmGh4e7BkNdjftPbyTAo8HBT279pyvcdZtGbn+ 4oYV+mYILWi4iVLzdKiAnMoLMPGj3v/Lo66ttKoaIpQ41vvAP3DPFvSOq1FldCfSIBs9rIjsejXL oZq97Jp1HBtmzUBLOwDof3dJqsPzz5La71RptMl7KOBEkoCKOZXVLGVpSpKd7ZrRAkRxBzKX3+ed EI75pu4h5eKQg8MLNaAzvoBFqoalkvhPLzqGoQL5bWxCL4jgSslxGByBWkOpldZgLVv87/xXcU4o YQeXf7yOc7WRWbEjdfuRbKh2vB/NthC8uihEM5mY+FtGl1RgY/Ral55rOWwJKyvWAMX/xAXtdLKJ 9oi931PeTwkN7INRfPei8oi2U9iZ1fsQxdpLy1ddSvoFkYfn+RKAdBaCBTLJBtbCDfyWWZwXv6Rn UUuxI4/RHMXZHu3M/4CYT+M2/3KKcd2o18U8VUX7szSOpQQUZgjUvLnH/q5RzqvYMaVSaj8Uvu3s yjeygVFay+0gnAcCwsDGnaiHeiCI+b6pIN9YqyVnmmqMMIvtTEb/ehHoOUZbaLpsqUlZl5I/6q9F bUQNbEphNsHGBKR29m/X9FPu9aG6YNiw7ds2AiGtRsjl8dvZBmcmt1XjvlZ8SCpskNGONDEbMHpt I91V4ULoyH5zPxc/Y8X75HJyQ/82EFh9vO+M4wG+BKfB6pOnvVd8LWEvdBSBsWPm5pi0gYEAQtpd yDrV4h7sCI4E06T4RvK8Xtf97Djko2cOOjs/y6MjpitF/DrJy/f89OaZfJkY5n8iE2Cnv4x/k69g eq2yFVen0sKSS+OCZenyFlQ/GzkEcDb6Vd09GkouWALC0D/X8e0d3eoSbwXqcQ05D9qj1v3u9vZ/ QWYPiZXIyXRM1B2shcOYWxIjFjN8glneW0AUePQbmISP6KJP42jK7264WoSUdEyAYFSY4wjuR1SU N3VW+0EV3MMsP5PkpmNSR6sbn4dzQdnuuko6nxX02NreeBqe0XL98evY3Du5P0a5N8vUoQhyW25k OQAMSkAgZM1TdP5Y9OnAHaxJfkVKXqKvHtZWK4kLY9Uv5ilMauDON0nInsqR565OcULQYUyyrcff LtLRj8cPp8/Gh57aclfmiCk2/AzexGvXD2xXblfLtukVOTy1ttgsV28qLiYyNIRX3x6313FRPKFG tLY5nw7vZ4AeiNNZjof/MVTSz6iy7uZ16Sz2m3Ow2w4dvzwVA3L9BczOkECecG3xX7pHqYBllCVo SczF7BsRDZdbjukjqpOhd2A5rBjyGkulH10XiYkFWL9InHyppP9B6UubtRRlk++G+Tm0wDjKPCQH RFeWM97oqjsXPkLEDZa9os9b7rdYFszq42TEjRsYx3FUIcxIkUu0wnGf+stWUq0IJr/sb0dCmWsn 1crSP0+cLG6NVNNvNgkRtz28SOpQgCwCSqTg5xY+UoFvCWjQqDEGiR9MQksm9KfPGP4YrWxS0BYV Bddxl+FReYYETM/1Ahit7TnKm7Mwi9n9CuqJHyDkn6samL1sibxO4aLbOBEhNOPaWyGEjkWN7kAF ecWCgq++0mH2NDfpizDWaa1L37CrJhQLKRuxg0iUxhsevgYjNE+SiVFE1Ipnx7LIe55IVwyKjd9i 4dxuWP34TNYQMkdWDHn1DkzhM7AwD7LhzV6z+su7qyBBzpC7FVpRkL3M9OCsfwzyy5mQ5tZg5/bf /9+fEzSkkyHyVQkUNBSUsGd6pZMYGy58/bv72P8qE3XqzJP9VGxfxliRFwnLwjLhU0QA8h5vOz3U YpgeXhidFLJqMZfPylok5PLl9kV3fVJxxPGDJeHwfEHG5q3nlpblpzCdXWozKiDry/1lgV7xPW7l G4+a543Np/bgeGpOHAr5VD9DeIfqRu+jvvA7MxdLJh+hDDWWvJTMmyvqvT1lOoTpcJ2IK4863+kj /rZqWb7Zy0QDXPbpcOr5PLEN9oBOCJ1SQ+S2/CwlW7pnXneze4sAMFah4IY+qSCvcp4yvxF1wjMR dYZZuEm1gq3YkjR1+bNE/hsFFauVBI4X/e7Lfm/p3XnYWaIU6OXL6ftjmyNgtEwwkMvjLiN5KDV7 Zp0hNidpKNmarHp3qgqJVoe67qW0mW/Zn8SP6F4IYlqZ1vmGOs3+Q/m8qIvQapkacLcI6R+yRAY+ gEqWjCYdtz0oq0FZqRNCFtxQXqyDST1ZSlVqIUmiA/mTxcOik2GXzAhgn/5ksb/AGuK2Erdeirjh cOyvctP0lbQZ4Guw2+hZPZSCi+zNjmhU6hEPYzhvFlzYMqgkA8RaJnOUUVvnSsElQHS+92at2G1G TTXx2yIZaYA/IkyuCVfILAnlJwSVuapPJMdlEGmrjGwsFV5X2O0sPPgQLKv4RmoYib2gwWdUix4l SoWP0w5hDoLmGtDL9ILjPz3aGcuQ4NhNBOd+yHAkWwsDMHCUlsoTA5zjSiW47MD6Q/vsYY7Z0V9R 6pW8LqGVA1SlBnJypCyjqN0N6Pa3iJviKPCGmXtd10YT5wxziUCVXDRSmY6/Pc7ZrCcgOXt3aM/9 xtCoXhGShrStO5WbBZXnRk+Sp8l28Raae70jD86WdFXHT1NNuOTZGFAT6l1IF4crXmjRMQOgUHDx C01khYrcpU7d2T6PzgCGTTUmzl5U24BadOTwdh6L/n6BaMDSW3GpLhqUFTbx4bon5EiXKYcoY1hM S33o9yQfZQno5zvaz6zelCgoKONDMcKPnuZ+1f+G7plUaKLuCfh2fhfY64i7wqCyuorB3SiULNWg miBl4kKPSaeXgyJ+pvaVwKuMQUv3HDcUPgjYppBqlspNoYFwuQhhrLWdKhmMiTqVjFLuVuONBrfd n+bc8eF46aUqT/fi/EpnzeFUrlcG/UhgO638SFDcU7dLANmKBccZFStUYayd4NeV4KD8W2cdNUY4 oPbIv9YC9T94qYsoaoc1X9Eb7UIw4INELzCSBPtq+a1n3QDMGHRBJFiD4oB+r4XB+HJErlpB3u8m kvBFIUZFIrP9CbOf5yy217j+SVmxJ5xF4JKtSwtaoIxmllif7Zp/RPeiSySAoJ5oIDKb4dU3lzOY 29yO9Kq+5vtqluv+rCNY8Wwo/GFCPSh+FPzURemNYusHLOXZmBfDpjd49sP0LLuDwxZOJL1fIMNl Y+gy6JUR5odeN9byRk2tdfVzfQ2kqSxIH1UIsT6HwD35JWNQdUXXRBmdNaLTap+3/ozcgs8MNYA+ WkUnR/ZBY87G6eoVfXgVUak7vqJFMKxKb30J3E1uzk0vgj11U/TPYT4WsnPcYXsXlwHENZKU3cNE hEo3SYRQstTBnnBBI0bN1FJ3J59PH5j3ycv8dtHTPAk/N6siPGP//UMqPMyAdPG2yt/CTxeTlN5W QmtSH4JEq5M0XWGtVJHsGHZDUt/jW+xL6d2GcNx0HYmE4VG97xszkMVxS7bp+URUXL04Ayds4KoB kWUvCikGvLl4ORKXlCOfPYWKOFu73DhxkrMVVEVTRu8fNlYLEYnPd/SZcvVbiDBtxZjhXqQM5x5x JJsb81FZdHe2Y2a4W7dDULzxJmRUCgC156y9gnQ0P8MWFh0032n+kXAPMivHY+1v29IR7igmh6xp tuEI+D4NJHetJQNy9hLppQrf+AbPTRx1gbAD0KvLietGBHtiJVoTNUa5yTWzwxi3TWUzGcU5CFbx a84CAvkxjPxAG8Aj5VUUTygAUfe8N4tNVq6SCDGCnkPC5KuJ7GJvtW2eo6K4xRCOrFDGQ3VT93Z3 60gobCiMfkeOv25i2VbptESHrM9p74onAE4Sk5tUnFKqU5PwZj3J7GJ65RrrjiyfshlHZbvnihqW 6dEZ4qlEhYghoDk4LwSR/fadkHvsBQmOUjVHaxySj8wzY3toYS9sUOUDosKGyRq/HxEWHeKYoQn4 1jKENPTNqt7VMdK2EywnDBBP+Txrh6y79tCh8A5ElIdWxzIykX2FqA44d4+4M7OrjdA8PLEA9ieb EE0jXa6hwFuz6oEH3OW3Qh3+uZY8VyVtMvnybsO0XmLRWua4PgJUHAiHjkmv3wFR1eb96ckOrVW7 TucolEggUx3pWsIPpJywQN1GdPcXyVs1dEi/PzFIi4kMoB52K2rV2Ks2k1brdEYR2gIf7wTDJwto nfICRxrRZY1PE8uZGe5F68s/jQ+/YInNdhRW5O0jnCSMRvQS8/OmvzRHiAazSz8zpnOM3JsDCS66 aAkwDEPrgve/t0kBCTct8Cz1WsCdOSN8woSpc45rNYgoh4ZTXzR/gu3Kev61EZeI5porwkGRjgpD OYVn8l3trxIdfqYCypf3tq+vM0b1rFeKsepfBKILdmQxHAHlAbeMzRHZXG7zn9z36EunSjjJyi0d IhZrpTYVMhY6dcJQV+Baervsh0U3ULV5X0GUzlodGKv1Dm8ZLCd7R77z1BlFMf+t8o/7EgPhO/sG 5LucTCPxrqAkbhLnAmdjQQH9XjOWdePgVCIP4qq0YGmYJ2xEQzL/qV7RyeRAMXz56sXM9ohhbdIJ AeYNUJaunBWIR83uwsjL6tcCnaJG/SRQ3Sezdbo7a9N74Xn0wLk1V6YwB07jEjgLqHwmDJPiazvA mIGy2kOEdZQV7887pcRCimH+sYygv2puAOZZhSo2O57R/9yAD+ILaWrdQGR/YPaFInWSV/llCi39 4ksBF6ScK5FKK6jbkDD2J1W7VdOkFitY/otvCmKRb/ssS2KUoUxIW/CQRxmWtB8hpDQ8jr8YSCqt MUBb9CVQwUoOtEzJZKMGzmKLs92+QDLgUD/Wm0CAmFYogzYiYlQ3UW6ZUw40pNFh0SxleobosIql EKeb/ecWHdjnVDsEbb7VVZAsilOriKW5D8Dp7H75gdCExvsUsdpkVzCz5v/s2/sQxrR+DG1rpYwE 2VSukKkR0LUsoB6OJB+vmPu516FQTMXyS+pCHFo6RIrIO9nqREqDxDpQddFDD8OayITHTrVC0TBA 2R9ALGIeW6wGh10vN8g/XFEkkBHhvmMOxYvBeXhrAlBPKr+oADqDvDnjP11AbFTL/niC0YRKKsh1 WWbpgRmNaerN5OeIo96Z/SSbYCBjgKL0I74WH4GJ2v69koIX+K8ct1QjHny2zMcfJZonwZlMaQXR zlcaJQg63Gpyqdlc3BdWhH3bGB5TaI1gQ3dc2iSm/Dj0GrziMQDU3lHaEJINHUTFwfmsdHd6uc71 uReYbhI9L1AhZf8f8jgv3EF9D+w+DI6pQMb+HaxDqnF6m9/M864wkyZCXzZViIhpWp2T7IeCECfH taSHBauq8ZHAxi+/7VkKXY3NTADT4kwls3RXfXJDHF9XRAhdEECrtuUJ74BZacPt/UCcoZtw81tg V3SoH0zmlfi7iHEgAflCcVaglCcQIZm0jQP0dyGj9JG4rA3ge5Cb30BToJZvFRP9Yv3y5ygrY3tE R9TL8Cb5zr2/wCTpm74T0SAaGVU5kg9iR4xHms80drJVbeRUUTSecE+HxYfjy5RH/G3d3Or5rOVQ d1H4YMjNNHdH/+q+BF8l8tSAktSP6Pqzd9tgEGFPI0YXa1jGCDeT7kJi1aR+zkBWD90njKafyxIg 9G7xOl0AZkI+OTbxbCV8c6ylI5Oum1PeW9/GOYUVS9X+Fx/ncMxM99kouwlt5RM4RUY2SO8BTTRm 4QtlUpELKY993xvG63U8WrOwiKoX/TrppLbx87hvnKu7lFq5XnosKldbGnEjtazn1zXHYTpfaZmw sJ3iTDvuy2MSdjYvZcnyM/W/g0HpI/zrtpZJb9uhWbssMFh9QPq05b5bSeUoBnzoYaIZWGkpnzgC shm3bkx/AOFIOia5wJ4HldVxsABTvM9XsNnvDvisYB4M1MLgtw5UEY+vv2c+l9DB9ziqyzYMkuSt q6H237dCm2d8PjfUENVs3U2VNV0SuxxYAs+4pn7Nk6aJG9gvrbjYa65M66M38qS+zez9eIbizMBR 29AxbG+oc9xO7DFKay8AEDNiL/rEZXmC7engL5Fs1jzJyj368JBX/pUlnyQyfFDdRzo5mhg/GndC EiQlSzyvisvLUfuOxtFQITJywIe3E9gJGzc+gjQsGP7wu+hFgl97T3Dsi0pLxiMonI4IPPFA+G77 1L1ASFz5HM7hL+MrqALWtm/y/WSUQWx9jI1NgXHb40OGkvKsaDoNsZQUZjfN5H35PeVmOKJjxzA/ 1U1pOnmBOxxZpPEkYaxo4XZNk+fSZ7wbIO1PhD4guPZFfH66YY630iQM7HMLDE6btTAFrybPvvtr 2Bw7d8JtGoryQ8xVoFYKoOsfb1Q1gEIvDCnGP8lPfFBKG7/51ZsETBKNX1Nt9kWHQZ3hbkdHXzEP Zzh3KSyAPvyTYdPFCTRqwtbaZhpRlPNKaINykHQe0LB2r0+6rhQMODSzEaqE2JqG6fofGbeIrKYs c2eLI5ATxburOAmkOjoj+kWN3fsnTkxP8UIY3ZRheZlgbyb/R/k1WMZuL+HaowA7OliRqBSpxG9P d8rzYg0kQ4cocSsh/tsJoOrkpaTtEwvPlstIuEYsRLfUkPh3ycuS/z1QDaeyOS5z72/Dow1DgCAo FUgOXXbz8V1WEjdho9LWCCjNW7WfCDA81c3Sjgw4h4DOgftSc+FqiNYirW6GMljd851Ca/G/4vK7 Ii0Cp4yAsnnPItK9yAQsKjQZwWsuTOZDPcL3IlNk+j5+Ov7Ba/NY5ub3DTdznZ9o+hOTcz6+tM0Y EjUE/pDSs1LzC0uJc4vvVOoKAfkiXVCks3MpvEyx9NmarKKgjw6/DqR/buqwQtkkariG+rRI4+wT hdn6wwfokIDssO62Ss5f `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_v12_0/hdl/builtin/reset_builtin.vhd
5
19078
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qOipVmY3fn0qNeJcBgG1sNHjIJOb4KstB81rnTtb8GNEMjlu+MPGjXbHiFsd7tiwTZIAB5lnmiSJ hkKu2/ksag== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mGhRFBwllporpLxI60+dRp/4eGRGHBGy3DIcDt6ess1EfQNt39yaEmH3/epnLkz2L6N+WBDQj0dN oOfOwEB0+WQJt6J1aAx6KHxqG89t+e/knjk21TuIBuV0M8CBHOC7jZojSH91xue39VWSzezWI4Vk j6ix3IW3HNCbVDBeo3U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XqbYI4GvYTVvdPof8LLtoyIUzAkdShzKP4ayR4CfhZYnWI91PJbQZOFsgIfX6A3BYMlkaivrvJiq YvAOH7CuQOI/YhtdyHXMKcOAJKrw0f5UDX2HWbrH8UCv2EeYjG4V0RAGXESUJ+myJ16w4G00+JNb v/RwgNHqqiHbguLSuARpf0vFVGScEb4WyrpgVT0NrqAtcBcmsTmXUC6CQqFFN6BvzDCreGQ0ktTQ dEWG9gkL3GIiHaF8fXkkxCgiShjAYq1l2R53CvS2Yxvvzh/Ho1VtEEM3cAJnO2bRJKD3zYF2c8S/ LQY2uCH6190IFW5CsgO9IuvI24DnaT/56aYHXA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block keYOERLKRipzOBB2uWzVpHyCDtBAuP1QXo8eS2ad1WXCfAaV7Rh53wnMq4g4cdIneM1VJogRYc7n 4kuvPEeCWT2XjsoJR8WeWZwkkQ/Sdy8Ne1984QxR6E0W58tT6AVA4EJIyFyNN39PfgsvfYIsNplb 76PRpomFk19FAVAU46M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aM/cTcRyKOUDxBTfQxqpzOnnTVFSI4bzG70fvzamupKuPyIi3W+LLR1JwEW6OqIVEnQr0MScTes/ 17LlIwNY5MP0NmQJl5RtOaAZQJ4auyDcvhC+mDQn0tsqiuUB4AcF+1wGYxgwVY9p4IGAzXx9vaRD 8UOguvI61/vR59Z9pMhjo8cMXes3QBPww/cGA+HgIG0jnlQZ+UmIUsPJKwOvqbYIqQ37vTVtVX6S PtvL0auT1SGCP8+Y2HXBCWOARJ22MNriWrBi+HSR5WCTJp8D4S8LJyN26t9S/LuD8hneZO0EsASm W8WTOco12jpzuPoFpAXJFrDmuFd2+iPU0Eekwg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384) `protect data_block PzWnawgXRBY43rTD01QMUX3ONSElj0BrrBdOK+wstylcq+EYFPfFjMffIR5wO8P/f7BcdRgcowk8 l/75ot6e+g41rkeZRFv1pRPLXnD5KrZknYweJ24moFgAxoutVPbZz6jCoBc54n/+VAg7HY82R3yA eCUd1Glp9IbdnbKfLUU/xXELXzcT0Kqbsb5qGFxb/Ml7jUwZ7xS1ZwUqpX2vZdrFKIpdN4w1V20z D90/YtbJiH7Fld73+2axzX+ZFTmM8Yj5kg3emgOSacN4bAtFk0LG5yVr9CYlm6wjNY5STgje3QT5 TYxrNyNTc/Yoskiw77XqG9xtCsV4Q4gMLMi69pO13fkNS6VqgUW1i/lUjli/dLadmks1zZtpQSjS 0KKcf4cy5tBqlDAQ0SEz5Zckzlq+hAH/2QqkzyVfl7ssQJxYDaTei8GKJlqb/MNnkZR9PyAd3E2x H0Su+tD2xRjHCrXrGyeRxmUFAJk4O74FwvZ7Xh0aVY4+mEZOxeUURdVJSg2FU0r4ZRMm9yTYZHvq l1pUH/69M95YDJrj43fxIudLBZlXU61CtMQwUau2kQj7qg0gkb+ROpCzDzxVF4K5OY2uwmY03pX0 yJbefQS4Ysx7L9ncTYpjeKANPvGuGsvNianpG+Gi+m9gvdUeCC8l/nBygIX7CKAa9P1qv/ixGDyk pYo3A/FZlAzTFqi86RxQwr7ZrF6bYbExumxM/6iLNg/AJlpvPKDoIp9WKIQtaU0tEViwhJNikQtD Ste3DWHnED7rGQCe9kzGqDbUKlBRiZG7J54i/7AWUAisqRgYXRKwWZpZ+r5RQWzhJUwL+KeQi2Tk ZZVhW14q5ho7rS0wHEn4naO2Z8cMMkmUrnMSHvQl+UPr1OhGtEUTREoPh0p6OpfJU6+ieZKZI3lN vEoMnlyVdaTZpmn8JlEMJXSWi0TWyqgF40rmCju6W4gL2MDQSzhwoS7WFEyoVhMFBAazZKIWsVoO n+jdNLUg8svGqrIVOxn+1oICjZPDZkReqnBRrj28jfsM7FmZMu9xfY2FQRMbZclU0rjQtiGTNDaj 2Jwk95GWz63ysx66wPNx/7r9oh05NnRkLFpfu1PPhUKT3Rf+CDIFIxBbDeN01uRsGqk9xKErAAhX jBqixtnx+dwAuw5ptrKt29ON0BKac5sL+s3oupVogtVZPRTCx3vE6EbDAs996ssIJUBt6pJuiyCF kZ/Rr+kL/kxk08s0L+EtONRWdNVQqyHLIPZEWBImzI7tUHJmdOG7SAe75bvb6F99nQ3+v58IkvMV bgdKOoxYtQQIfVaseopLmfIqzFbnTjOTouirR1ubO82Z5rSH/CXJQSs+t0nZEk3SKOHabE6XK0Mj 5YobPWQneo1OctVP+NUxKyVyqSd/cF0TmpdQKqUucXzGqmNELy/TBMTplALZKDihXTQaULQ8XYG2 KfAFPidxoEoNIsCr2wq64cTLPIFh0CbH8utsFs4FpDLKm0ToNzd6MR9AmCapBFn201J+QheSBgun 1AKvMm8uTYzJCQLmZzfaQ3PtEwOG16nG0usFgn2xOqvGZxIWK499MHgWIXJMvLsXC2u5LqWBvrDh srE0Oh3G57q586r2a8q0C/R5vxaIk31nc9JFHBj1o2NUL0UHHEJWni7s+xUZdBVKAkCpgs1/gBAl q1hsgcZo8MBup7SX5+LrHylqmfLepoO8xib77eGuGBSQXfB5KKTOeq8wr5gKB4z/Fa98FNWTxZ8d A0Rxajn6xDf3+VRZPG+wdq682jqqM6F1GWG6hJ8OD3ylSpXXEAVtFgqE3dsvhqQVbtRgEyD55i8z VD9xirPlutn0MyWYBSbLdNyoKq1JbyCtwg7hcOUjudIH6NpbSukYgIRTe2jVRbNQGCKHBigblpXp WTq4rSTFGlPgFsIw0FZ64zCm0Z8GcCKK/1PrchqYfffTT7xxtZ83wgX7d2F6ARq6sTRt9B/tdd3Z jqEntCSxY2xa0YZLMU0ZcumTaWCqfWFthhLcW3godpMX3n6s9fTRNzolsYJNkXNt9dg+Km18kyh+ 0FONeRT+EkHXVHANAQOEIVoyybSZvoAkkXLmcdKKtjMG9BCdHdPB0EPRsbwspaEoA7ZaxcYWSvU6 EtpK/1mFH5bDK4rHU900ohDO6xckmfUTb5TdJJGI7gct3PKFwt2kDYoCAofHk8asWsZRtNo5HPVb rz1BVD5L+htIZSUDyLAhBHpiKuDi4zfUILRwQkZAkOLB/cjwjlhh95cFzPTeP6A2waR4guXeoWra 8Ptl/6aJlxMQy4d+wzuWKR7aa3u//fC/nN75AoDQJzavGZjd1owObelBYa1EbVy5sK6BWlAjym+W 6CqG48EPjQZKoRmgeiWRPbNCBiMAY54fSpUCakNtvjWZ8LaXgBG00FCLsNUc0FVDp8UQS8ifNAK1 9Mq+qOBYM32Lh3/8sd9R/SygLCY+qzndwBNyxGhtDUQiVPIbNdM2BWievUVQl/1lnvrkgVzIqfHj hbkHj2vsqFj9p4V6wHjCcIPKgFz8rCWj4vfQ6kGBkfuLkl+Y62sMbeo/EtNBmFwiHcRQiGCVNZ5z 3yWj2jo2TE44ZDuTQHNKdMIHOr5M9fln6X3u20vehUfyg50IRbHm+bF3JYrGXzmlGmMSTRX43GdX y4p7J93m5j5KHltyoxc/rDBM+6k5oxFh342n+RobAwD5sf10wftxFLX8dlDLbWzbROX274u8K1w4 fQWAlVi6idhYxRoUAoOfjxbhHNJ0PmivUiLcdQqEHHiBtC/B/3slcX4xB/JeiKb0As6/gIk+94zA u4usq3KmLmrFtmrcOeCJ7mAdRJoQYi2TfbpkkXUcpHzfoubQCdxCVH5mJmH73hWZpamruBgcbE11 bjjZ8VgdWc1q0kmEmTLicvfxwUV/sSr01l0mjw+dUkEBj31GD1CSmMepuQQW3ZgBIc/9gP6/S+SV RTqRV9eoAcDNO1gdkkOhR6FHWn1oOxltJpuC48BY+SEGj5dU7vf626vFEIyZqoi6nvhDK4VJeFrd JrJqjtMW2+Bz8a2ReA9YXN0F35XBhsX73v6h61fjYprb4PGlKw3dm8kLIvKjFLa+kOD1z02o1YVI 2A2f9cNEbnwr8K6pJU7vkqtVL3LNw07vCh8Hg9R/Ue/YYKh0pbw72KtX3b7W8mCGP9M8IM3K3CZu heUjAajEJ6JQHUS4Ggf5ieG2ZypwBzbS2PIk3QkFJnxx0Eh+7DE/vxhsIXZ9I+Znr0o8OgWKmjpx REQRPXc/Y8JXgZ39i3JPK+zRoT4/LiQptcwByDpfKfxqEiK2e+n1RjVsQBzcQ1Pe0/2M/J3lM7p1 qlUHdTCX+a3ajfR29cWIb/9A5gABTW4AnnrTy68ki4um1VO++h/egY+gZt7aGKc81VvLtezSyEBO wUu4DBrRq2vGB8tnU7x83Y7wOnRoIr+2/4AXJH5wIUJagtgYNW+qcVKbq7IjrmZYIzL1Hom4MQck o5/issewkAZIVnBGB3hafRmyVWdrhmAjfJHzg6W1g27E9XKVXmDqyQ6oYgrq1wqmuI5kpBcZRYQM ZLMdjBhOXkGmJTiXJj+nPxGX5mQMwnGocBvw9tZ9qYea8R8hxN8UZ5bT7MZlh9MeLvSnyO5NLyGS iA7l/IKyUizW5lUSQ0fZ8AQmQelwkJDkoyXDAeQsQwTYITYGqsRMHRm0hwa4jf9zQcNdZw8L76wf 7j0/2p3NCXX/WhzB/oTkHkOQEAamKihV7rEAINXNe2ZZii6y1afM6x08vxjuy0fruxitVg/B9mv+ RQKlTwd7V5ChFXGQ5SWMC+MMALHhvq/oO4D+33o1rFIW4Uvv5X/wB6UDAi3TER/pmFr+Dtsy0skL /uGT2IUCCwEd93FURvw30Pbg88kncvX3bhUFRKF3SPB3gIY6UPzb+tUp2rpBUxNJqqV4zIw1rcqI rT1EPyc0tXi/quv5IOveij1eDMzpXx6y5tD2QfSg2lpyHQmo3uKJ9CZtNSlGT2v974mvVOMfXiyn kK2cUWdg+c9ZPFB1eQrVg3LMk8SE+qmhVtH5FvrXk0/48rlCYXRd1qXGI2OSbsym0zuN9OITRZ9W 1i27QnHN2FJBs+HmS2QRjKOxXcw0nXElqO5reesC8SZPJzac1TOxuvkmvbZQNInYayyZcLRjaokr AqHCPIbcR94xiHCyjQ/0B3gni5IvU0gM/fmRSqXDLkvghksEwAIXbaJSvTd9PFoNcMT8qJB9TH1U mTyT/IwZYcU2ruFhbEw09bzYQ41GawJXCR5egQa+NTggtbdjeMy0bCdTivqnt79UtJZpBUoWK8qA ZnXcJMv80CXleV+RsW89u1DYeClfBOYqnBSeg9wppyX0iLcWYCxxkPfoWZv0Iw1ehWYENycn7W6e 5PwK9Z+gOFR6wqIoh3xjy/IGsEhCJn7wFpfIbyzifQBM6rrmKoc6UumSVa3ROxL5U7GhWhvdkyHH 7RaN/fZ6qSzkE++U98aMRAXd0u2MhpE9Bi4yTFjlL270SE2wxx2FpjBxhIIxmxfSPOsVOKNpGnhd CHsnFhxWDOqOPYzHKbV2uIpb0OFM4vcQsM03lnemQFsocmOaLtGAKvwfVksFWQqCe566VLKF4/Ta lCrNKIAMb4/vhAaVF5ATA6ao7CMXzXp0BA2xuXl7YFivtGDETp5lg5NE95R8BJzSqKHfRlwC3R41 jG6UTJ0muttMnzGX2kT0/gZkg4vaEr4AJtrUd0mRL1Q3H/zcjWEpvYCpEC4VnPlWG+X1QUpAm7oi ra4dYqyNlATyn6A8gS6eHoyLXiQt03HBgCCXReuZQkTdIee5FyzEpsHYkWINSY3CcdgzzVHoepvC SOlAhYZhrR1NMpOgvgBuWA1Za2ydPnM7eSuidGLPt1C3i5V07OKXQjDDMZu55EnCZGaeXaU8y7Y4 Hge8tgCoeNMAbIycWLSxpSHbu6lhYLsbqbcNHNFeXFL5+ud/ZSCwUhher7FZy/qQdKvhVMsUg/up jrSeE5E0KU+KxuWpPTTI4nnYz0gAKNGBGH0Bzbo/Iv48GvX6Lnm7w5Sz4FjCWR+cONfHe1DG/Bof n3zp4AAHl5y5klFD2F9wbxMjwzYavJb71soMG2f6P7SgDY+tqIFS7/9+JXjzIXbyJ1Fk4dEUNPTi ePT5PM7FSGUui1wtLoXx+xpE7/fmrEjKhHgdwbN9NZ3W8j4ZqctjZ3CiHIDAZuA9nWC0293SmKPh uTTY4R9bNzTRgDP1SMO/32TzJCi+sN1yCSf8pe3r0+meuo2Ri9Fx7huBpWnFTW3b8S2hI9VQDYoR FtmC/NjpxygX84mM0Gt94A3eD3Dcl3rXC+B1CvyUpkK/LlnGADvBqNEjNmzAbmlvl3KE6UppjQvY pJXbdCqebZjSYs8o5HB/RfgTC2CfehHx76dMjach736dxwsqM6MCjmRR0R5lIvahcKh8i5IFtrEq MGvM+5O3W1UBkr8oZYOW/o7bjFSNVeeWUOPRZo2ddXdk1Qhesgud7id+5uxuvc1+uJYesu5OZm90 ZKJ00llxGqq7lrPgsR/WZ4bxtjl2Qo+SlMyZAvquB0sbrqItCVgDhkak6cZpUaVaK5t72ltN/AMg ipAaUvpovkxHXdNrWCuFfG0X5jBw8GO7HNmdjm/CIfkn3BzDZ6outGPE1mYsMY3jQJgaoEXMsqx2 Lugg/1ILn3BldQCEB1utdrvVurSRa8klTYpCSf1NuLSCZ/8439nhnMo7tFRGPJmQhvs+gCsgC865 /8W3Lj8/dOYkr9ThRQrJqf5bvrsNkXr4eA92tB3Z5OWeYdyThXpUID+G+F/efIalKcjs9t8t0vnx MOKPrnI4sekg9La+io/WYVK521CLuNbpxOF5qW9NI51NC9Nh/OzMswxPszQuXTLZEEZsOumbTKC7 uE25QaWJxl+wQYYTq4/9LOdb9TPajQ40enUaQuFLkyrW8PcvOvYmnmb/kWFryysWq1bxLuHNkAnk lgGUX8h/Vl7Nf0D0Jso3jHiCSeowtHq6fbFg2MsCBNuJpiAyVnLi02EVTGOv98VU+tCAxCQGgdkT OfxqjWtxVmkR+t0WA52XRM2xUd822ZkufH5qYzr/DKKrq3VsRyGNJ3OCjGungDlX+7ugbBBh+3nE JbLa6AJi04OUXU4inxtyxNv/xJBYMWH3ozBQMyEWQAnK2BVBw5KCMydVn6vNrfh0eykDHHrVEkcD jjoe2V+6DByLFN9rlJrnjeekO2M2GvLq6+KMTnl1Pq91KmnMiB27Vu9KDU2eKh7aPRaTScPfp9l9 5vzr2t8m/k8uc1fo6ndcrfKyBcQyffJW74zRcMlgk3hOgnbWQPIn0HPrhh/CcrnjM7jwFWNxRI+d NkPQs5vEzFS75Xxy7U3ndwAlS4ijgjr+Wdejj61AIZr2J+E49plzAzwdrxkvrE0FKRRMGK74MR/Z EcktgNP45gUlaABjhIm/51lIL/4TPA/6D7eIqD4TAVgn16xM9E6oG9IUf/qlj9JiDYlvE5M6IiZb LrZvBGOmrFzJLIRYEorbGJM2sshaKQy6sPENQHjMxdSDry62YjAUhjyARwHu5ygR0Ohs+QmAt4xs kNA991fLRj2PX6Bj7T9QyMccsprw/lju51Qsy9KvfC9XyR15ndpfKYBovSWUmW2/LOiPT5Vv0GDr 4RM5QQBaXtA7WpoCbo4N3QqA536ICyWV3l/6kFAuhOPjwqjaPtWZtaDxnKRHAvhI6W8et+9Hkx5j U5AebmXCt3fXNPVaZfYtdzMcJB7QScwfs4atGumxpOcg1/S6hDhvInodki45ig1mS14exxysgeOp mfmspQwHC/ikNUC6GfoOiOZLMnfMZ9NBRKeENZ9lPlY5OczBa0kAadX5jvU509+C5iuszWlWqiII PlcmWSXg0BtzAMCEsS5//qQ+K6lNfLYt7s7ynBxIGM5iZJ1Eqy+BHlKAVwW00MWjaX9dYs+UCpaq f8JAV/O2Uq79ZVvsnUL2yTGrFjeuIBoCpuyLer7J/u5mrnDT9odoWIm357x9pjZyWFhCxBjPphjz J94m5o5d8L2invMMX507cj+w6s5Qo3U0lfcrHv8sB8i9BPngAOdh0+AMZ9fRMbjEL5eLhkk3FEar f3tmr0/MugTwHFpki0144zBWmg5/+zc4RbZdaiIAT2+2BsLKDVy/EYnKPTmTt/0w/JDHzUCJxjDC D7/jkglDuf3SMjWt9fHbuwPaZD9OfGvXInVYxp2bGJdiA8R6OYfFYmM73oyPNZh8WchOPFO//d9E qsjb401FmZNN8zWUfIvaS9Oe1Bs/0ZiPzYCe0zwKQccQ/3UZDXTfLZiUcOv1Djx17Igbp92XYmYC SJoorSk1xmh0ddzGgLfPA9sOpDjaauAB/IlhNQV6AgKKJ1dzw+2cVu0AICU8f3ikrWDynBMTPt3R QadKe89/utjvaCrlx8lxceJRscFIpu2HbNQ/TzLFPheTowJ1VXIf3/EHsQF2rP0PzxjEeBSXI8OB 0gUj2gMB51te/4QrqT000+pXvCY//kGb6QhJpZVqsj/sUeWJLUyiH0T/dXunpcZe74Wef3yQh8RB 9VQuzQcEBThVl9bkkB7KIME+LIoHgzrPtfApYKpODv+xoneer3S018gFTVTu7UfEfCFfGqfevpqO fuNmMMRroJV4htQdAC77kd9qdxXRXgbamssRvd90aJBJWzuREReewSZADJ1k6pqJ4PzQ7V4KCSoI SatU3hHewu1n4SkH9dqYqB4SrH4QTLO1beGvs4ALHuIKzV/kMxegHqd/6ZkHu0joSQ29angnZLOB qh9xWM6igDB3OoI8K7HKG3dy39aHdoIATVwlfLLQonLgKdvOY7jjPxp+I2o2dvAKokPz4o2lBmvm JVx3/xhzdbzxQJS9BNAVO2LzrbaqxKQ+DVDKOj/spAXgFvU+T9cwPU9WIcRBpIpmjfBURPhHyKZu t74/3cTHD1QqmzqPQxntnnWTUzccisDTvDONYcR61Hp5x9ITseZm1y4t396q/um0xlxwRSzqa5jU NX9WWdfFOWHz0LbrotfXpLLVjpxp/YD2N07XuQ5lljSULnD3lZ/MWJCazWkYhMjtFri/Z7atU+nb s1UnY/b1Mg4od5XmBH1KE8dZd/jowevPhgk3D8mKqDgg6KZj941k5OYcaONctHyMhFY/ALKa2/rf 0cVqL2kEcFz3MU97UbIJlNXr1fyO6bd4YcpBWC/WamoCPDnt8v3FDfixg0ybs6Ed1zoxWE8rhkor 1PwamSELsJs6mfk6M2BG9LJDixGD3n7RfPlq75KlUeYOBh0troC44apEAL+js5LQMK4m2cVWb5AW hHKfa/vSCZYIV5IVeZCFFc+m0KTpRVFACLa8noUPG/Hdq0+sTL7+oaEpBXi9iJIH82toNYkbt2MQ EgR49MJSw0Zi8KpzCWG7tlS61+ZtKIfUrkDwBzWbTtmubQ/plIDil1s/LrGiWWLH+0pNQhp0zRSR 1SbFlL2Y0EW2G2aK8jSnM8DvPx9T9OX+HiRUsZ2BZeniaWYR/wbnHTVXXSxh/d8ub4jQbgUnyGdR RaWOfeX+u+GlIlKlZtlT4mnjWteG7F7ai9hpeCbs9DyGcyZhnoLGl/bwK66O8OjJzWNZfu2Tlc83 RYaFi9OJRfA7TCktcBbUSIOzh2ap1KbQoYqAuNhdp7ttW+aUHG5mnVdCTaRfxUQtzAcxwGW4VKE7 zK7khsdNHMGScL8cEwBcxaBbSEO10Qq9N3WN+PsZhQsnkDDdBG3iJk1v06e4TbY4tlZbHnkJWbwH Pi8QQiNGu58jX0hsUO4E9O9/llwpUgiYA3F6juLemwfk12TN4Lst1/o4Nsa1Eo2+qeW/HtKYHBbR 7liQp5HBr1m1LROMHL/4y5dJZRxc1iPRzKh9pfTwD9J3kMEt5fmJoEXypA5MvrvjO1RNxbfdZ2Bp 1XTPBWoIEp3ovjS9HT1Ozg3UHX08GrU07bLx2xsWbjzTkmmH5W9f54jUouqHtQPLwdkP65gyANNr 9ujDq5nUYln8C4w0QiU1wxSwNAqhn1i7lBU4zn1Dn4JVKkMAyNNfuw2or6fXvK0Cvm83mVpClVrZ TCkm9H89K7Oxk7ehvQZCWBldYteUt8JCRXbTVBOvu6EN4EIx8ACRaQbBuJLjcUrE9zD2coBOaTDP cn1zdIORGwm4v1owom5uK8NpeXGMVZERk0rO6hiehFRuPr0rvjzpuHeH/WpYxeQ0hHLwjEzQe5DV AEHXj69g5aIv610GyUhbyZLOts61WaXSwpoPke9RVAK3iWAvWl+9cxUZwwo3LuHd6DQ+n1NYh3Ge ccP1V3d6bnvq+hpB1hCjHtrvvtecIArz2YdzSLIp9QO+VLr8t8suc8KTVGB8ddj1DKL3MGSkgy0j 2tMK1VP+Jmc25aCUyokkusE5fA2ZlqyFJTuAoUbXbyMMjOkQ4LNYxAM9GP2qa8J78lf4ger71nqT Q1m7JogZtsc8WHV3GmkGm6Z3FYlJyCtthma+nuqKiQZJthWyMYaQJ/EoyZ1NaZaQkJpwf0Qy/VlD z+MEtNw6u0WCoWLpqMwpnaBPRYZWI2ncUQ879bofB7OUCuA+LeZQjSvgMB2GOLwa7Aw7y78AlTUb WnPFRPmR/0UVWXvgxsATkcsjqf8KOZyrRMhJKTbmGh4e7BkNdjftPbyTAo8HBT279pyvcdZtGbn+ 4oYV+mYILWi4iVLzdKiAnMoLMPGj3v/Lo66ttKoaIpQ41vvAP3DPFvSOq1FldCfSIBs9rIjsejXL oZq97Jp1HBtmzUBLOwDof3dJqsPzz5La71RptMl7KOBEkoCKOZXVLGVpSpKd7ZrRAkRxBzKX3+ed EI75pu4h5eKQg8MLNaAzvoBFqoalkvhPLzqGoQL5bWxCL4jgSslxGByBWkOpldZgLVv87/xXcU4o YQeXf7yOc7WRWbEjdfuRbKh2vB/NthC8uihEM5mY+FtGl1RgY/Ral55rOWwJKyvWAMX/xAXtdLKJ 9oi931PeTwkN7INRfPei8oi2U9iZ1fsQxdpLy1ddSvoFkYfn+RKAdBaCBTLJBtbCDfyWWZwXv6Rn UUuxI4/RHMXZHu3M/4CYT+M2/3KKcd2o18U8VUX7szSOpQQUZgjUvLnH/q5RzqvYMaVSaj8Uvu3s yjeygVFay+0gnAcCwsDGnaiHeiCI+b6pIN9YqyVnmmqMMIvtTEb/ehHoOUZbaLpsqUlZl5I/6q9F bUQNbEphNsHGBKR29m/X9FPu9aG6YNiw7ds2AiGtRsjl8dvZBmcmt1XjvlZ8SCpskNGONDEbMHpt I91V4ULoyH5zPxc/Y8X75HJyQ/82EFh9vO+M4wG+BKfB6pOnvVd8LWEvdBSBsWPm5pi0gYEAQtpd yDrV4h7sCI4E06T4RvK8Xtf97Djko2cOOjs/y6MjpitF/DrJy/f89OaZfJkY5n8iE2Cnv4x/k69g eq2yFVen0sKSS+OCZenyFlQ/GzkEcDb6Vd09GkouWALC0D/X8e0d3eoSbwXqcQ05D9qj1v3u9vZ/ QWYPiZXIyXRM1B2shcOYWxIjFjN8glneW0AUePQbmISP6KJP42jK7264WoSUdEyAYFSY4wjuR1SU N3VW+0EV3MMsP5PkpmNSR6sbn4dzQdnuuko6nxX02NreeBqe0XL98evY3Du5P0a5N8vUoQhyW25k OQAMSkAgZM1TdP5Y9OnAHaxJfkVKXqKvHtZWK4kLY9Uv5ilMauDON0nInsqR565OcULQYUyyrcff LtLRj8cPp8/Gh57aclfmiCk2/AzexGvXD2xXblfLtukVOTy1ttgsV28qLiYyNIRX3x6313FRPKFG tLY5nw7vZ4AeiNNZjof/MVTSz6iy7uZ16Sz2m3Ow2w4dvzwVA3L9BczOkECecG3xX7pHqYBllCVo SczF7BsRDZdbjukjqpOhd2A5rBjyGkulH10XiYkFWL9InHyppP9B6UubtRRlk++G+Tm0wDjKPCQH RFeWM97oqjsXPkLEDZa9os9b7rdYFszq42TEjRsYx3FUIcxIkUu0wnGf+stWUq0IJr/sb0dCmWsn 1crSP0+cLG6NVNNvNgkRtz28SOpQgCwCSqTg5xY+UoFvCWjQqDEGiR9MQksm9KfPGP4YrWxS0BYV Bddxl+FReYYETM/1Ahit7TnKm7Mwi9n9CuqJHyDkn6samL1sibxO4aLbOBEhNOPaWyGEjkWN7kAF ecWCgq++0mH2NDfpizDWaa1L37CrJhQLKRuxg0iUxhsevgYjNE+SiVFE1Ipnx7LIe55IVwyKjd9i 4dxuWP34TNYQMkdWDHn1DkzhM7AwD7LhzV6z+su7qyBBzpC7FVpRkL3M9OCsfwzyy5mQ5tZg5/bf /9+fEzSkkyHyVQkUNBSUsGd6pZMYGy58/bv72P8qE3XqzJP9VGxfxliRFwnLwjLhU0QA8h5vOz3U YpgeXhidFLJqMZfPylok5PLl9kV3fVJxxPGDJeHwfEHG5q3nlpblpzCdXWozKiDry/1lgV7xPW7l G4+a543Np/bgeGpOHAr5VD9DeIfqRu+jvvA7MxdLJh+hDDWWvJTMmyvqvT1lOoTpcJ2IK4863+kj /rZqWb7Zy0QDXPbpcOr5PLEN9oBOCJ1SQ+S2/CwlW7pnXneze4sAMFah4IY+qSCvcp4yvxF1wjMR dYZZuEm1gq3YkjR1+bNE/hsFFauVBI4X/e7Lfm/p3XnYWaIU6OXL6ftjmyNgtEwwkMvjLiN5KDV7 Zp0hNidpKNmarHp3qgqJVoe67qW0mW/Zn8SP6F4IYlqZ1vmGOs3+Q/m8qIvQapkacLcI6R+yRAY+ gEqWjCYdtz0oq0FZqRNCFtxQXqyDST1ZSlVqIUmiA/mTxcOik2GXzAhgn/5ksb/AGuK2Erdeirjh cOyvctP0lbQZ4Guw2+hZPZSCi+zNjmhU6hEPYzhvFlzYMqgkA8RaJnOUUVvnSsElQHS+92at2G1G TTXx2yIZaYA/IkyuCVfILAnlJwSVuapPJMdlEGmrjGwsFV5X2O0sPPgQLKv4RmoYib2gwWdUix4l SoWP0w5hDoLmGtDL9ILjPz3aGcuQ4NhNBOd+yHAkWwsDMHCUlsoTA5zjSiW47MD6Q/vsYY7Z0V9R 6pW8LqGVA1SlBnJypCyjqN0N6Pa3iJviKPCGmXtd10YT5wxziUCVXDRSmY6/Pc7ZrCcgOXt3aM/9 xtCoXhGShrStO5WbBZXnRk+Sp8l28Raae70jD86WdFXHT1NNuOTZGFAT6l1IF4crXmjRMQOgUHDx C01khYrcpU7d2T6PzgCGTTUmzl5U24BadOTwdh6L/n6BaMDSW3GpLhqUFTbx4bon5EiXKYcoY1hM S33o9yQfZQno5zvaz6zelCgoKONDMcKPnuZ+1f+G7plUaKLuCfh2fhfY64i7wqCyuorB3SiULNWg miBl4kKPSaeXgyJ+pvaVwKuMQUv3HDcUPgjYppBqlspNoYFwuQhhrLWdKhmMiTqVjFLuVuONBrfd n+bc8eF46aUqT/fi/EpnzeFUrlcG/UhgO638SFDcU7dLANmKBccZFStUYayd4NeV4KD8W2cdNUY4 oPbIv9YC9T94qYsoaoc1X9Eb7UIw4INELzCSBPtq+a1n3QDMGHRBJFiD4oB+r4XB+HJErlpB3u8m kvBFIUZFIrP9CbOf5yy217j+SVmxJ5xF4JKtSwtaoIxmllif7Zp/RPeiSySAoJ5oIDKb4dU3lzOY 29yO9Kq+5vtqluv+rCNY8Wwo/GFCPSh+FPzURemNYusHLOXZmBfDpjd49sP0LLuDwxZOJL1fIMNl Y+gy6JUR5odeN9byRk2tdfVzfQ2kqSxIH1UIsT6HwD35JWNQdUXXRBmdNaLTap+3/ozcgs8MNYA+ WkUnR/ZBY87G6eoVfXgVUak7vqJFMKxKb30J3E1uzk0vgj11U/TPYT4WsnPcYXsXlwHENZKU3cNE hEo3SYRQstTBnnBBI0bN1FJ3J59PH5j3ycv8dtHTPAk/N6siPGP//UMqPMyAdPG2yt/CTxeTlN5W QmtSH4JEq5M0XWGtVJHsGHZDUt/jW+xL6d2GcNx0HYmE4VG97xszkMVxS7bp+URUXL04Ayds4KoB kWUvCikGvLl4ORKXlCOfPYWKOFu73DhxkrMVVEVTRu8fNlYLEYnPd/SZcvVbiDBtxZjhXqQM5x5x JJsb81FZdHe2Y2a4W7dDULzxJmRUCgC156y9gnQ0P8MWFh0032n+kXAPMivHY+1v29IR7igmh6xp tuEI+D4NJHetJQNy9hLppQrf+AbPTRx1gbAD0KvLietGBHtiJVoTNUa5yTWzwxi3TWUzGcU5CFbx a84CAvkxjPxAG8Aj5VUUTygAUfe8N4tNVq6SCDGCnkPC5KuJ7GJvtW2eo6K4xRCOrFDGQ3VT93Z3 60gobCiMfkeOv25i2VbptESHrM9p74onAE4Sk5tUnFKqU5PwZj3J7GJ65RrrjiyfshlHZbvnihqW 6dEZ4qlEhYghoDk4LwSR/fadkHvsBQmOUjVHaxySj8wzY3toYS9sUOUDosKGyRq/HxEWHeKYoQn4 1jKENPTNqt7VMdK2EywnDBBP+Txrh6y79tCh8A5ElIdWxzIykX2FqA44d4+4M7OrjdA8PLEA9ieb EE0jXa6hwFuz6oEH3OW3Qh3+uZY8VyVtMvnybsO0XmLRWua4PgJUHAiHjkmv3wFR1eb96ckOrVW7 TucolEggUx3pWsIPpJywQN1GdPcXyVs1dEi/PzFIi4kMoB52K2rV2Ks2k1brdEYR2gIf7wTDJwto nfICRxrRZY1PE8uZGe5F68s/jQ+/YInNdhRW5O0jnCSMRvQS8/OmvzRHiAazSz8zpnOM3JsDCS66 aAkwDEPrgve/t0kBCTct8Cz1WsCdOSN8woSpc45rNYgoh4ZTXzR/gu3Kev61EZeI5porwkGRjgpD OYVn8l3trxIdfqYCypf3tq+vM0b1rFeKsepfBKILdmQxHAHlAbeMzRHZXG7zn9z36EunSjjJyi0d IhZrpTYVMhY6dcJQV+Baervsh0U3ULV5X0GUzlodGKv1Dm8ZLCd7R77z1BlFMf+t8o/7EgPhO/sG 5LucTCPxrqAkbhLnAmdjQQH9XjOWdePgVCIP4qq0YGmYJ2xEQzL/qV7RyeRAMXz56sXM9ohhbdIJ AeYNUJaunBWIR83uwsjL6tcCnaJG/SRQ3Sezdbo7a9N74Xn0wLk1V6YwB07jEjgLqHwmDJPiazvA mIGy2kOEdZQV7887pcRCimH+sYygv2puAOZZhSo2O57R/9yAD+ILaWrdQGR/YPaFInWSV/llCi39 4ksBF6ScK5FKK6jbkDD2J1W7VdOkFitY/otvCmKRb/ssS2KUoUxIW/CQRxmWtB8hpDQ8jr8YSCqt MUBb9CVQwUoOtEzJZKMGzmKLs92+QDLgUD/Wm0CAmFYogzYiYlQ3UW6ZUw40pNFh0SxleobosIql EKeb/ecWHdjnVDsEbb7VVZAsilOriKW5D8Dp7H75gdCExvsUsdpkVzCz5v/s2/sQxrR+DG1rpYwE 2VSukKkR0LUsoB6OJB+vmPu516FQTMXyS+pCHFo6RIrIO9nqREqDxDpQddFDD8OayITHTrVC0TBA 2R9ALGIeW6wGh10vN8g/XFEkkBHhvmMOxYvBeXhrAlBPKr+oADqDvDnjP11AbFTL/niC0YRKKsh1 WWbpgRmNaerN5OeIo96Z/SSbYCBjgKL0I74WH4GJ2v69koIX+K8ct1QjHny2zMcfJZonwZlMaQXR zlcaJQg63Gpyqdlc3BdWhH3bGB5TaI1gQ3dc2iSm/Dj0GrziMQDU3lHaEJINHUTFwfmsdHd6uc71 uReYbhI9L1AhZf8f8jgv3EF9D+w+DI6pQMb+HaxDqnF6m9/M864wkyZCXzZViIhpWp2T7IeCECfH taSHBauq8ZHAxi+/7VkKXY3NTADT4kwls3RXfXJDHF9XRAhdEECrtuUJ74BZacPt/UCcoZtw81tg V3SoH0zmlfi7iHEgAflCcVaglCcQIZm0jQP0dyGj9JG4rA3ge5Cb30BToJZvFRP9Yv3y5ygrY3tE R9TL8Cb5zr2/wCTpm74T0SAaGVU5kg9iR4xHms80drJVbeRUUTSecE+HxYfjy5RH/G3d3Or5rOVQ d1H4YMjNNHdH/+q+BF8l8tSAktSP6Pqzd9tgEGFPI0YXa1jGCDeT7kJi1aR+zkBWD90njKafyxIg 9G7xOl0AZkI+OTbxbCV8c6ylI5Oum1PeW9/GOYUVS9X+Fx/ncMxM99kouwlt5RM4RUY2SO8BTTRm 4QtlUpELKY993xvG63U8WrOwiKoX/TrppLbx87hvnKu7lFq5XnosKldbGnEjtazn1zXHYTpfaZmw sJ3iTDvuy2MSdjYvZcnyM/W/g0HpI/zrtpZJb9uhWbssMFh9QPq05b5bSeUoBnzoYaIZWGkpnzgC shm3bkx/AOFIOia5wJ4HldVxsABTvM9XsNnvDvisYB4M1MLgtw5UEY+vv2c+l9DB9ziqyzYMkuSt q6H237dCm2d8PjfUENVs3U2VNV0SuxxYAs+4pn7Nk6aJG9gvrbjYa65M66M38qS+zez9eIbizMBR 29AxbG+oc9xO7DFKay8AEDNiL/rEZXmC7engL5Fs1jzJyj368JBX/pUlnyQyfFDdRzo5mhg/GndC EiQlSzyvisvLUfuOxtFQITJywIe3E9gJGzc+gjQsGP7wu+hFgl97T3Dsi0pLxiMonI4IPPFA+G77 1L1ASFz5HM7hL+MrqALWtm/y/WSUQWx9jI1NgXHb40OGkvKsaDoNsZQUZjfN5H35PeVmOKJjxzA/ 1U1pOnmBOxxZpPEkYaxo4XZNk+fSZ7wbIO1PhD4guPZFfH66YY630iQM7HMLDE6btTAFrybPvvtr 2Bw7d8JtGoryQ8xVoFYKoOsfb1Q1gEIvDCnGP8lPfFBKG7/51ZsETBKNX1Nt9kWHQZ3hbkdHXzEP Zzh3KSyAPvyTYdPFCTRqwtbaZhpRlPNKaINykHQe0LB2r0+6rhQMODSzEaqE2JqG6fofGbeIrKYs c2eLI5ATxburOAmkOjoj+kWN3fsnTkxP8UIY3ZRheZlgbyb/R/k1WMZuL+HaowA7OliRqBSpxG9P d8rzYg0kQ4cocSsh/tsJoOrkpaTtEwvPlstIuEYsRLfUkPh3ycuS/z1QDaeyOS5z72/Dow1DgCAo FUgOXXbz8V1WEjdho9LWCCjNW7WfCDA81c3Sjgw4h4DOgftSc+FqiNYirW6GMljd851Ca/G/4vK7 Ii0Cp4yAsnnPItK9yAQsKjQZwWsuTOZDPcL3IlNk+j5+Ov7Ba/NY5ub3DTdznZ9o+hOTcz6+tM0Y EjUE/pDSs1LzC0uJc4vvVOoKAfkiXVCks3MpvEyx9NmarKKgjw6/DqR/buqwQtkkariG+rRI4+wT hdn6wwfokIDssO62Ss5f `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_v12_0/hdl/common/synchronizer_ff.vhd
5
8637
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TzIiEMtvO6aATpAtL7cifRYecx7zo8XqEtOIGl2U8qAWu4EPO5yX9IJNXk72IOS1ltnUXQqR69f3 B6QpVjfnPQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DxJZUvltyEq6qJ3C722l3oEd0ejNiidFAUUWEZRjnajRyvuRKM4LnkdgMjQh2z1Z9JnU19tU0Sh1 xsX2zoJhW1PNZr3YdKS7kREU2ZaIrR2dYK7qVamHmjMmsyAYqRESuxPXEsNLBBG9bizURmqkRCSm Yrlp1QWTnXwxQ5hvnC0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hdn4/V2pUq9izJyUhDCyZDTwujvykX/eyFQ3+UnsybE7V475vPzplMT4cOPeFuUq9BYQ4STnr277 iDaaRHFzLn5ct7Tn1XLwWw0gUj9ktqROMa1Pc64mnLuJXtw3JUM5fVEaZCR7/HZpGAtV+dHw/fAI 9Ddt6mZ5FSiEFgui0xL/koc8zo1jac4MMeBaHasqb0T5WRUws3n/yBxyACXsUpNEiEL5UNaGu5s3 S16xeAuK7SqIE4DtgxqBYYWx6eiy3Ws+k5on7TW9LFRC49uaVchs8B/AiYMXmx7Uk3R0XySa0Jla wy3MR+rjV+p9WbTFsR0Ia+hiTyVluC6nuQ8T/A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block g7/I+Zv7t15xDNb79Z5Z0LdkVeoQuvr9vwEyA9Gz7P+XXaL3mKtC9ba6fHja6T4sIcW9smQKlrpI UIbpE6b7wC27IdfUaVenB9eSLp1oim6Ym6iOVaHIzIY7MOyUhce7HOsye7kinmZ+2UrLH/XU/swZ CdXbYeuJcBbKnxo2e9w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OEKn+Zcnj7wYOTFSM6WyEqNWHcPmFfVh8vYwq3uT62xdINEZ8kOtiTDz/W8P+37aFQBu392Ro2Dr Dpt22eyk8hM6CwjpHmO6+pJu8gMM/Iascx5fxY39tNbZJTPdvzF3IlurziOuz7a5UySS55OmbTSW WhFJ+dquq0sO+XSnH0q3dR+FSboyYyg9SpGRn5PKwD75+8HK8M9Jnxd4fsxFvgoCNmXBNng0XbX3 AcX3/VkKpWTzD2/EdVc7lqcH64jbK9J5vqeE3+wIlaS7tPDigA5VeWPK1rCcOEO6FTvl/i86DYIO i+IdRlrGcK3jiZzWJo95VidfPqrycYocISMknQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4656) `protect data_block WSb4NJMG6tDDjWuGtoGDDfKJTo5PrXaspzv6esWhmkP1vGrKF6CTAmC4qVCCDf4MJ4WgSwdNM5ki fiiidiYaUn+oDj/tbwuG8QUzt3WO/HILmeEf6ObuhlsD60ijhw8ByEtRLrorvTdQpiaHbdo87k5w y5m302HMWD2lCT0HEo12CJLE+yAyxZCYNc17s67OcbW2t0aDuzRYAiOFbo9NgUMq20qqDorQtoAc Y5MPw9ZCgudWCB3TBZ7VvnuvX285JWC99RAnuqv1Qxl4hZpnoE5R1tykpZQTwXfukKLMFwPFxbzV WCPeO39n3bFZa/VEX9grbmi7HEO9l3TSuA9f27YFwVZ9PxPUmA9IZjyUGgPJGDLXBagY/gWe+ngP t6UyujhbT5TlFHxioUbwL30m3kt8XpRXDiHvu68VnHmun/zA/DwSujw/wBlQIpEMq+gjcU5bKGF6 X8WPiT85YE08r2ABY1y09QFpP3R1BTjfZfRNc3PZRC6ID5Lhw59XVACLTKFihsOG6QAIx1CCLtM0 Og3AW7i3K5C089ykfVDvcWIU7iV6+0Uk1anl5REQ4n69eelsaS3MZHo1HPnjh8fNjhLOQ0VvcLDs hOoA4twQ0S35GTdFuyG0CKPivxEqpkWLtodYtwMT6TjTfeU23CxdLrzbZ/R+ERDpyNEEJ+9jUIZD 5HZjkLpwOVV3fHv/Pglmc+NURNEPiGj4Im01Wo/21HV7xprz3hW7ga9eaJxZmYliRm/kF1allwzt lw1cQxVPlkoDI4MUo4iMEwjhZ5MbIFgStUOpEVyz0gRWesrQJGEWoXfww1QXo29aIxIOeTzkkigI QM63Qq2Mm7+lcv1nTWfYP+cTV92Sl4rrAsJdaj0GUE44f0Q67mwsed9rEEqaBWxIQA8fEUY2AO9U 2ATcn13tlWv944Qd1vAyNm2/uqVofRx2d3dpvgEgIfw5aXQEx6zi3tvP3RmImDK2EL4tpLGKUevs DCO6i+eTjB1sSf/Dtk399YZl0kaDoh0c0zqEfHlgbsiEpsBhk8AHBkXZbXY8AWrwXa+VXYarICII GHEtdx/aHrUR/RXeHeYQp7cfOLM2eCpmWJ+2/KBJFtylwh6kzur1gGXLljDa3Td70GzRK1RjoET/ B1TMtHawAS+VAEmV/RKWjuOKVsI10oqbxEdYKFQq0SLn6c6+kZC4AevLp0n3IeHW9X+0X4ceF+Qr StUY3BscjfRhBpVSLCPtKoYCXOApMqiwmZIxaeVZ/KWJoi50HB6aexfsUXo9ekUilC7Mw8jSVAhN JmxA+QozVWJQhPhRt65GaMWcn/pkCK2n4tyQ5Di5Oa4t7CcwYYzEXZMIKw4D1iSo+RrcOmAArvYA 20AQyfQ7C5RsSFv4ZexQ+5pW6hPlbIkJOfn/YY3m4igIvfYBFbnE3HV0JFR/x7i5aqFw+GTTw4eM +h1MhRZFQLDoEUoMk17F58GxJ8G1JYVI0ki8EhRGTWce/f4eLMTKdv0/JjDIUk9inxD3GeTAll7+ AMyl1iKVSIgD4moN8xwCfunj+PSULyrJkKGyohYicZS0YOJ3333chcq25BAEYPGj1JqN4xc4gjbJ E7Mf0+BPIpRhJ3iS/Fqp+v6QDDbP4kTgSdO8wkaWOw4xJGh7V3De7lvOAUXIbxWHGUveFn8yPLMp vEbF1tfDaumkiTvMCrc8R5rbK0IGlfzzbdUHg9ImpZRawRwtDYPfIdKXEUiEPgFBSDF7k+wvi+5l 9ofXCh19oRU6wJj0mfNPWpgXS/pKoAuAuxRuzJQN8UlWQ+i7hld4nMxMBG/xDl48gx8uArmXCKoG lBg5WXYMzGRWc5CadnsJ6b60Zh3qRBM3xNns48gT2F/oWwhk8K+eBKSk9vyVy66fRuAzAGOscHJZ k3b43T9ASyteJ9rsuoJAuz3vUFvrXzKyXPK0jvITDvg9/XEqIbmgePV2jCIoLJxeVxMXSWOUcAMM IO6J4ew1E6N7ju4MI1blppHXzH8uzs0fXqFND9F0nQD1xC2wTCsC+mJ2UhPAa2H9v6/PdBYhvw1L fE7bzwUBJoyZMfTI1X07qiD+qh10TiyZtgTQiSxi4iTs3Zo4JExuh/e94QNfekyKuKFOy3MUo6iR 2SJT63vbyC1gyVr5olRO86jWDmEYNA+xr9KhaiiuF4ZOxx0Uw/1MWkHVazA5hP2V8ENX3co/RVso wBcc7XrcS9am7x7huaE6v9dJ7QRibQCPLOU+3YwNsvQXym/uQHA6XmSwFQ9x5GKGTL2EezfH3ykI HIHJMxejQ7UI8ZHCsRgl73YhnfepVkCqpxkhRkcxjzglm09s7CMIK5bvWrAqdj6W6/IG0YJdV5RI c+StMl//FZgqDkGK333NXv9nzpQHDZAEQ878tgpwTES8hNySuoaDjEfx8ju5v6tV4CA9Himhmqz3 LZPiOEcuJD1V8QeD0LrngppoLIEXMjXvnthJiTscRYLTH8zzRXMSLnJ/7byCTJ9N4rH1n+l/08Xl hH4yTYxdyCLu3ZG1m9UarxrItaN7KRY107vsdB9bzklVcKtUgrbU2jQQI9Ls1ujaAvh/ksCeXUN0 vE1JpC/zqa3eGezBJBf4URvrXMMk478CZV0A54AMfXwyse2hl7wBEolr2I4m8QuFL16NKDMUwJvS LJDzRrjaGYjcJu7rH+i6K3VrHH2y2SpUJEzRPgEcMA+xv0poix3ZwlyM3hyUvOYTl84YfZRI79K7 +hfyIAP0UJ8yUQEx95EKF2Qo2GBddQ8RVcpk4HvqCZRUC0pA7IyQ4cisYNcKXSARjIlR1myQA+KY Z8i+HwrwsfO0lzrEdhmjT8s3OzNjgBmICKLYO5V/35BdaDC+ompU1GmdpF3oiOq7z7kDPUvELQ6r 1BZAV6zO8RBJbWHsEVtKdgVZNnD69drjJieN4XtInNVLu2PC1/ui3mDk9JegPDFLLq4YF+F15Y66 FFvMcqKFc+r13tTGS2PWArLt8KmVFuJ1EtlEO8fIbdaXaVYbpcwIQl8+fUBrL3rD/rhcY43cSFDe b2NSckOcIsXAcUNJJPmCfkfVHOPNNjbENAdrf12waSSmK670lasJnY1a8hCC7WzOnVe7vOrcSOwT HnRDjxXID7uLY2RtBrkjtzyBjibw4XuHBqwGRWxt00cta1uzi5f0Qy0rTwCDhhk7FfGAwaaISwT9 Sxu4iOlUTQ2TEZfM+fr73UV45IQowMACfzS1xtOT+2uFrynG+upDrbMPB5fTnjyYDUP9wG5CXZWN Ec5I5gg1nE3LltKqQcpt3VCiYMjjdSPhgwKtO6Ay8d+xSHOmvNYMbEgrzrcFxl54OqKnD0CsfOo5 8i+/+DISf+o4bHfnxan2j5+L/Vr75GgGdOI32Lr972g850bfj5U58ZBXCc4R4hxQrz2HO57G8jb3 0qMN3Tu2bm/oEEKqiUxuojQtX2jUrK5utpvjBIs43wzi8pbDPxgxeQYEMQ/buPhqmvYuw+nwwFBh p1pf+zpCjgYrshauC7o0wSVw+8pTKv4aUlNstgaiL8TbdhvAT5scs+s2JWfn5uJIHBMf2rs7LBAp wSiEb4HRXgDY7/9EihVUgozSwiGssrNAxlh0aTwQw4NZu03zXlR6BCkzdCQ1pcCIe6z/GBn/mgJ4 ySaGW4HrUa+5mewzcnGe9wwfS8EdS8+EaL0bUOzB5QvYT8Sur9eeWjuZj1n43hSa9BZ25ySv8mUK 2DdTNCjYVdzWbde1SjB0HJDLZvnmylDN2Rzx6ZrFwJ9m7UXq3yYcfL12V+iZ68iwGl0Zw+7KuqnK vwHK+jWIv2Jt+wizLBrk2cebRNplMCzyKinvOB2Y6wO5NpVC7FjK4H7S+iPsRQciZXf8U8/Dzkj3 RNq+pBor7AFzEkcDsHrOZQgyCNBA7kP+DCy78E5FhibSZj5iXvocNnj/FK2xHo0a4WXQF8CAOAQZ DPeaLACjSCXTCT7z1tG7/7c5qrg9c1wvys8TOWevtOz87ZzKcYRrRjpVdgXiI+3D5phxmPP7Je/S KbonLMGzi6rBVykfZ5u7e/viZHxokpfUrGgX2dz8kvOG99HaVvuwDptyiTLzf3FY+8K40h4gMZTS beFqF48i6BC2JaGGJNDakx35t6s3yfEklyHJEuLdbe+UVMUFxz8bxSz81eAQ3RQF61B+FIxMyN1+ zZST2oeugh3Q+gs8yReBvkJmz2HkZonRKY43xtATdLgq7lGrKsueU2qh1WYvf87bo8ICLg9kGtyZ tDwpUH2jAzor+tyv3I5XRGKhvRlHr64nltU5pWBFU7dbuXSBJmHRYVwg6v0qsY6aWp0MH5RNdBMW tY5mpxplnB/cZhqqFnwt9QpjmIRo4ylZoWY0qzdlxRvEHJ88XnxcpIAbdX2tgZWqEsmW4dwVnfQK IlXUNupNEHMBffUd3UpXBk91gNGPNymZ0SSIpvz2X37JGJBxSTEGTzCoKNgT1o8a4gpe6+Gn37UG Fq64mD5E7ag4j9U3hSNKU+skZmtbaBr+1M3xCgaMil3ecnlde4YMVjLfEAwLwb3EdLrcshXlIgHY cBy1TyNET0k3eLMN/81hTc2tnVDuYcOYBh6VGvJOHbAGeL5ZpGNj7orsYndlXODESTCyGZP+IKec TBrxLxGp5KIuKzAG06k1EgfO0vP5AfOu5U0msSPV4hRycqfjgVKBRqD2Yh9S0n/KKbn85EWvbEIm +QkYekneJHX1LKH692jOJ8Ww2RrNj11CTjjtkN+nuV5MYWodHuDNQes5RrPEVbWrI3CR4jD5lRR0 4fE2yPeb/3SWqtB9M997BrzQMAT6TRVPEhABSbdp89HcUz6VqsIguY8teF/z6121ZqCnHPycmptd DuX90qcYDGX+pWfKMWycpeGteq7k4lg889ndD2gnh+KgoUj3Dokyn6Cf6RYceTXZovxxCu6Itr/D lbqyoeKolkxFCslxTUbhtF3LYMF8RflTZEzMUw2eSfdQxIGGIT4zhjbM3Z3LLH9w9+6mtHiu1Ver hfqyYUFljX3at7ZweTpaFYiAGoAN3wfHm4L3sAgrptGdhZojXaUeB4B7AJzmJl7mxzHlNXaa9fEe lJxrcxf8VnS3rc52g8dFEDuQv4/elcXevYjPHZanAcvQAjjOHwDPlIS04xIrcmW7Jh5nG8n1kLF8 0VAUtD+psgl+EHMbNhz7C9RLtCbMBrt/+3hZT3A2Ixedds2o2jGjGVB1uaUH6x/Yaaf33FTPl4l+ r4bOd4YWv2Wn1Bw4KFvqP3np9wxhIfE9pFwHlXZM5n/YE5Rhkr6UHQwsLrX3NwV17FH3eEEpyyJ1 O55bPArqhXZ1kAYdAxBxljtUKk8LJZKuBU+RL6bwkloDa5cTDRwofRMaUrGp19rQ6/+otAgo5hH/ YFoODxqMuYWiIXHvdH150q41U/g/e5EOCMmQSnezv0jyPh7/5h3R6HiLo/+0vSJ26DBhB43niQ5p 4L3PG7yahWax1678ASiKia5DxkeeQzZMbo1WLwoKhA5ihKSMwsplkeeV9RN0qbGbvwR09pjczQTN P78OQqEoNSTh0kfUFL9h+ljyzeI1FA3x5BGW0w0sm6oQL8jh4KPEHVqjhmMFZrx3W2ElXTwvid0c 4acTL/Ce+wwHfI12WDYeb5zYH/2tLJqVg67hUuObf6z4dKOlex3m48lIgyPWtlBQp3jGSmdwSJdP hiwQ/tJLrTRporHhl5W0Cd5zY+Q4R3eWtHOMdMHYJE5UBzqCMAd5xbr19TX/fnAbKqSBy0U99puj MOu3ENRx8jXc50x78BbZvnL0WgljEKKprs266I/PK+1/5VZSXcat3VAGEt+Gl4cAKnF5KqHuOW5H hVgm+I4mrjV7YK6PXunDBFq2YObWRZHTX8hqEaAdEYLheqpQw0SAyuSCIHGZnV+PkqYSj06uVO5c ia4lOUWYSFt40aLeZ+GO7ejhOnt7/FXSkCS2D1s7eLo8uf42GxRqc79fKQRzEajsj3ZXkeSLHY27 xOO4ZT9awc0YAZMyZHYqeSkULVfskKsPUpdgSE6Ebq6qZNpYD/ZUKD8sYrGxc8xoLG10vNNs01V8 fCZfixI1v1Db32WQLnc2YKTAAkiH+o+IFjLL4FoLUPKiEqUTpMwIOa4J/i+eUm/Z+QxNCg8sZZon m48Tg24MSVJ+WCsSlO1u4inYDO6t6F2Ja86O7Y3OZJi8TigkzsQM `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/rtl/switch_port/tx/output_queue_arbitration.vhd
2
8870
---------------------------------------------------------------------------------- -- Company: TUM CREATE -- Engineer: Andreas Ettner -- -- Create Date: 11.12.2013 10:00:16 -- Design Name: -- Module Name: output_queue_arbitration - rtl -- Project Name: automotive ethernet gateway -- Target Devices: zynq 7000 -- Tool Versions: vivado 2013.3 -- -- Description: This module forwards the frames in the output queue memory to the transmitter-side of the MAC -- The output queue fifo provides the start address in the output queue memory and the length in bytes -- -- further information can be found in switch_port_txpath_output_queue.svg ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; USE ieee.numeric_std.ALL; entity output_queue_arbitration is Generic ( TRANSMITTER_DATA_WIDTH : integer; FRAME_LENGTH_WIDTH : integer; NR_OQ_FIFOS : integer; TIMESTAMP_WIDTH : integer; OQ_MEM_ADDR_WIDTH : integer; OQ_FIFO_DATA_WIDTH : integer; OQ_FIFO_LENGTH_START : integer; OQ_FIFO_TIMESTAMP_START : integer; OQ_FIFO_MEM_PTR_START : integer ); Port ( clk : in std_logic; reset : in std_logic; -- input interface fifo oqarb_in_fifo_enable : out std_logic; oqarb_in_fifo_prio : out std_logic; oqarb_in_fifo_empty : in std_logic_vector(NR_OQ_FIFOS-1 downto 0); oqarb_in_fifo_data : in std_logic_vector(NR_OQ_FIFOS*OQ_FIFO_DATA_WIDTH-1 downto 0); -- timestamp oqarb_in_timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); oqarb_out_latency : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); -- input interface memory oqarb_in_mem_data : in std_logic_vector(NR_OQ_FIFOS*TRANSMITTER_DATA_WIDTH-1 downto 0); oqarb_in_mem_enable : out std_logic; oqarb_in_mem_addr : out std_logic_vector(OQ_MEM_ADDR_WIDTH-1 downto 0); oqarb_in_mem_prio : out std_logic; -- output interface mac oqarb_out_data : out std_logic_vector(TRANSMITTER_DATA_WIDTH-1 downto 0); oqarb_out_valid : out std_logic; oqarb_out_last : out std_logic; oqarb_out_ready : in std_logic ); end output_queue_arbitration; architecture rtl of output_queue_arbitration is -- state machine type state is ( IDLE, ARBITRATE, READ_MEM ); -- state signals signal cur_state : state; signal nxt_state : state; signal empty_const : std_logic_vector(NR_OQ_FIFOS-1 downto 0) := (others => '1'); -- config_output_state machine signals signal update_cnt_sig : std_logic; signal reset_frame_length_sig : std_logic; signal measure_latency_sig : std_logic; signal choose_fifo_sig : std_logic; -- process registers signal frame_length_cnt : std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); signal latency_reg : std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); signal winner_fifo_reg : std_logic_vector(0 downto 0); begin -- next state logic next_state_logic_p : process(clk) begin if (clk'event and clk = '1') then if reset = '1' then cur_state <= IDLE; else cur_state <= nxt_state; end if; end if; end process next_state_logic_p; -- Decode next data_input_state, combinitorial logic output_logic_p : process(cur_state, oqarb_in_fifo_empty, oqarb_out_ready, frame_length_cnt, oqarb_in_fifo_data, empty_const, winner_fifo_reg) begin -- default signal assignments nxt_state <= IDLE; update_cnt_sig <= '0'; measure_latency_sig <= '0'; reset_frame_length_sig <= '0'; oqarb_in_fifo_enable <= '0'; oqarb_out_last <= '0'; oqarb_out_valid <= '0'; oqarb_in_fifo_prio <= '0'; choose_fifo_sig <= '0'; case cur_state is when IDLE => if oqarb_in_fifo_empty /= empty_const and oqarb_out_ready = '1' then nxt_state <= ARBITRATE; choose_fifo_sig <= '1'; -- choose_fifo_p end if; when ARBITRATE => nxt_state <= READ_MEM; update_cnt_sig <= '1'; -- cnt_frame_length_p measure_latency_sig <= '1'; -- timestamp_p when READ_MEM => if frame_length_cnt >= oqarb_in_fifo_data(to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_LENGTH_START+FRAME_LENGTH_WIDTH-1 downto to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_LENGTH_START) and oqarb_out_ready = '1' then nxt_state <= IDLE; reset_frame_length_sig <= '1'; -- cnt_frame_length_p oqarb_in_fifo_enable <= '1'; oqarb_in_fifo_prio <= winner_fifo_reg(0); oqarb_out_last <= '1'; oqarb_out_valid <= '1'; else nxt_state <= READ_MEM; update_cnt_sig <= oqarb_out_ready; -- cnt_frame_length_p oqarb_out_valid <= oqarb_out_ready; end if; end case; end process output_logic_p; -- count frame bytes received from fabric cnt_frame_length_p : process(clk) begin if (clk'event and clk = '1') then if reset = '1' then frame_length_cnt <= (others => '0'); else frame_length_cnt <= frame_length_cnt; if reset_frame_length_sig = '1' then frame_length_cnt <= (others => '0'); elsif update_cnt_sig = '1' then frame_length_cnt <= frame_length_cnt + 1; end if; end if; end if; end process; -- take transmission timestamp of the message timestamp_p : process(clk) begin if (clk'event and clk = '1') then if reset = '1' then latency_reg <= (others => '0'); else latency_reg <= latency_reg; if measure_latency_sig = '1' then latency_reg <= oqarb_in_timestamp_cnt - oqarb_in_fifo_data (to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_TIMESTAMP_START+TIMESTAMP_WIDTH-1 downto to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_TIMESTAMP_START) + 50; -- considering the clock cycles through mac, rx, tx_fifo end if; end if; end if; end process; -- determine the fifo to be read from choose_fifo_p : process(clk) begin if (clk'event and clk = '1') then if reset = '1' then winner_fifo_reg <= (others => '0'); else winner_fifo_reg <= winner_fifo_reg; if choose_fifo_sig = '1' then if NR_OQ_FIFOS = 2 and oqarb_in_fifo_empty(NR_OQ_FIFOS-1) = '0' then -- high priority fifo winner_fifo_reg(0) <= '1'; else winner_fifo_reg(0) <= '0'; end if; end if; end if; end if; end process; output_p : process(oqarb_in_mem_data, winner_fifo_reg) begin if NR_OQ_FIFOS = 1 then oqarb_out_data <= oqarb_in_mem_data(TRANSMITTER_DATA_WIDTH-1 downto 0); else if winner_fifo_reg(0) = '0' then oqarb_out_data <= oqarb_in_mem_data(TRANSMITTER_DATA_WIDTH-1 downto 0); else oqarb_out_data <= oqarb_in_mem_data(NR_OQ_FIFOS*TRANSMITTER_DATA_WIDTH-1 downto TRANSMITTER_DATA_WIDTH); end if; end if; end process; oqarb_in_mem_enable <= oqarb_out_ready; oqarb_in_mem_addr <= oqarb_in_fifo_data(to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_MEM_PTR_START+OQ_MEM_ADDR_WIDTH-1 downto to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_MEM_PTR_START) + frame_length_cnt; oqarb_out_latency <= latency_reg; oqarb_in_mem_prio <= winner_fifo_reg(0); end rtl;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/rtl/switch_port/tx/tx_path_output_queue.vhd
2
17817
---------------------------------------------------------------------------------- -- Company: TUM CREATE -- Engineer: Andreas Ettner -- -- Create Date: 09.12.2013 16:11:09 -- Design Name: -- Module Name: tx_path_output_queue - structural -- Project Name: automotive ethernet gateway -- Target Devices: zynq 7000 -- Tool Versions: vivado 2013.3 -- -- Description: The output queue module accepts frames from the switching fabric -- and forwards them to the transmitter side of the MAC -- -- This module consists of 5 submodules: -- oq_control: receives data from switching fabric and stores them in the memory and fifo -- oq_memory: contains the frame data -- oq_fifo: contains the memory start address of the corresponding frame as well as its length and arriving timestamp -- priority fifo can be selected -- oq_mem_check: checks if another frame can be accepted from the switching fabric based on -- the status of the fifo and memory -- oq_arbitration: as soon as the MAC is ready, the next frame will be read from the memory and -- forwarded to the MAC -- -- further information can be found in switch_port_txpath_output_queue.svg ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tx_path_output_queue is Generic ( FABRIC_DATA_WIDTH : integer; TRANSMITTER_DATA_WIDTH : integer; FRAME_LENGTH_WIDTH : integer; NR_OQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer; OQ_MEM_ADDR_WIDTH_A : integer := 12; -- 8 bit: 14, 32 bit: 12 OQ_MEM_ADDR_WIDTH_B : integer := 14 ); Port ( clk : in std_logic; reset : in std_logic; -- tx_path interface to fabric oq_in_data : in std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); oq_in_valid : in std_logic; oq_in_length : in std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); oq_in_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); oq_in_timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); oq_in_req : in std_logic; oq_in_accept_frame : out std_logic; -- timestamp oq_in_timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); oq_out_latency : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); -- tx_path interface to mac oq_out_data : out std_logic_vector(TRANSMITTER_DATA_WIDTH-1 downto 0); oq_out_valid : out std_logic; oq_out_ready : in std_logic; oq_out_last : out std_logic ); end tx_path_output_queue; architecture structural of tx_path_output_queue is constant OQ_FIFO_DATA_WIDTH : integer := OQ_MEM_ADDR_WIDTH_B + TIMESTAMP_WIDTH + FRAME_LENGTH_WIDTH; constant OQ_FIFO_LENGTH_START : integer := 0; constant OQ_FIFO_TIMESTAMP_START : integer := OQ_FIFO_LENGTH_START + FRAME_LENGTH_WIDTH; constant OQ_FIFO_MEM_PTR_START : integer := OQ_FIFO_TIMESTAMP_START + TIMESTAMP_WIDTH; constant FABRIC2TRANSMITTER_DATA_WIDTH_RATIO : integer := FABRIC_DATA_WIDTH / TRANSMITTER_DATA_WIDTH; component output_queue_control Generic ( FABRIC_DATA_WIDTH : integer; FRAME_LENGTH_WIDTH : integer; NR_OQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer; TIMESTAMP_WIDTH : integer; OQ_MEM_ADDR_WIDTH_A : integer; OQ_MEM_ADDR_WIDTH_B : integer; OQ_FIFO_DATA_WIDTH : integer; FABRIC2TRANSMITTER_DATA_WIDTH_RATIO : integer ); Port ( clk : in std_logic; reset : in std_logic; -- input interface fabric oqctrl_in_data : in std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); oqctrl_in_valid : in std_logic; oqctrl_in_length : in std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); oqctrl_in_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); oqctrl_in_timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); -- output interface memory check oqctrl_out_mem_wr_addr : out std_logic_vector(NR_OQ_FIFOS*OQ_MEM_ADDR_WIDTH_B-1 downto 0); -- output interface memory oqctrl_out_mem_wenable : out std_logic; oqctrl_out_mem_addr : out std_logic_vector(OQ_MEM_ADDR_WIDTH_A-1 downto 0); oqctrl_out_mem_data : out std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); -- output interface fifo oqctrl_out_fifo_wenable : out std_logic; oqctrl_out_fifo_data : out std_logic_vector(OQ_FIFO_DATA_WIDTH-1 downto 0); oqctrl_out_fifo_prio : out std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0) ); end component; component output_queue_memory Generic ( NR_OQ_MEM : integer; VLAN_PRIO_WIDTH : integer; OQ_MEM_ADDR_WIDTH_A : integer; OQ_MEM_ADDR_WIDTH_B : integer; OQ_MEM_DATA_WIDTH_IN : integer; OQ_MEM_DATA_WIDTH_OUT : integer ); Port ( --Port A -> control module oqmem_in_wr_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); oqmem_in_wenable : in std_logic_vector; oqmem_in_addr : in std_logic_vector(OQ_MEM_ADDR_WIDTH_A-1 downto 0); oqmem_in_data : in std_logic_vector(OQ_MEM_DATA_WIDTH_IN-1 downto 0); oqmem_in_clk : in std_logic; --Port B -> arbitration module -> mac oqmem_out_rd_prio : in std_logic; oqmem_out_enable : in std_logic; oqmem_out_addr : in std_logic_vector(OQ_MEM_ADDR_WIDTH_B-1 downto 0); oqmem_out_data : out std_logic_vector(NR_OQ_FIFOS*OQ_MEM_DATA_WIDTH_OUT-1 downto 0); oqmem_out_clk : in std_logic ); end component; component output_queue_fifo Generic ( OQ_FIFO_DATA_WIDTH : integer; NR_OQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer ); Port ( clk : in std_logic; reset : in std_logic; oqfifo_in_enable : in std_logic; oqfifo_in_data : in std_logic_vector(OQ_FIFO_DATA_WIDTH-1 downto 0); oqfifo_in_wr_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); oqfifo_out_enable : in std_logic; oqfifo_out_data : out std_logic_vector(NR_OQ_FIFOS*OQ_FIFO_DATA_WIDTH-1 downto 0); oqfifo_out_rd_prio : in std_logic; oqfifo_out_full : out std_logic_vector(NR_OQ_FIFOS-1 downto 0); oqfifo_out_empty : out std_logic_vector(NR_OQ_FIFOS-1 downto 0) ); end component; component output_queue_mem_check Generic ( OQ_FIFO_DATA_WIDTH : integer; OQ_MEM_ADDR_WIDTH : integer; OQ_FIFO_MEM_PTR_START : integer; FRAME_LENGTH_WIDTH : integer; NR_OQ_FIFOS : integer; VLAN_PRIO_WIDTH : integer ); Port ( clk : in std_logic; reset : in std_logic; req : in std_logic; req_length : in std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0); req_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); accept_frame : out std_logic; mem_wr_ptr : in std_logic_vector(NR_OQ_FIFOS*OQ_MEM_ADDR_WIDTH-1 downto 0); fifo_data : in std_logic_vector(NR_OQ_FIFOS*OQ_FIFO_DATA_WIDTH-1 downto 0); fifo_full : in std_logic_vector(NR_OQ_FIFOS-1 downto 0); fifo_empty : in std_logic_vector(NR_OQ_FIFOS-1 downto 0) ); end component; component output_queue_arbitration Generic ( TRANSMITTER_DATA_WIDTH : integer; FRAME_LENGTH_WIDTH : integer; NR_OQ_FIFOS : integer; TIMESTAMP_WIDTH : integer; OQ_MEM_ADDR_WIDTH : integer; OQ_FIFO_DATA_WIDTH : integer; OQ_FIFO_LENGTH_START : integer; OQ_FIFO_TIMESTAMP_START : integer; OQ_FIFO_MEM_PTR_START : integer ); Port ( clk : in std_logic; reset : in std_logic; -- input interface fifo oqarb_in_fifo_enable : out std_logic; oqarb_in_fifo_prio : out std_logic; oqarb_in_fifo_empty : in std_logic_vector(NR_OQ_FIFOS-1 downto 0); oqarb_in_fifo_data : in std_logic_vector(NR_OQ_FIFOS*OQ_FIFO_DATA_WIDTH-1 downto 0); -- timestamp oqarb_in_timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); oqarb_out_latency : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); -- input interface memory oqarb_in_mem_data : in std_logic_vector(NR_OQ_FIFOS*TRANSMITTER_DATA_WIDTH-1 downto 0); oqarb_in_mem_enable : out std_logic; oqarb_in_mem_addr : out std_logic_vector(OQ_MEM_ADDR_WIDTH-1 downto 0); oqarb_in_mem_prio : out std_logic; -- output interface mac oqarb_out_data : out std_logic_vector(TRANSMITTER_DATA_WIDTH-1 downto 0); oqarb_out_valid : out std_logic; oqarb_out_last : out std_logic; oqarb_out_ready : in std_logic ); end component; signal oqctrl2oqmem_data : std_logic_vector(FABRIC_DATA_WIDTH-1 downto 0); signal oqctrl2oqmem_wenable : std_logic_vector(0 downto 0); signal oqctrl2oqmem_addr : std_logic_vector(OQ_MEM_ADDR_WIDTH_A-1 downto 0); signal oqctrl2oqfifo_wenable : std_logic; signal oqctrl2oqfifo_data : std_logic_vector(OQ_FIFO_DATA_WIDTH-1 downto 0); signal oqctrl2oqfifo_prio : std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0); signal oqmem2oqarb_enable : std_logic; signal oqmem2oqarb_prio : std_logic; signal oqmem2oqarb_addr : std_logic_vector(OQ_MEM_ADDR_WIDTH_B-1 downto 0); signal oqmem2oqarb_data : std_logic_vector(NR_OQ_FIFOS*TRANSMITTER_DATA_WIDTH-1 downto 0); signal oqfifo2oqarb_data : std_logic_vector(NR_OQ_FIFOS*OQ_FIFO_DATA_WIDTH-1 downto 0); signal oqfifo2oqarb_enable : std_logic; signal oqfifo2oqarb_empty : std_logic_vector(NR_OQ_FIFOS-1 downto 0); signal oqfifo2oqarb_prio : std_logic; signal oqctrl2oqchk_mem_wr_addr : std_logic_vector(NR_OQ_FIFOS*OQ_MEM_ADDR_WIDTH_B-1 downto 0); signal oqfifo2oqchk_full : std_logic_vector(NR_OQ_FIFOS-1 downto 0); -- attribute mark_debug : string; -- attribute mark_debug of oqctrl2oqmem_data: signal is "true"; -- attribute mark_debug of oqctrl2oqmem_wenable: signal is "true"; -- attribute mark_debug of oqctrl2oqmem_addr: signal is "true"; -- attribute mark_debug of oqctrl2oqfifo_data: signal is "true"; -- attribute mark_debug of oqmem2oqarb_enable: signal is "true"; -- attribute mark_debug of oqmem2oqarb_addr: signal is "true"; -- attribute mark_debug of oqmem2oqarb_data: signal is "true"; -- attribute mark_debug of oqfifo2oqarb_data: signal is "true"; -- attribute mark_debug of oqfifo2oqarb_enable: signal is "true"; -- attribute mark_debug of oqfifo2oqarb_empty: signal is "true"; -- attribute mark_debug of oqctrl2oqchk_mem_wr_addr: signal is "true"; -- attribute mark_debug of oqfifo2oqchk_full: signal is "true"; begin oq_control : output_queue_control Generic map( FABRIC_DATA_WIDTH => FABRIC_DATA_WIDTH, FRAME_LENGTH_WIDTH => FRAME_LENGTH_WIDTH, NR_OQ_FIFOS => NR_OQ_FIFOS, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH, TIMESTAMP_WIDTH => TIMESTAMP_WIDTH, OQ_MEM_ADDR_WIDTH_A => OQ_MEM_ADDR_WIDTH_A, OQ_MEM_ADDR_WIDTH_B => OQ_MEM_ADDR_WIDTH_B, OQ_FIFO_DATA_WIDTH => OQ_FIFO_DATA_WIDTH, FABRIC2TRANSMITTER_DATA_WIDTH_RATIO => FABRIC2TRANSMITTER_DATA_WIDTH_RATIO ) Port map( clk => clk, reset => reset, -- input interface fabric oqctrl_in_data => oq_in_data, oqctrl_in_valid => oq_in_valid, oqctrl_in_length => oq_in_length, oqctrl_in_prio => oq_in_prio, oqctrl_in_timestamp => oq_in_timestamp, -- output interface memory check oqctrl_out_mem_wr_addr => oqctrl2oqchk_mem_wr_addr, -- output interface memory oqctrl_out_mem_wenable => oqctrl2oqmem_wenable(0), oqctrl_out_mem_addr => oqctrl2oqmem_addr, oqctrl_out_mem_data => oqctrl2oqmem_data, -- output interface fifo oqctrl_out_fifo_wenable => oqctrl2oqfifo_wenable, oqctrl_out_fifo_data => oqctrl2oqfifo_data, oqctrl_out_fifo_prio => oqctrl2oqfifo_prio ); oq_mem : output_queue_memory Generic map( NR_OQ_MEM => NR_OQ_FIFOS, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH, OQ_MEM_ADDR_WIDTH_A => OQ_MEM_ADDR_WIDTH_A, OQ_MEM_ADDR_WIDTH_B => OQ_MEM_ADDR_WIDTH_B, OQ_MEM_DATA_WIDTH_IN => FABRIC_DATA_WIDTH, OQ_MEM_DATA_WIDTH_OUT => TRANSMITTER_DATA_WIDTH ) Port map( --Port A -> Control module oqmem_in_wr_prio => oq_in_prio, oqmem_in_wenable => oqctrl2oqmem_wenable, oqmem_in_addr => oqctrl2oqmem_addr, oqmem_in_data => oqctrl2oqmem_data, oqmem_in_clk => clk, --Port B -> Scheduling moudle oqmem_out_rd_prio => oqmem2oqarb_prio, oqmem_out_enable => oqmem2oqarb_enable, oqmem_out_addr => oqmem2oqarb_addr, oqmem_out_data => oqmem2oqarb_data, oqmem_out_clk => clk ); oq_fifo : output_queue_fifo Generic map( OQ_FIFO_DATA_WIDTH => OQ_FIFO_DATA_WIDTH, NR_OQ_FIFOS => NR_OQ_FIFOS, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH ) Port map( clk => clk, reset => reset, oqfifo_in_enable => oqctrl2oqfifo_wenable, oqfifo_in_data => oqctrl2oqfifo_data, oqfifo_in_wr_prio => oqctrl2oqfifo_prio, oqfifo_out_enable => oqfifo2oqarb_enable, oqfifo_out_data => oqfifo2oqarb_data, oqfifo_out_rd_prio => oqfifo2oqarb_prio, oqfifo_out_full => oqfifo2oqchk_full, oqfifo_out_empty => oqfifo2oqarb_empty ); oq_mem_check : output_queue_mem_check Generic map( OQ_FIFO_DATA_WIDTH => OQ_FIFO_DATA_WIDTH, OQ_MEM_ADDR_WIDTH => OQ_MEM_ADDR_WIDTH_B, OQ_FIFO_MEM_PTR_START => OQ_FIFO_MEM_PTR_START, FRAME_LENGTH_WIDTH => FRAME_LENGTH_WIDTH, NR_OQ_FIFOS => NR_OQ_FIFOS, VLAN_PRIO_WIDTH => VLAN_PRIO_WIDTH ) Port map( clk => clk, reset => reset, req => oq_in_req, req_length => oq_in_length, req_prio => oq_in_prio, accept_frame => oq_in_accept_frame, mem_wr_ptr => oqctrl2oqchk_mem_wr_addr, fifo_data => oqfifo2oqarb_data, fifo_full => oqfifo2oqchk_full, fifo_empty => oqfifo2oqarb_empty ); oq_arbitration : output_queue_arbitration Generic map( TRANSMITTER_DATA_WIDTH => TRANSMITTER_DATA_WIDTH, FRAME_LENGTH_WIDTH => FRAME_LENGTH_WIDTH, NR_OQ_FIFOS => NR_OQ_FIFOS, TIMESTAMP_WIDTH => TIMESTAMP_WIDTH, OQ_MEM_ADDR_WIDTH => OQ_MEM_ADDR_WIDTH_B, OQ_FIFO_DATA_WIDTH => OQ_FIFO_DATA_WIDTH, OQ_FIFO_LENGTH_START => OQ_FIFO_LENGTH_START, OQ_FIFO_TIMESTAMP_START => OQ_FIFO_TIMESTAMP_START, OQ_FIFO_MEM_PTR_START => OQ_FIFO_MEM_PTR_START ) Port map( clk => clk, reset => reset, -- input interface fifo oqarb_in_fifo_enable => oqfifo2oqarb_enable, oqarb_in_fifo_prio => oqfifo2oqarb_prio, oqarb_in_fifo_empty => oqfifo2oqarb_empty, oqarb_in_fifo_data => oqfifo2oqarb_data, -- timestamp oqarb_in_timestamp_cnt => oq_in_timestamp_cnt, oqarb_out_latency => oq_out_latency, -- input interface memory oqarb_in_mem_data => oqmem2oqarb_data, oqarb_in_mem_enable => oqmem2oqarb_enable, oqarb_in_mem_addr => oqmem2oqarb_addr, oqarb_in_mem_prio => oqmem2oqarb_prio, -- output interface mac oqarb_out_data => oq_out_data, oqarb_out_valid => oq_out_valid, oqarb_out_last => oq_out_last, oqarb_out_ready => oq_out_ready ); end structural;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_v8_2/hdl/blk_mem_axi_regs_fwd.vhd
11
9545
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G ZRLY3P3SMg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I esAqtU7bjUww9e//E1c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+ yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF 7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL 8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw ocUb/yBYwP6SS9z4Oeg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6 EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328) `protect data_block f2EOJO+2G8kQ/UxBY7TFfEQE9sfbdpTGAqtjOReNFOPxGANIBBKOVp/g+0kGq2oYqofJFR2bmOM5 zgpygDH6Stqb2CV7spMnwHSaQVIUHOFh3AVs3pKgpsKBX/FfAz5A/C7e3pFJrHfb5CLOy/wOCC9E TomOZ4Y2TJvssfXLD+DTgUrBJ1UoV9BoiPBrck304S3kFolhUTfrpoui7EX19Qd9g/VLWuNNat1Q xDhFGiRDj8bQymh5+QziSSyyVZdAXu0Q+7ccD4/+Tp8YmXoz/qve7udGiYWrjFf+ZJWn+fbVmR1e mPXIoGb4npaCV+QdTbZf1ia4GXVaJuVO6BDHk5UvR6TV/yDg7gSGWRGKSE5G0K01T9eFosmHS7Ga 7N6fo9KRFyuSewy60P0qfIzaOVT01b5Hy5eCzFg6aqiHafnKqsjN8uAZICqkwYDx5bIGbvtYtUo5 ZdiO+CQ/E/KdlkWElSDbGusesR+/DN7xqdby+qRsPoOGgnvH5aMXr5vNdQFWGa1W8TOAcO5zbonI CaUZBiYIBvR3leddWT5OYZgZknbWnUe1PQ6WPasNYBOjgRgLkRSp7bAYzAC8oTi3cSqSf4uKL/WP msORC9hO52Q7vdKPwtXzKt0RQN0OG94uSBSBK6OGtD5RxlWBPw4lqXVrFsF6vXi3BtmpWVNLoFv7 lm51+1+YNxgmOHbyOhNZodxNLQfvch8PnOFsDB6wTdi7ML22UzLsiEzL8+4X4dA1iURrDlAnBXPV mn1aLz9hXAsWGygPiGJKJW7SkAe5szVqONVQuRywymsEbNzaXP4EBZ52oWqpskYSZroQ9P6s429n 8a1cWFz3RJOFJwPp5penBjpatN6RWRP1rBkjRs7xfmnD6lkHrkflDjZmHCxmPh/l0Mq8WKGXgDLD +c1dNS1jWJTRNH67uc1xHWB96kEYYr3HEdWUAYSfWwgvOg1I0yvaHbOSBRxpFWl+KGyp5PaZ6nMl yiMHaTcz7TXsS2L+aQXSRNWFJRg6KIMxJaEwmK7jtk4Nd13nwHFyCXdj/SOqsrwJVxQan26JZcTa 3W8Lmj4bh4yVDF/qANzuwqEgLK8G1HjjDScwECBLtbg/Z4cyR+1vsVrdsSTZfgfC189hnGMZNc1Y BgsIK0BhnGHSmdY8OP93VL/by/GuqntHem03gL5nUs6GSX0L9nttJTjea78WKSofPjEEqoa8McLh gCesouDAsr7DKIuWGNP7ZG0+f871IKWn8/2SDHh349pUBLT/1TN4gQkNVMavXGbGV/kO4d5fuKnZ ybzUyoJFKAgWyFldEzVVE/PNyQFv08LlOFKOGb8u+jeQ8GrBzBzHSq72LMNLVRy3Zi6FcCs9l9Fd zBa5t3AUUGeoud5KEX/CjMAo1LlHDGVKtpmX+cP6we02ALC9A7fiItBUCVtAQJ59dDE5SaZLWBFJ UpZlq1Rk9ZPby6clGTIb+Ukcnxr66Amn4Hx+8NsiU2EYN9AleBkD3Drksvxm0ILd8yZd/MQd/Zef QkVZr0lq9tUkQlrfv9AL/eT9pVnyxXLq7oYbQ0P7TsrSN9GbsrE6XFLpnEgTmat8/ex3pLDa//0R OE3nlBwTrZmXzMVMMAaElClRUwGq7SrHrlM6ynl9sbOFuBuvUktPiU2pHDq9JEgke8JJJ+qBmexD rc0JJsv39ZARR7GtPxi4uryE+ar+lagrg7Cp9EH+55opeubmZC0aTQ1lRlcmL0uk3mEcqg4i3A7e 6+GT8MoVJ3hkDv/0AM7q1JvE35F/Pm50aw20Xmhap8/Wf71Y4+k3pcv7PTF619lhmF+d/9NOjyiA 4cXp3ZsjNwmKII87Wj26esWzatXsHOES3J5Gb/tasqFR1CBmLRLQRpI0vhnQ7U62eP3taNv8fhNC Ph1wZrEaa4PYMtkmICWfhiKRXh5sDWBB7K2wUl5IVorUuxeFzU/ZzbyG25I9In8XLMqeGYq7RtVB 50cPVX9l2+RWl4A9FfpviKm8uXRzTidqsqD6NL1upjP4lPOWl2V1KdkMZ5KzkIKVBfTl4iUNqPl4 yJQdA3L8zk96OskOfVqT8vVlmlllwoAzq2XTNVrVbbrSHzPI7n1HdrTI/9rWM2112qtvd2sQs9/0 evIso6cYwrY2rag0OSAc46iayr8lZGl8EI+LzvLk008uo+Yq60nEi/jDXmEeQr9W7r1BnAzqP0H2 AMItYT8udCR4uX0o2dBt/dHbC/lgWnsNRB1vY72udrS860UEj1LkX2MeDQJ8YBjSPlBp8M5t2Li4 pMGNAsFGEYiG8lsIKWUFG2+oES3dh4VJSWw6KIuKQZpxmcLfRZcBVfWSDMhO9ihvK/Zc3B4jQ7GO 1yicdZusY+3V8LH8/l9IHsNxOt4SLgYu+G/00Tbc0UIEQwYI73sWTVo0yqDqrvPgl5wyMYu6899P +61rLb+W/srNww2Zk3AW+owwa0iGw/WFvFY5LeR3isnoQSTuxfgmd0Jn95xZzQW+crdQqqooVLCe Fj874sILLHavLcz2W+4UNgiVi6AbQa2WjG8tI8HTo9CsJ2+0RS03X05GThOPHgLDJS2WCtB7/noO LAM+i/KEuEKr+8wnB5i1M7CSHFMwtCi+t1HFfUnnpnZ25SD8SEi031mY55Vhf9fs84GKNTEObRhh M+q/K1NNnhbJiY/Ndl/eW4ddcTumGQgHjG+wBRduOQnOp+j7XYk9u/ARaA/uJ5kuZAJNKh2uTM++ jcJ7wm78RjYqBGPoA8LTBvMD1YP/SAWkUBPU5MZ6H6226+8jpUVxP/+lra3djswXVY+b61jjHkAF /SVVw2WfQhOlA0sXMGVRXO6ruV3bHeyLYdf1s4KaS68lbDi8nhDbaB4MAAP9y52eTe+w+u2qS5jG lDFra+hjQvO25vMQx7rxsRf//eGv9Cpf3BX9QtbACzdc0cY6ixUsPGzgUS9sjc2DLXi1Zye61k9+ LuFECJFUrL1stiXnnt/nSCs3pf1JZzurb8bTH6Z/59aVmSnVChUGjgICAeIiu6UqZ+8yH2Hu5Ydb kPBPXScGiHgk3zhPc2v9pJ7LAWyKZqRcI1IcjF+KF6IKNbE7KSVelbrHCsq8s/p8jWeFbJ8yRVtk Gzw++bFWaoLsRuzz5zr3n0upbfLs0iAgGTGA1YqpO5vmaCpkeV8QRDYpeYfjFaQARZSNactFZRxQ Brrfdr63SU4z+i3L2QqxrqY4zhoK9uOiWomqXB5jW0eSg2JAPQEg2T9PSos/yYWLo6uwFYZTFFSB dMtswUNGPLe2VGViZLv/V4vjfksm+Nt3N8oezq2YR53Hj7bdo1R/Qe9xZYPiNvr/GCskFUFsm46O uayVk5qQjSNPv3X1VZG9lwChD+b+w+ROWyKm7ruE6bor5FyuP/H3IPGvQMbveB1hd3QiZ5UFpF5V W9TncgJ2vbwVuoRWgBmyBkV7GSxhwlk8CUJluGbkYwq7wabnlpXoKLy+blQwQh0xIIUfd/poHWeg KGW7Osc/l3OeEsrv4kcsvtNV4uEqr03/BGh0vEnwpROuDxHqqgftdDZ7nHx1CisvbIKHVYZhEQeW 4NGvTatEt6YfvRktjSOD6/PvS+2yjhfkdTwMEd2Lrin+0rD5zvCktugqzqUtrBRmaHSwI0qn0X+p ynf/hC5W85snlwfYH8QVtwdQYtNbVyhD3uSVLoDx5rBnEWrYxqbERdDpRck7RMhg0uCi3nQnK3SJ z+6oumKRZZnET0Truw26VtkbVnRryQVC1NJqOehO6plRxN+WxIdD4p09c3fFimfF+3QVn8/NBlo6 vYuJABDZ0wSPbWbWMRy6irYs8113zNIKZp5e9SAGIi/4Tg6SMBLG0qprCJPsuKFZsNWR1Tg2hdfx PhwSDwhzd/1w3zGwPi1kc6ikLM5jNIRMBa7ddWPSWKVvJRnw7EABQIhqIHoGg3Vv95XYcFgPHjQs 2AwmxS19fW1y1X7SHfcRnB7Ue7ReXPWQnYvGN1yKZcXgSoI8G44/aQgHeQXWc+5kKXleboVCbVJL sUM7FgA5mf4ciaXfzHByC6WXLU6vGmZKoNUvVIS3JltyuUdyNanrIUhIfDrCGmhL5EU0DLwS+vKG S0VdxMjn7rHyz2YYh4rB5OvYZRPQeeg74knsBZ31Ie5BzbS/BOuPok9aiZRNpvsxItR693WY20kW er2Wdl3twHNp3lgmNkfgF6XOU53AIiVZ59+8nMvOZ/u27BzJf/G7rjrsRx1mZxdsJwV/JUBGpS54 LR70VE+HGNug5DKfnCWCs++jqIagowXk4NVd6gWlOvt6e/CytYLJk7ug8NlZ8VFGh9p//bovvdlN rvQgoCJjH17UVkP8hV3C/U2ur3ygZA5QpUivW6u5mZAcVvwN37zTL4SSRKyqcmtUX9bUysnS0pnc Dz/KMKex1bdd3NAut+W1HJYj71MVjqdubh3oI7kQf3q65TmKhBfkIYr++/P+hKxXwIzbJ+joE3+g OeSLhV3USebcQMxULIJx3WZviJGsEKDkPPfRV+ftysC5qtqoe3QiCp3AUnhNRoVbdptGxg3PWHsO 3ahHAoNbtpJsw1ikeyeAZRu1sNP4Sm6Jp3atJYGuM3ticPOgew2dZvhyFjPGEi9wMMIapWcs4ICN ZzLaSh4DhS2QONeWWI1ECQmDBMXy8qmtO+0ls3wdjTGhwGYdyCBNZgniDuJR72Pky4Rreoa5P5PN zPg3mMGN4s8xiqSCfrXuvXSEWJz6kg7enY7ykMoQwO5FFNl/XnTJcth/yFPikeY8kbv0M/fMqFlc /icQAWna8gBbq5osO3X6AbhYhSjYQh9pWO87ianL50cu8uB6MxseM+0M7y7Abqxo8Ruzvm5IWnxg Zm1+QUetMW7EbJb/svouhQmC9Y7bFhstSEsXcB18GKi19of8vxaYNIZ0g/6CX2ekyfPAKMJWk6P6 mjI1ibiYPailOTFzHj8vq+1q74H3GY1dln+xb1LIXHHYlM52Nnq+P7JVGHKGzPyl66f39IYjqV5j /wYcBzCYiP8iGmzZEqj4hVSPKmSKK9dKecC0V+OKkvBKOd9LYhySOsEGxTZ14h6LHc76PQJW9E4F 4+Q/GsI6yc7YumH5JFWL5lH27zqx2Vu+k7HdtvBB16lBEX42UN6QFAFyLvKHyNfXAqZ2/faYimVh d904jBAjiznnXaXIYoWEHa9I3vHk0Xv85leVB+3tDAI2SURv61SEetSZUfWFooqYU7vzl9g6yKNQ 8h5F/uAwVrHs0IL6khptORK4js09lLPMgVM2tqCRsYDDtRm+7xbMwXfJ6EKTq0dKVTXdrOmIvWYq 8T/E52i2+Cfez5WYA9P6EYC2kCBYLwRq/0ypsMQzPwQPJBjaeVkEGLC0VzCQ0Uwmkdcp1TTO0Odd +TVua8v4og9rxe5N/V0/CP207Ba6JkqlXAGKSoq129dE1zOCf3HezfBDgjOUOlQw2SZV0DA+Irn/ rdMvpYnRQujtwCNunBGBtZ15Iqfbf1Sk6Kf5aO+phTXav4k794u33W7OoRlSxf/FtHtnr2D3TdqN nU/JzqiGaKjpH4WL/P49bNtRDyS7skpGqaJboyEO6NF+ZevMfOqjQhekiTITbU3zmPi99/T/EgRf CszTqn43HMi20z/qmjWCde5AQg5nIVAW/HVnhLYpbOPDX9252jidlzGBYM/SU+bVd3cZMlXqFSkF zlElPzc+OpyJckZCsJdT9U6mOK8HC2XVJiHgqNnhYZAGw8Z2Bsfwd/4wsHtFwKlTpqWHRKtYvN4T OGB2Z7p76uDxKtjzVs/mYAdg7vA3LjgowA5Zx76gA5m1LmSJEzr0a/f0OCwApJMtHw19RP/D0Ehe azLUKqDSofX69ZI4OEJ8GpQjpFUL1YqXekoIWBWZX5ogSKR0GiNwKJmLDfcCznUIGVqrJpQhljHG RpbLdc/Md1b1NGyFqahdbCQpPDoYdxE+pntPGtD0KR2iNn79RRkVg0kvkC8BGXOvsSek7S69HQP+ ZlKOwO4Pj5DfcA3FvtXc3W420TAicx7a2wbUq/mHwk99HWcaePNZ3d2p8JY7koOfelMVi7eYB46k BzNv97Ik1bgoRcEbY7s43JqPafKbruKq4huDUaMvKkFZV+tcmZz1lTTVxj7xyvj6lR2XCcVFJpuI gU8tfuLY1BAGhfl4xJvwTeF/HWI38fpZ2YnRx3g/1ibevXjvkxHvVA3Yk5OiooWFdwmsZIxp0CWM ZX5orpJ9/EbKd9bjkzT3gqyrN+1jF4GDjj2m4T7ye9P07bRD1CoMssQ/asXkuOviXzlQwpKpOzUW cLTOk2V0ad6tyLVdjAgmmD8BR/11Oq43AXx6LZAkKJ7SnMfg2tUxOojrNtWCLAayzvVU/BMMg4Qw hMnI5CvjADM8r4Z7DFx5Y8HUW5O6KJOwlR5zq2+vRNWp2iu1fYcNF1UQAhwhJx1jnr5IYGOyDe74 wE9N2VgDx8rTjov+qVko95OWhryzic/NmBaG13taKbi9fwTznrSI+jWO0iuONPMiSNDPnFyzxRf9 u53Vdvtiv2Fpd40fhDnh2O+hovJKTE2FCeUvCfGsHuyjaWsPSBZhamVruk5koKF2vZvRerh0vQyu gAuDf+9oq+FkvmGhQiX1leYEr30ZHC3KqaaVHnizlNputI4OTrkEQ+8KI4++HIdo/FnNXELHhrpZ xnhPpJ3UJM0xJwd2FneBwM0pqk3Le7U2Fx10jUa+UDRyvJToKURyEqXQBeH4UdMX64aUDadFOb+U Y84AhVjOfa2lbUN+x85bmULac819DjCwKE+sgBX9GHWTHRrCpq2AawPIyALehk/eWjwJmr00PKAE 83zxvuuYthre7DCS1oCqNTBVRfgPwQBeXn4N496bJ6bjFhrwWjfA9+0KXubKCn5L6IPIDfnRM22u IXSR8Dvhz7eRCYkWtWY731ScHyvrWB7yUQOnP7qZY7b9u+rdFrudLgQo/stpe9lhPzUCqRtLxe5H EFH5yrnXaZs9S8maM61iAOWg95T77nkouGph9PfEtOqkfQ4fPAM8zp2BVvviurkUk9v70lmFgk1X Zk3/OxCb7q62kuEWGNjbX8q0mk8I829LRUwU `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_2/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_synth_comp.vhd
11
18409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block B1s3mbO/MjGG8pKFImjEd054kGpu6RMOzIfZCWlOh22dWrdNQvZL3YdTqs2+SlcJCN3T6tsLepum phBwKO7DKg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HCfZfAp4nhmEeoik0mrKMJnndg4CI4HVy+gfeagBhZV3JCotSgs9QDaZo78Mg87b5tlloDsjOHah LHmSpaHLn5JHplK89fJbv4sAQiNdCs7jRJFVS9Zqoxl8fyCLisBSSFK2HErZ/NS0n5Uav8fEPbbJ 71aXWJz5i9f38OggX2Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DsZgDclwgmJh9xYyv5I16RbwwNNfnQoHUU58W4MT22vpsHxUxcWRu8jNVKJsgyZ9yyrUVPAbz9mo 7ihn9KAka/UtCcwgAWR/t0XTIEyv2fT13eNL+j8+1CwlKP2Afl4l3k+vQUNBteZL8KHNfdrO8DaV OZrzGvWswDTCnU7eXg8Xv6ElaQQOorVeo0dyrVxnffjc8GESovw+e976D8qFkgLClrU+hNTAdMWl ISLN11PDRgFi//7c1hKOSQcg6Zkg/wLxhMbmlC4SbYr/IX6EetP7JXgRnx907tcdZmQYGo+bJErp +P97Sbjyjews9KuY/vmr1iVMv7wX2x7EZ9sNiQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qxQamstdMFwbx++wvtBzPPHaNCWs7fO52RtqqyG1kwnVWHq1E4TgqxfsUih5e8jsmAEwqn6/faiF bS800SR/dRgir+jvY0AuICEFLbaIH2ldiQQpNXhmGqqLPGltAnb+zF+kj3g68R7KloeiUGBCSJOa jQFt0Ia8jUhuXwEDcf0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block phH0vTdQAvcUl9GmLkoOis+aUM/ycGf2jBlEKLjhD76Xe3APy7ihxHfAWUp9WoOKtGZyhekvci9u AJRGkah+x1z3yPAwZF51SjNRPtmfwSV2T0bBUpDcW7epujoD9qib7Qcsek56SpyXPB5Ljr3KN/xC 7oA2B5mwEB+n74p4qovhOAjWpKMo/b/K/hboTWxaSk8lx7FFWVECa2MN21XmfgYeKu38OQwDM9nc ekNISttvYdUWGwaOPit89xp4XYZBNYYEKvvpm/3VXtrQMSjW404082z7nqKe3Nfjq56qdUKyFE3O kA2cXu/I6a238nmHtuOIm2ELii7slHZ32vJPtg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888) `protect data_block 9l1Z/nTLLxuHKydlVGmmv87RFwSOTrUbu1lqSEZAWb+30PGsv7WdzzwJHsbCMrHJW/DR7MnXkUj2 DuPW320EyyC1sWJkAPGGDePMcRzipOl6Z7EH/pV9rdMCyFm5JBBmS6fZC6dEWFEzArzlL8sr3rK6 wo0qOUWsW+xktoTnqFWAXZtyw2FUOKA5uaGzuqn/e108Mo1Dqi2ItStlM15FDlCABJQlhiz/3GRH YCUCXkhRvaPanxkXL279keXL3PyRY9leDJU9Y/EBTBJnulFj30D8/QHiVrNbdDwLNa34/vDSE+oF m0fAx3/u5Kd7cfsW+t9DRddpXirzb9KDwAE1g/IoH8sQCns4sXhfm4dUTNU1Qn7EH54hWfQVm4X3 7jKXM3oNKEoL4ljNIYi4y07Je+KSm+W0n6qwIlIEDjyqHpHhopsSl4iYHnOBfhmlOUXFHIXSuSju e+ACJZJeVfql6kxvf/s7UuDFnACEdi5q3YNXGaag2ArGf4DLLJBzHbjrixgCt5TPAsN41r0RyFb6 gxoHNLFUHs+KK19Sqdz1FvExWOILAlFv+MC8BgjA0DueOmwGMoLbRqvDBWrEoFDqol6mhq4nufqy tLy44dNgU/88j13GC6YWTy1oztEkDeTWXSHkdYbhaHOuU8xmJSa8xJndNUmB1wuAgfwEckKl6ggE qJFLCF2DAqe3gkylBVew9sw4i4QXadrrdcMKrJFM6CL7oJgbh8wCGAt+V1Ogl8Tq1crcH9HwJEEl qmISeE5LRhIyxxlEtSD8OBV3ll/GLylC6uBdtEl13IW1SvHZYrL13sTSnlaZKRCtxmtqMUswcZYh wXtfzJ94DT7+2haVjm3ui/JqW1Hjwt6BG8UKZ+Zc7ucFNW9K+u1tHEx7KYXFxF2Sc5if48iYTKQ+ KWRZ/U2IiXFhLIcphUf01wmebO3vUOY1cN+VHvFT2mBmTkqfCP0XNXbbp9Kcj59CXhVcG+kiDWtR YuQwV9zdzXvZbvzF3tL8v8YSOhE8UkOavpb9PqX92njB0w9p20LKmtH2sktOn62Ab4Ab2I7N2oBe 04VOiuuda8irro+rpj/BkB0EoBjcMjPRJOcSEPlpRgdFUO2y1lurpocggql7lXJv0BGtDZZEtGV9 vrqB75PepXv+10UkWE2lCEkmFQPashaQLWIPkg/xqF96J7lyaAAzNdgv71oZsevSUVS3u2ItjUDJ 8v2OINDXYYtCekCJczzNZmmhi9wLJDmfuGAR36EO21wHd2upo2rxcqhrEPIzhdwrpDltLGGFoyuw D03yT6yKBrL9nwTJxVWSmHCuJg2sK6rEdXgKKZHnnctLZCo4skc9pzE/iJsSE9hdWTZpb6mI4miq z59GoMrbVsqQleTIgOd4qqlPSJb1OWaHtav1ypno7moys3KrMQYmqWWHiuhaIQ1PcEiyyxj188Zv lBolzd2nzFc4lfO4nmXQoVKk+PixNwLjieWVQHk1zhgp369HGNbo8rhgfl3g+TtZwUMW+4W8pr04 zpV3dZlK6ofCrUYIUTI5SouQj2I1CoJ0LgHRO5JrbEkuGp517CWM8Dxeb5YBuyTand0AHU0P0/MX +4uFIFXces42u5hXy7S+573dzSuIY0OiFUDf5a+f4ZvpsmREZnNKKWXZLI6UYabgdpqsNQ2O3T8V Hs2TXXqO5wkpYYpYYyseqYUAzly9ia1h0Whix2ZfYeUYAmndUc3xxBdD7a0bxELuvbNLhLc+DAfu 2N3KvyuaU5qqvggwikrhfwmuNnk067LHW9EAN8+oPc9Uh6L1w9GN11ERytvH+OifIt4Bk5xXZmG7 a1H7Qp4tmk+79arNeAQD6WM6s/xxNfLt7DzZnsjJFLLoGZ5z/sRI/dz11eTLUetkrKPh04LID7qK 5QHw2VsIw5RSWCW9vJJY97ZFx1xj72+pqAyBkyHYqf5Aub6JmbXJ8CuqRpOKmdE3r2C6voTrY18M mXxEqmP8saNm6f7XzHNccklExMfxUm+a0f6vt+qY9jmzjl33pPCvMkZItu4U1y/6Hw1etAhXf/yM QuV3Ho0n29hzSG8h5h7V3nezE0UsW2jTzWVCTRjJ3xNQQtQCA0M0bei0yllq3K5mnqK8O5o8nWAb wM7TPGUzQfB7kKGOCxfo2cAvX78R5RAq2MOqCxpix2+on1+2cqoneW1X9CsLfXfhOdbC4vyX/6+D XXz5l/EClb7LSPnIun+CCvC+gLKpPWJHfnCpzoZQE/t67GDWe1WIPDELgzBWICoJv9M4SYE4iXep TPwQCxdC/q3mj3LW8R2wIRnLAOZoJlBWrEQKKvsOAnZjTCRhaWwJHI+qgGxGHWdIfqI65fbD/KAF hpRC9UZRxqYnU08lc9HGpS0xil4bRS4znIwn0YlzViW9W1vs6AR3SsMnhXlEUj9Xf/VddtVVIvlv 96HXXqkJ5CxU9DM261LHzxOA/psGx45C8Ia2IDRKQLFB4OLuoK7pkjwSe/N9KuPjzCYYyNLf7uzO 9zpwBMu06ElFeHSppMA4slSmi+p2StIWMeJTdecXYc6B+y9wCBaQ+U1voj/NqMwCe1zeCaRU3OlW A05I9IyzS9vW7yUfgSY+xVN9nASIcZ/lUiGyCam+0TOolCEK4HdsKIxi9lZvTpdmND/MkPmozZPl p9WWV5iozTWNLi5RoFpnPRD3NL8tEiF2v/B9MIAPhJrSXw7K+ZXLtaIrL/BELLB9d1Sw4V8RfP8U FIzf3pLgepb/jwfFNojFO15BL4piL+HIX+fGcdfNh3KjGQWoBI65muyi7hGXA8h/F20l5vkCVmkz /yakCxPpLO+xSgEKhsR40Qxt3Yo8u6nBTtN6qveOVqTHbA2eEhcTKfe9wGUeL5hdmLmbDftDAUw2 DQV1aNzFcFuog+e7JSy+k+ibQb2D1iTR1xqB0QWL1P4LcyKPyeMw0lIB3UFdY35etk8Ghkc+NHYP BD6ePnYryFauJnL6GGkVfB6f9xtkqH3/rF9/x3zIGt1YG1L8dxJF6jN8K3hwZDjizMjxKl/xoolk Ea/e6fZBpqFUtfHdQMT1uY/525MWtXq7s0EXVR+fXlTmV+DYIQvdu+zlilD6fwN+Fyns3riBjgyh AR65wZd2OC9QLXeKMIjqkgSHZfEGAuktslojPGsElkGRJ9v351ZKF/WCIKSqqbMD9bbFQGb1KzVS kuQAFoD0p2v5jHdnVJdm2+XEZiPmHnEpjGRRdAjHzuqP4tfqcW0qzgIwzO+cTNtjiFALkLkFfkzH UbAK71HVVH0MDJ8MzprWzONQzQA/2ChedF2WA+FwMBVfRJGoExoNWOyCQ7KMGFM3/B+/oHEIITjV IC18LFehNuYzjRXiejOfBIuo9rulDdnxvC4EaEmXvjmKT3uWba59th06mIyUBkv8CKbLUo2kY0L/ dPM+h5IGSzbIGlmqhCVoTCHJgJcsVhW8iak5bXZtee+hUYpxrvzd7g85mvfC66zHGVdMPhVyAEKo iv36PO/0FoBtDoSSB9rAGXdScsky3+Lpy2mMsFTXB9JvdMSTJx6yM6p4WubuWzHSWahzhU0OgYCG hKgeORq+gsScaeYuVKuQ1mqeALSFpbtdrk8dC6sdaJUwZYvTN66Z+lDUCwibvrxKChM9vvLstTdD rq4vIzSyK1ulbrgttHtPcHUaoXOdemqGBcYLQF7vx24EkcKw60sZco5PbfsDclLKPCLYVpLzsQ67 /MQv8Wm1ASz6uStnjXRlKB8YLKUR6Pjelp0KHauYRDY+0wVfqS9GqfzeukEIbvxO+eekSuWacTWF RD7bv49hRtxp8J50KK1OWmJ88YGxMDhvHHPDbr5lNa+P1Gib1qLONb7PRVykXGV64RVixejkEapF WJWXICcgfJTxXB6tchVeP1emoDDzwzMltkI4/6LnXEaFEU7T98xmlYC7eVTtvZ+8fxNlF5FvPg1E 78kGBRTLhZLUvzbze8qMQJ7zMZiy73xvt6lfcaw1YafO6L4LvwS+QIigkxX6PCRz1rbe1BB8V5ce Z5n5kZaHfuy1FUcu1SxCtauBSdt7nU13C6cU0BvI9yrsZeehrkGILCjSwVPwnlNVoc6HEQULojZ/ jZoy+AZ/dBLJcsX/OSVm9h+VNxay3C2c3CL+6EOybxv8VSbdiNDJ4GGRD5bhMdGK0d3xuG6xp0iR oJdXLjcqvY5ortMwhpa3axqQgGSjhlaaDxh5kvwXNpfM96c9nR7Kdh8FTxyGIkZmbL1yeRlRIrLa 752ThKwnXkumbG+xqfiakOsJL9ZDJi12AINjkSiYjTE9Kgz5wzdjBVz+jubhsilsHQ9RLZYqwa7K swec4f7hOnVm7JSLCxwO3G9uuMVJfPPdDnTA0rYcUjZHp5fTh4faB+09r4rhvJwln9rQrfPD+kV9 UBGEirGZK8g8R8/ZZRVqzpjnh4RTWWtX3vD9e5cspfzOWnlzq6WMskN7prHCLbVGRZmdMPrisfDv x6jCq3XuEFmutHimoIj5JGaFNZyOpUllofBa8fG3WXNiJMczkOgTsxp8YWUeXWymIdDbiCVxL9ip kHsafuJmaTtPENnRv1puiWfzzMraf92JiA1X9eftPVznqZXsTlZX2ZD3nM+qbzxjxFg3i3Ub8STu pEHBrq9BVbr+L+riDF2w1cyV9v5HZuK6+zMSrRH8lBRXiBjg50Fq2m9DEzPaKEYaLW87v7J8s5dK 43GfOCqeI819CVKQJV8XUkU0/kB2G0NMp/g64rGAkIq5aeoP3PhodeKj6wmo8PSDbJz84yA45cOB UfiueCG2JBNpBwERwKKY+Dc+qrswXQ6z42NJvyuobpTeRvyZJYiPUHD27YefIzZwn1ps4btOG78/ BhK87ZrIDmWuWfjPf6u85csKP/UQ2A2g69ZwbpXuPdGvYWxMub9AX37wS2jQCuJ4dqqQVbcWlY/p J7vQw6ativmIyXl/sA5lBPEo9LuSnWijnWSu0T+3hyd9kKoM1PZNgRiUWM/+5XglbLttLu8+xZLP 6G2pCpeaHrDW9+YFifcy1YrbD6ze7Eug0Y4079XHcnm9MuBE+C0eWAen+v21rTCGhMLmss5XgyIx SFCkj9BlUdBs8i6QrwwVGSKJdMZa5O9Ekz1jzgQ3knOdoN46/qlXkbEK4wnKIkfoaFDESW3KTuYc sxeRBhqdWP+jO44LWEZzaOReJjSIpUPQJrUrgPtsfHa+i4upKn0rhG6Iqjhwo8cE2qXiNYbCeCXa uFrW3WIaWb5QrKDeDBszc8Yywo+3qdYwddd6V7wlqB6VcNfi6JbRbNLocqsdNPaukxVPSOqrQCT6 KCsXadTB+e2a9HXm8ums7cIITbg8c/CLHMbYsDEs5ZCwhmxCinyF7lBsf0dTLUjI3SHZHWQJEJIg BWsk/fIbxbjzMpp9Q1Coi8kwBS14RsNE8w1Muwu2gxlhr2WONpMGYo+KwmJP70FBxcyHHLxzECua FDWLKxhGxIWSDQc1WVy3cn24ITu7gQTRIN0Uxc7D2ojHg2R4s6M3q+KTVFMDFdYETmcOncfdspAn FAiHljBvk1/tvZYqbB4zsATTxu83bVTcsULWoSp5/DQmyGwagUYrm/k7MBP8cfgX1yl54sdxR+4H NdZ4R0Qr7i5ZRW5ryulx7JBd4h7CX/zuy+pwK0gEyd/7vPWpzzhdS4+bZu4E/cTVbGTMGm+bdApH /v0DoF3bBU3ZLBYEqd1mNLSMfEKp9wwI+ID6M+o/5Gm2bYNQ8N5x/KlOyK19KipMhuNjxoxgwo+y zcyW4Z7td+Jg+Pc3rseEnQH4r0to3f9GeOXcDpYMRnEAFj6XEIB6sjY9EA2V/9SKA/GF0CkJC1/z HiZgJDsvUD5UdRdAD64xA9tRwT7/Zv4P1DB7/6gGAx5pGVa7oFPnmXQdJ7Il3kEVle12W+XUpNiN klWJLntg2PGt9yImH/0THZ9/iZjzPEO+HCEHcjM7fcD283goBfYnN7o26zpmMzxNVacK/42igKCF lzP8w8Kkum4owlRcq1vvuntqqCy9dlaMx/cHp13hCYeWZ5BPF+T0swd2Othh+YQDF6EK6rarTwtO hikNk08s7o45VyhB3tYAfp31OUPpoGVVDuwmgEooTcpqWWm0A5/lkmBcUaM6x13qdSuf8/z90nbi rli7da3gVbjPi7WjSm9EiM4I2NRWEbCQHOn4DEXSQLbpwrIV72pDVonSg4IdzRXJJMP8b91+WrJZ +TcyZ/WkDc98kVPUDAnY8rz5tYWxIZRtapdBNW38hvWxWnomTztV2GxITXHQmhe0ibTz0XIFgvBA V7wjjfz2Rz3k8MratiYUzV/ag03jX/n/kOLNkaume5eV9y5kNDBCt51MO47wv4uFprRLvT2kG6Nv texevZBHudxC3P+BzRmTyXvgEN8RbGs4dx/eFUGlIFw7s78LrE80FpUrVXGILXA9gyGIjQ7wnZGv +jgxaJ5GXYU0Z8cpW5bjijQ/za2WmzmvI3/NgaEVHxAnN7ylJ0vKFWQJWP13OHTrdoCb7Xwc8GAc JcyB/hoUf2JDKEkMkfcVMOTetMk8bLEiEFUQOlBiqqkqUFbDvRyxs3uxcGVw5UhT4Rgcr9Yfc2nC FES8mEgu018xSxOq08V34Ee/iq9imK1XXHbgdtl7ViWvMM1UoN8dlvrBHcpljQGcMGeQsH2A3QSu Wi/IG/CdV2bRiB7EywluOSugN4QPFYuKjf1EHIalr4O3km7Vf4WNW1Ng10QLJarKGvSbUYNRrnBv 4N5VY/c6KObwUIG0mGfG2wb7F4Cqc1EHtOMNu82csdgog/EapmgrD0X+xYe0JrT3tlyrGhkAAA00 hrl2sozuDt1w90OytvzC7fssiwBl19c6rHlDlfGIElO97d2LoBzy9RREXT3oamDTKD7kYwD+z/0u +NOqQ9bwYH8kYbQETzs4iXkcqZ78g5IflUj1HhNLA4qUHPQ5eHGqXCpdnMKAdVIcW75ELn5tf2M4 Qg5L9zPsREh/UqM1tp+sfOKYADMoWd8piyVNejU0yIpqV9bkPmlHhF6j2T+z1kWexUrgHJA6j6eT ZMQQIukC/fPjxilBlVV+JCvCuAwG4JLUpAt9Gp24DCNYFd+DC7TGHWCHT4/ZVVwHlaC+RKtw68w9 Dgy0DEeSDia6I1H52BH6DLsKPHk68GGNVv92vV1kmnAhj7RxLmdP5lF2yrl7njJwPmIhx22rpNYa CRDpZFknmLhLihEz/WC1DJrHc9h7SGz4syKVBEin+wVSpS2yPwTaIzFmJBcz43wBPL7be5fJuP0X TUby5CpUA0Q5fSDwCKlHWOQAu+fCp/QQbKz4HzR0QuJbYBXaJwpbCOW7u2D6gsmR2Uxt/EQMAn4I mr2yv5O/dKZY5P132xDabXSJi0TVbsbUqCstKk06C4CVfzZTqy7tgtiNqL+CW3Nnfm8fxiiZgco2 FPsqkf0NiP8LtGDfkczg4ziLe7AcqVGZ+OCbdmA0n/V0VuuHBd07fBDBcWBBANGZHa3GtPRtB8rQ zaQr2yP/d9bxCEoMSMml4U4FlygrAgo5VeAQG3b0jQPru06R3QOR/A52leYDXll/IbpsvefixnCF F79qgIX/8/7UuvK/S676ZzilxCvcPMZGQddlFAT/CLu3ttUgtanhOtd0dJVbqHFdkbA+EBmxAFg9 hU61AJLViN3vReGn0rV2F8BO/wvCdzVVKO3m0NkEUW6A5csAg0NNuzZZpuRndyU6NXaf6FskslUX ZUId/s5bqDltXsr8nb9ZhaF/YX8XzcBB+KGpjrLfbtfm9c5UpHX+XGXfIV/6uXy63oafKJb3qSl5 VJnX+Wv1VLnPZtkZa/w53YI1C+Q1wX+wUTR6iN+9HWN8dIETlA1sEY166Y3ZVsY/BoxRLQFA/9dB 9DMSv8tOcNToe2FfkrbV7xAVgEZi7ItgpxY1fjUR+E1p7nKMz8o4VWKpAmxyXed+loKpYmzxhfP8 i8Sx88SVr7bXI777MyrebUAs2anF9Q2kVRMeOV8L3arMrZNAsNmWVYhq8ZcmvHsc3eset0Q/I5mx HJp6Wetrk5XXdPMr/+xLQqMrSDhW6oHw4WzHAItGRuxUdac4WD0l1v8BZwwY1ePphQMEhAOOlyy7 /RN1DGkjpr5lPRsbEXcbiFLui+d4Oa9Sle19dK5bLNZ721VarHDpsaAEz9WJfd0Bs4hQMzu4/1A/ z1g8ari5we0WtktvtBvsSn5mOazCuiG4Fes7Ul/7QEcLpUflVr4A/qZXJfKIgm92EK/iH1bl6RkA BvbMPpVjEDt5AZS2UzduO63AV3xTshf6Bw+zsOwXSPYY+PumDLLzObdQPSutk/RLrUKtm0LNzjB2 k0LYpVcJ+AZ1Af+tgHQHhDBtV4fn6E+/2oElUV3cjLNGYta0EHButpm2q2glblXEWMi+xK55T3n/ ucpBmNiKo0vK6nrxpVrl4EAHnhKQmp+g0T1zrd15qC/357lxUkMqtrn6zBAEe4VYJ6/DSuSRPDkq 6woKq/mzQ9d9ZtqsecUwJ8QidNsMHR3soQqnxsUVetm7d86+VicHXVEr7ywzVyVT/PZFkEoHSnPv AI/ZT2uhd7orCC8pdmteQ1FVz3zjFjhfdyM12vAbzqoabhSyU//TU8bedVEkuhAB8X5O4Ki6rbdM XfusiQ7R2H1E2PdMmUImPnFKMqR33rZ8IcnoWdWV8aSRDwGbuoyPjVkwliCW13EWCUjGFHSjml3v ZAON4L78I6gi9s14mc5LLon4j+oBqveCo1woqKg350/I/IT7BxSU9e08M3glHNMrnJOue2lkDMNq X9Vs9SK4MJgd0JAjm2ElE3/CbGcOkBx+5xjd56PAwGccAFHOsLj1UrcpsqZq15YkXuOFZ3b4q3MV IuDxjrK9D3tcU0Y8tIMWKaAH2+CFllFukKsppACgAy6NBhj3nGySkgiAT9zRgWWhmSsIp151ocwH DknpErO252nvPUEMp1nQuyo9vCPHgY82O99XrNpOY7azYwTpuPJSOeCE2+rpKvIsTBsOZ+LU0fH4 0VZ/K7xl5Qx3eHRxaku6tNPoNAPxZPSnljgXmeTu+wnuUOPpIYDbBdo15wZESyeAL9SPTBn/uigR fzPVGadOkqjxWvfomwnDdrm50jnVy6z5t8wjQknVC3frZosxPfO+63F0O5vJQrRHb6ThNkyvDybm 7VThgQUeIx5aSO4B7QnXl9uBk24ktNLzr8hdJs9UR+MJKT2yRcMVpa4KEzuPXCd1tWEI9SO7Z603 o3lhre+oW2VzGnS72FncILRy+e8HWiA70vkP4hp49RQixUSJVgqnYKava44+I9EdIDD6jDRISACr AZEAClT7YpucSxAPv8Eo2XdN4BtwUjCJSw/zlruJ5rWkatS6a0WcDmxrUhocDqyv7BLPYSgX2flZ rh8ZdNo0BjHHTBozrMoKAaflwQN7OtlrkAZSXpNGlb6gY0RRh59wGxkqAgvTbYB9wa9VL7/zf0ly 7yEBpdYQZGS3sffYbJxZo2jeJ9oRS/asf9e4epkf4t/IyN619egd4S4CuWanaTVcVFKa4g7QkGMA iHVfEON89hEdLo9n963f9y1aU6vZMbYkfiLmt6EIyorWED4NdZnAA53of9vH9vXk6mLSly+xqSAL tp/RAluURGCf2pEV61caHNb2U7/X3aGlCOZj6VYQmuDr4+r4Wa15Gwrt+nxEiw4oXLhf32Nf8ER3 tYDFh+W8jiVfKnJ8WSFQfotqtaolmKuk1GH8neSjmjr9/c/aFl+ck//T4wfVsCoTQbebUxwxWeVh BLuEmkdTr1eZMBSIIZksXFgu9wjguuxISg49BsH+GIKHNgZeX8xiww4OYWSswtpQ8yh14NMy6ZqX wX84l/YNhlcXJ1glhrU53a2ObUlsU4FMgy0RPsxu41QKAt5nuovPMZbaZH8vYu9jZoamdKH6eadh mUNmpMyuWeYmUhOurCVFIJ0n0MZZyUJMzFxUxqlLqxblAETXO3M68HWpYoLPZiW0xR+Z5gzBfiRI /J88HD6eO9RX8YqEW7zYFLN+BBi+L/91rLvFMPOe7f/M6cc1Fk2p2tlooNX9cRqWjw6YfWJXzZI9 gcAN6Rt4n3TDGOyH7CqlaV4d/JBmZ703n7WLmA1KarS0UrpL4dbJQ37Jqz81lDM4+RhYsYoAPRMx e3nh3DZfKtAoQrsChKweq3ww3T4Lgh1clGnuFsusrA7KfgD5m+kc38CAsZ1j+8dpU5tmt8xJ1p4A EpFgS50oOgy/AYz9iYrl4hEPIz7kniO7+2Qd5jB2Uo9VIWeAQea6as3Q7ng8v4SNaSdEyJWA6Pp6 lPw3TSErecrkAZaA4TplS+07OHNz/Zo4fHydHmEmigMMXbvxhb1zINNPgOI0QJnukSBw4RBhiLNJ Pr0IEFw1QCoEmjXy0XlG1BKSFZbD+5IB8NKWnPJZkFoWoTAvnk0QCj+HP3VA7zR/nC0FeDBBYNUH yCFAigTliIqhDrgdD9LECgXtpBuxKvZPINADmXzFd9g+eiebMXgFRd8rvnEWx16aQ5vMgzAd2dCK 11Mk3h6/9/mSpuCqlkY4BSoEIGf7XgNVGQ/6HTNQo5K/7zUGLg1rAHMhNFj79MDIugSJQMogE1MP c06Lja7YgPa71/tn4THmMVRhej/8jA3P8SZz0pYZ2NSJumseKeKm+7JC+tkpqw3KlUp4SefTjKHD GrDIIEoTWxBc+Sh4+HwW86f41Ffs1beTU3UKtY0SUEhkFLlrYNGaRS8jEnwOq73+u5g1TWYaRxvw s2N0VnoVhk5u5I/fBVcu3DUTAR8uZr1bzLSvGf3N36V3dfYVgBXJ7EZqxrUgnExVtmjtX7nPeXXr VyNJAZQbSLF/ufc7QfvdRgvReez/Avbi7j0UPps4RUnjnV9I3J8Dh5bJwMPqoRZuB/4jXo3S/nlr letFRf98FrIvB0IqgDl4J1QPjl4XgJUGPUlMaBS8QxsGXNXzfhbaZUow++83pfqo/OQnZUKyu4IU LviyyM79cvf6zAXB7hZfqqzkHrCMFonWSeCzbbq5qLdZDwFiCU6Yhxr95yeVrEsKIeqNxS4Daswq VaKopT4s/G8FK7n/clgIL49pjidCX7UFLnvtHX0Vi2Z+X//4nLoidKmC32opyTl8jhrUDF8jikjC TnnnAbr3tKgiq9fnTyWyDhCVgScryDHPdKMJbfg+57xomMkE51MWs83QrGTT7569Q5xHxKH3+G5U TVGMq79egzgoMX8jrQ5cT3NtKRyFYrbQK+wDzClFdkudml67omP0Wh2sh058OxKMiBkWXnsl1Dcj T8oNW2WytD9rmrfkzpyDpRtDcgLK3DcGiosn2tf2SUFGQ+Uby08urUVkI5vrlmqEV2pMA2FnqSGH cIvVDbbHtrT4OYuPYvly/BEo1p5NgYK/piPdHOk/btDis1S94SFz9iOZBCyVRrFWIrB1ywQkisjz Kz9JGjKngRMOzgKyM0sbPAG+gJe9bRWO/KEOwl1Efw3QhlpVNb15D0osi6INohfBz2IKFktm98zA vL9T+z9wK/EfYPh7NJoFaclAmmNvNLMeOwh58eudzV5SvxiaBAwinhE/jQqs6PGoEf6PBq3M5KPE F8DWgD4mBHC6INGw8zt2X+3SenmRFznn7N/ivQnd11pwfVZydnw5SFVkxk82Venx93oxhU+2AB6G L20qcqAOP8afGRcV7OGqsnkDRHtAZEmyJXxMgLZ9mk7DY6ZSpY9cmwTWxPMkrU1SvoAtrrlZJ8pQ NI+LUkEuaezWYnjraMBUfCITKC9LAw2OsumHVgx07igXQW2ywOsk8S1fAHPJ+sznDjIThCvOxzRb qymbb6yvMrzIg4aeEvHpmBV20yO6epPLPONtgpC7fX+F5IAcLhn3yJN/PnCoz4+aQEk4C1wMdChv KgLwQO8Te87WqMgSCQC3m1VvqsGfbOVqPs2/v5Av3LD1LZQX3w8Mpj64k2jQ/EoUsKCXvSosf+FW SOHZZ/aQa0BBhBJqZ0mQ3AOd69FdmX5PGJQ8hFeqRmMF/mC62RM7crpij4cef9nrypx0M4FNgqLF QbMnx+bC+m/omO064Qg41FVHJos6aKcMTM1VoMElFdVB08mjgf56wJRA6zjLvRH9Mu1K6Spr0ZnC jYz2ChrXly1U5Ja1HeGzT4hwLttQP51X4BQkymKCGMrcOFbIiZ9Zqnq/s+XZ7kbRgVTesZFzmzWu D6D84EP/CPryqPI9jlnZDJpaJ5TOiVvuqzJSUi6fWnrLWm7UG99GJRHr0qtTWBxNQnmOe/V52WZ3 nNu246yM3xvLG6HQjsDs3GpVaR7MQbSAmaSyrLB/h3RNHTs2EJlpaaAP+bEqoc1acV4EhgZRtL7T K7SEcdNa8mzaZb61s+gb6n/QVnbN5Ys1xWF/ep69hPCy1DsvHGqh5S4FGBEReVEGtx8ehp9bEz4e jvwc+vaHXTMdyK1YcVMhMNiVXxi+27ebnQp8EyhxcV+stfQ9DPV7hZNvpeQBucjOMmcpye2WJCWF RWPq2M3QrO2M4GTs3iJw6dwXibkGBAEF3QB1beKoVOxx0YoigDNt8glTWTzssc1IUTptDzt56xRt Ni6egSShlhH1A1jjey/6yw/adGt0Z1rfc4RfNitwwUAz1WCmmLUbsLlmFcqI9552zKombmWx4WJc 0nBcHH4HtduBTPk9/sO4NPRtJx0OqAgRPiQZXLljbF1CK6THdQirl8IMLRsixV+gNTwGZVTaj/xD lPEPLSVd3ZtyQeODuA+EsXWIah5jMsLxsqjcEg7pW+ym8pESFEjdaB2LJXK43sRUZaD4o1hNasSV lEUQdifrv4qvB18IWDx+RSCJ6tGMNckb13+JIT9AkjnTWYYQLnqfpwFfC/2bB3fkCjo6O/FHxI7W uQ7VLRfykTUzChMNeydeCZq6LDDAVscdcJFORp9LiZFf/zuOcEFupbLvZ9dXFdxStEeTuC0gtwRh COBZbySLTKevs0pKa3IURMDClEOofVPkRwj85Ew5l2Rr7NUMc6sM4y3GyUzsCtq7qILWrw+Mmdng OYNxwxbaQwTWM1S/B4jXD7W5RIosDkeQMOvyBZXAvOuZ3R1BMy9dr2UfVYVb5bTlbhzhlpLabmNw 32+TTUnd0iMcFaqVJqAfWZB6ILeRQSt/7HUZHXTgm10iNKdQntSszlX8S9Y2197Wnjn0IiBkvho8 ht3If5S2DH68rCPDdIf4/Rqo4y2gOuqNcO+UH3TdiC+oZLw0X4lw2MyvazdIZvOf4qHGrpwckVH7 4d8IaHoW5u3K9qicOXeNnDLSuoIdxduItiDnt9tLwCLqQwNSZrAGIZP0pOf7TwwTY3J6C6G05NqV XUu62nyWD82PFhlNMKEeLKkTmohlS1L8YUoNgT4QAH2MHC9w/bZZB9iqrk1Yx8BLnomVI2xE5ux2 itXsv1HJsJxttq/6wPd8acvYaxAa9BrhM0J4ocDnOPTjLFAB1tldAsachBPaZqmGiu7FV4f707vl TIDOXx8yZbx6MVY4/Le6vnHOs/TqgDnaTYPvVKymr9kvx9MXGxpzNGyLvWo3P9xDEwGepbYnpC/0 BVv2rKoYIL9Kfpjm5UxsjupA6wCDuFqvgNERrVly1tLgZgk4Lgr78gmFOy/1XLRbJuZfFmtWylqj xRNT2vKxNc9/sSk3Tycp3DyqBsj5xWyVjnzICiPFgas++hcsApNlUiquFJ9gZnjgRayn+q61j3H1 b5r4cYT592SdR6YEIMs7FMej8n1/6+9rWExLQm0xUyIBZWb22+IblDLsQyxS+hDYaWYiXamuJZnA 2WnxRpWI+ZKN6YVmDr36GOiI9pHS81NPQKQ4/VLpfHAmg2MrN9ZPHj9Bvjw3UZ4s6VkYF8D3A2Tp no1KAkzORzksPMCMpz9DsMG3bK684tp0CkQF13i3xcpJP0wpKrzqaOKOCr9k2A2JCvoOF/KI3OxU 7vD2STV0yD4UFgs7XhRAVU8y8Z6K5yGsofvC3xHHyTZ0ZhHdzEkwMikk4c0JbLXbIHaMpOQuyHFa faxAKTC1QH1nXFJqm94SsMMLAEsFkvSRreQfJGZSp4sWQEpnewayBK1sTL7s2dJQ4Paem/0Pz+mV TgAVuJhk7/JzBQCwZF+U0C6Q0gOg7ByDkU3jC6K+sa6cyZx0znXIB+BlVaTRChaOt+J9JE6C26n9 E/hsB9sB/yFWIm7GPpuhFtOO6CJcT2tO12ufCmrstIHuuoMTbYoCnnXM4AxYleWu0v/UZzC0/IPd jCPI1nj1jckHPbNCRu0saIP2lbkQ4LwMEOBwx1tJf73oHR97I6Y/cj+rTmR60twAgWXVb5SDYr8w qTetGWwY7OqqViOCQqoNOVeHIAAgDBIWZ2a1+dwfqb5DcvyuZCBD0BvgHVtPHJfLq7G6Fw0bKpLj 8+6O5dVxsDElq34n61Y77W7sI4NSMZRBPJQd/1J97s/Dm8BuWb88uXO2LrPlDhhtlRhISSe384Z9 GnaXdPTQhhryx8t3X+gAxHHeiFrlnNEcoPun+WLiyzHX+gu/f6C7pedqzSsMm/J97YjNuqGPKx7W 0JkohtBPBnmm0TyR/xJA4zAUG/990xdG6NnEX6NlwOWkDF6KLjzZ98LBEPP9dp2SBQr9rsv2ZdnA zNt8xSksgkfBbdy/1q9wiE6LN2HGnwPgq3eHkFrKhIyrlH+13Tw75XIBoAZfNFpLT6aCDo4mviFq //w09qf3SW1e7CKzySzZX79gIFuFjTcsIIMdwriwdK5s9R0/9uT+tgFjb1f7YyPZ5Az+QzlsQjOr fx0TdA9RJJVaxycCIhXSz5Bnizg8shuLsQT5fmdjzbtu3f81LYFfcNw0ooEbdjgTTJWQxH7sA8OQ Fr73syyZzivJiT7XfnbPMRNH7tyCbkxwba2og1LfhPgaEX9gZOyyxEG+0EpzeBaQmXU7WSYemzG3 E3eeh+dwQtjuRirChQ3w2TLdZt2VFljemgQV0Yf6WoZMjVnYJ7uAicasjrEHDREDrsqUTKM/A3Ei incTo3IK6+kw4qp0K4AErj/Kd2vFwyahqeiP0uX6JR9RsV/lqS9WlUJdTleGI2FxQ20xuWZ+Jajk BX3Pxuv2rIB4V5obP1BoKAKx86qtSO8yNvwai/caXRqtaM8u6iHJ2kXvOErofYI5A/4RjjUzQ+9a gJeGrcYHsSHEZTFaH3F86FT4w4N+zrMCYy7kt2P5fojBjc/0qlqSE6m+e7uLDhT7lKKJE+qq4Mme Qiq+FksijnzUqOQbDqjG2ZIZw7Dl0PVItJoRd1s9qGlvYx2D5P/yNdYY0kSOLgTLjCHXD7iQLJ4M jetg3GLo4RYgOFiJS97YXvTS2pK/wp8zIf5K/9LJnRbRgQqBPVI+dNuyoPvwpGl18lCa3Tl9/Ujg nABNES+kkwXnH0fmhISLjO0YLkuzUR0EpQGh9MraCta0WrWzvlBPTAX3Mdr4Ld/Bge4gHLlSPFjx slitPEoSVOi/SEvY7kwkeAGNCLk1zGgXOQyFl+M5upGLVdXpJ1DVEsYCwmtJcws3UEzHuwqs1o1g PqUvSXH1ZJGOGsV3YNbd5WBZcfEHgzQPH3FO4+xIn+tNP3YhwC+lh1i1CvozE/R88x5GkYhkSDvo AkmxKG7fDyHMJ/V65kCqWGZxdznoYtND3xwvFXGPgeE5+fafENuUux+6sEnrMPQyJqqbWtRXIt0O kaXERX8Mh0oYw1I3hYDUgghNlb1T4axdrPldgxau/7JnXa+P357JYZsT71HDj9SsMnQ2mQVqVewJ qiyqrtH8B4r2eaNLuge/pZtjbhaTN0ZRoLm2D9+1bOQ= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/builtin/fifo_generator_v12_0_comps_builtin.vhd
5
32006
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CiYAnmWljK7dHHQsOvXS6S8XIz6XwCHFYinpyaUmoCpzAsKAFqBN/qZVqKCRHZX8Hqm8tc7DywZ1 ox5JUUKzHA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Z9ePc5Q/axeopWzIcCyKCPUXrX4vhCC+NFGRmOLux04EqGnA/XM9qN32D1Gm5a8/VvuqBln//Jg+ CoOaX4hz48TTNVP7sPf9Iswz6zMyxIzS95DDjwKmIJUDF6tGqLdC2N0GFsVZhrFYK6wBoay/xLLi 8QdyG+52y+v4Z4n70Yc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Qb4A/hbXFPzj9QjPSpEzbFfhyBouJqVf+e0j7E5Sa+lK787Uij4YrZp4/dcJEV5iyQ+J+gXciwDZ OzcqWFn4ccNlSfXS/osTSATrtK3osZO7SW32W2w9TF6i7uRjDg2/iupgMWVF0LLfZCft0hJR04hP mDWr2+USyLO89UbpuKDV7e2IfzZnbVBexE/L7sRTbUuQrsx3NtjkLU4cUf+PqOA/ZFSUI2el0l/9 ksLezi819FVnoA1tDLGmd8328QU22PgGWT6qZMRnDIlAVOg938oQFF/qpQeRnPKjtXubOLmvUe46 JFByAroZyXFjyMjNFy5iRY4yfj/4ukdytmhCzA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4j2biwb0C/4gt8wSc6PIUJd06XYG/m+QG0l5JFievxCaATunlHItAqHfWYu3fuPetom57QD1Z4xC U+EjjX9xjyoQBBIoAgqSPMFz3WiyrAmtAE9zcSlDECCsnHTxG7o5FINwmVWODNt+d4FUHCvJDPLw bRYDKhKiuUGO0y7PgKg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cPpNCCUFAqecRr6OUzt7mK0aYGDZrottoqMYdYssAH8CFVyxHvfm/n+1ujHo702nrCjtlyT3wDIZ vx/sn6cul7isqd+Fmzz3HTUThG75F8bX1xm+tCbHEJdskGJcH95P7lKi+QBQ5DvOSZHxrXNck43J Vl2n3dtW4bioSF/xhilDVsepTCbiyYDXGcCNr1DL6hmqUzAb+PbNy9S4h5h/oN49zcqdHKT6XEqX yxXV9Pg02oAdWu1SCdEpN1xz1hIm8d6kzq91Cc+dGc5w4zbXpJrIElwywbTf0CF0eC36oFIRovIy Fx0x8vUSSx57GDBJP3+61YziNrql9THWn5zsQA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21952) `protect data_block rvbAR8vzyr/XJAr4kEBuC2Yc25IT07JZE0jRQDfypIEQxwaIabNA+mTuonUE45UPX/hXPksDTFzd Iz0TxvHozbfDFD+iinZWnhkZOsrE9QOYILL9yK3u9k2vDsDyX01S+j0vR17Bke+ggMVAdkzK4m+r 8jUX0TH0TH59YIAwI1FftN8vA85qIF/qNL44I/rFnlt8rm64q5sTmHs8S/mFsqQFevYLY0Tg0htj DBi73BvJNMYpPKrfemXKMnS1Z5nkH/s2+fjlceKUXHstOdxWf0438NaojbWi5nX+deJHoZoF8APF 8get1bHaJfP/VRbgG02C35TMjUx1qslQviQeNd/vYzM98D37yRGbdgZVBISpqLsrnMEYxmCzdUXO 3S0xKvLPSJ8MmDbN2j1pUitzR1x7yTng/qUyF82nx6P52aYwK70Kij9/0f8533EXGqoxZuuDKXZb EAjSC7sV/6wO1LDPHeLDGa/1MY/lEnCfRJdosuxKPoWEXNxVBTEYGH5+ySsH7HSQ3Inv5FWymRv4 u1izjfjbMIZUz3y9SXvp7OM2Moh2QJL53TXEUkSMWPsWYgBJ3LmFq8FfwOJ4ru0gaJ0dyw8RBGs2 lFhT00vsI2Qy/gRy5WqhPW8yQZDQdS79w76VBAfH6o8D6UfNIP8G5jqCcpFLtY8+hUG1+gM0jIwg dGNlJJVF/PBVIZN2VC0RwTD1+JvD6pPsLrsdWgTopFVzVtxFNUvKMyJaYlYNPNy1spppiAqF0Km1 NuhaCMGy/Wlc+W3lMLN6FgPCFo1zRmVs8gZGCqIyghv9ZjJjW3Vwtv7qYPXq2Pr7HckCCzaMA1lr RQLyKlfEcnN0U44hAZb+eqY8lsolgvaBFMg2np/mxaQIV9lC7b9iqXOMZQn/ygGWpx5bNePrIw3q SePYJMfc66qpS7tZdW4mO6F8cgs+FvxlMfLDkWEQK7yGLXVxQKQpS2PotAGa3/IsNIEmo3TcN8RQ Em4s2Yb3lxR+tzWIlw4ByoIevVE/E4TrxTushxySMGx5tyiOaYX4G1OsBUdeWKVuvaigY+vwENVo g4x3p3OUw/h/GT/PSqB1/jgkWVMQJ6iLqljnxLO6ZLtFmtNCx7p0lREnaLSyV54vd9AjbEWW+WW9 aD6sShLQUrQTlDRFX8xeRTVhhps/uqeDQsstSD0r4Fs9BX2uW+Neapc2O1Rj/LL4hA9tsX3KjtcJ M3N6tiLl4sVu7KOK2dm7MWWQ9eB0ALVH5GFk+u2IcjFBiRVLWYkM05tKbCYmDpsczHHqurklPEsh mo6jKCTuKY0MCoCdTKw3SbZLOu16eIKL5At0b7aaaeYhElf9XukPEdhifMH6ragSA71N0Lms+9Xh QiWLo3qxX0nX0nuQHX9hbdT1hOKC1MBUBoWkFhDvF8eXYJ+rCFXsUoheIzRU6da2+5GZh5oQ6yxy 4M4Xx5t5YASNNBML+x/2OLUIL52Y9THlBQ5aECfR++KdWv3aG4EJpMdXtol/q6Ko5+4QGGMOD9qd xEKoADaF4MV/VxWV+MB+kuLtf24e2lz/PkOb5gcTHdyaJAm3K/ujDJ6EwErI2KjGWudAe/b1/9wt yJ9f3MGWJ7yvBAJrqvsq0npUOKUZiwGgu8WbRuXWEwz2DvAaPT/0t/TNcglLQ8nknDutYb7ctw8O ASa76o5UCkeubeIRE7XM7EMUcoKDOnM3pFned+QHdfsiPP2d1anQuMOTN/bUg7AICjr9iA3DuqW+ qa/uM2nfxE3vc9cvH70oOL2yS5BrUtJa8b7x72NXA5gdmefXLu0ZSsfw0RVyk2zy0w07E9LCEzkD 5iQa0h/dEvzCBF/uaCAkd8tVAWPKsdNrhSZSEM6Ss6cDdtMtMYgCb7ns+j41jRclLphlPS1n5AC2 sBagZ0MSZ+VKjY29H/qs+Af9DriR0kvfR/NKrbbGTWf4ES3E2yV+79rAwumuFo9nnfXTt2wMDyEK WmkFTnC4ucZT+hWfny2/jWmoEtF1VAYgx1MopDBIAyJ3iIzwaQTKocSgtGdSHFAd/AUHI1CuAq4n eHSgUNm8kzMHXjzTBkRkupV7PSTB9hns4gTXV0t4rHHXMUibtEmXmgyZ5XbnQfba/k/QgFww9U6c 4jKJoFcJbHKeDTb3wf31tpever02+ey45hXTFC3MwWYGhuqPE0+kngpu/yOqgYB+wKn2Nvfb/XYI g8FMIZku6f3SWh7mu5WWe7+8+l2sk6ugIG4srVFmPvaEykAWz/OaXygNUQkadMg8OgRmGy+LJqiG nUHVqmeOnaAdnOwIKOTTuwZ2yzAZvZyy2iZORCzuWNkGOgj/tVqsubvvayrEmg6ss5UgFpT8LHlE OBIU7mFdEV0j3QJwza/JRmOMV/5XPh9uQAy2Ot6BnQT8PpawuE33o31iTyINTrc6drkJJVfr0Wpk EIW/dTbXpCxXJJOH7T56j5vlM2rXLfk8aw8ACgAkqLP+wMCr/rUvRKorwYQT1a8IoA/lW69xZXz9 Togy4CD7uOOYtTHsMLKhG+kQ41S1bzlNqATA6kWkA2KejvDaB6ODp96e7GEer3WofjhrzZ0PUNAD +SFXMECA88lCmvbUjbjPlq1O6Fk6VZdevj85rAJ1KYWJs4Egh/7jujIwtfNmA72kv0YcR7IXWP4y hlhH32jbRjLIE8SG3HzZ7Y4OzlvsdsnCVcd4DVsKxzuk+gFXlUt8k9DMNCWtsGJMxeKjhhvoMty7 cd5tRJ1zY6BGm6LpxzfXcSHlB4w4g225LUjbMSV5WtypfCKi0RNOi95CknIxtMig9sh2W79lNtRe KmX9w3UH5dplKYhkoaItTlNEAGMlhhxEC2GPWLh22zcoPOE/ejRExxVULx18zYPJPxrA2aIb9bf1 7tiMfjdDM2AjHWKyT7JAwj33L19r1e1h/pTejdNAMzWkvlykvOZpShvIVsC1nFlhrptPUui4zMwC 6ewo03L66W3rKiLmbK6Km38LGG2lXdR9tXssUADLnHgH5DPYqFGO8AFixYBEEyUvZCswvH7CIFHr EQddYpVBrRXBSerqD6yS4lDugTStt6Fw0VGhYhijjDSeCGlTkxU+tEBxxBJQhdT5uzdQtzYAsXMv pc7+27htM5XlwUDqcxAQlcW49on64QqobOQW5AvsrzjVoBKMcf9bf+kFfNSdW10pnwsOpZK5EykL /kztIz5/Kv8/z1ISUsFcoIOQlU3D6vMzwUYdVdG+jHgv3oZnuDpvNiUa9+uq3CKRBLOT4O7YRtAf 1/csSgr/mXQJGI/WuVRn9+I86H4BFvGPZZm4YqEEvgvSw7TBx6TDEy9H30V4IUbg5lx5C4edoXoN zVtnL0kDiOVK88k+GwVQHqe3qmFP87Gw4QN157GZmZHf6ApeR+iIlDCS8iEmL748NOqVswi9oP8q tFJD58VR1gK0aYLt2GZcrF1jysfpHP87Vl1j93L2i88haTLgxnUHghvYsSO1gFfaNCwmbdCqAcxZ KaMK+PxrSjfg2WnLqKCZ5LtEu63FreUBchSO0/6HNgthJy0SeGpUlM2JOM4X6YIVj5kW7A7cNIGd uIqb49nRXahqpHU2GtZiKr+ObvGwpJCr1FiwtJ3z6GOV2iMpQlRT+0hLHOQ0y3ucaKnYpxDTJQye xwFWdXrDkm4/nhqdPNM1BOcum62C3mQe5WvjeNPYEl4k+nkyTPZjxXUB0afeJgCUa8Xe1O5haBiy AcmFo1C/JKDc0zBrsOSKkv5+VZdIwfVNK0+NrD5Ln1mwva4e2+BboXkOUKb++QkUvvU0nWDmARXN CetByYwqQT5eTnpt9YyUujs/4pN6X7ESe+irurqqXGvY4jFQaxEQvh2zxCk6zWDzOfUDRUvcZB2x qq0iVFyMZM43aDTq3ygsLEToFyTyO7h6Mr/0s9HtdKYB6V2vSlKamK63gGvURQAjrNETO8BTp9x0 X3VLtPpL/AkPBrB7WKD7Vw9UmmZ+cTkJ5g3Tis/zD9Z5gWfF8hxWvGoHkN2bMspFlxIXLTK8C2ff 2oIFODkHoAogDRGBBOXz2rpBFefq7vCkR8uZJSCz7UYCIxSJmOMkXXgmHZMTMlxJBs8wig3rF2/f t4oyQcALqbdUuZHPI41kjjnC6NpK6Ja++lt57ZE2grCzHG4LN84/OPVM3JM8LVeY6e7mbmwvHoA7 FGfruGyP6PmXb+KRdjE9YpIXkbmNAdIPK5E+MpTHEJUIitUsNlwabj9wEDP18xcPkj3FGr2bpj+f FIsUGw5vsBUGihzjkXwQwh3MukWf39z6OKJEaDVnhIe88+rhyaIk479Gg1fHG3SPUsjK/KzczVCN WtAsDbM/ARofOwWhPZxt0kRgvViGipq3l+bMGcp+J4Q4NXAcbqM6rvnzkZuXDv53u9Qz0DbVn+rk HEjZF3BdFDa/hVcBCp0wEIfI6ZX+IrQTcW6HnJVg92CfRqnB2V0Xo1jYtlAD12KFN3yA5EExjfyC MW+/oo0pesqSAxre1kNRanjKOKwELg3n59sooDJOHKwLypuATtm+cTOkCJ+0bEBoDtAnSvDp49Q6 qeQWeBq9LnHW2xhw64s7iSSTO8ZVp7clkD3e2P8WTnZ2veInbADbSg6BIQpIfr39zDDza2CPaApr QZC5T3d8qKrtYrhoOSkYCR6Hw4p2bQWYagEZtCfbBuuCp9RDDHlLtp8zQ62/e0qzDQ1jbPQ+4lX7 BTRe5IODajcF6KcHNJk0O13Xf0XENwHFRSfpFc+Bm0KjO1bvO2He2aEaJnIKiWSBwZsFcNAZ3k/g ffLFKlIU/S4vDSXYw2MCFurL8mk28wjQbJ5PH5V66Xoo8ItxToOHfExAnjmPBvSoLittgPSWIYft rOr3rs1IcBya1D57QLOcdNQ4vywT9BTup3Ezo20nDZIyMWyuIFJejGIeTLcqmjhVyOSUAC75f6ER 4m4yZ0rWt7HFSrUBYxzVtFhSi5qFH/nyIV3RIQJX/se468Z/0WMWsnIvP7R79iICt4/tD8NrsnGi 2ciiLTb8n4XjgKP5wV3Ice5bsS06wJeOYBKl5ImnNelVHtb6SiLHszexro8IRKtm5tScaN0DVO2D 2Z8XTGXBDr+QJUL06ypEsfLEc07I09jyXu8AON+XiOsshG3QQ0edySGv9L/K+w6YuxiC37j8gr2W IOZA9RxCarAW1GIDmARLB9N7PZityqTgLRU/tnmeHwtWixJyup+9XKZfjHdIE4zZAurjJ4ke2L0/ I8oQUqdnH83dHOEH5kFGHuk5NcSCYABqmCABx3wXl5Zu0kWAQd6I8TA0wavKYzO4n8X4RANimC/x RYcauI/AaTFWhv2Gi7clrjdx4xoHF6LLrB8UIZhqSLf8XSTonhpFR+JASvx/BLnA1f0SrplYyQb8 tc61i68fjGeYyVLwIgmbrknH279ahlevyYcXjIu6/4gDQe3pgxs9VlkEmoBfdDSyDOwWDFgzZALC 1a8gA4YoLrza1zhz4p+v4JNBs2DQ+YyLOK2kmuSWMHZUmVzdmpM0wyBnR/N+MD7HNhFgNY7AtAJD Sb1Z+qUP21hfxpJ+ikaY1BYNlNHM9TqaAohSICyRa5nmpivaXMnrDagmswpDX9BMll277/BEDzGS zF0xgndX8kBdECmrlAdL4P7FQp/vXZ/iV+ssy0AjQ4UDFbLXi2Fo0DG7fnaqyhsVONYiNfaNCBRY GPrH7U7vGGr34b1METsPKvJSef7MCKIQmgcLvBYyUz157yJ9fmY9Y910ywyeo5f9Sc+kkR0uACq8 aI26yvf+dVwaB36UhlBTeUghwtdHqW4fOxxQaZBGiYdSGjbn9nlb47ROGWd/FW/+bHlqKt45QPRP GQKHPY72P1rc8N0sjfI+IE3c7gatPpMRggy3kG84tQV603V5Hog7E1Ovd4of/vGMLfpm8rf8WvG4 FX2uAsT/7uCTph/vJeQOJ3+f6OKxNP5v9i0usSMi2talg3F5Cddw1KwC0ohjN8VKhyEF1dZErssG b+SeYQ9QbbaQjygVvnslOvyQB5lS0giJStxncrs4SWh6LzE0ak+I8CPIwIj3ew2DujvpwGZNyONX cyv3FDB7wcI3Anyxo+zTnazDLc27yKrAyYhNwt9SwvHR7vaVO9M40cGmf4hw5PPm9H+aCnEVA+/+ 0Gi7aOp++nk+AXLj0CO8FMTupsca61CM3FY93e0Li7zv4xqVek1UeuJZUH/f3F60hi5uwUwnHOfN JwrmTDQ2yDlOhDuXHSyar8uAH21exPNgAZYmNz4/K7V9fojXJ4lugIbQifMvjbEAWwZqxyNTeEc/ p4O7EoZxmtKIrK6Bc8NYRdrS2LXCweHEG/DW4wfFiqtzCREiMokff9oClS3+b2hSo5wX/yrpF2LY 1SpToEnj+/t+0bL76MVHASWfxNOBvfUh3spS06s1r6U2Lovnn/RtPsmcV5yB/s72CSC7hcoLbTbM yYow7EcHvdr8xYIy0QtTNr0jC/B1IemV59FJBWu+naKEY3noZlU08IX+Z2abBXFSLo2OhER52QHz jAbCA43kBPSfykyhCZJM36fZIMxViriD2uhBhH+q2/gA9nnvYCDieLg600jTNoSFXADfhkp1bB58 92xRp3surlPZmRWdYRzstmpPXLWag7Mt7AiJ9ttc88QMZQbSt2rufBhZt3nGq55mz/Hty5WCJiiO vF5U7aaAr1OKIttOxe5B/UmNt20tNz9DG2knSBXdt1wmR5D1upwmmhND18TUnkvwmEVeTrnDvRV6 jVk8VUoV534YJKeX/HOhJc196Y8Nz7X6V5pe+VulRJE8MAcsXEXFF4QiEYxOhfOR7MY86LBgUNiq YVHcXaTuEe2DIT79tD8K5idn3s7jsynetd6DY2mn4cnnO8xAgu5RvKvOpDbD2MsAZPVGRMDAN+Ow SaNVsXuLTqpJr9vGF9nk6OJN2n53NoIuGNw1h8wSY60u5NPyrxVZzfIj3+40eiGjLD0j7x7785p+ 21sktQJbEyXl3VUBuzJV908rWoRHr3uZEcpwzrqNOjXR+l2nqXNPA9i2t1f5oKTTSPi8Pam/8sIA hgHHNgCf7kb0ymBULRR959Lk6rqlHlXjphlZMSn2+uCiSGMcGTTC2KfZQUPXUk1ojsxxvXCtT3Jh apWZbc7YfP9G5GKQzJtUHMZPjs983mbBpcdZPDBjtYtdMSk6bSV90ARaNzM5Bw2MSQfM1Z2WLXGL qkcdWae4nULvCOnlcskz9cRWZj8FQUXe4O0LTbzkQ2D2apVh1xm9KtdHbZScPrRbukASV7QjuBly F076aZ6izjVlDGQ+5fk3/uHk+DHnEIhDmkZjYNvzHMxrqa5WFHJ6N9iQpSKgAPpOMOOuMfTxYLwo uyfUFOX10ykNQO2npa/qB0IDt5BvQxbvAE4OxxC/s/YCUcoFhYmJe/glPGMuaAZY9gzZiuZnhUuy ZTP9Nqm62bs/W0fK+2D9X19dp4Yl5BFL8xvXFPLBGT3yOmM6waEjqgpMPUeud2Vq3pPOSUuxhfMN fyxbsWpPdhIEy09YHstivhT9rjUmOl3ss2MGKtdCbqey5YPFALKC/Ps7l102xWvbh9q7odl9Vx9B oMn2XdmVNiNlIktUR70DyryRZdCQdFTtQyHLd+krAWeQ5wmdI5rYYv5PYTQoBOdWLtIsv5mbxB83 AD7RLqTli0nHqilzUOG3XtsWWCbNiMDLlABJa4LhCOLpvlkCQttodsYf5JwP0wZtOtXPFRm+us21 Ampak3LmMyD6mOYzswzHkJgoq05kDLOv26pCTY+44C8uaD/i5Ns799r9E0vbLTuvuDouEhOn72Gu uqZAtuaj7y52dM1S0TaGpSR7DveF3a7znN7mtfGGqrrQYF6aTPRxLf038zmoH6rxsrsOTYk5qXuJ XamBuVi66sdX5M/fINyxBX1OdhycWw57TiFD0aAFd2C3ATUUbAi61+l9nE6I62OIgivfzPWz8S1t u8dOjiP7BwAJg8NZ4g5/Ya2vZRs5iNJWp2SSp53Wtxrakj0FiqVTL2Ol4H2XNSOyQTGcRmtOWD3k Nx6hnb//AIirGWchtxWmc7mah7YH0d+sVhvhM6SfkoaztvD/dZ13zc7IJ5CDKFbWN2U9CONV0S6b 692iXVQ9UHKAdsss50e9m/y9e7HFXAJM+F//AICZtUfDzzZd2PTw7NhQ1oc5g7gvYWblUTjZAXm3 T3Ab2jHkITybeh/j5jhfA6pAqGEYAtHDopNB5swv5EU3+cCCm7qLyLfAM2d5ujilEQoIRxmoYyE+ 7HFoaXqkvqL+9BqbKXeCJyz6Sxt7x2Q6ryq6yYmdwVUIfPcYFEk0ghGkRZvKmo8QYPTAqKLdOO5u aJenw9rpjgIR5dhCbD3ZOwfj9qwFokbb2qy+uswWMcIGnHL98p3DwLzBQmzzLztZXcR9w2eSPqmu kswNMDU3mzDyEGriIMKLFSXTXphx+ti6wA6Z0YQswhHc1OSm6yU+zwHtiQHAdwpvSyBPah8ui7Lf uQlIuUJ0+u0g04VLjCUSfGCZFO/KTINvf6xDORPIztej9NMMuOmZUxOfBLuRGJCLGzJUALs1jFIS BrKbebsoTDq0WUtPHSrW5BB3jQO4L4esmHGi+bSMI8OXKe7IlEuUSFnn8/f7ELitDW8t7quOJsAQ ++jeMyjwtp9mEDkXkP/dDdU0Z/UtCHM91D14EnIVl1ixGupXFtD+qeRmtAzBPOqubwSmAilZkfY/ Wfvihp+9fMzDG/rdEya8lBR82jr76Q0oeGKaAcmGeZPU+UgLDJV/gYFyNbJOYT2fySTaAtJgORF/ /rgGonF6n9H8+2vEIN6BhBqjcnGSjWZJVW9tYvVS7BqdhFSXLToe5BTlIgbFTaZQ98LPkYt8f8cf FzyUy2c8Otz+VX5Zu+Rca0EjGxhjGrCQBwSasczBOsRdHzCu8GXjUaMAp6coNx08UIFK4BA5lgMb kxkjl4VR//dsYH92c/ao39iFewqN1vjECeCEPRLp+GgU97GwIOQaTBJ+la1TcFPXJPu4spqK8Q1v 7fIpuaeCdTl6QZSkk29EWo1CGADRa6bjpe+OOU+8UC+wDMsbMHAVzZYICcAMqGGzToNQfaQF0R76 DLNYtkbPzBMqpSMd4o4gki7zGIGqvGTMrPTXhSGUsCbdNoZl+jAuw2j5RmTwHXjh3WU4tvNq+pie GwFvI6W2yFfyuTdRc3P8DyTTBuyTc2KZSrn+Y3ActAHYD2Hry6KwiUjTH6AvsiR8U8Fmw0Tuqc3k DxRbr7Pbjt/aSxKeUXsxtNmeLMBO9Z1YOZascuGWx/XesgksRfcVeDnK4kApsABNuDnw0LSCajSu q8mlclxPKZmH3d5YpoOk48VyGP/touw8XddF8LmtqNF2WnAPaF/YVaS6f4OO2CScfZ6eg0xcLtu7 SKYaxmGRM17SHvUskuOouQllEB1rYlE7ZMxnVnK49fjjqiUss1UqpUPvsxXzK7FbZ9IFxuyKj4wp G4rvR+y6TZYBMLBvuMtq+ZSWByDBZd6ZJ6pbiTU6KNiNx0TzlfANzJlKNHjkIJC9B2Fqb39R7GHp 6Mgqya2WZCbWJMnU4ush+cC0cwvNxCnYWiD+WZceCJZF3LuHlbfG6aAXnMbczh7MZLS7MkcXIutu Neizn3ehF9Gk8kT0hFjL10eGxFiMTPFt8H8QW2zNlKitZtGaM9/2OXjsrXwZ/j7hkPA/MHwcvAAb XOYKzE2ke+MkTVcCROJVA3sZhPn1dw3LqzjgYvt6i5PE1sFmja3xVFu84ZmV+hntgISuR2blmnYm /Zij70925lMAcKrExFlz5Z+rdyAH77e6TF9dKOsFz92ATjXlBtaGyXGF9+mEecV4XIDJnzuLG9le 8X/IrwfTjtkJ9eHWT7EefeTR1Gcll/BQTu4xs4CZYqUfe1S6tzELsQI9D83ogrChT5krXEYvFLI5 AN/XwvPEgoJ7W1JmlSA/XYEeW8ZwG+XKreP8QY/KV5SrYxYRYVA0+iV6kUojGPVhae3AEKqI/lrN Sjs3bw4kwriH3Z5jp6+0EFHqLoNe1dz65bEVHgEa+Ri6O/0KGb/6bMXK+jYo3s9YhHAWvI3cyzo9 i+Y0LUCOmVnveodBxZLlx3cqkCxiNglbEbk7IQ54OSouhJ92c3CrN9BT8RqRluHRzTORCfJNTW5R tB2bTP3McwBd+pxEqf1PJvCba4ZMNgLSOh2iKTUsW8ICzlUXnX0GLoxpPucsK9X6vrThmfQxzVpu vT+pBim1Jtf15haEO8e+eHWaaMXqI0XcDuWxPa+Djz5nUfQLTdU8lJqLIxeeCezOhsE2HcebFDuX bihSZUez6hYuX3C2TSefWHNn7L7AEuo6ZX91z7yODr+vLgZdxNCqnJIHVTkvZ6TIH/+ccqqvUihD ajf2hNDyfGd6zX92dz5/N4avhHoknjZbUZsoxrZT7cpUxrWqONOeHpaTlus51wbEcb23DptaoLcU Z+h9bft1FrpSZz9m9lPgnIv0Tt/pfGhvlQDHDjKXzwJ/BkcAfD7vVZUXTJ89DrGBklaxUwk8HikF ufp2CsR5Mk+Gz4zLJvaVWrnsu7bzETXQ76SC7Nn3oAZmlJQV8XzveJmjjVhuZO/IIPABI0fCUtqf jp8e1cyQQ4mX9ZsM84u1TnL4eOXVkNGmwzGNfAV35yqZ2IVcU3uSmBhEC2a06nwYPT/C2aMbrE+3 ftoyppZJ2esrH8uBzJeH9H0+iSmBckxjrxdxn1ZZwyp9xn3gaREoDlwpQJYX7qZnrvwxcUt3kj/b mvn68KGUrAsWabDNrRqTVqYe8+gtv8qr12sGmLdCIOewNYNDcv+xfB4RBlyxGJ1k2Ubdi1Z9/VdZ 7kLv3VtfBpNwO7fiYIdXtOW7/m8bjdnvzd892B9R9D+Bm2IhrentHqYWeXsGuhBPfPKGkohvRRGw ZSr/ZEyCWTJxEBd00VCJuB4ju3Lq7TM+69rncvb3tSvRr9h7/B2olLff+PNhLAHorcLsIceSXlPD xg5SdYCzYcoJeCSvq/1dpomWaRvgZbwGMB+OeC3o8kgYRL7UdpsqzVTN4LWrJeoeFyqWgWlwh+9j j4Ij5DXOjE3OyVdG56ty0Xqb1hLfSKU6dGjeQKUhKFoyBGnQzCpV5mk4MvnoZmTs+8BphtBHrOVO 9CgNNWI/LRh8Or8PFZgsXEfidU4PHovqbwfC4/b+RRd/5RsNsQBPAsnkvcuwjJEoM0reb4/dS7JW nJ0HxQXUjVI2pfMa42UkkQSk27bZqGk/+ZGbgl2jKqgT9tOHziUmJXm4ftM+OqNexUTquFY+SJnZ Oro2pBA7mwz561XlC281oNYUZmYw1/ugiWx4knSfU2DnTsjGNfK/S1AHTYl54sPEfwWkyq+WmkST cBBXyf6F6b97Zcyx4sggK2r8VKBWb66nOFbMOB/yEw60cWFE3UjhDCX0C28oGHTLadSOd549inQd hfxF4RTgLqNFG6qkrYZWbVLVBYqAGZ5995nKVU8QAg1YPzMMpqk+Zzc9BQiGjkeLh+8s923a6Fvi AxXrCHqGbTVovQQcJilDY5RNwBeaiQUYoHqFLMrEwQvXg6IqhozYhikGL2V+jEAh78Qs3iPGTHbw eY4K9n7FF0d+PvDmMO1w3M8MvvqYLOeMBkgerwmWeP0CPzEcZ6Llyxh49m25d8C5+g9voKA3AIhI vrMGkmpLwiDA2rcchF3kY0mPwNhuqJHs85P0aajphBAO/2B0NjB+F/O06PirwoSFnh11Do5ueuJ+ VgaCU8PMZR4kFyBqIjHIRvZdupMve3oe/ldp0ZTQ0EfQhdevIT1JNJL+MDUdeoYbJiJ26wyT7/fP 1WB/8UIzFm+C+HZnuorTxbFXay5exq+qXyJtaLo3YCjIcVYsAjBEecYKpODeqqs+GJTJd2s3y5WW qFW/gvFZapGqT0XPePD99ciN4dfqM7hNGdaZkEFIdcrDQk+18cEjbuwyjG1PmNwQl+9eHcmnR4Qe FORa0z9WBt/oN1TefiuxJ+susDZcZfRjN1WAwYRIDqwa+lWH9s2tH+jzPrcLATOKmjxsSPg7EXkg SiBspFgaQoIujvz6tmkyp+ekgv4mLHb6l1vQ+AAYc5Pzx3YwHE4hs4LSVT0UHoWOLMRGeUODbgAV uOHx5wAgftcMHfR9XMk8cs06moUwYCxnH9/dllso/uBrUyP6p6TSKPoAQ7igdU8vQYxBtZRquFD7 5pfyXEU9sHEmmPDQyEbB+us+HCT94bLjFq+Yc8hWaDRa9bUR8JHnz0fxL2pHjexK7L0Cd0SVBicG uySis3eYAGC/xoNZ6jc3sETAzhFiIK0vPBl0o45g21mw4gEV56OzWBneS95r9vwztr30gCYnLCuN dievFyjhXSExGFJeqsws5MlkKi+XQCvP61SMJpookU7aadlZKUqPq+fZ9qbJ1TauD09IKIaT9vIv 5ipqGaT2Ef24O3kZ+SYXJlqi4Vw7Oa0TKJIf3tME9Bz05eRwB/BxADZhEiSCYcCk7iZreuuA4dL5 m6YxXIo+z7JYYKoE7WSErNR1ArID+VHcssczjZT8UfupHYbio+zLSamHcYyi3GVT/DpYmZQaphuj vcMqoYy8aUGm42ygZTsSQMtoO96/42g2ftDzLBbmPVkXy/8RPaMC22CcoQxLqImJcG5JbvMUHf1r s+TeYhU8GduxreBu7RGaMk+UP79cr/KQbLP8VmJBnMgC323gR5S1Vbos66zeOlyhyHMENTW8spRk uOUnARXHxkZ4Y/x1PJRwzMdnHFeRfxpx9gzBjMlwgTpmJ3cmp1KxUtRiHoa0/KgvYgnRkCLG7YHA +J7z5cl48uRkG6iPaopzoKPSiDROhh5Rk+4alT6E0LWILMVf2UpsoFOtjEJxdmRw3Jy/alLku99R /xSAT2nGzOb4AN6LiKqmTw1PshHaOXAgO1cc8iBVINr9tHi0tdiiJ6eiFkBpg3Jy9vt1336XhB6x ItoHBoi7/ZeKTG+ooyrP2Ig9PAz4SDxHcT9kovGNRkh/XHoqRRs8qYbgwGTrAtVudHpW0cfumMCn S6v4j7EZMmFnjzvcn6QmG1imnRmLeOutoe2SNyKQ6gomnSOfa/sYF4Sz4x+TlqJ/tytgsFV+vhiP qGYilszR138cIUJV+JKdWPKQ8UO4qU9kmvOi6kc/o8THYsdCMFlvdkE66Dg1EUc/YePxfvKsojHx BDVHW40JBv9T4jnUICSA41WFlc/Tkf7qm+IblF+67+RnLmhe6saq+/6teFMGcw8xy65xlhe1r/UB PxPQUf2pvOpiNtRZahoQoT2VGUxW/SO+zTccsk7j3IWNdF7xVx5M5bkc4DFjFrldeX1jUj2aUXmQ 4luKkIz3RAINQWM8/TAVvvOykcdu3rwHDnVsi0u/k/UC9k6h/tGY/gOyPNEMwUmILSxcD3dXqIxU inlqXD6CsKyDvk/g37a7ui7XUGJwsff86RxKXH55bquvorczCP+2p3eubXWbd78gL55uC2idSDsE 6UlRXf3lW/0rkXoumuLHnwerQmjr49pFqhUvYb8LorVeeZbGesCg3wOqnEunTRg9m77YERVnQ+YE ya7PngtSxXqB358j8RomlaCbHcsNG/dgNypzCqoNNKoVYTFYDWG+kW8Cg3Gi83hmUsr7G3AhNvOs VQJH2aza7/tYHcRgesgbNPVCdC77cI1QsESfNbnbJV2f3yP2hUKb6MZrjF/Lx75/9jpyr/VOTEaE nMe1qI/wevrTDWMWW+MPMOqtP+jVkWAt3o3LVVpB2Y8gA8DeiwHaWc4VG41MaOFF83Kw+CNCkhqe 3eTZMTdT1F1uPpYmMDtHinr90QmwrdMsomrPmFpHwL36+M5kTr+o8x+tIl50mbFLIiMivRvABhE9 46tUkYSPl6bNzBiFS5YeL9NefjpyB2G/F2iglW3T4DYaoKoKPcaM2EnVVxpVK4ZEAoHsIoE4XVo6 emh9z5kKNL1REwesQn4/aeJoCEqp+rap0GUM759rDCuYKc/b0cK0n4B9nmqV7XJaAu2SPStP+VWs eR6nXXe/zX+V49Jm9Epkrj/4zamzfhYAebObkeTF6wZK1uSMzne0z0/s73jlR0PM9AICxIo8liVJ +rbm77I5NefE2YllLeXWqmetgyxttIkTtnT1KY921Jw8wKgefNShug+19iUjnUypC4t3pRWjue+g WrveQS6v0zdDbLvaPMPNsrpRcuARYf8M7bvb0JG6KtaVooaD2RCAI1ti6wmALkYNEErDOIckTojV Jj9Ji3b8fHtp2JraJmJfU4I3pWoNq7MdLE1aefCzk9jvsIN2HsTqmehaPHWQlokF5HZAlP5WD2OF dI0JNiRZksw+6yzsCNTRd5Ju0/gJdqHc3Okwjc5t6dWMlTkCB303QtIcxLF3kC5NoHUkl5tvVHOn zL0J6zXz0yfDXGkAIbNhq1o1Xpa+Kx9CywVi0Czo9QhnJlac74i3GtkqJBidxiBFjEn0n5UZKCaQ rEq/sgGD+nLUtEQYyVPdnwqluRfEd4gSR5TMcum1NisCjne5QeesO0gVCc42FbcouJawNVAmKMqT eKN2hvfZR/z1QPUx1ff3Cxkuo/vEq2F4OgSzEOM9KSv4KoSpLoioCc2gMY0KBqvvmWY2SbgLNYi4 ZHdfAFIBJIlA7eedUTU7wsbVJEDI2qzwrSnySA1tfR91VEvnK7bBqMgmYtMoAfzx5o1XlmFDq8Qy 0p+FrnqbLg/I2AMO9JVfE2k5giNA3Hlw172LJxiQfdFvMXDzahv4cSAmGcjaDQluCp9FpiYnVA+Q gapB7zXI+CPrx6XYHMLIEMosjxorKY2HLKhGEsTVyLM2iZJCbKkU0TVymt4qmdzmOlK1bNeMD0em 0i6kDHWWbwoABlNAaAfvFfoHdPe4KL/dWwF4/Agtm69Fp/vdV7ygYj6bdPnw0JOB/tj+O4Tf+RT1 Q0ojgj5glZCA9Oj5+h/dSqNspSx2C4F+k1NeFhzWB0743nqVRMYGfzRRj/T5sA9Db8d7a8ZB725W acJ++XkGLAawSu2aDJJxzOZPNY1unF3wqxS1eQ1iMyRnfSS4IfPQgckNp2CqWzB7BSGyjGUO1ASr LFBlqh8pYF5d1kcTyB1WcVrrEHJsEccPPHnjMOYs51rTTtgFOCWYykyLJScVt+8NgncOF9f+iBda zkcr1lMuolS6xzF0WacAKgKcMt+tHKvrqisPpcQsnpltDpsDiUl+H9po2V10kLkbJ/EXPUYe5IOP 88sUhJxYNIKuhLAHSZbSve9qMcHvkuMzfIlYTTuFsUsM4C0TRzyvnRdJByNB83/+3p5wnDlSk2iE DXVWyEIE+ZSnQqULLqTDdlONUE1ve2YHiy8EeKK2AR+uNSZUARtZvToql9hqbV6uci5EgyQwkQBb C80Re0/hPAfPOF9TLi9rKRAPH+lwjhUMbTypi1zp/MJkH/aFySwa2qZC5tGKBbxZ/KRpej+L3ymy jUfLOXMhvVW3nnUzBVwcYlRvVTqEFxzyBs3heOhq9GKH4iNyCVRZfYxSpQPDByxy3g2v1qAHp1jU SAJbSf0rzvwiifJxlkN8mj2DaVPHe4Sak0ZWhTZ2SiaOrW95HKYzbRxhvaoHFUcwjlt3u1bIibh0 2DqISRmy/Dicnwn7Vyz6BQ+BVTgw07hRHabQwB1KrMzfiR6fi7EVBlBVGkquUn16ReNj1Bfk0BRQ 6EBdSH7oU97G1zNGV9x8fwQwyK19VlTN6R+EGJgekHdcTJJd3JM62fRyVrlWMim7jZUqqM/RtV3a XB1Cu3k9oco0Kl3vgxRpOuU0+6T4nno0OSfwYz5e8bFxd0BlaEphHIxI9VXShPtEWbdHgIDGWXCE naSkmbivo7vMR6AP0DKRwQ3jYX0SLB/K7msrV4b85lecmv8/oPMpZy4fuAsFKIYbZNniuBvNBdJR aUr/fdu/TE33UU73i4gUl6eazMDNwld3qZceXIizo833rwqf5o+oJ1yW3oH4VE0LnMKH2/sL7775 TIculyLKepr0qTRTxSys9axj1dUij7OhW5wW4JjFtGl6vCQeocnSP4WwP9wHdyWwffClfomoq54u NrfY+Xcf8EeeK4T2ZUpDPBeAZ5EOEVn9/8mTVQxkKLU3LMO09vlcmZa/PQSOa8HlRq85kb3dxKVC gh0gIKluEK2YA3lLhHkqXhGJjPvXqT/LVpR6WBXRCnzFmAx+oRMVYqZsZZhjMrDyoIYc6U2xZK9R 7+hGjZJ3AYDjM2osJTrvSgnwxpPqkEQR6ELNB+axW+6fCSHT6u+HEZ+INWlIECrP1MrQjixM8WxK 3tmp3AEaZkj0DoKECJr7+2+ozzoF2U0Kt8PsFJnmuFsfjh50iC1negoYGjnZ5AelCwc1k+gze8Q+ GZdGU+AwHCQZLMuctuXZ0RSY8zYCar5p5Im2Abp59s4Vyzr65xAsS0VlUlyeFVfnG3RM0DvT8kAh rK4rLBtbcujnotqrtddlDyqgQJO5gJn8kZWbf8qUS1rDHNqNJvIlc3g5iHUO17TCtS9I8RWnEGt1 laS8TtliWqvpKYsNt/kV6uBK18Oujr8AhRI9Vdw9JifwN4qoWBV4xQff+RT4B+6CiNBUWB8ftI+C XMrLsEQDmVOte8+8ZZv29xFpBzvMs9J14l/NScodmCdol/zynTIxerThF7gov2DBqd7YA9XjrmlZ BSuBeRhG8KPzLhqxLLzuDcrY57SzLk5oiFi1/bIOTBMSPyvmlJwoi5PJeq3itEaT2kHX/iiFNH+I kuhtZilQ7sE1YanjH9sz0krMBFzU+cwh50bIasSeiFG4erypeXvHPCkfQs4jIBnhIYL7Qzd7NSLk za8jRSr2cb6y07kYSc6DqNvl2xdOzv/xwcxo3ajJ6Kd1i8jS6jjKkKL6hYNjmXHKOHWjbntZl2os wsce9KHUtRLN5xd7k3aUj9FLKy9SC5w1nUM21ax0GFZthWTN2KwmhPyiK8rls5uWfuzRNQUkGm9e QEKJyOGi3gI8/ZPE17bzG2tqzebnXypO27GKtR8itrZq8XsUVViWTLVsMriH95PsVByndDYz1/EM SauP6sSo1K4Z3OEGMmGNeI+rV8Mj77wPdwApaTp40cuJPOEwwZ8EwwDk2quXzcxGBLG4QPg+egpi hJrPpihzJX7lzu//CV2WlTGt+rCwQUui7o0Lt7Dt2sN5l5pl8d1jo4bb+rBksWkZCo5Z1ghPHyGb wBg8Piz5+L/6fPYUmqIoVdoyasCgJMh1tX9NEwl97nPyIBGmRPa4M5rORtcPOLFOzXTmfItxX28i oiWgVj3Ua7B49eUorvLmeTIvNJtpQ6UgRnhfHtCb39Av3Eeeo49EtAj/HD3XxO3RAuhKSMUrezGw dYLrlB/zphG27VYI9BX6ogDMR1SwI0Q6g7g9N14yt0CcWIcmfwjg5P7oWL82f5cqN+cHK61vSuwc x0xc03//jNGUVscvabaaOpibPW78cK82CXBa4WB1zr/5/95x96EQhFYJZmkDCIMWv0h3Q5fkdVXE dTAmOza+SopkHRsuZwR0J4T1NLN5helAVMU8IjDQxcVrvKhTWII/YE3HltuX7SeVc6nfxPJOG/G1 /2UDIJ1A7Ltyhk/2yUtt0hRr0HfVx30a2MFCzz8EpL5jYxOtJ5u4E/8nW02HvitNM/0T56JnRxEJ Sd8zeLDPwCslqfVLoYzoOYt0vvFB4DSd199kxpwDlyQCDZzPZs6+hQNjViwQ3fsc4JgLzLe+7t2q ilZ/eVoTNcYRUGwttfz0uIrAZmfln+Q63Fg/x918U/KV/V0jFHxI2Z3lIVQc3A970QKsxaQ8SXWW fOxLm+dxJ8+3T2G7eucOaUj1jKGKtRtOZkqzqs4KkrePy9oVHfAuyehytNYjdPgMdOc2bcoqswzi x9BqP4ftrQurYzQhWQDwuSnuolJJhWZBLN01uLoY3iAG9MpQoGBqKYbZ9GJ7q9tOmu5/dpGsPhTb xT0kNr/8ZukZ0hmlv9IKFSS0mGrmzMXzKrsgsZZ/6ZsI50QV0ql3IJCaJbFCY9j7eEP/Huqfz1j+ NowYZY7VR3nFb9ecl93ulQV0D+c/z5BvRtmzWIKmX1o3DZlbF1tHXeAEAIcRcFk8ZoobVsi/j3E/ S9P+zaqSILkQQGiAxw8ZMx2cUfcNY/BEi+Q9x4E3z0yoznJbqxWP7jwK4F3HgN0osqVwEavFmQhZ ew96q+WRyLJ9551HepD2PGxJUYQcsa6Z+MbIBJD1liJz/mX8SC0gpGn7cAoELPKxi39x/gSTTOBF ZztI61ozAOcH56cM7Ku1QCPbkjr1NJwy7MZBH882OnJoohm4gDtBs+bKykeUeLJVmpcb1zkn67Xi ogBuEIm8mzJ38u64ZH7DAqbu5yvojsrPPXJkhWGyml4SKp1EGnt6f8vIp3K3tCABBbZEoiiOfhkV 2xk/Z3SekKhQjzB3rxVAa247Y1OcQ99uCrgYTWC13VBgr9AbqzByU/2LT4gPwgeYIC8ZMG1AAbea 7EHIdywl/Z+tkmPkjWMykxC6g00JT/hjBuPIUBkZglXSqy7slfvtqDl6c1pD+/X1opisFtMc/6h5 Pzb1HTacYWHN5pydtEAcqdC9uxvsGI+9Aqf5ts+WWXgtfJ81NvNqUtT2/2/Pa9O2w67CrnZ96g0g 4bRTT4D7dLKP4Kfd8TCRclfmRwYYhZ9ZbeYOy3COGfl2SQMUxg6JlfWVI1y2F6pdlUVkmNutUGlP IlTGQ43MSNtE36UyICgOxbuwrWL61nzPBdHr3eoqrgge4ZZuSMtKnX2YZS+7GTmQckqAJi4KnSkJ jt2cVnb8C3Br/vRAMKu+fDoGc0aPTS8FOuV03ZOSvlww1ntVzi6RBNREl/8gBXQgchTBHURODYxj VL/WBVjYvRZ05pAtAiSan7KtSpOZK8zMWVgawxFJB0lLVtf2eTss9Prwcqjyvf2aSY7SdHNt07Km 8T81fuHr1oOQP4EiUXugIB8Ux8QqwcyQon2GBhBvF2NUgceCcwmUeXV9mzDF4iF781FH4vixJDTH yywpLoEvASzo/s5D5LUYY8E1jvkirCSSidQGi/rQ/+/0qOI5CFLL30dYNFm6z2WPTVjdJNsQjyAn 7e61LliSRDQif3IvGHgi1g2oJVjNLIi4OCuAg4ljPTo5woEOnDTTs7ilfvxGI//YTS3Iexdi07An Gs78v+Cn7MbJ8Omgh+TvB2RAjYiWh1O04korfO1X5x0CKxRY+y9e4dC6oChWcNxCQQ/pE3z6AoeN I5/vQ6myl+zwrnv32qlqRMnyTzv1eMj1Ox0FwSChiDYIubnSP917VrFM9wWRXljXBksxVKFaCOp7 h7Rj2hVkm3xi11WwpO81r1tn776N7Z1lzWujkGuVlpfQSfLl7MLkk8EDnE+dp66r32SOXcQG5E4j kqEcS6FIF8L3J3KLYVBTdTU+oack3GsjH4Fb39cKzoVoBC14k9VRyLfD5bumgfMvrXi/1NYaSc3S LwXHGzMVJciP58Dlb2owNTerRGhiVrL4vzxvA9CwzNTPCsjpPs5KK2WUn3XXH1hk9fKYm51JwqZC YqZB79uKYL0DKUMsFdEU15VuoMgxvEbNz18pZgbALLueiE/FIVypNC06B6c6x5oDdOYUByj38qtF wi/SUIdKs9Ra/vI0fLmLiDpAPn1peOIqeA89LJWxaAAEVraLO81NHFHuL1jQ7Rm/WfnGaiUEOuGD 3RFdfyjKwKkbiM4Z7++cWJw/zFRiVfhWkMtbu84YOScX+94yqR1UxIYI5tTym080m31V5K+jVBGi yePtjrGgwGITfl9tCykfpJ4tGdPfdZCSNYmSuP4xJ6GxwmWVQ0+ReQVbgoAIzfkeeYO9JhtwobAO m+zwQZY/e9h3CixVO6Vw071TX3CVThTCODr652qbXkcz/AjX7E3t5it9nBd9RcWleFiYGNrmKSIz 0EoGw+cmNqg+WPcTEKIDo5GvHzt51tDGbbP24/oZ/Pdas88DMFqz/77AorzSk9zOGV0M9attsVyg 1jtLQsSR+GmYK2/wNMV4KWpz+5mpEFYqjuDXqk0Kg6NZ2RX0sa7Eu9lWWwFqH2sByXdSc5pdvys0 kx3BYOI/BH3V27nQIh7vGQABiZXZomoDQnhikx7ibNQbA+3jPYg2tU9X4n4KwmIo0f069K0tp17W /RQ4ZQN3EyKThdaxQlqQV4yRmWwmzVizwLMSbVwwcT+HCk8wJ2w9XAq0MNAhhfdJE7MRmycj8zY1 lOYBM1Py7Hhbbs5M2RheDtfaFcocfDn49ZnjZy5hTyDa4A6AtoLzbPsYf1tqmCqIwqvTuawfWZLE jfI5l/XE3sL13ooXXk6RATP5ysDkWG9y72KY1PbafJb/pEwey7Uqec0iubNJsocCy/QkavuEjjkt YDLr7Tm2XPOT8WeUgLqwTpxftqOx6MkxZ+zCP15JBPLcsQ9HsM6BbEnbi8YnjNDB8CMlDOzdLKOv gvxSkQRHPPkiTiXPRFPGADFuxADWYoZ0YUxP+IlXKnQVNvli5beinBfKJZ79uNNcZARuRyU0m/fh Bz91i5MGZ4BO5xl9ZD20YgHN4gt349AzqYeeQgRiKudSluk7v88r/mav4N1kMe+nAmUKsCvsCRyt cvuqRZMQRx7asNgrbVI4XbYNtDau/UkGGiuUZmNwo5L4elMOo0/Hnm5u5LLtEJjrSrZ9v+cfyIFV X3NLV93qsbLLm7gTKd14aGfPtbHEDKTXtLGNYqIiSYQj/7eFw69qblGPPME5K4ygszgfr0sW1YIP 5ivB0UnNxVQqDyr3Zu9tFN/sr7AqOuJzC3u+4yYHb2CpM/vhXgSCdySBrUmA/vi0CdsmjVq6gU3l vdkybTuDbzrk5BwB+GKmDwYatRvV545ZkDiI/EuB+Bzqdann4wohwMdKtmTgS+d7f9Ytmuch1rJ4 J3GO+RXbd/rGXKedOrIVLeJD3/KQnlZ6/QBlKX+xMLyeY6rSfs/OqMHHpSxkgoMJe7bdNBsm8NAe 7AoMslDxUOqJMydKun4GZHbODU9weoeYdFN2s5fvEs/jgO1muryGzliuj+X796txA4uazYkRmc8M ve/cxfSgL2KTGxmp1YE6C2sEBPMzdlg8LxZxaXLtjaFP4SNJVekgbvNXpCgVb9vGTQ0h3MKL1uWO nL8hKpKs9GuFxwZNU/7Va12hJUhJduks/1/C9/GcDxFFc4/PnVXRljMpqLIkDZm13C1kAayk9FHk tWif/Xwa6N12xsLp2kqHZ2JpTaRIljs5cD+aRtPmE308yj3UqrGuQJ2BpmC3ieBupx5vozEzvw3o Pi8uTD3maSvAO4sxhgO5/EZ69mMcRuN5432hYiLXskBvPrCXSRQq42Wb2HRkP2FXuIkYxwyYR66N GK+jdPOoW3QcOFkqwc+fixdh2acJsFULXrJW1KNI+mmvmAsdBY0TCU8uVnpkzYXCK7/Zg3Ru5Rs0 5EXsTPHYYjJDByD2uV6Z5xd6CVFos+oZrU1sezZ8pofcGGoI8/+GXXb6kx+crGCSZO0S2P4bhRN7 U5Cy2+ZzLPw7+avEuHzXaDboIPFTuoqN+I1VRKRcw9qdCaqh1d7b+ZKS09IinNDoFYsmPEK0s+ct LTW/KTuKmMY5gnyVXSTk+aD4nbYLG42d3X6HeBYcz3uijQ5v1bPCEZ4bHNRbJBv8sCwAvoR1wRAx E9OvcrhkF+Vit8amjCuIJaeaGL0qMmJqd5cUbBuETc6HgXUrAmkY04zhXuxz0nAXyfhnTzHCXHxf MUF5laR0GWTVy6ioFKQX4tOvAcInBprd9/r1Ts+qe9f9aQI6a5ry09XToECmDedFjcSNSvVaEtFl Z0UaTBa3vMVo6/AK5qZFiCdDouZTQYV5EfXWlJFFmNhs05eQ6wrxj9zOjCWevLtAzC2rA0Yn56yR HCkwTXCXRtDFMKQZzBTAGO09PwDRec2kMIkHM7dW3SXIf54uOir7gPR2KNWRgCZCnRTPSoqSV4gE jJQtsVvK6cmFg8gDStyz6vP1tM7xYzQGJk6Ofw/Is+ROBWJ5HpFKftvpUfthUlvKGlJBsoe2Szi3 y3t4SxmSGPvLI3i7grFsPOXMvftjzX0MFUthCd3OSWX4hrN8nEewlIESbqj+sstR8JYeHib+VSPz 5QxVmIh2/gPSyR4dn/G59zWes76VC0w5puRWmAjxxj8FNVedxdawOk+N9dwoIY0cEh4U9ZuKuBo/ dX6rUScHtoNXuOl67XgU9ryrU9HZuvo5O37oxbmLQ6sAqowX6fRePiL53iaIKbR0Z2Jbbi2pOc4g v18dZpSomtcI0d6bp+YnS578PA7VzcqP9etYmjP0Sk+C60+F3w2OvCEhW/lcKYcrIGCuLJVipWph AkMKkmfd7N5bnBoNnytf3BvRxDD35bP1AiIQWZXyimT4918+29TH2ACwBZwNnu8xnNj9+3448qDB S+/L6Fy+jaunoXU0CQH/6IuZG66dnRuGCHnACPMCmSUB8b7uspdJKWhLBPaBfBZm1MG3o7poqniU /AuPldfgxTPWrvZ1ctftFYTKqvQBvDMb1houW2pktWv0GZzk+FYq35c4IKon7iRZezVymL6ipTPw N5KGGB0RX+u1IBhrfCUM9zEBgK3JLTuE2f7coMsmO0GtoyLQZgjdM8do8SR0Kv2xbWPVtUNvTtEU XV2/i7StZeyucP9VYVVvBZY0dgTm4mT5vHyG1qys1jdXTAZct9homQLgsHQ0+135Otguj11/t1k+ rfiWnJN//mJYb+k4Y6G+MJLvZDfjWf/+b6YZ8/aegR9nz3Zhg8ecsmUaapnfZaiPy+F3B8I8+2iC MdjVBu9uGmKPp/07jRvFFhgQio8Ny1ePbqvBmjeN4K2wOWs5EuywTCXuNmZxd6zdiyCaPXfwV9u0 UhgZZI/LBaVDxGE+4anpLb4D9DJlwUvJt7jCxJbcnVyrPY5qIy/AxgT/VvXJWYYCNsM+hiiSJ7qg oY4KqctBnRy0grfJiZTRDUD0UXGGyZ1f9zKzfF9SLajfjO3iR1CP0ThtCFjJ17mPpCZEtgygk/KS 7/96w2yw+EjSuI8TNeIBW0m0o1NYPQ88rP94iSnizn81IE5Afdrcys1JXMu9N/ueIa29nfSeo2pA Gz4iq3lP7hmZ37XeL+vG9KzNZiyoOIhh4lBTWTNDTNCy4JrEOpTeKuNI0H1K/fK5D7vx/SeHgewL cy4F3u6tbJWO0mNtvql4GpRhqSQurh858JCmkU1+ls915n59w/wJWYq2ncuZM6/gqwtWhXNV0+Lq lUC33OGJJo/7e6gHRbz0quOnAyVpSFEqwOvvcRadMHQtyi3gmpa/2F32pdF+cfLGcPyzNn1H1z3A pvBSf0dkA623g88oU7Xy+wEkh9v5P/YJGetsw5V/DnWADsUsDxrGtU9J+fY/CN2X9Uh7xcQ0E+Hk l+dusVWbJPX4Ao5l3Vimi7Vsvuf+9kz0HCFOGfM9cU9JaErcFxSM+aUF6OoEXguRYBqmriRsgrjW y8qjD0YuD0r2DErad7lnmaZZ7pIdyeGPNjhlRAaoUXUmZL/tt2GIVTSQXCNOYNGyhMC4FTr3HU86 EM7+lTjeoEHVQujwuRw07Tw87ymjnfF7Ew9PtYSpa+XmHoe1IvkglkO4KOxMpRFP1G/MjDrt2Now ETTI/F4NqIPZpsyfBUi0kuKVCPSY6XgmuaEfbEo84hRYJZ3eUJgvCbgMt/BpHmMIbWiiPhiG2Gie FlFH4+ZBvnjOTDN4/bADi/CI0ZqwjvC/5m5VXY7juwOH8kW2jjL5oOip/AbcaahCOrVMsea1AzBM iAw0jI9RsxxvmDs+s5iTt5floANGKvELbg+lAXO97uylTjduWSWHfUCsekF/iSyR7IManEEiQVOS qp7oZUdUWqd42YAFaltswRG01kKmtBDjbWdx7w4y3wdWfab1w3cV/9a5CYYJyj6NL1LtMcr7Ehf/ Ls0Z6v6Ax6QjDpeTFwXjUfD4R4e9WXFUl8ZRZCHUYRvW+kTonZLIplBTn1VJl5UQgyUwSPgqb+LK 5V3bsREOfprX7aQsT8UEdc/xXHeRFF2o/SNCgHD1oJwPndMKu3jPzoepqx+Qh1aBq14Op5j1KsQj w6YuxShoFBurnbhihSfKMZgRWS8NgL/lty9Tun+H+JGNeoEpivwobN88KdU2kMkB2GbWDA5VxDHh cw/nt1v4JkGJMCnqkeHY1OXYb9vfeHiJEKB75r3IQ7/BQOIg0KBK1q9hRZY70HnA8y64vQkkCIeR lFOm3Dgvv/1ZfeywRDmYaxycEFY/z2uBPgTOEJ87i80RIO3cVkaU6g/dDTnJk0sc2Fz/rNKX4Mr7 BP0TpULNZ0rRXvxXgMHgpD1w4Fq2MzJ4/BkXGw06wcxI/j8bJGi4OUKP7/wTHXDJdFq6eO2LOAS3 TnHp2xrJwvWBJzoI9+mtvUPTaDzoNej8eROjQkHEDwIa4gOPVcXwAwEJoxLj+4V2BGH86NOCqQZ2 5RlGV3FtiIrKu78bY8Thgbs5ihPDvzl8jOJI9zUac2EZfhUaqVpUD6hiYBfdRorkoXAmUrZ2tnEj j54yR3RLPsXI12Xr+euM5zsZTaNfuGykEALTSiNqxSaIHEnBxjX2b9pAtoUe9nhDOPLxUX5L8MlO GwbcNqGopdxmlZWIY/gP+8x5s3PugyxW+6ZD9T1OGsfgoZfFUPxRbFlu6GPcsBE5BnG/UcIM22I8 leike4WtY1LaiPf92v4dFBD0zMlSDvwkyxkMGOeOyl9GACcaBuWDOgFzJclgHUMexjCMGi2B3TTQ /v7xYNFjLKF38/cMJr4otTSk9Bynxu2ynkL3wDZBLBqH1pSE039wLppEGTo0WrQ5vAhyJPy/gKAx 9Ly//LHhWs4Yj3/cbYFXFF/9mC77ecnPjbK9P0p/E3LG4iQzHzmpEWighMiFLsXyXzsjdwnuW+iI WzvXlAW2nIhvI307HJaANzgtmcKr6C5LDcFB2zX21rBy1oQjxHAUyzGjfUJjIYXvA4hcMC7at0CB N2wkqkBKh5Qc2hnvo5c3kpcmPF9msqIvIEgYiJ25U7XxomjualGbKSE0tj42TIYAPduTsqChCVSs AMQRwJIqPwx0djDSey7VNa8JamPu7iwV397q5fyr9jZ7wM3QfgCDbHZkZoLHVjZC61+YJDQ9v6Gx NgQZbcA/cTyc//szo3y8SS8SqybpSDX6290KcirTp8CY00T/M2pm28wAG7GJdLJ+iVWpNVElza8E QyNgqcASvGMI9TUQPIQfNitriyS14nmXBEKhKyCpS9O01BmKw5o/eAPcuf8ZuLIfRF1cvkoQVodK elf6OPa3yGXSpnfTdXLqYDoK8b8Ncs4sXntaKXsjfRUU0sQ/4nmpkwRPpaiw42gKAst1ietNILkn Eanzh/qs/0djLs5Ec1/fPen+3Bl4o6qJxAy/ajy55nYEI6Db2j6XuxQCq3NlWU3ugXK5Wyb2t4RP u7g718mtHOaOkEOQQJ4VU1+xXRNMw3DezxvNlkMMHc1jqkMojxqhu4jDnpLZeVrPxjwrEOWTHkAQ KaJX7KQqDBZSpKeAkdYsEGkytZbEpnxLAN00sAarFLVKZQlr7DTQ3hAvuwNduMbNQB6uCtcF1bWw FYWeUmZhua8lQnzIStU/i+9Kj3VS3ioj5TRUK6BbGZWqASLnIzlodHYMd8jXcm0UQ2Bl4QzVWZdh +t2DSiUYrsgP4vnNPFzzs0/keRxXLGaxi8AHqPzqUChrQTXDAMjdYwzeTSqEnekBoOhxSF/z0UyX GqtE0F06oBA6Amu9XFsGhOkRcZty/gAhI34/IyXNh2PDjAHFqIFdPkaYZCAivhanR/wYcW6ePwUY d0vrBQCiZqcex6OyQ2BdYr+LtGRz+N4enX0BpOFUnm9FAybj4gEEqe92c/IWsdhlgpgWz22I4Ujl 47ylJPNKnOen9i8MzK3EmPIbK886tvdJ5jZ9cCL2xbhhjreXV3pvs9zyBxZB//FgCeci0CNCeqfQ 9VghODr4nN9G6l6gMJe/hQr/zVJ7uOXOySAIUWKMfolGGf1RUpycC4AHiQ4kZVfi1qPKa2vD3Stj /HNgvl/h5U8MYEyONwj0kbLvCc0FIk52CLAeq38tCFetMZACR2/8pEUbSM/Rja2l/ERuhHymczZs bHNb3IzrO8j7IAvbuifZBVOgPdUc7LQpTsAgctNTSqMlEer4DTuySQG2ByJjeBW7H5sU0SM/OfN4 LqfxMx8bFXbM3jM9mVQga6DJqtOY7cX6y3n/8+YyUU/KQdc0COVkL3X4aNHtvshKR/EPatVk7YOv bBXUcWKg2WyOZQbsPl0FpcCShgqlbtOXjin3zEMS7nFoEXSp9kevX35Pv5rLjn4Ro1a1f07Wgo2F jf4sm/6s7GFTR27kcf///o4Lktr7Flsi5xj+VX0tVwFAFqq0NThU0gdm4wB+bExccKgMWqA5rO+a qadDNyQVW9YGm2Gqr3Pkiwx7tUBxjmud6d0RoV1Mrmtpi7wKdYqSLdwmyjawPmIkdbdKjhxdcocK xO6z97MvywbmLEhU0hJ2xXdtSWJHUCG+lYYw/9L7RENA2LGT+FEvmxKTqpUetn6Px7/z4NKdmCdG k568e8p2FwL0SClfNTKhcX8v/wYPVfX0zVfdDmwotx/FQj8Qd1yeBH4BGrRILeQRDvYOGAkxTlZL AxVg1BJn3oc9j5GQVItRLvIm6G4G7tOm6hMqsU/3VoAeO1g+EaVBXJKVj/zAhcJhK8Z9STO/ZfJx evU4b3+k2+wQVoV5AQR2OqCHY74DxLmKvvoicuOqWXRuaJmQ7RfyYdhYQlJOJxwRTsMITJVpePb5 jXfgnZ0MpTvDLWuvL1+4sja3Dl84ZQB7Lhbv9sE30W1dbuIWFnJM5p7YTbgIxl7lB+RVq/jXAJa6 ItF2CM5Lu2zzxYedJohBnWjKntaaswpIeR/Jnjj5QEmLlH6B63AJUCD4XAzrtdtyJ8qZdI9fhap6 4Le1e1TTDBJZaGyfu6R7zpRMTZhLPX8buQLEnq3PcyXPIoQ5GytEGy204YzBT1SpNuYq9OYz6FJX 0DD/+SH/8M5IB++zp5ZqTOeaMsC2v559RYdaDll0FqgsKfaFEWWS3VTKosDKWb6IQ/Fk2XOGayea vvCaJ+iRjXH2z/6u+bMeNQ948iqRgkyNOm/XAfPXFh6NUTR+mdy3heexirEcMhk/1VM2KDfy8Lvv UUQ7NgNXxvvA0CQXf0C6H/bY+oBMYFa9+zryDKHIYx+/870NWkG0XEGgmK8pF14Fhj6fHjaaQjsp rdm4rksMABU0E76vcgLI6J8UO9nk2h7+srjTvLRlHwv7L8txysBw3Tn1V58AG6ssFhvDL4QuBSuj PvUHnS1OJmjyBFuXR3bgI/KPusKiidznfaIQIGht/tcbXbfrtq8+F+iDOXowST1jOwBQnugGza+z YO5h3r5mAfJrkEFxUw5+kFw9r4HPXwQwE7uwbTidHGtRosWCTpcJoiSgj3Ml0NNlefnq7IjDBP5t GBT5TX684Nw/LZ7/rn+3qdEVHnv/jWqhws0Bhar3hcnlaRxufhzKkUh17uOGTRNWemiOp1Ppq3w5 xeFDI+4SYIJ3FZAwcwcNRPsbckygbA7iMElfuEgwAnr4eZPZanWPXO1UtDuVEkja6sHZhjkJaThq Ezs+yMoW8OMO7zJdt8/RgFXxB0V+oYF648okWYAddS89dLzq/VVkknx+6S7Bi/mTnnKKJrp1u9bR sbK4PsgKOsnJ4HRnAYR9XQypdiIDRah9ABi/d7dkAH49yaZMBC6SBaLGcq5M7cBz9IV+B6NnaFI/ /TcjdwcwogcQOcTiVYmEfJ1H/t+Wz1GTQ3jlMCSojrD1fFBkHb9e3e6w0woGr6MUUXWJOuWTTqbU V7uBJV/mtvwhRV83Rj/xi3Mq4KhPt96uifTzYrJVQuzpDsuNZmAZp4OjbpgRJRYxz1YLbq6rcINL 75zrhjAUyGTYlqSu2kRgU8rEDQDSN4VcCo5lQE+vLgox5M+CPqmUKsu3Uo52alet17RMp+F59EAp CkFdBzZ0c9bdOd9HPq9mVCsem5Izy4xjTIIUNOxcZP9nWGpS6KoJzZ42r92Rec5aE+StDfu8mBt3 Gxwxy15vRnWWluglLUx+JGVNPiQpOe47M0GEO5HzvGJ/aMccZ2oLVDCyR88MTs72AM0DK8zzjRY/ ByMX4IhPlLthMkJEPm4FXhxsbaOlteCW2RrgBDoZ3tqsqwCiNCdl0ymRP41J+O4Uwevu1774sxKv FHb9HqQGV5eL0xO8H/xhb0V2rq2vR6reYlDuCdrLSicOjwFZVgxLhN/9fpaw8aOzxxVFOpo52F0E yVlEV09PAvStVTc06NTbHM7TKTzVStz1yuq2ECi/6MaBHXzDQ3XmzXAjmOKnntwS+OWOb+zP75Qq hUutvnZUgqI5PO7UBT5w3ArO+8Y91UuoC9CAlP5LWj2boPWtjeYhIvVzwRSdcJtEfCUU+APajIRb RToNOt9tWM0krgs1a7ZdB65SjvJ/XzLiXRj2b39NCCEZ6QlBMAJloydBXZkm5xkRMZTwHpHq7MFY 1rGP4q50iKtgnOMjcRBzKjk42ZGbBjsjdIa6STMoaCNitZ9GgHL4l9W/JC6mfieJnB+XZoCMUjEZ oRrBJ4XQWTi/l5q1/sL3kT7s0QAE7+tPLL7pSCtX/IaTsegNER7ibq0COMLp0bpvoiSPSHZvroqK cBKGupNUZXVJ6c+X+ojRo1owzgdmzwbO3UkebKr4X+O/k/F88s44KQGpQ/bTYnEZbRW/6eRVWa9V aJPpTDu5w3BCrageEIWHWCKIhD+i/LO6FSrvPftHSjv/ohY2TrWn5Bas+A0bAex5UrJTyjvNjbRc B5sHVRqepXGkixiqv2OLB46Kgg+wrXJlUGl0pQe/sVOV0bANd9sjvo4l3h+bbZn/8uCQzjnhLwD8 /BEe+8R46+KhnXaAbo5HHgjmxp80ZxT5RgH/DUC1P+SLZES8r64Tn+kzGsJKXteS9jtTGLPV/YnH GHM51Da4nWqSWN9JLDBC309dbKlyHxr72QNEeOI8mSsOZ3K+OvDVUpxwzxhxTjH8zlXcmaWtuEbq GFc/jhxEH44JBX5UaHFbvnpnpcTyjCPs8sr4DUZIgpoXsd7MRHCTvN0tOKBTtEd1lkDplU138q0L fr6FKzf1Gg== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/blk_mem_gen_v8_2/hdl/blk_mem_min_area_pkg.vhd
11
20310
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block m5iOpzub7ElSvNREJKdbTL5RLQ9zyAjuJTXApD0jwU+kgItID8+J20u1MI5mjezdJOk1t9nA9OTm vswLkwO0wA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dJXhC3s/4g99wIR7EIkz97FXP5RDA9SlZVF85tPxZ5BrAo19QP56K2FCjSgmHYm9HybewviTRalI 7DOxbDMLUF8rNwN7uacq3ayRTbCHb3ZucEvHPKAC3bgcHQ9gucN0HwA9h33ZqAn24w4xs0NNAWcH WzsKWnaMu5T+I+QY8sw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RSPgLRh5Re0AkeSsCtx+zIXn0HOfm+S4D5ftIyGBjfD7tpliGOMAT1S/hIk/m9nrPJlKnHCOdcAi zkCLSlLowrhQmHQYuJpWleJNhaFfrVnXIg+5XUlm8aYO4Q0yeB+N+WdjhjTfzz95uxMplJs65lNv Ly7kyC52inQ2MqmglEOlQMbC25VulPWXrWgIn/l0v8X8xRPy9a7sbi8xKEQHUw/iPghpC77mfV7+ vgu9eEqFP6vmuW9QmpVld69fhnh0TpAWFEV5J43mCRKaxPGGBYZbDvxFgKzKaBffucsZ7BpZuMdg a8eeWiF/r6pcljr5m8kC6vILjwLkAutaR1zT2w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PBJG088JbTbb61e0vAfG/du7QOpWkcFgeD6A4cbF5MJ6NyMzlrcMczTL8RP2YNvHjg1x/LR59mlY OcP+9xYgXyOxyBmLkEZOAWxJOrcVFozO/PUywT+DPTDDZtxKqUASR0+2tweH6lpYBID8pWYgRdDk XuNbi7MY1ieKbOsf9WM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ozSrwMVOz5NsABAIzoggsEzn9/8bmn2KA4BbFvxP2GWmtueDJtgpu3Rl9ekreMsXSTdd33iH/gaW OUHCntuLro5R6HYwfCmpvb/hfUnNp6+aKep/+IIf8mDSlUv88n323fv7PEAF6QdiGQGzU6CM456O TGj1mINzbXnBSqoYxUIjagH6RA5XWNqn8yk256hScDL57lqiomE5z8AASlnFO4qHOT4xySWnpYot zHaL88wtVpwynGVGB4290WDEULvH/qna87hPXSr02tj6fMFL1bKRAcYmUHUoYaKXO2sEXSQoQq0q yuKS+L5aPanFZKvMu/FuZe6NC3nEqlAFtuRbTw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296) `protect data_block cnomI0i7kR0hzx/MDuGP5eVGGMvvHQiRVyjSLJRtUblfC2z1tKr38FweB+F80xGxY33XNAzL2HP4 raLDX2jKwyAY+PgzbK02HmDqONupCFDPxMwtndSjYUQRCo38bqR1iY1JDq11MtfD+IlzVWMg0CHc k49Vdk1Pe1jeyi0DkYihlm+NJ1+e5MtfMjU83ppRHK06tAqjmjllrkD1c054KfSHqWKjOPLG7Yyo s1dF1rWWUyTFICOk+cM7ssDOkEqvCdx/QnKds7qsyy5lHlzt1aFhUfQ+orIEEEJ5EZoB4PXi9K8F 8PYBvHrBn+FsnFJzZdAcUXXP+D7viod0CJqzWkK518LpE6gDreIBqFVTkYP/TYwqsn8UqrP7Bmdw FbV6nMKjDkEsSmH0FJM2mQiqJqEBRVnYE0RVsj9GUG15UtILNKLd1UowMWHmKAQlNVkyoBUrk7AL 5Ydqe8rBjEsXb95YCc600c43ZLBvFWaQVfbaL6SCBjoTzU3qVc4+xyTwegiuVoQR/1Sv8Mvy+gCH 5ktP6vIBiliaQWyzCP02gZPSvE8v0JKpbfyTH/qTvlJyzM3MPX2hPWPFqTtwxO28KP3pc8HTPPV6 Uz7AhpwOSuAK4Fa3sHYiWowU0TKGUdG/IskkPHrO60tjpAz3GKNYgMkC65fo4AVJZWecLLc3TBGZ PSm8cwH1ZqWhZ86D9IEcLBtAYEWT6AdpIF6TB6U6iIkwftInq4MI6Jhp2mJU5ylsSahcvo8D8T0y 3dCUWE93KbhWXAQKMuNmSoOuaEl5ZXJvcxkUrgJFrX0ctiPXCCansSi4oFiLsLB3uUXQQiRJoApg H0DgSK6fG+4sZYsK+9UwQNxqe7uSZ6LA85IzYiyus/IYoUkAWKyyg/tQClsSsavEtZI31Sf7jcOc gmLJHTmsGk3M5A4Tp4uGxbj3EESRsHj0aylHPMg/b0mYgM+4PwAHUAv8nVfKiVc2z2bEXdDyQWgH X7Cp+bwJMlAFXq30bOBgjTRT380xNI69LTqey8vTU4YwvYZf9/Ow0AuCFHKP5lfhapm1L77H3jg2 zp3cwmz6Zmit2j2NGK3ju4e0TAH/S9OvtkD1p+udvPytdeBYfyl9/S5bdPeIfClWUxVSmuhVydj1 Mm3MWx7G+PfcnqlqvbwmQ7+e8XU4IXVzLOu+4mqMdJvA9lc45yh9ijeS3brZsWNLxEMKKMWAeswd F8Sd7Lzq57ORW/ovwkaxjWYw1txjDIQ5cjXJ63LzPgXBGJXWQgtMMCTeVbGjNISHTvQby7icf7ih B7j4telWG6dJwJlqaxiVRJm+Q9bGCzZ/es3KsLQKE0cUY0uiYImLbtEVFI6etji/8lEOpZ8tmL4I a6g4DmAwP21CDYIIQZZHgQh6ZgBqerYjjj5M2w5gHERetJoCYGPvLWBDA2KEM8opm8DZNLsv+jNd P0oXhPG1AgUjPkFn/638OoezZaiQmGX5Dj+ViVxRNp2QkNF3zGpLm3rYBq+OLjzdgDOI+hYX+5U1 yb+LaLmLw2NQ0k+c5w4XoehfPXfWHwkcImIAFf2vkRSfYyo7AWl3xL/7K+jH11gC4QsoEktEiuV3 F1ZAGYulX7P80+eqGaphbmuV21TH5Pt/aCTW62vRMVSj/2hJUiwKk99nxF9Jb/iTwdybiBweHYtk mTrdNE0zjx4Sehmp8DHAi5/g75PvRVZThzWFEPhD5F2LJj7nh1M2697LGwTGjo4uD9pKFYmH7PEU U7Sdwh1RnLzHPFFE/n6pW81YteufclpsL38cNDrEtjnhQQP8Y6PgywgOjf1NmZQteWk+0yD7GGzp ldbWo4rWYwsmyLannYjt1BOORDcQ8cvhcrd54A/FjvSmpSKZeY+sCJLzvirReqMk6lgNNyUI/pzd IgnISuL1oDx9Kq9StJl0IB4yCsmcrpUFOYjoO7AxQ1JfkJ7DliYk0ZGEVOy3HXZDLtpZMSaeDU8X kTyI5m1lukAUGi+tniylrhSI3xJw+qlAp14Myp0jzY0BNSv32CxvsfQrA8mbWoqzBM2+qYdc0xAs 4xASB0x6jlutGWdsjvXD54Z4UWwl3BIWAKtNzq2oYkEk+WlHYciytvwao5FsnyevhBLOPooU5Hrn 1ovVy4MF/fIXsnMZmre1clyQcPh4A7VimCmket3In2cQm+bd5fc8rTQyTBvL9gqlKsX3XYLOMBc1 s3Bm9fAkGpOSIi0zsT+zHovYi8kcJdxNNUCUyEB98ZsPzLakmx1H1f92TX5wGiwT0aljFbaxNAHj Afs7jGfYJIROIbgenIdoamXUn/H/qdISifna8uGqxxch8bmYNcZdzNzgrwlit11HipmSjuKoOG8k RdHs6cceEmN625QXh7RCDDCIM/E0tg7rC4U1gkyuKQ+qlMhDFC5MnZkRTRZya2+qKv4eYctvTmf9 qoGL1IhCk0aA2Cv0pu7XkAxXDkDysy2LNE00IAwVAkSBtYcFAYNjKbhkK16L6PrOwNWcQE0+HhlO 6GQD9pUSi67zm30jSx7O/kI/rZzXf8vFSqDIYcAiEw9Z5jMen8uCPE0QXDCiGAi69ywDBmw2cxhf xo8MD9rh4MLIoCfbQoDdpLZDeyjL3m9kLK9MDvFQqG4C59tWq8IlYSuBjE/JFn/9As4WCFJ41ixP G9XfoEAdcW0mMYsHHWtHWi3lfxbEtwuV4Mfh94ihZlAUvVy2QNWxSteMzG9+gVm8bcDnmNaFV5RG F9M76WzBXpiXGJOH9y1SiARj30Bhl+NC+oBe/UOvroLgmmQuL6pU4rnvRIeQZi+e62xirQ+S/Rt0 fKWZt3zrHZtqfkatTTCRaL78qs/48uvBvg3ctFWqCUQGIP9ecmhbiE3bv6B6xIlzjR6plWWb/o/5 6HFOqvaXfMJ1gVtrQ9KNAbPVpZgSNEQxsCrxRnfjrefnKLcwo2aEsJEm1vGRrVk3eipnI/w/9Fc0 +F4vI1rQUpU5syWiwgkhlZNMdfhCR4LVYqhVG0ZA9KA524TflMR4pc8IXEk+tWNpxhnF/xMMZFa1 sJjikSwidEPA9/JVWLt9hUlzShCP1kKCkk9LkIwZpoYe6nhuX3EEswCXJzFOj/gxCsw5mAf5uCXm 3xSnEHJ3jNKsfx4YzWZ6PKIBPgCMmhfCMPW5/OuwSNdi9QYdhxbwOb29QO25NXbvMzneRzWNab6C 8HksX3ZjHkLlgdZ6JjSUEwvlXYON/B0dztu0iOP+aj6wIi8/alXic82UXJlkZ7mR6JciepIhgE6w BJDBgSqdKfUVCVMLhn3kNzfO37YIArojPLgxXw1pfQDF5C4KllNuF+QrFzgMAbdS5pxRmG7vEw3A sGr2pOeQc9aJMLIpmswxSEvEk536HJsjeekT/Rt4f9bxlBBuatI3I7SitPGT12Mri2MEFgFD7BUa QObratw7PtUQ6Zy41BhBNLYNrLcUgUaazAQwrFMA73ZneYzO/18zHb+GBtEFo3ngVyuWeYoumTf8 TnwgRifvaFHDcqmfQxlgY6IlSt5Dpv/CuX8mCoXL7cWF3IMgl7qgeuTMNfKRT/20eT3JUTCbI7wG 3ezQ2ejFgFlcIsG6vQdoDFajSnGsAW7GmTYcYBOcFIye/+6wFOvFSZXoUUasViGtmMCk10zzarq/ avob5t6VKVd7euJymYLHqmRI4uaFAtZT7O7XGkJhyyQJOdQxY3xY6YqYbnrIDp1WmzduiI7+dMiK 83zi6nZjoH8dYf/2NhovLitfo0CI64kgCyGhhRhKQB4rZgZceHb2qxIqNJKRuhmimHG29IQSVrjX SG2SnAvb1JPVAFNnKnJIB0t3S49P+ohvNL0nnhl+/WtT1NmtY13aqOox13uXobJpqCt0y8Lwhm8O pUoCYM4Gx6PPKVEs3S4BRolRqQKoJWqbeZSiok8uetr+oQoYx0Vq/0MDtycrn1KScquL0CZ7b+lS YJ9tC+vU7GnaZd86YaduU10nlqhhXabLlpLqjYSUDBpSgUG8LJvHXtABcaV0+LWQOlYzg7KJeEHg UpinLiknPC5xINSsUTFigCxL4uqB0G8qn7UGck6Aie85CtKnDsNqSG4c/4ovY67V3Kc53cN/DNye Yxpi4XhpAgNz8EGWnP4poTz+OtFd/QcLGYcp6pMqZd8AbZ55kRDXGvSGSpU7hQRcLd7EVI4jue9s s97dBgbWn2OMCErOseZcoRmH1I4CCIaPRNR+nV526Y93H5MYXlB9DMxYYcKk8Sbu6rQxIatjGtzF FFk8cE/mrrz4bDauo4GGrOHdxb8T6QwBFgb2JD6CdACHjZOAiBE5AdsHd3ujj4UwakVBWvA523jF w2KxdA7JNSdc6TiTX3ctOagm8zma7vZn0t0WGaK0eAohgpyFkzj1JoxMvaDl1HWGDYqtLQe7CLvm V993+CigGOyO9iqZiEp1BHkKCYkQVcCALk+4qA/k+cK0RmezWXPXJr97sktpXBXlVURHuZkGNZw4 TgUifngX1NhMl5K7Eo0my+for3nfBe95hhXq+4ngYbWxPW8CVQlKckr0M+9uuZ5KUznjjSNb4oiC fw219lYkAfnxTYiwFOu0pe8X+SW3BgKrtTgXYgUG5gIeYZ4MbYW2BZS3q5aIW8xU9Nq3R8Cb/YNt mr3lghOpJ/4Cy8Uzm7g+WpgvCh3l8wvwJKy+3oM/NceXpTQhP5ORY+cUTJBO5kXL8SdGL39q6l1q /WQyT2Ak0ynBOAsK4gtrQ8IlFPWbT0jX7HJ8UEjV61W3kp75kxadC7bnAF90GQcSDkTtDO+QNFGi z+nMOBXxPoKr5Q9G2DKBjZnL8apVnmkKfdHO6LAsE2mv+PdKXYoJNGdx18sEh+0z3XaCpZSwq4m6 vpR6Cdkm7Cg29Xtau+ucBEdrcXiF5EsDPAw+4TWtrjTpUYQdWcLFFA5QN8IBBoedGwGn1XBAhWwe SyixOmuHTM+4F5uw0NCY0TsCbady3GoqGy/S8UXZcYYm/onGe3Rmd/qs0tBACQyFWzfrH+LlU0Iz uzosfP2vVk5ExCLl0j4ZZSCIDd+AIZzA280JtBCaXa0hWEKWwhcZ6ykFeg3Z+XCjDLAT6y9EIdjW IjyT7idyvHYEkgHs/q/egxBbyE+4ANv/KiPJJd2MYvdr1RRiw2fCipFvl6yFj+ts8RV8eVy0f2QU Xai2129AHJxhbJjFp4Qa6P7qO6tVeKSsyDq9gwzRiqO4RjdJP7dz45BW/WdrxDfHrP3cAczJyFvN yEGcfwXkQRbM5YCYkun34w9kyjQP7B0uCNCWRrYVwsMyDn0zK7y5G6d8/A666uVIJQou9ZDug3de MysQU3YvaczMR2gGxyTOnIlD1FIhCcsJ59QYbERfTh2HGX/uCNYKPM8AjBqvFdCoIhUVX8EsybTe v2mJj2dkxuC4iw4psGWtZgIMs9sPZoTT3Kq18rCRzA3ovK/lS/PyZbJFJgbMQu2BhDDRef6+rtAm pFoqdZo41LTxG8ZjsdcSKtLzMwH0gZnPs/27F5dPyv5vahn8Oh6E+tAgzQC1eSv9DoYh6N1yZYiQ lND/LxD+f+oMzcl1JyIIqtJ3ap9rLrIT1J0U9ev8GXn7hP4H57RJHBVZZCrIoUO4XpL1EsSUCTfO zmjqvYl8+ajgb+fZ94Sm9j/dQMz3KJ03sv/VxGfA9y3aIF/7zvOvetveVlnO3pTarEh1dunDKRZg dZ8xOhw1YG1kkoEt8dcj51B2zP4fWewoxhiFjW9b5g4Ll6a0ulsO1ZGwOVNqR2Rfx0lGJBKj2CVb iZc/bq5gAB/FkyrJHhziinSH7nPQLYVz8ma8J6zTUJPeGDGaEitngxf5UBPBL7QmU6VOcYDzxdup 6jARjfqxAHfvdUS5ayt5QV3bavhofjbQafo76utlReYwZXElUem5a/M5ucPTV1C0CZ1rKVTFDOCt VE9KrIZSDmMoQNVpkKjYD52HZW7tPBtEMogyFc/Fodsy5XKwatW6A2/3nqBQiUjfaKXihztUg9rf /8dXIegsj6StxTH7OVrY/Q2hoRW2KBW71WOOvZGNHyhEUJUmtEmRGpED4WEVprkFHNptyjZqq5yy /Zu1mHTsKckTyh0oVIu+G95L5iBjJhkVXmPgliApEgTrmAm+BaK4iKsWF8oUFW84u0aP0jp1XmXk XXcYYyCsgIU/FPxfHhO7s4RDYvtxJuyxTC8cO3P9puCAz8ejpRsB0IWJo89KgkyZoW4Uj9qPYY/Y Dw+AySvh9hD0S7I9Tq1+1E3NBKmRzJbWxywCHrbhr9ILNSU2tU9tpUADwbgthMEN6W6YZeFGhYRt P7yO5CJHFzkSebsF+LxTQvY/uhSczaQymw7fgewX66/XXrv3+ten5gZanucJnEfod8ZXYHGBLJA+ lCi8a3dKoOW3uqWFZJyqYhF6z2XQTLuduRd94uMoajJhRnOrHi4t309pRQP/WJdXOBRNXlUPt1D7 SFgJYu33Pw94IUM7SR6h8g43mi5eccMlOXbccTAdsNBx9VkE2vH5+cKi9Q3iX9qzvqKwE6zzx+WA aiPs2PhqzXHY3Ci47JcajFu1uM1y80MhlQdPvXiOoYbTacfIKmFUR9ojimyrTIIMjEOwE/RbIQ3H R7ast11X1RtKftOU8I+9fvi54qwjD/g8IM7PVXK9LwtV7tzbdXUKM3QWtISZByn5wqX1VCE2ME4J fhQX10TIB0KCga081fIxvplJge2OyZwqBsXygmwSgHOHcXc2yEbrd+3F+e5Duo0CFPVqUnVa5Zdd rJmhc37Sl1AgaShP6fERhpSfzOerNL08Tf9AQVx548BPmw4Sr0nzztncrgUx6eQSDlkzAU5OLMXo NWtgq5dotQCG0a5a8ivvZweL8DWBxygidcr+TQXOMSolR3TVYGkBCMoRdJOfxBMVa0GeHfLauN03 wTwy8C9RL9ozLTGduciQaZbOTi/3Wyds7pYMYG8DZMvJCjWRwjzi9hp0JONxnLgblt1ZE5Cf597h jwU5OMDgwKk/GMJj33Ps1xhpO+7/z/P/CJK9NQu73DhQFRmkDvp+MW4Y5k4YhVX0IwbWDSTsPF0F Cl7QdmhO7onjZxGLyCkYRQXB5kWCj+lfArcSbgAJ7iBiJAF0nBNf/wHsQD4dLSQdtygI8HHXHcNi aOkQA1qGvO4PYZ4pxuzm+a3hUMdB8iXFtMtvJXSO1isfUZVWaSI1+MHMuiFqxptVR9VSQ3IU1HmH 01SZt27Dh3ZMEnJ+y5sCfteHND6j6W7LSpuvzliXreYj1EiVwZnx1hmEfWq8sZAYHWcijHMHKK38 u1RqD0P/PELQlB7PLs6nzD/6VMSGK9/FQSR6uekBKHGul5etoFbOvhLu0zAwFa7LQzOZOJM1wBCp dnnCa5AlQi0IwZZSTJjLwxv2y7CDMlitEzUAXTwHFX16rfpB0lleVz1HhAeIHrYTHi01BXvPmxMB tHPBGt4oNG90CQQFpEGbyjPdOmr7kEO0IoVw7z6AF/b3WtmwgzgOwFAt+HSrqYaBBQ6GjFAN342T e7cPKzwxCKGkW2hF84+LJ0PmiiloTyZa8zRK3CKP0HgaHCqe/vEcuwZtpBMBMu+OkrnE9hKvLqli ugQYY270qEamG7e9KKey4s4v5EjhOpxGvzEH7lTjTWTzNf7NySTqMS3RHPedzcGmSSBBgV6Bt0rz mi2teqlVZWmCbfX0+wUC/tppy2RG1r1pMM+qBlBhOWeeJ2u2/PTOfzyP22cM1y8C4pP4ucq+WLrb 0VAi0f2y6h+ycpUW0gj9pAdcBb0DhoifkSuYDEKup6iba8UqRC0eEKzLD38UfXhRNCcIRPuCwfN6 HsLTNTKQG6pe2BALTEGLEhffyU+D8EuB1r+xSzPi4KUyb9wOqD9fXFNxak/lwDVHocuJZDn/N/6E BZyUgde3PXFRiQ+1TqHIADdDenyT6luAZ/PEeNY13KnkC67Q4IYEA3hap2ftS3qxUDnNA936DAK6 ZfthxWyHkttsNCFa1RSoJNvdMGZNTYhPKdu+pd3N0mLgxGFjI4CttDBJOgBUA5cG6zmogoVpXe94 t/r/S+F3mFOn57TPQuiURlkp9WL2Ieo18eiH47GvXSlYZ3VEEKg/Id3/tVMkiK+vZ3h/kVtPNzQv e/dEzOURKr1uAmlpDgMAQlSmUonrEW/2560Z/9ytTxlNHH0S/m0Xyf4ZHtioQLZN6ge8QrVGi+ul bBajixWlHLye279DWpPbJT5e0PbXOibRjr+jv36nO9p90uuUedPHneqANVbmyW6C2EHq1AOOib0g Mk+sBl1K3AKVPTCuN1AM5bEkf+OP0o3VzVe20nKb4GI0+Cx5cXXuhVax9wGUrTfdyJmxnNBKFjIc 3nww7LZW+umOOJhF1j7UbQbthVQL7dXuqHHFGS0ETpdEXGUomXVsoDDcX+KgX1v4ftQzpqx7isQO LICdZxIb9zrV9Lx5SlMRGAQI3ArTtasKYBoERuPVzVQgMBt+fj+HWwMnBbHw1WNBTBeUNbf8FUh8 IF8J4Zy6HFRq1YVUAbC4Deg1pxLvpKD59msDzB7T5PO30rV5Kcftm6Nmdu/8cKgzutz5w4NwL6qo MKAXWvY7s1/FkCyt+WJcnI3QApmL7FFZ6zLl6tIWT8fmeOzNzLvCHkNmlDjyGSogjrHb7r8HbPE3 R3mASfQPaw3eiVzQC2a7600MZOq0YsUcetBLBjwqanLJidJBPM5mcjGOCi9ITG4k6Fb4TxqZ45/F bHjPPXQE03FXLSc+7GUso+E/5oK/cNoMlX9hUaMIupo/Uhy+DgB1Ss8i1lEfLHVuWb3jsuecN7Oh SD3+PBlHHU4sVjtFIdGkh54Ya5GERxW4VCYCuB1fLw64sNl/kgVBw2IpJBVxZmh8zZ/zreJRGMCH J+zjGYPQaHHp485WTSlXMTLYJ076HU5Ctuqz7wIchwNvnLb+lXAfo9UklqqtUIvfpVJtrcNIYP6+ u6NdnitXOn2dnah6MPyY4IWEFNzASgFyBrxoR4pRoy4N2rveQQjW+IGzqZslorQ8LZ3exP6imP6E efQnMTNdRGNYqeQYCGD+z/hDZoM85peTKyiEkhh8ngp7kOHndV6zNs6DePSTP671/9VDmmEVuHYD x5KmfOraYAFnran2vQN1oLUW1IAps/PVi9y6NK5GB4Cot/coEPH4l7q+CwyIoyh4kBxGGqRcAE/y x5h7EiGFr1dOtYrTj1CckfEEJDKGj3WPRr3cgl2BCtPOCM+uivgdwAhKFnklbibItS8HNTiaosn7 HbqyPFEHUQLaqIfpNZ14aKaQjRdGb2MLP+G/2Rc7wNCEJgMF/i6b+3VbkHow7bLR2dkrtBrZi2Xk LfqkzaQGcuOeaunCjkFRN+K4moIhrlXnQhPDEpsugPTbd7zh1u3b15cxKAqps7s9T6oJ27PAypbJ iMqiIKagEFvTnzLZk/76aKLNuFLZyeOwxMupDYNfC7zNQTJ2v850Xh1VLVyrtrLSwnoyfGhcuoJP CmHEXgNiKkrbNkaLOxVqMBbK1VibpFje/bPDkZ9iFqE1eJR17r/jwcH4d3UlGKtnsdfjWqRM/6sE S/sQ5SxyggO0wUV0hdNZcda0RuIE+klnW56nKkfbSkSLVaJzG1JUJt3Eq/HjqZrN3933/BOD9cKq 8uYd9tQuvdRkVscOjvo5h9VZGmWHIfX8PeC7CDXZxieb2MLkQV30/fNGiPFEoPDBusfrMnwjtaNb HxGVbZudLOyN1ar2wHSXrTtWoBs83091SYxhkDZ8ikff7K7MH2UlppQA8zjm9VH0OucwLNeNiTpJ 9Sr+TCWqbbd5w/7SW2rZAhQu/lQHI2eoy7CRPKSdSrgQ9teykRztDBk/zkWdkPh9VhnCCTsN5fkT 0sQVa8Ym4aM9ab7yuwpW/degaxlllDchR7Z6n7m3+lixHnRz3+Ttnoh71zeI4sdWbIiR8KIVXRuP 7+Wwlnr2MOXhItX9HOE+Zki2aSfHLgB0Y2JjVIKRM5eokVAXbC/8Z8RTk3GMsiDfOLf5WFlroA6E cynXrxgNBUZ49BL+ws6yWVsPrj23uwG5NdHRWcd2Ny+XqYfDmy8HGMxcRB7l1RfG8HiXqFNKyWs7 BHN0TbLP6N+aiXgl1TULBauLrqiPJ38X8+FtVLjGoHvq7E9+nhPxiWglzVANCehLpTwF7OOLJGlM RKBjPQFjNlnjSaN3/6zHO740x2S1A5NtWMXUUfsMC+VrEeynIB9qzPQI9jI8507M2ah7x9E3GZln 3eDl/NaOLIqgSirS6Rz5dfPOUBj91P8MGqfbx12hsn6CR4b16Ja5OgWIUWZF2Znodb0kL7KsvPkr tMT69CsSXh83EmQzhjLGqPrvhjNKQN72/51byzNvUrlb9LI9ux9GgR/CcTgzFJhfUqYfYcG1HDn+ vReU6lM59WJ+9qEV8WS8DGzxwja8jTaYO9YxwtxHz7l1VZKWoo5gpoAHgKgT/+os0I5zGSNpuPZU 8tpdySTazhCww6eJ7pyT/USo/RDKGt8Ju1ZgFbU6FM5XQbZDNw2KNZktHcSPcgqXe5wo0YmdBQ1W yfNIc0oOBn9de4mnE51/lFHVLnnUXe8dApeCWmFn1skqFzBuIXvd14NPU75jNcn2bqiFuMvYE9Ln YMl7JLaI/kZ0U7uQ3p+AI5kYD0RHV6s8KJmgLDjzsFKn/9E3FW7swK1YqT4lXbedw/WG+STmczoo wxlF/GnKz63cPbfbbLnDDyJx2r9XnoIi/iqhCbml9y43bilyuKBSWsCdUYLR7/VBop9EXuMFqgoM VO+Qp+aK1tK4V4v4bT9w9V8frnDwzA4By0doBKmj64CDSNp4Z6mrOIwpTXugDs9k251VN9ITTj1Q WJY6JZCA2K1aPL80kD+Ln25Cge5ur5afqIwMUZIj0yITa7/Pii6m9xPIYu6XLyFarzHBYeAgrch1 ot1MolYxfb4AlCHxycHwhdlT+p5bXzXIZi/+02XkgAKmLNEpvPG2gj7lbmsFdGugegs/+sPq6vf5 5lCxMwocVGnmagPzt13UXwGJMQ5rvX3AsnNGKk91Nclfk68fkwtz9Fm/4h5fo09ngaH07IJwlfV6 MLum03Rm642atz5gy3ljIGHYhhEeY08QolfLOJqHQ5u8huwbGRNFobY7ej5MLxmQ3r7NGxFyJBD/ PH8/xJpxDVSgOf3ThF0Jy5ww7c1NmD9exozeP9sEreAZ3l7EmVpC5OXo+VvGMkSVLTaaRSUtrn9J lC+0v5TVikybUfKHNUJg0fMo1RejqxrzJtwIfaHjMvABQtCDpaE7/8syHmRksHbtYEXRGtmsloR8 B3gRc3PIEiOacepYqpCQ/lWF4MvWnHlbLFLrspBFYbs0u+eh1IDZRzwiUOtlaSErP/LmQfNZtz+D m4JEEYozIGs4pgCH3B11PJcCB3QGraBr4Q0bX6rmtpYt9y1DkUWTpHuFsz5oi4bKGmKT+fjzHZ9L gfS14YMwAB+FLjIbCwwRyk6GXCane8GaIQQemR+bkoW8seFWpO9kN8ByIVFEdBM4Wz7b6JbQMeFF xpNwxY55wwH0aYQ28h2ZyncgaS0/1cgNLrYhBWeIlpP71enmeU8zcH7pEdLFRg59jQbQDJesQUMy 8vUNNSjdSCJV2HhnhvY7psessJLjIQowcVr2CL69/TLQsHFN17/qosZOM0ljoUQO4rOfzDx4AZw4 W6IuRaQUskfU/sOHJE/DiSYZmyKATihq+bsFo+fI8ulMBUwdXyUw6HwWdt9BolsM5b4Y90KwTKTM YK+3hPY7QD2O1uDhgGIwYV+/18wnRnrockOiZ5L/9ETkKeV8fFTT5bu/5JzyQdLdYxStK4ubWEAC JkSuEiX09zZ02w8PSRmN4GNlP01Xu1cEstANwiVxHOdGdtnVOw8pid1q+Y3wa2YG54Qrs0cjYFbu kXhRT+toh0f+K0oXHu2CQJOxJ90dDvtZagROeOqVPNJhdgT4m+4MfQB1i/i8orieOpYohajL0Ghj pq+RrC8DLVzpa5RwDcFyUUE8ZRFOTJ69LJ4sjZyB7qxqU1xf4Dd0ocNxXdQkk3PoaCG5msjWV2Mc SDq1pbEdjyMxxV0dmfIMmRkuXePiHOqUP0wVbTiEvol/OTuiov1WzxsW+xLaATDLTrCFUsumkGu/ zqIKtSjtEvECweOoUqr5xCGRfmaPoXPYg9IFPrJTyjQOkP3JtvEspv3ztLgikivkoWJ5Ea3C2kzs 0qtbfau0+mdmvLP9qoUyBuz6OGOIMW9mn6+bgQ8MhG4goFBDPE/D6HjzOkxAw7cdlzPlDIVzRFNt rsmUXlE/e8KFcUK3D5SGfJLhkVxzBqPfrPATv2jiS7hXqeiDOFEMa3pmnY3s3HO1yQvK7Fipdeff jH6sgXSqqMH0M1kJxMKVN6RC7utm6zLJhk16g53Uw0cSmcYqjKfZ6VL6uZZy3KABxXl5+NfpG+Dd aVvm7HKbbv6ppCB3x9igiPUmxhPNwGrNLQ82rMMqdRw8yzm6OE2GWwrcT3GCfh2Yw9T48des1qkU OoAzJui86mW4nTJzM4plf+tBu/I5rBG+7NBK1aP2/5IhrvGbKynIG9ynXXAysXdo8+HVCGGeENch 1rpPUEdThMwJ7wj48Gyo2e4IW+nr3JVfQuvy5s17Pw8i20JuC5A5uuROIe5XUmeXg+HdQuArLier /5Mz4Upx/EyAf9A5er+/OG9patRg8zAjAK3bdGxAJDi1XwD4vYicKWe8tAOR6cLbNmdSEwpKYy3R hUPbcZ1dleWV7Qv3NUpZEA+ivupEkM84d5qGlZ4BAnMFBZUvd67J+HcDsVtBwIJkGvkOLTDN6Lzb JnTPl2CdsOG6BNuiTSnOIA+tA9ZFCiaHdd2F0989b81oVwPRBbCGrf9fBWR/bTbmVwlzuqnrDarw rnqbAXXd78t4ReN/PssciZ5SSlB5hTomPwlKxrVb06odu0K0MM1hHTVqnJUb37y0FKEMKRoCTCaR gGa2gOOlnnkPctv8JjL83EQ5XG+9JdjSsQBDrio982ye88PILYSeztGlN1V/4R/DWIvZ/sls1ahk ixD26S+SlnveCrKmjaJ6dVBJ3nPR88smaATq3oO7h8EANGERtbQLb+7/HJbeagHinLFffynDLTEm HHnKPDXaOM2ajekxSVG4fAFIENun/SDHxQffpMxnfOoVdrASlpSxcM93Tqg3r2HbAsZM/VukjKtB bsEIDURXwQjxlIekHey34aZAsTl7JtR1gPLgX9UyTIg7H5+VgbzsZWeh0e2Dv47hWPl/5IyO8goV kVYKXlr9zf4nt1qgIoFXg0j6G5P0vlWHpQQqLf03ov1adW9wdjB5w6x2Yu0ieMg1bSE99e7fxXkT qEPRTDssmD0nIYI1LRLxDsX/GAY502V4Rg9nwX/88Hsbg/0wPv9tlThQu0gmeiFcQbcpB0V2GdiF fCvoQ80MPXwfdtDQ9jDY3jYpp4yd2u7Oi+v7Qt4dwaMO7zvGSz25fpFPPFmsaL/bdFiWW7NIIPSY 55Wg7I4OwkRRs+CL1GlqyA33bS/7GdRDwosI7Yk78owbYsyad375j13rSuRk/9ZxlGopCBrJxkHL P/2l4gIavb8OrdlMI0R0YjFCPIPZUpotKcUDH4lNw+lkPt7ZxZhEqStMXN/rREnvh5sAuoeUcA/z ecclJBAKuO9bPEoo6tr1QG/V4hT+ZPeT3YermlZeK/lz45kX3Mw0RfbYwWvBkgUYdRsspu9h7fRg G/iVOCKrD9moPLZYTeK16/exIYfNFrbHnJkLuQ3ynINC21UpreDoVGmaGNUSY0OFCMV70NGO8WE6 RJBejC/y6VIN4pZh0j9p9FiS+QFjCS44eI4TMtuDTBD3jxSjsiVS30Lmygh90ExTPZWSt5oIm6vr G1AqW7NJohHDqTZ+anC2An5+mC4KeRxx65330LkyhE6Pim4Ezn1abMSBlSTiMf/LrWaOYQX8QKHj JReuV+eZXT7Imov/kIWzu61+2xnwlMnHLVSzy8vqbfd5vVBlNLR0XKlDxlNpenJjhmAtZxIYDOQW FaM9gkD7eiCfaRXclHKZUUYedxU1lq+Pvz3j46MpP6YeXnHjyY/c6qVzmBI4+CeOskljzZjen44q xAl8Xz6Yl0sSEdmZwV95foHXpIo6xlIGdn7/6W7tUvOsSfwksVl6SpcvR6bcqR8ry97rLmpo4+EC TDA7754EQGEJyefh3w+RL0hjpuZ5VjZw0SG7THx/bv1Em4vtwxiMWjU197ULxY5p3QDSDHyldYPS OnW/ma7OeOPqRpFPusXrmxOaVxRvTfG+/9oX0C9pR7ZOiEDx6eCkg8G1YN7AgrmKbiE2ypW92ZHq 9jlYIybgjjEQ/41k/uVsqsYmAgMvBMXUg8B69pnR1in1ULP+5GZnREQrbVwY/t5Dq7RYSwJo5GZY pY8nrkGRfJl27Ak46W/GeFk1iAodB0WHkYoIwrSZSwWlHDY3gGkDOSW2GZS13wncx9iBYhfK54Mx /zIB7gZIGGzN/y7mVgVgLMMneLTa72K82KTdTK6cMW2xCtm1ps5DnXHA0Ca+7YST20HBxMMxvKZu kvtViUHKbubBjViDYmL8AJTFmIShvBEpxLPf6gd+qxMaYlLOxCqH0eTAiY/53kQ4TcvRRYx14NJH BazgZ6DR8IgBdbNSR/Om69RlqfeL8bb58rbLQD93zI/VX5DSlYwdL7TjhAj2gvJoHbGOGEWwf+dJ gPCNspT7IagfcOuIIuwwbBbNGZRPQcSWgGTBrOIXa14OFWz6avC0j4ws41CWyWwO75ayjWtt7bIB YqDJL6JdVSnB5VjSoAATSwJfCn1sSWzx6wgcCSQvsVDnRM4NFNU3xFfxUyNAOuj7p1LDnNSa+ac1 JyIyMUt79+29SAyzytlEwrwRaaTWZnbrcVS9h2O7feCSbzrvmaTemPAz3fySGgAbxmZdMvIVP1Ni +EzbksxU74g362kH5fdBrwEvdUEocjtV8su1TJx74m8FZeeGuck8eJxDLoKVB70qf+iVKMX4Aabu AiIs8VcSihAJCSZscpeMFT/6qWImEku7Til4xpCYzZsYoP1kQi0E0qoN4opl5AsQCDI8k0dFb+IO 8FY4Rlr83mZRkuQyTETZOTGgBpS5ja7v9qgBeSaAKr9fglaOdDaZ66TtWB5M22JpAMF59xVwBhyV r7TIZB96bl1C+1ooFOXaUHxGXK6G9SR2PJsQX3JKz4YbeyxEaiA0/4HojwXb3YveQiGYFrRxK/BU hbVLKACO7FODNPMh6H0J+lnX38rafLuPSgObEnmstX2yyRzQzmN4/V0ZPkwiPQ3h7zhsC0WV6mz1 42AL0hdL3a1NL8pAvRMjkyduYzLxnw3unNLBToTycAnXOq29E39/WXzg69bN8pnri1yPYjYHAyfy qsDTkLZVkIJmdvAGqMBJqhUfoZ/7lOhkbUg7hSNINTgFLs/ZWv0SuCI6WqZffRi0fYErIGF5odk9 7v2tEaOgQHxL2uM8IdKgAxSvAhgxqUVdtpPI1ImKq6x3x8eMxzYnAudQCp2aVXLC3di4FvW3bH3t Iw0Gdo/U3X1eH8reANfVQkkd5RWYLs9mX4ELsv9Vh8zY+X+kZmUC5yc3RFMJSJ68XZbWBcADW8u4 nTzg4Wko2mDBFBy3aG09XNAltw+Dy0u7vofUPSd2mweb9Vj5G5+YvGZgjNGOPnp9gGdKmWb5kD8g Fxq+dXRG+goFWmRHWTZRNXtAzC2zI+ztsj1Ruc0vsxtu8S2Y6nq7PA4+O+WDcgQVnQYDhcJvqUDd 51Y5R5/fMAOklzlQq4jG6sjokAG9eh775ft6AVHUdGmt2VvWk8HZ2mGgivWjT5+7OrXcb0PiJzmJ UPGRd9rgJSW/x/IVkviCqUtDwhOWxh6Pva5BEVFMvA8+LTEEsHhrhCIED5WZwkXn/HcWz1RrpoAb r0CAlhFeGd+5e3bcjyApPluk3cPdqxWXyUS8dUpo9YpEYSYb5HPP7sbQj9r6HYK5zxrItFY5osm2 Px2wXFeEW2bpSjbU6cUhGV1rnQnmU1acQXDmvDIAibjc+bUxo7T6VWhHh9Vs7KymRVub4q0Z4wwR +R77SD7sYb9JdZR0BYdr1Mp1yfE2szS2AIMbj98wS5zu4I6Dq1bkBfxeFfX07KpwyPULzuq13icJ jg+h8S7mMe3N/NVts+ZDsowszT1QzffYO1IXkNv6rI+lmBR9PLoyd5VuC00m670pcnJUxIER8k3w OqfBoJCEFHwjLMTF3TFNmDt6skdyzT0nQysYHlwEu6vWr7Bp2Ody9+e9RO6PRVbzaQdGkcO6VAMO dHc6bfOLI59vFmHCVBrliHHbBNshyA7DLo60fClr+ATu+ruRLLhAxfE60jWqrKCVnMNQJhzSkXWB ZOA9LGKgCp1qM45pWFMnu2gtQqYjOdhBREdu3g6PHBUdw43o5b9hTf/qLIC0/WacLUl8cX/chvIu LihN25Xj420khTLV67bvSiAKOrwi69IhDWBdhH9NfZbSBffuK7FPnnXTDhIfQVFzQg7BtDmrGMfj 0enR1IK7Lv2hA4NjvCv242nWeUPz2Aj6Wh3Y7Vjq1qSp1PiHRxIpCEpk36e98Bkam6PaRmdbrkaG z/N1fBjMsMinpw/+yDPt/IjZ7+BtSUB+ejw/FVAZS1PcLXN+joa1D4FUkRegR/SRsZj3MMkMbRma MZJTiw4WWh0Rk+Q0HG3vYL81N5f2e5wyIRVKmpZQaFDK5vbOYEop4qGkM+QVPxhXbnFMJye0Gnhx w8mMr5pVnjBpiNrE3xw68YZqWlE3s2zPF6WBzWpkiqb/EBvOAeel4xA9DEKThSACWjFBehYELSDa OeVLEgjQU0yyYbBMWyMhvbj/4E2UqK5IFOHHtJ58tBXp0bQ/DiKiQfUvmpz73hNbRVmHHqwzfRHh 7qxO1goQhS5S764Uk8WkBp3wQyt6C5Bfr0j4hesviZ8znoZzrT/Vu6XGaLFIwnRxU9xM4I7d4dfK Tc/gqafwHjPVLa3mKogeVVzwrpV1YiI2OQrzq+JMNczg2ld29dkQipglCJorOhmQueHauTSwCxGP sbLcuYrAKaYlHM7dJnT453ySNaI99FedZ4GvmzNKHRz21mc0/Vs8LKRJ2PmiPOKtb1Z8K2x/anwW avbNV6GoJTZBqijUXnWFOJojA30D+C3QKYBpi37KjcxfTtuD7liTqndHUEF50/AG33BKGqWRsHT/ ZftKgXJXERdPyrz4tm3UCgP7HcFKtwpIG8noTLN60NF+FMDet7XtiE+Apf925Z/VgcY5nrNEVjuj CvrfnEixB+FVrPzu+5S2qtZqQKTKeVYV7OB/YBfxGiM1smLbCHQhPvVmORAADW/sQLxIRmQZZmJd Gw+h9RMGsHrDoFUk4xTVyRGQtpPwMzMgurht2S1iYkEX2B8n7xR7bMbXOtIAwIJPtmQG7b8skyKD yYTZZVGKMXMKo2IcK64Su4w2/rQ2ms2dOHoz3YIH8HH810kDMfIsHcHBclgRAVAiAncwaSxh7zFo l1+mSGf1BE+w69JtrN3ZrasaVq06Bjf28/f48nlTbWQoVQwL3C15jvdXALFF9doYZZnholqF56py asv4tckk/prMmuSvpYuQQSa4ClQrUcauzO4/7WQgTtF+6b1PViQn2iwtLrGLRYpx/ZYKktulyLkW st/BXbc7autJU8i6F/W4 `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/blk_mem_gen_v8_2/hdl/blk_mem_min_area_pkg.vhd
11
20310
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block m5iOpzub7ElSvNREJKdbTL5RLQ9zyAjuJTXApD0jwU+kgItID8+J20u1MI5mjezdJOk1t9nA9OTm vswLkwO0wA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dJXhC3s/4g99wIR7EIkz97FXP5RDA9SlZVF85tPxZ5BrAo19QP56K2FCjSgmHYm9HybewviTRalI 7DOxbDMLUF8rNwN7uacq3ayRTbCHb3ZucEvHPKAC3bgcHQ9gucN0HwA9h33ZqAn24w4xs0NNAWcH WzsKWnaMu5T+I+QY8sw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RSPgLRh5Re0AkeSsCtx+zIXn0HOfm+S4D5ftIyGBjfD7tpliGOMAT1S/hIk/m9nrPJlKnHCOdcAi zkCLSlLowrhQmHQYuJpWleJNhaFfrVnXIg+5XUlm8aYO4Q0yeB+N+WdjhjTfzz95uxMplJs65lNv Ly7kyC52inQ2MqmglEOlQMbC25VulPWXrWgIn/l0v8X8xRPy9a7sbi8xKEQHUw/iPghpC77mfV7+ vgu9eEqFP6vmuW9QmpVld69fhnh0TpAWFEV5J43mCRKaxPGGBYZbDvxFgKzKaBffucsZ7BpZuMdg a8eeWiF/r6pcljr5m8kC6vILjwLkAutaR1zT2w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PBJG088JbTbb61e0vAfG/du7QOpWkcFgeD6A4cbF5MJ6NyMzlrcMczTL8RP2YNvHjg1x/LR59mlY OcP+9xYgXyOxyBmLkEZOAWxJOrcVFozO/PUywT+DPTDDZtxKqUASR0+2tweH6lpYBID8pWYgRdDk XuNbi7MY1ieKbOsf9WM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ozSrwMVOz5NsABAIzoggsEzn9/8bmn2KA4BbFvxP2GWmtueDJtgpu3Rl9ekreMsXSTdd33iH/gaW OUHCntuLro5R6HYwfCmpvb/hfUnNp6+aKep/+IIf8mDSlUv88n323fv7PEAF6QdiGQGzU6CM456O TGj1mINzbXnBSqoYxUIjagH6RA5XWNqn8yk256hScDL57lqiomE5z8AASlnFO4qHOT4xySWnpYot zHaL88wtVpwynGVGB4290WDEULvH/qna87hPXSr02tj6fMFL1bKRAcYmUHUoYaKXO2sEXSQoQq0q yuKS+L5aPanFZKvMu/FuZe6NC3nEqlAFtuRbTw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296) `protect data_block cnomI0i7kR0hzx/MDuGP5eVGGMvvHQiRVyjSLJRtUblfC2z1tKr38FweB+F80xGxY33XNAzL2HP4 raLDX2jKwyAY+PgzbK02HmDqONupCFDPxMwtndSjYUQRCo38bqR1iY1JDq11MtfD+IlzVWMg0CHc k49Vdk1Pe1jeyi0DkYihlm+NJ1+e5MtfMjU83ppRHK06tAqjmjllrkD1c054KfSHqWKjOPLG7Yyo s1dF1rWWUyTFICOk+cM7ssDOkEqvCdx/QnKds7qsyy5lHlzt1aFhUfQ+orIEEEJ5EZoB4PXi9K8F 8PYBvHrBn+FsnFJzZdAcUXXP+D7viod0CJqzWkK518LpE6gDreIBqFVTkYP/TYwqsn8UqrP7Bmdw FbV6nMKjDkEsSmH0FJM2mQiqJqEBRVnYE0RVsj9GUG15UtILNKLd1UowMWHmKAQlNVkyoBUrk7AL 5Ydqe8rBjEsXb95YCc600c43ZLBvFWaQVfbaL6SCBjoTzU3qVc4+xyTwegiuVoQR/1Sv8Mvy+gCH 5ktP6vIBiliaQWyzCP02gZPSvE8v0JKpbfyTH/qTvlJyzM3MPX2hPWPFqTtwxO28KP3pc8HTPPV6 Uz7AhpwOSuAK4Fa3sHYiWowU0TKGUdG/IskkPHrO60tjpAz3GKNYgMkC65fo4AVJZWecLLc3TBGZ PSm8cwH1ZqWhZ86D9IEcLBtAYEWT6AdpIF6TB6U6iIkwftInq4MI6Jhp2mJU5ylsSahcvo8D8T0y 3dCUWE93KbhWXAQKMuNmSoOuaEl5ZXJvcxkUrgJFrX0ctiPXCCansSi4oFiLsLB3uUXQQiRJoApg H0DgSK6fG+4sZYsK+9UwQNxqe7uSZ6LA85IzYiyus/IYoUkAWKyyg/tQClsSsavEtZI31Sf7jcOc gmLJHTmsGk3M5A4Tp4uGxbj3EESRsHj0aylHPMg/b0mYgM+4PwAHUAv8nVfKiVc2z2bEXdDyQWgH X7Cp+bwJMlAFXq30bOBgjTRT380xNI69LTqey8vTU4YwvYZf9/Ow0AuCFHKP5lfhapm1L77H3jg2 zp3cwmz6Zmit2j2NGK3ju4e0TAH/S9OvtkD1p+udvPytdeBYfyl9/S5bdPeIfClWUxVSmuhVydj1 Mm3MWx7G+PfcnqlqvbwmQ7+e8XU4IXVzLOu+4mqMdJvA9lc45yh9ijeS3brZsWNLxEMKKMWAeswd F8Sd7Lzq57ORW/ovwkaxjWYw1txjDIQ5cjXJ63LzPgXBGJXWQgtMMCTeVbGjNISHTvQby7icf7ih B7j4telWG6dJwJlqaxiVRJm+Q9bGCzZ/es3KsLQKE0cUY0uiYImLbtEVFI6etji/8lEOpZ8tmL4I a6g4DmAwP21CDYIIQZZHgQh6ZgBqerYjjj5M2w5gHERetJoCYGPvLWBDA2KEM8opm8DZNLsv+jNd P0oXhPG1AgUjPkFn/638OoezZaiQmGX5Dj+ViVxRNp2QkNF3zGpLm3rYBq+OLjzdgDOI+hYX+5U1 yb+LaLmLw2NQ0k+c5w4XoehfPXfWHwkcImIAFf2vkRSfYyo7AWl3xL/7K+jH11gC4QsoEktEiuV3 F1ZAGYulX7P80+eqGaphbmuV21TH5Pt/aCTW62vRMVSj/2hJUiwKk99nxF9Jb/iTwdybiBweHYtk mTrdNE0zjx4Sehmp8DHAi5/g75PvRVZThzWFEPhD5F2LJj7nh1M2697LGwTGjo4uD9pKFYmH7PEU U7Sdwh1RnLzHPFFE/n6pW81YteufclpsL38cNDrEtjnhQQP8Y6PgywgOjf1NmZQteWk+0yD7GGzp ldbWo4rWYwsmyLannYjt1BOORDcQ8cvhcrd54A/FjvSmpSKZeY+sCJLzvirReqMk6lgNNyUI/pzd IgnISuL1oDx9Kq9StJl0IB4yCsmcrpUFOYjoO7AxQ1JfkJ7DliYk0ZGEVOy3HXZDLtpZMSaeDU8X kTyI5m1lukAUGi+tniylrhSI3xJw+qlAp14Myp0jzY0BNSv32CxvsfQrA8mbWoqzBM2+qYdc0xAs 4xASB0x6jlutGWdsjvXD54Z4UWwl3BIWAKtNzq2oYkEk+WlHYciytvwao5FsnyevhBLOPooU5Hrn 1ovVy4MF/fIXsnMZmre1clyQcPh4A7VimCmket3In2cQm+bd5fc8rTQyTBvL9gqlKsX3XYLOMBc1 s3Bm9fAkGpOSIi0zsT+zHovYi8kcJdxNNUCUyEB98ZsPzLakmx1H1f92TX5wGiwT0aljFbaxNAHj Afs7jGfYJIROIbgenIdoamXUn/H/qdISifna8uGqxxch8bmYNcZdzNzgrwlit11HipmSjuKoOG8k RdHs6cceEmN625QXh7RCDDCIM/E0tg7rC4U1gkyuKQ+qlMhDFC5MnZkRTRZya2+qKv4eYctvTmf9 qoGL1IhCk0aA2Cv0pu7XkAxXDkDysy2LNE00IAwVAkSBtYcFAYNjKbhkK16L6PrOwNWcQE0+HhlO 6GQD9pUSi67zm30jSx7O/kI/rZzXf8vFSqDIYcAiEw9Z5jMen8uCPE0QXDCiGAi69ywDBmw2cxhf xo8MD9rh4MLIoCfbQoDdpLZDeyjL3m9kLK9MDvFQqG4C59tWq8IlYSuBjE/JFn/9As4WCFJ41ixP G9XfoEAdcW0mMYsHHWtHWi3lfxbEtwuV4Mfh94ihZlAUvVy2QNWxSteMzG9+gVm8bcDnmNaFV5RG F9M76WzBXpiXGJOH9y1SiARj30Bhl+NC+oBe/UOvroLgmmQuL6pU4rnvRIeQZi+e62xirQ+S/Rt0 fKWZt3zrHZtqfkatTTCRaL78qs/48uvBvg3ctFWqCUQGIP9ecmhbiE3bv6B6xIlzjR6plWWb/o/5 6HFOqvaXfMJ1gVtrQ9KNAbPVpZgSNEQxsCrxRnfjrefnKLcwo2aEsJEm1vGRrVk3eipnI/w/9Fc0 +F4vI1rQUpU5syWiwgkhlZNMdfhCR4LVYqhVG0ZA9KA524TflMR4pc8IXEk+tWNpxhnF/xMMZFa1 sJjikSwidEPA9/JVWLt9hUlzShCP1kKCkk9LkIwZpoYe6nhuX3EEswCXJzFOj/gxCsw5mAf5uCXm 3xSnEHJ3jNKsfx4YzWZ6PKIBPgCMmhfCMPW5/OuwSNdi9QYdhxbwOb29QO25NXbvMzneRzWNab6C 8HksX3ZjHkLlgdZ6JjSUEwvlXYON/B0dztu0iOP+aj6wIi8/alXic82UXJlkZ7mR6JciepIhgE6w BJDBgSqdKfUVCVMLhn3kNzfO37YIArojPLgxXw1pfQDF5C4KllNuF+QrFzgMAbdS5pxRmG7vEw3A sGr2pOeQc9aJMLIpmswxSEvEk536HJsjeekT/Rt4f9bxlBBuatI3I7SitPGT12Mri2MEFgFD7BUa QObratw7PtUQ6Zy41BhBNLYNrLcUgUaazAQwrFMA73ZneYzO/18zHb+GBtEFo3ngVyuWeYoumTf8 TnwgRifvaFHDcqmfQxlgY6IlSt5Dpv/CuX8mCoXL7cWF3IMgl7qgeuTMNfKRT/20eT3JUTCbI7wG 3ezQ2ejFgFlcIsG6vQdoDFajSnGsAW7GmTYcYBOcFIye/+6wFOvFSZXoUUasViGtmMCk10zzarq/ avob5t6VKVd7euJymYLHqmRI4uaFAtZT7O7XGkJhyyQJOdQxY3xY6YqYbnrIDp1WmzduiI7+dMiK 83zi6nZjoH8dYf/2NhovLitfo0CI64kgCyGhhRhKQB4rZgZceHb2qxIqNJKRuhmimHG29IQSVrjX SG2SnAvb1JPVAFNnKnJIB0t3S49P+ohvNL0nnhl+/WtT1NmtY13aqOox13uXobJpqCt0y8Lwhm8O pUoCYM4Gx6PPKVEs3S4BRolRqQKoJWqbeZSiok8uetr+oQoYx0Vq/0MDtycrn1KScquL0CZ7b+lS YJ9tC+vU7GnaZd86YaduU10nlqhhXabLlpLqjYSUDBpSgUG8LJvHXtABcaV0+LWQOlYzg7KJeEHg UpinLiknPC5xINSsUTFigCxL4uqB0G8qn7UGck6Aie85CtKnDsNqSG4c/4ovY67V3Kc53cN/DNye Yxpi4XhpAgNz8EGWnP4poTz+OtFd/QcLGYcp6pMqZd8AbZ55kRDXGvSGSpU7hQRcLd7EVI4jue9s s97dBgbWn2OMCErOseZcoRmH1I4CCIaPRNR+nV526Y93H5MYXlB9DMxYYcKk8Sbu6rQxIatjGtzF FFk8cE/mrrz4bDauo4GGrOHdxb8T6QwBFgb2JD6CdACHjZOAiBE5AdsHd3ujj4UwakVBWvA523jF w2KxdA7JNSdc6TiTX3ctOagm8zma7vZn0t0WGaK0eAohgpyFkzj1JoxMvaDl1HWGDYqtLQe7CLvm V993+CigGOyO9iqZiEp1BHkKCYkQVcCALk+4qA/k+cK0RmezWXPXJr97sktpXBXlVURHuZkGNZw4 TgUifngX1NhMl5K7Eo0my+for3nfBe95hhXq+4ngYbWxPW8CVQlKckr0M+9uuZ5KUznjjSNb4oiC fw219lYkAfnxTYiwFOu0pe8X+SW3BgKrtTgXYgUG5gIeYZ4MbYW2BZS3q5aIW8xU9Nq3R8Cb/YNt mr3lghOpJ/4Cy8Uzm7g+WpgvCh3l8wvwJKy+3oM/NceXpTQhP5ORY+cUTJBO5kXL8SdGL39q6l1q /WQyT2Ak0ynBOAsK4gtrQ8IlFPWbT0jX7HJ8UEjV61W3kp75kxadC7bnAF90GQcSDkTtDO+QNFGi z+nMOBXxPoKr5Q9G2DKBjZnL8apVnmkKfdHO6LAsE2mv+PdKXYoJNGdx18sEh+0z3XaCpZSwq4m6 vpR6Cdkm7Cg29Xtau+ucBEdrcXiF5EsDPAw+4TWtrjTpUYQdWcLFFA5QN8IBBoedGwGn1XBAhWwe SyixOmuHTM+4F5uw0NCY0TsCbady3GoqGy/S8UXZcYYm/onGe3Rmd/qs0tBACQyFWzfrH+LlU0Iz uzosfP2vVk5ExCLl0j4ZZSCIDd+AIZzA280JtBCaXa0hWEKWwhcZ6ykFeg3Z+XCjDLAT6y9EIdjW IjyT7idyvHYEkgHs/q/egxBbyE+4ANv/KiPJJd2MYvdr1RRiw2fCipFvl6yFj+ts8RV8eVy0f2QU Xai2129AHJxhbJjFp4Qa6P7qO6tVeKSsyDq9gwzRiqO4RjdJP7dz45BW/WdrxDfHrP3cAczJyFvN yEGcfwXkQRbM5YCYkun34w9kyjQP7B0uCNCWRrYVwsMyDn0zK7y5G6d8/A666uVIJQou9ZDug3de MysQU3YvaczMR2gGxyTOnIlD1FIhCcsJ59QYbERfTh2HGX/uCNYKPM8AjBqvFdCoIhUVX8EsybTe v2mJj2dkxuC4iw4psGWtZgIMs9sPZoTT3Kq18rCRzA3ovK/lS/PyZbJFJgbMQu2BhDDRef6+rtAm pFoqdZo41LTxG8ZjsdcSKtLzMwH0gZnPs/27F5dPyv5vahn8Oh6E+tAgzQC1eSv9DoYh6N1yZYiQ lND/LxD+f+oMzcl1JyIIqtJ3ap9rLrIT1J0U9ev8GXn7hP4H57RJHBVZZCrIoUO4XpL1EsSUCTfO zmjqvYl8+ajgb+fZ94Sm9j/dQMz3KJ03sv/VxGfA9y3aIF/7zvOvetveVlnO3pTarEh1dunDKRZg dZ8xOhw1YG1kkoEt8dcj51B2zP4fWewoxhiFjW9b5g4Ll6a0ulsO1ZGwOVNqR2Rfx0lGJBKj2CVb iZc/bq5gAB/FkyrJHhziinSH7nPQLYVz8ma8J6zTUJPeGDGaEitngxf5UBPBL7QmU6VOcYDzxdup 6jARjfqxAHfvdUS5ayt5QV3bavhofjbQafo76utlReYwZXElUem5a/M5ucPTV1C0CZ1rKVTFDOCt VE9KrIZSDmMoQNVpkKjYD52HZW7tPBtEMogyFc/Fodsy5XKwatW6A2/3nqBQiUjfaKXihztUg9rf /8dXIegsj6StxTH7OVrY/Q2hoRW2KBW71WOOvZGNHyhEUJUmtEmRGpED4WEVprkFHNptyjZqq5yy /Zu1mHTsKckTyh0oVIu+G95L5iBjJhkVXmPgliApEgTrmAm+BaK4iKsWF8oUFW84u0aP0jp1XmXk XXcYYyCsgIU/FPxfHhO7s4RDYvtxJuyxTC8cO3P9puCAz8ejpRsB0IWJo89KgkyZoW4Uj9qPYY/Y Dw+AySvh9hD0S7I9Tq1+1E3NBKmRzJbWxywCHrbhr9ILNSU2tU9tpUADwbgthMEN6W6YZeFGhYRt P7yO5CJHFzkSebsF+LxTQvY/uhSczaQymw7fgewX66/XXrv3+ten5gZanucJnEfod8ZXYHGBLJA+ lCi8a3dKoOW3uqWFZJyqYhF6z2XQTLuduRd94uMoajJhRnOrHi4t309pRQP/WJdXOBRNXlUPt1D7 SFgJYu33Pw94IUM7SR6h8g43mi5eccMlOXbccTAdsNBx9VkE2vH5+cKi9Q3iX9qzvqKwE6zzx+WA aiPs2PhqzXHY3Ci47JcajFu1uM1y80MhlQdPvXiOoYbTacfIKmFUR9ojimyrTIIMjEOwE/RbIQ3H R7ast11X1RtKftOU8I+9fvi54qwjD/g8IM7PVXK9LwtV7tzbdXUKM3QWtISZByn5wqX1VCE2ME4J fhQX10TIB0KCga081fIxvplJge2OyZwqBsXygmwSgHOHcXc2yEbrd+3F+e5Duo0CFPVqUnVa5Zdd rJmhc37Sl1AgaShP6fERhpSfzOerNL08Tf9AQVx548BPmw4Sr0nzztncrgUx6eQSDlkzAU5OLMXo NWtgq5dotQCG0a5a8ivvZweL8DWBxygidcr+TQXOMSolR3TVYGkBCMoRdJOfxBMVa0GeHfLauN03 wTwy8C9RL9ozLTGduciQaZbOTi/3Wyds7pYMYG8DZMvJCjWRwjzi9hp0JONxnLgblt1ZE5Cf597h jwU5OMDgwKk/GMJj33Ps1xhpO+7/z/P/CJK9NQu73DhQFRmkDvp+MW4Y5k4YhVX0IwbWDSTsPF0F Cl7QdmhO7onjZxGLyCkYRQXB5kWCj+lfArcSbgAJ7iBiJAF0nBNf/wHsQD4dLSQdtygI8HHXHcNi aOkQA1qGvO4PYZ4pxuzm+a3hUMdB8iXFtMtvJXSO1isfUZVWaSI1+MHMuiFqxptVR9VSQ3IU1HmH 01SZt27Dh3ZMEnJ+y5sCfteHND6j6W7LSpuvzliXreYj1EiVwZnx1hmEfWq8sZAYHWcijHMHKK38 u1RqD0P/PELQlB7PLs6nzD/6VMSGK9/FQSR6uekBKHGul5etoFbOvhLu0zAwFa7LQzOZOJM1wBCp dnnCa5AlQi0IwZZSTJjLwxv2y7CDMlitEzUAXTwHFX16rfpB0lleVz1HhAeIHrYTHi01BXvPmxMB tHPBGt4oNG90CQQFpEGbyjPdOmr7kEO0IoVw7z6AF/b3WtmwgzgOwFAt+HSrqYaBBQ6GjFAN342T e7cPKzwxCKGkW2hF84+LJ0PmiiloTyZa8zRK3CKP0HgaHCqe/vEcuwZtpBMBMu+OkrnE9hKvLqli ugQYY270qEamG7e9KKey4s4v5EjhOpxGvzEH7lTjTWTzNf7NySTqMS3RHPedzcGmSSBBgV6Bt0rz mi2teqlVZWmCbfX0+wUC/tppy2RG1r1pMM+qBlBhOWeeJ2u2/PTOfzyP22cM1y8C4pP4ucq+WLrb 0VAi0f2y6h+ycpUW0gj9pAdcBb0DhoifkSuYDEKup6iba8UqRC0eEKzLD38UfXhRNCcIRPuCwfN6 HsLTNTKQG6pe2BALTEGLEhffyU+D8EuB1r+xSzPi4KUyb9wOqD9fXFNxak/lwDVHocuJZDn/N/6E BZyUgde3PXFRiQ+1TqHIADdDenyT6luAZ/PEeNY13KnkC67Q4IYEA3hap2ftS3qxUDnNA936DAK6 ZfthxWyHkttsNCFa1RSoJNvdMGZNTYhPKdu+pd3N0mLgxGFjI4CttDBJOgBUA5cG6zmogoVpXe94 t/r/S+F3mFOn57TPQuiURlkp9WL2Ieo18eiH47GvXSlYZ3VEEKg/Id3/tVMkiK+vZ3h/kVtPNzQv e/dEzOURKr1uAmlpDgMAQlSmUonrEW/2560Z/9ytTxlNHH0S/m0Xyf4ZHtioQLZN6ge8QrVGi+ul bBajixWlHLye279DWpPbJT5e0PbXOibRjr+jv36nO9p90uuUedPHneqANVbmyW6C2EHq1AOOib0g Mk+sBl1K3AKVPTCuN1AM5bEkf+OP0o3VzVe20nKb4GI0+Cx5cXXuhVax9wGUrTfdyJmxnNBKFjIc 3nww7LZW+umOOJhF1j7UbQbthVQL7dXuqHHFGS0ETpdEXGUomXVsoDDcX+KgX1v4ftQzpqx7isQO LICdZxIb9zrV9Lx5SlMRGAQI3ArTtasKYBoERuPVzVQgMBt+fj+HWwMnBbHw1WNBTBeUNbf8FUh8 IF8J4Zy6HFRq1YVUAbC4Deg1pxLvpKD59msDzB7T5PO30rV5Kcftm6Nmdu/8cKgzutz5w4NwL6qo MKAXWvY7s1/FkCyt+WJcnI3QApmL7FFZ6zLl6tIWT8fmeOzNzLvCHkNmlDjyGSogjrHb7r8HbPE3 R3mASfQPaw3eiVzQC2a7600MZOq0YsUcetBLBjwqanLJidJBPM5mcjGOCi9ITG4k6Fb4TxqZ45/F bHjPPXQE03FXLSc+7GUso+E/5oK/cNoMlX9hUaMIupo/Uhy+DgB1Ss8i1lEfLHVuWb3jsuecN7Oh SD3+PBlHHU4sVjtFIdGkh54Ya5GERxW4VCYCuB1fLw64sNl/kgVBw2IpJBVxZmh8zZ/zreJRGMCH J+zjGYPQaHHp485WTSlXMTLYJ076HU5Ctuqz7wIchwNvnLb+lXAfo9UklqqtUIvfpVJtrcNIYP6+ u6NdnitXOn2dnah6MPyY4IWEFNzASgFyBrxoR4pRoy4N2rveQQjW+IGzqZslorQ8LZ3exP6imP6E efQnMTNdRGNYqeQYCGD+z/hDZoM85peTKyiEkhh8ngp7kOHndV6zNs6DePSTP671/9VDmmEVuHYD x5KmfOraYAFnran2vQN1oLUW1IAps/PVi9y6NK5GB4Cot/coEPH4l7q+CwyIoyh4kBxGGqRcAE/y x5h7EiGFr1dOtYrTj1CckfEEJDKGj3WPRr3cgl2BCtPOCM+uivgdwAhKFnklbibItS8HNTiaosn7 HbqyPFEHUQLaqIfpNZ14aKaQjRdGb2MLP+G/2Rc7wNCEJgMF/i6b+3VbkHow7bLR2dkrtBrZi2Xk LfqkzaQGcuOeaunCjkFRN+K4moIhrlXnQhPDEpsugPTbd7zh1u3b15cxKAqps7s9T6oJ27PAypbJ iMqiIKagEFvTnzLZk/76aKLNuFLZyeOwxMupDYNfC7zNQTJ2v850Xh1VLVyrtrLSwnoyfGhcuoJP CmHEXgNiKkrbNkaLOxVqMBbK1VibpFje/bPDkZ9iFqE1eJR17r/jwcH4d3UlGKtnsdfjWqRM/6sE S/sQ5SxyggO0wUV0hdNZcda0RuIE+klnW56nKkfbSkSLVaJzG1JUJt3Eq/HjqZrN3933/BOD9cKq 8uYd9tQuvdRkVscOjvo5h9VZGmWHIfX8PeC7CDXZxieb2MLkQV30/fNGiPFEoPDBusfrMnwjtaNb HxGVbZudLOyN1ar2wHSXrTtWoBs83091SYxhkDZ8ikff7K7MH2UlppQA8zjm9VH0OucwLNeNiTpJ 9Sr+TCWqbbd5w/7SW2rZAhQu/lQHI2eoy7CRPKSdSrgQ9teykRztDBk/zkWdkPh9VhnCCTsN5fkT 0sQVa8Ym4aM9ab7yuwpW/degaxlllDchR7Z6n7m3+lixHnRz3+Ttnoh71zeI4sdWbIiR8KIVXRuP 7+Wwlnr2MOXhItX9HOE+Zki2aSfHLgB0Y2JjVIKRM5eokVAXbC/8Z8RTk3GMsiDfOLf5WFlroA6E cynXrxgNBUZ49BL+ws6yWVsPrj23uwG5NdHRWcd2Ny+XqYfDmy8HGMxcRB7l1RfG8HiXqFNKyWs7 BHN0TbLP6N+aiXgl1TULBauLrqiPJ38X8+FtVLjGoHvq7E9+nhPxiWglzVANCehLpTwF7OOLJGlM RKBjPQFjNlnjSaN3/6zHO740x2S1A5NtWMXUUfsMC+VrEeynIB9qzPQI9jI8507M2ah7x9E3GZln 3eDl/NaOLIqgSirS6Rz5dfPOUBj91P8MGqfbx12hsn6CR4b16Ja5OgWIUWZF2Znodb0kL7KsvPkr tMT69CsSXh83EmQzhjLGqPrvhjNKQN72/51byzNvUrlb9LI9ux9GgR/CcTgzFJhfUqYfYcG1HDn+ vReU6lM59WJ+9qEV8WS8DGzxwja8jTaYO9YxwtxHz7l1VZKWoo5gpoAHgKgT/+os0I5zGSNpuPZU 8tpdySTazhCww6eJ7pyT/USo/RDKGt8Ju1ZgFbU6FM5XQbZDNw2KNZktHcSPcgqXe5wo0YmdBQ1W yfNIc0oOBn9de4mnE51/lFHVLnnUXe8dApeCWmFn1skqFzBuIXvd14NPU75jNcn2bqiFuMvYE9Ln YMl7JLaI/kZ0U7uQ3p+AI5kYD0RHV6s8KJmgLDjzsFKn/9E3FW7swK1YqT4lXbedw/WG+STmczoo wxlF/GnKz63cPbfbbLnDDyJx2r9XnoIi/iqhCbml9y43bilyuKBSWsCdUYLR7/VBop9EXuMFqgoM VO+Qp+aK1tK4V4v4bT9w9V8frnDwzA4By0doBKmj64CDSNp4Z6mrOIwpTXugDs9k251VN9ITTj1Q WJY6JZCA2K1aPL80kD+Ln25Cge5ur5afqIwMUZIj0yITa7/Pii6m9xPIYu6XLyFarzHBYeAgrch1 ot1MolYxfb4AlCHxycHwhdlT+p5bXzXIZi/+02XkgAKmLNEpvPG2gj7lbmsFdGugegs/+sPq6vf5 5lCxMwocVGnmagPzt13UXwGJMQ5rvX3AsnNGKk91Nclfk68fkwtz9Fm/4h5fo09ngaH07IJwlfV6 MLum03Rm642atz5gy3ljIGHYhhEeY08QolfLOJqHQ5u8huwbGRNFobY7ej5MLxmQ3r7NGxFyJBD/ PH8/xJpxDVSgOf3ThF0Jy5ww7c1NmD9exozeP9sEreAZ3l7EmVpC5OXo+VvGMkSVLTaaRSUtrn9J lC+0v5TVikybUfKHNUJg0fMo1RejqxrzJtwIfaHjMvABQtCDpaE7/8syHmRksHbtYEXRGtmsloR8 B3gRc3PIEiOacepYqpCQ/lWF4MvWnHlbLFLrspBFYbs0u+eh1IDZRzwiUOtlaSErP/LmQfNZtz+D m4JEEYozIGs4pgCH3B11PJcCB3QGraBr4Q0bX6rmtpYt9y1DkUWTpHuFsz5oi4bKGmKT+fjzHZ9L gfS14YMwAB+FLjIbCwwRyk6GXCane8GaIQQemR+bkoW8seFWpO9kN8ByIVFEdBM4Wz7b6JbQMeFF xpNwxY55wwH0aYQ28h2ZyncgaS0/1cgNLrYhBWeIlpP71enmeU8zcH7pEdLFRg59jQbQDJesQUMy 8vUNNSjdSCJV2HhnhvY7psessJLjIQowcVr2CL69/TLQsHFN17/qosZOM0ljoUQO4rOfzDx4AZw4 W6IuRaQUskfU/sOHJE/DiSYZmyKATihq+bsFo+fI8ulMBUwdXyUw6HwWdt9BolsM5b4Y90KwTKTM YK+3hPY7QD2O1uDhgGIwYV+/18wnRnrockOiZ5L/9ETkKeV8fFTT5bu/5JzyQdLdYxStK4ubWEAC JkSuEiX09zZ02w8PSRmN4GNlP01Xu1cEstANwiVxHOdGdtnVOw8pid1q+Y3wa2YG54Qrs0cjYFbu kXhRT+toh0f+K0oXHu2CQJOxJ90dDvtZagROeOqVPNJhdgT4m+4MfQB1i/i8orieOpYohajL0Ghj pq+RrC8DLVzpa5RwDcFyUUE8ZRFOTJ69LJ4sjZyB7qxqU1xf4Dd0ocNxXdQkk3PoaCG5msjWV2Mc SDq1pbEdjyMxxV0dmfIMmRkuXePiHOqUP0wVbTiEvol/OTuiov1WzxsW+xLaATDLTrCFUsumkGu/ zqIKtSjtEvECweOoUqr5xCGRfmaPoXPYg9IFPrJTyjQOkP3JtvEspv3ztLgikivkoWJ5Ea3C2kzs 0qtbfau0+mdmvLP9qoUyBuz6OGOIMW9mn6+bgQ8MhG4goFBDPE/D6HjzOkxAw7cdlzPlDIVzRFNt rsmUXlE/e8KFcUK3D5SGfJLhkVxzBqPfrPATv2jiS7hXqeiDOFEMa3pmnY3s3HO1yQvK7Fipdeff jH6sgXSqqMH0M1kJxMKVN6RC7utm6zLJhk16g53Uw0cSmcYqjKfZ6VL6uZZy3KABxXl5+NfpG+Dd aVvm7HKbbv6ppCB3x9igiPUmxhPNwGrNLQ82rMMqdRw8yzm6OE2GWwrcT3GCfh2Yw9T48des1qkU OoAzJui86mW4nTJzM4plf+tBu/I5rBG+7NBK1aP2/5IhrvGbKynIG9ynXXAysXdo8+HVCGGeENch 1rpPUEdThMwJ7wj48Gyo2e4IW+nr3JVfQuvy5s17Pw8i20JuC5A5uuROIe5XUmeXg+HdQuArLier /5Mz4Upx/EyAf9A5er+/OG9patRg8zAjAK3bdGxAJDi1XwD4vYicKWe8tAOR6cLbNmdSEwpKYy3R hUPbcZ1dleWV7Qv3NUpZEA+ivupEkM84d5qGlZ4BAnMFBZUvd67J+HcDsVtBwIJkGvkOLTDN6Lzb JnTPl2CdsOG6BNuiTSnOIA+tA9ZFCiaHdd2F0989b81oVwPRBbCGrf9fBWR/bTbmVwlzuqnrDarw rnqbAXXd78t4ReN/PssciZ5SSlB5hTomPwlKxrVb06odu0K0MM1hHTVqnJUb37y0FKEMKRoCTCaR gGa2gOOlnnkPctv8JjL83EQ5XG+9JdjSsQBDrio982ye88PILYSeztGlN1V/4R/DWIvZ/sls1ahk ixD26S+SlnveCrKmjaJ6dVBJ3nPR88smaATq3oO7h8EANGERtbQLb+7/HJbeagHinLFffynDLTEm HHnKPDXaOM2ajekxSVG4fAFIENun/SDHxQffpMxnfOoVdrASlpSxcM93Tqg3r2HbAsZM/VukjKtB bsEIDURXwQjxlIekHey34aZAsTl7JtR1gPLgX9UyTIg7H5+VgbzsZWeh0e2Dv47hWPl/5IyO8goV kVYKXlr9zf4nt1qgIoFXg0j6G5P0vlWHpQQqLf03ov1adW9wdjB5w6x2Yu0ieMg1bSE99e7fxXkT qEPRTDssmD0nIYI1LRLxDsX/GAY502V4Rg9nwX/88Hsbg/0wPv9tlThQu0gmeiFcQbcpB0V2GdiF fCvoQ80MPXwfdtDQ9jDY3jYpp4yd2u7Oi+v7Qt4dwaMO7zvGSz25fpFPPFmsaL/bdFiWW7NIIPSY 55Wg7I4OwkRRs+CL1GlqyA33bS/7GdRDwosI7Yk78owbYsyad375j13rSuRk/9ZxlGopCBrJxkHL P/2l4gIavb8OrdlMI0R0YjFCPIPZUpotKcUDH4lNw+lkPt7ZxZhEqStMXN/rREnvh5sAuoeUcA/z ecclJBAKuO9bPEoo6tr1QG/V4hT+ZPeT3YermlZeK/lz45kX3Mw0RfbYwWvBkgUYdRsspu9h7fRg G/iVOCKrD9moPLZYTeK16/exIYfNFrbHnJkLuQ3ynINC21UpreDoVGmaGNUSY0OFCMV70NGO8WE6 RJBejC/y6VIN4pZh0j9p9FiS+QFjCS44eI4TMtuDTBD3jxSjsiVS30Lmygh90ExTPZWSt5oIm6vr G1AqW7NJohHDqTZ+anC2An5+mC4KeRxx65330LkyhE6Pim4Ezn1abMSBlSTiMf/LrWaOYQX8QKHj JReuV+eZXT7Imov/kIWzu61+2xnwlMnHLVSzy8vqbfd5vVBlNLR0XKlDxlNpenJjhmAtZxIYDOQW FaM9gkD7eiCfaRXclHKZUUYedxU1lq+Pvz3j46MpP6YeXnHjyY/c6qVzmBI4+CeOskljzZjen44q xAl8Xz6Yl0sSEdmZwV95foHXpIo6xlIGdn7/6W7tUvOsSfwksVl6SpcvR6bcqR8ry97rLmpo4+EC TDA7754EQGEJyefh3w+RL0hjpuZ5VjZw0SG7THx/bv1Em4vtwxiMWjU197ULxY5p3QDSDHyldYPS OnW/ma7OeOPqRpFPusXrmxOaVxRvTfG+/9oX0C9pR7ZOiEDx6eCkg8G1YN7AgrmKbiE2ypW92ZHq 9jlYIybgjjEQ/41k/uVsqsYmAgMvBMXUg8B69pnR1in1ULP+5GZnREQrbVwY/t5Dq7RYSwJo5GZY pY8nrkGRfJl27Ak46W/GeFk1iAodB0WHkYoIwrSZSwWlHDY3gGkDOSW2GZS13wncx9iBYhfK54Mx /zIB7gZIGGzN/y7mVgVgLMMneLTa72K82KTdTK6cMW2xCtm1ps5DnXHA0Ca+7YST20HBxMMxvKZu kvtViUHKbubBjViDYmL8AJTFmIShvBEpxLPf6gd+qxMaYlLOxCqH0eTAiY/53kQ4TcvRRYx14NJH BazgZ6DR8IgBdbNSR/Om69RlqfeL8bb58rbLQD93zI/VX5DSlYwdL7TjhAj2gvJoHbGOGEWwf+dJ gPCNspT7IagfcOuIIuwwbBbNGZRPQcSWgGTBrOIXa14OFWz6avC0j4ws41CWyWwO75ayjWtt7bIB YqDJL6JdVSnB5VjSoAATSwJfCn1sSWzx6wgcCSQvsVDnRM4NFNU3xFfxUyNAOuj7p1LDnNSa+ac1 JyIyMUt79+29SAyzytlEwrwRaaTWZnbrcVS9h2O7feCSbzrvmaTemPAz3fySGgAbxmZdMvIVP1Ni +EzbksxU74g362kH5fdBrwEvdUEocjtV8su1TJx74m8FZeeGuck8eJxDLoKVB70qf+iVKMX4Aabu AiIs8VcSihAJCSZscpeMFT/6qWImEku7Til4xpCYzZsYoP1kQi0E0qoN4opl5AsQCDI8k0dFb+IO 8FY4Rlr83mZRkuQyTETZOTGgBpS5ja7v9qgBeSaAKr9fglaOdDaZ66TtWB5M22JpAMF59xVwBhyV r7TIZB96bl1C+1ooFOXaUHxGXK6G9SR2PJsQX3JKz4YbeyxEaiA0/4HojwXb3YveQiGYFrRxK/BU hbVLKACO7FODNPMh6H0J+lnX38rafLuPSgObEnmstX2yyRzQzmN4/V0ZPkwiPQ3h7zhsC0WV6mz1 42AL0hdL3a1NL8pAvRMjkyduYzLxnw3unNLBToTycAnXOq29E39/WXzg69bN8pnri1yPYjYHAyfy qsDTkLZVkIJmdvAGqMBJqhUfoZ/7lOhkbUg7hSNINTgFLs/ZWv0SuCI6WqZffRi0fYErIGF5odk9 7v2tEaOgQHxL2uM8IdKgAxSvAhgxqUVdtpPI1ImKq6x3x8eMxzYnAudQCp2aVXLC3di4FvW3bH3t Iw0Gdo/U3X1eH8reANfVQkkd5RWYLs9mX4ELsv9Vh8zY+X+kZmUC5yc3RFMJSJ68XZbWBcADW8u4 nTzg4Wko2mDBFBy3aG09XNAltw+Dy0u7vofUPSd2mweb9Vj5G5+YvGZgjNGOPnp9gGdKmWb5kD8g Fxq+dXRG+goFWmRHWTZRNXtAzC2zI+ztsj1Ruc0vsxtu8S2Y6nq7PA4+O+WDcgQVnQYDhcJvqUDd 51Y5R5/fMAOklzlQq4jG6sjokAG9eh775ft6AVHUdGmt2VvWk8HZ2mGgivWjT5+7OrXcb0PiJzmJ UPGRd9rgJSW/x/IVkviCqUtDwhOWxh6Pva5BEVFMvA8+LTEEsHhrhCIED5WZwkXn/HcWz1RrpoAb r0CAlhFeGd+5e3bcjyApPluk3cPdqxWXyUS8dUpo9YpEYSYb5HPP7sbQj9r6HYK5zxrItFY5osm2 Px2wXFeEW2bpSjbU6cUhGV1rnQnmU1acQXDmvDIAibjc+bUxo7T6VWhHh9Vs7KymRVub4q0Z4wwR +R77SD7sYb9JdZR0BYdr1Mp1yfE2szS2AIMbj98wS5zu4I6Dq1bkBfxeFfX07KpwyPULzuq13icJ jg+h8S7mMe3N/NVts+ZDsowszT1QzffYO1IXkNv6rI+lmBR9PLoyd5VuC00m670pcnJUxIER8k3w OqfBoJCEFHwjLMTF3TFNmDt6skdyzT0nQysYHlwEu6vWr7Bp2Ody9+e9RO6PRVbzaQdGkcO6VAMO dHc6bfOLI59vFmHCVBrliHHbBNshyA7DLo60fClr+ATu+ruRLLhAxfE60jWqrKCVnMNQJhzSkXWB ZOA9LGKgCp1qM45pWFMnu2gtQqYjOdhBREdu3g6PHBUdw43o5b9hTf/qLIC0/WacLUl8cX/chvIu LihN25Xj420khTLV67bvSiAKOrwi69IhDWBdhH9NfZbSBffuK7FPnnXTDhIfQVFzQg7BtDmrGMfj 0enR1IK7Lv2hA4NjvCv242nWeUPz2Aj6Wh3Y7Vjq1qSp1PiHRxIpCEpk36e98Bkam6PaRmdbrkaG z/N1fBjMsMinpw/+yDPt/IjZ7+BtSUB+ejw/FVAZS1PcLXN+joa1D4FUkRegR/SRsZj3MMkMbRma MZJTiw4WWh0Rk+Q0HG3vYL81N5f2e5wyIRVKmpZQaFDK5vbOYEop4qGkM+QVPxhXbnFMJye0Gnhx w8mMr5pVnjBpiNrE3xw68YZqWlE3s2zPF6WBzWpkiqb/EBvOAeel4xA9DEKThSACWjFBehYELSDa OeVLEgjQU0yyYbBMWyMhvbj/4E2UqK5IFOHHtJ58tBXp0bQ/DiKiQfUvmpz73hNbRVmHHqwzfRHh 7qxO1goQhS5S764Uk8WkBp3wQyt6C5Bfr0j4hesviZ8znoZzrT/Vu6XGaLFIwnRxU9xM4I7d4dfK Tc/gqafwHjPVLa3mKogeVVzwrpV1YiI2OQrzq+JMNczg2ld29dkQipglCJorOhmQueHauTSwCxGP sbLcuYrAKaYlHM7dJnT453ySNaI99FedZ4GvmzNKHRz21mc0/Vs8LKRJ2PmiPOKtb1Z8K2x/anwW avbNV6GoJTZBqijUXnWFOJojA30D+C3QKYBpi37KjcxfTtuD7liTqndHUEF50/AG33BKGqWRsHT/ ZftKgXJXERdPyrz4tm3UCgP7HcFKtwpIG8noTLN60NF+FMDet7XtiE+Apf925Z/VgcY5nrNEVjuj CvrfnEixB+FVrPzu+5S2qtZqQKTKeVYV7OB/YBfxGiM1smLbCHQhPvVmORAADW/sQLxIRmQZZmJd Gw+h9RMGsHrDoFUk4xTVyRGQtpPwMzMgurht2S1iYkEX2B8n7xR7bMbXOtIAwIJPtmQG7b8skyKD yYTZZVGKMXMKo2IcK64Su4w2/rQ2ms2dOHoz3YIH8HH810kDMfIsHcHBclgRAVAiAncwaSxh7zFo l1+mSGf1BE+w69JtrN3ZrasaVq06Bjf28/f48nlTbWQoVQwL3C15jvdXALFF9doYZZnholqF56py asv4tckk/prMmuSvpYuQQSa4ClQrUcauzO4/7WQgTtF+6b1PViQn2iwtLrGLRYpx/ZYKktulyLkW st/BXbc7autJU8i6F/W4 `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_v12_0/hdl/ramfifo/async_fifo.vhd
5
33343
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ro9Uy6Pv0undFi4fHs6c8yhpOwPRZ6Z2m1F1+8SP0JOt1KXqaubbf1mCOZdKYaaSmtQhF5ycT3kA gEkisnzvww== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IfFFT2r559os9FAUMtgrdhputqUfsl3j6+jBJe99FmkIN/7QgiANUNq2Z3cT9CElrO162qLsucWn ZIxxBOL/tZ9URaimBvt93fOjqr5B/lURUSbg6kIJHd2/fHb7KjG7hjYN3//m/JkYkMVUnd8qxV+a RTnd0/DuGlHYHqre47U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QT9M9Zk3tRRumRkGhXlo4JpDgHjRf/7iQiBMFbiFz18pwf7KBYTyFabrCLexxFmdviLRR+KB+sfg S1SB+8/3qkpI+pxC6mvL/Nhui4ydEeO4ETkbmFnr07fT8JqlqiD8azCXFZhwaiLYq1ZfE8RVcqBA 774lVlATL7RcuQcMdpds2/1fC/p2ckCPtZZPPBX9Vk//yzgs9arEK8QJESGrh5l0bGxbjHmbk+Ld nBUmMCky9Q2ON54nTSslEXpDEOvSZvCOISpjOvUVJnAPvFHK8dnrPIiIpWaxFImHY7K857exsj6q V9DYD4cBhHT9qEIEMpVECyomTSY2SfsE6g8SUQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V1hyvAJS6HBsYEZvVzGZjF2UzwgBpTL6oMWziSiRZ7uQuBDfIvdXP77FPPoCF82Jbcgwu/HlKzzk gopOBrL5mylaKNAZiIRegEfdehuX8Wj4nacsEB9jFj3vNmuWB+/tLmcS519djJKFm4VcIE+BVjcq EXxdICjzvVHQIvhi8Tg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m2El048nrbQICF14If5+r9/kPY5L5cnMPZy51iwOZjjE2aeL3EP6yt4piTMyzdSyujWKKskwrzKv m+j1qQMbL08JgO0FiTPOydO1Ae8xU/IfcCfmWLnH5MOKpBfnnDlmD3H1D3MJq7W3FFkFFt4mTQ7a z43HMQOct4gVfg78njNNbiR5Gnyu9MELmpB/jMFuOYxfOL2JS4zC/SyHW/ZxKFJw2EZdZTCkLjyo H0nseCZNMeRJkMs26fUj5ukkQT2S6m65G3v5xwDYG8waHOkS0QpDYbmdM4TDg8EWX9NCJDzSl2Z/ J3orzClpNwF56lfR2etX7uwXACyX1jIYZH9N8A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22944) `protect data_block 4i8V/PWWkhIICmI+bBHVFPZ0aE2toc+lsDu/untaHTq/VMKJ8ZUOtVi92QuHrmNYwDCslQhx0R+h ECGcD1bO0nPe4i+MpgUiHuwRaQgrSCjjN3+qNLsszKFF54ZO9g1rHbDKMu4o2Gh+f02nOH84Y0Gj lfT3KSqI1jrxWPxzxtY1dTQnv3YJTkuSBawEaxl4nUBb8X07akLeNDfLIeRwXLDxIx/KHgxYjSMw biJWPAESSsdcPQUpieOE3fJ7i4ByqUQ6FGMs/RsYWjpk4f5DRHDArPU0n/bvCN0QQr68ufe45k89 mDayaZx32SytWx9qiG8KH6O5ymVou/iT958u/Uqp58S0LT2XnVVVtrWr3ePXgZ4lU2jVDulSTeML Zbx7/DATUDOneKuwetM8xaGwNMtoCgOLs7kWZelFjOcWulXa88iPsO1yCo+FGUyJ5x/PZYPTC+i2 ABc2o9+0ZFpN3AdJYZA/YJqBey9+kPNQHTmGrrP5UI7N2uKiucp9qUvAUjAGCzM3LYhv2b7Jur9k CX99Q+pRvsL4Df9ZKz+zgbzYt99mXRLw1LitfnmPRo991VY1hYm5tasXhqaRNlmAQgrp3qZGvuba qAf+Ehjr9hxHABdzwTrcVLUTdbs723cYLSF5XZJRTSTA3DIK7jrKi+h9KPJjjODjrCIgDHqo/+8j vRDZYj3KgVBRhesBDbCGKSMFam9pOuZOfYvg7EBOCsJjk7KRAE7FIPESnbqb/My2JdLEt6YxmDPO gMTi8Df+x9av1B8R4ykRLxfbvXjBqyh7C6l7gT7P1ZHqB0HOVf262sFyhp9VljzMjYCDYnpQRjl7 XEKs6MqvszTyeI+juQqlIyBHdA10wmato+UIxi2GNVChCdl10fbFplR469PlvDtp41T1if6YfySD WPcrE7aCvPGPrk8eUO7EHN2F31rIrotciqC/A280Mo/E7hB6YS8XyVmPHMS8I1JvHQVUThwWH5A7 OM6ntHmd04vNFxKrEsFYsTO1Rdu1dlwhKKHkpFjSem3noi0gI9lWSh0lsWNz9rIBQyUbXQw1zlgD poZcCC0AGYnZwhHelPWrgbMiR//K3z1pSGnYDE/BfL3dcvHDBDX2zueCbeX7190NBgJenQ/kepCa lpbP0qeGE6Vp33aQmCcEY5MTmu8eGFOZadwekStIrnGAX46tu7bFgKvXL6Mrz6SiNvIPLR/I4U7r GducBm52zkSOrSvbrGYLqtkLPeTan18IN3BwtPUFIiC+eAadUXZ+fqeCyA/tnoQiMPlkNplwoM/R 3BBUJAEfGV7m5jGyUdp5be3SORWtEu4pds8PIQRhmyys6tLoVIZfiCiwMi4+JwTLzPhtIhdjRTk1 0aGYP5DGW1xgXBrWNwmnnZYvKkdHcdBMi56AMi4WRFZ9gIM04PujoK3oBI6mQ46kJ2n0vHIb73qV MqSENHLjDL0uwlwmgnI73QeRAfq+qneUDBf0L/zccwSpaouhoglqOus7iE+D7v4FImL+/e/1Wf7P VCdak0Hlg3YkY6pKl4msj5drwXXJL9NhutzB4kOEW0wmYkgEzayJi1JPdUw94KRh01yyFnBn9C3r lBS/pNf5e7tE5gTN3z0NWj3bSbwvIIyxVRmn4JUyTM09Z9UyC3pgI892Oo3Yscc6GrzeqHxJHOma jQ9JEELvibMymdBK7vR54MmkWRvHjfuFJOmLg96h4zF2DJW45TDyhTlHULkg8YJy2qsSM3Qgea59 iqfJqHzYZUjWwJIPR51uccCRWzMpE5SkIQx+pJPkJFi3EQPTf06cKWMrdGfJIR1czVxvLow/LxJu oMMd6lOGpbXvDYMmhmQUErR78GRILPZQE2isJHH5f70sWKhA1WEbgs+vJQx7KEMS9IntJbvqgdeb norFo0Q2A+7ZH0Cb3YZk6voV5Dh51YAaYek8LQnbipF3PGjM/0IZFfbu8MTN5kJ4u10ODdYN07/1 EKcXFn2Qvb6vuQGLjMRe740OCPL6mLNPypJGBJjV3Gyz2woIYyAlkAlWrzhzlJSVApqPre+ap8t6 inWxBwpx1gsDkpa6B4XQ7GbyHWhZlfwQ7sbecr6tG0jZRJv/lRbobGBZ6UG7wIpGhVTFoZHyaRpD /mdHbadwEqmjZxKbyILKr/d3rJPqptUWA0N2Bg1GFGXXpMs6UG2inL5SZZ8l8YUlc5MPSLOec+HA gb1GW9xPDp/TwHxzAMINdk4iWLYE6XHORqarz9pochkySJs0hIJtjuvLXSY0Rq8T6herxBz2yPpi KtCCnSd9UjW6LjdPpGY3ES1JTZ2srT4VMKAhIOFE/SBPeN+kv6ys7myJgjaX3Vassk/mMBrM6O7m Obefp7NO52ePKSs2Q7WDxh/jGhrBPNVEN/HkW4bl7tKI2ooTjgdmXcDUlf/mMJY1WNgAUw1O8UfZ UW6ypmoXciZKrGqH46/WsAVJJGflYEYPWibnU58I/Q8fb+WSlZ+e9Ab+DSHUu/bJFP7JCV7CFiOY YsdmgMIGaJjtDZ/vKdVZuRSzVBjhLQJyYdkZWlFUn7kMyfY9z12K6ZszsivLIGYakN8HRz2isn1I oCadesddwUfF4Q9ptLyT1Pube2u6ta89v471h0EVMiQZaSG0LQuXR1ZlAvOLTzw6J3NSEuwNiMQt CBVFmZgLofFSwLQ7bQrAda7GCnPUc/EKTjmDMma4rWPqSmRKBhCl4GFjpfl24kkStK0MqfiC8P4l TZN9kRFi/Ccq9FwVbLXPdAnyjVEeEcFftIpu2QAjLo3geR6yfhZLMj+dfH1XWxxnw+D5UISSN/do YXMDiswLkxCGx32HjfFmAIXyq8TxKgzzmFqGdjt91tDWY/3mlNXSK/KvCV2jh2GJbqv6qjANTckT 5VsNkxlIZFN2Wf1dFGff+Yr6pEXGZ0MVdM1ixPEBg5ZBmFA3aZVDkOvDgwtg2e2pr3mLzQK0gsMD 6HFXi1QRcATlDnaZSCR2Uy78rvvuE+JPd2S/vO2ITP0CIfBCEVKdy/vIk1jtF67w5TMTrEXzjKvV 6h6lSa1LEY11hW6CXq6jRa+p68HjY5eH/C3/omnPNzw7ETcSl22i6kCek5KJDCUNAI0ai3p5zZTj aAhQSof0gVC5frD5beS0fRvURc/2r9eny+otUHCSImVpIjrcln8ZuAvLLmUfMcwNQ/3RlPcPMskp i1erRTTF2+hEtEmY0jPux8Y6yYQAOVDL5A4Z2Z9Qn46vWW1sDdkuoxxT6B7fzUeOiD1Op0FW2NZ3 7Fa3Pqrujh/sMI3a/XCv7NjzPAWJRYiJgO5VoxD8BWAKj0mF/LoRNkg1PJbKn1/7/kDi4ewZ0j4v 6loAmNSgZfy5SsAUccVRU4/0Go7lGzkrDGMP2C6TACDZjyZCxlVrGIaEDsNV0fZ3pYiGg7toRvRN lzgstu9Y36eqNeL3xdPZ3wx4LoDuhGBRM2Q322JCyZQ/rp4/xh4BXcDimkEb+2mRy3ABEDhOcB1G 0v+frqG4P9z1Usky96plBNo3MoA0oJmGTtur4LvxGQpg/OlIp/zMRt1wobDLgSiYOk5TBSP7O5Jo ysdsqeHc7G1TeI07TcJZR/gDzJ3wOfrOgNan9EK/l2exWmAXf9Vakyw0FTmgNJIhL4ZIU+CKWYSO RAum3/4YU13t7Z8jd3fSJFDGuNBWmfwpnLv90KUO4iETugsTa9s44rJtJ8I0kXQBWatmd/KdAjIz 2ONBJtdFpIP5Tj4A1T07W5hW6nqboCVbqxQ9u7OUy3IUGU1WXMdQpPHtZDKQ3TdTK+ylfx7jQl7c btG2c2SlYSQpN1Qzo1drhofqnCYJf7uR567u5Ar6aFSAvSKf3XDuXLyIdRIT7LpMOFX5ivGKdK9+ eF4H7P46c4GTBBCbS7dDNAlInG4fCy8Itk+4vDysmIU3JmlWYZmLa1LB6MnY95CPKxlWxg8S9NHj yPTuirzkvbt+0DdAA24pp/BAMuS/UY6ht+6Z+OHuJBNXoIbSUiRjq0qONCn8+mrBpC7cNHnY2+Pj Fy53DL2yGhGb7z2s/dHNOkJMO3boM4V1Op0hMvJt1LcGkXeM9V1+Ac3w3KzKSMiWIaMxb0XmEp12 qO5cTcuRq9VAbZqdD1Kx7XlHT3d0u7Rr31C6QCl/xlVNZeSOlEGuZ+JGHZtJUaqJK0vJLqXiWhRv XmXMj4dGORrDDJi4fUR+BTxjsJy7nfLuhRLqVSbjspjsHEJBGE2ZuMEnH0vV/Lyp/Fm3lrf/7pv8 DUx/evPLUoMMc40HbxoZdfILt8LddYZvxy3ncEv24oVRu9hJi5xoVjXwTver7WWtGn7rltrkeNJY yWoCEdTO5MFfBNwDxwMwXOtVgyaOUfHnfHpmYa3ympWApuobtUP6sBrcE1BvT5L87ItDOyoT/+ws NHPDa/YbFk9S6nQWqCACKCHg91HKhhRAGV1AipIx87vDMOSs49QSgsCWdFzHkG4kCCAc5eJMvH3c 9eN77ASXtQU/Rtw/Bs1lcDJ9DYfCtgY0sAcp1hy5gg5mdKqfiNzHaQGRgMxHYFusHYF5AP5zPDr3 RTHy4uYgIecmmsN4UfBOAeMwoJ4PwvmW0Nx9UZtL/NQYIoRYi+ZHXQh3ZCgn+hBYLXzJ7X7Ox7Um yvZqD/a1/QgOun7QqZwF90Eb4LXCw3m/ZoPi2xIaElmtKqsM+J7CrPcvgkVAr6OhsyXcbryxg05h qWqQc0USvPLnY0x/1fD+6LCY1IZuVTd42Cy04Nrsbuth9gX3oSzGaG8j4lHLdgP2pzsQjG4n6Exy Evf31LCFtOjIjLcul1RT9t1mkRjhtBubWgOehtuvDRB7uD16xGTNbfeP9F+NFeVVOmX+/47rwRJ6 V6L0wS+BZN8bbJzcSn3xvSOaOgqu4ZmqUxoTn7VvWLJfo9lYbX9meQw/IUSnKOW8nRWvDfz8UmPi tCPCgieE150Xc778TlPpCF+HMjsXaaVdjEH0ED9Xp3ov9Mt7/yft5LdVh+3RIuh/uQLThLKwx85B nFptVlTRTl3sZQC+MP/6Us/lw1RlTuoliCUYxJjrfrP2hGZ4rfnT5RLjdn4bYgVTbqwCFPKhIfQQ AvUtyP2qXVcVGzvc5ns10f0c4fRStf+w/6rM8R5YXqAmLDWWZJg355/DcN1axcUJlXNE8Xa9nDlO Lqjo6FsDzEhrKkXueYaWHPulFHVyk62ElEa/VIFtQ1dhOrkfw0bVt50Ecf0PQPHctQ3+8YL/4sqg 6DdmREGp1Cdwls/d/4Sq0tfnyMs+GdkeXdqLlscaVfNGp1S1ynVeThLah+6ypntaXZoO7WFqvBmO KHWo4+V59JU8PPTXW4gpXis9iadOsqBcjGDuwjGt/rb2Cu7hpoHKg3MS1GzFsrRzSv+HRWzFxXmI heQGLy781hkcw+KjTf/Nbp5fVHRNV9wXGaWpeIedzWxjPE2Tb7iB3LXktrEzJA4tZ8bnkz1GCiAe isCGhCN/6TqVQBiAQ52lHrp/8A26YUq6Raoz1OlaOWe0Zre811X/aTwZAa2QWLmvHuafNdIpbyA0 L4BeC0T7fPjiabmHHSc9a+cMf4wEt54ksr41b3EMzaRIq5D71eCgCUOC3lQK8EM5R+P9rDTFC4sP jewe8F7ujpHChsDLJbtvjyJo+7rkvCiEfcK8iMoubsvu60yY3pzA0aKjeRYGbrgZ3b0idUCo89H+ vz3hmfHK4IPFuo7ROo1dbiAQSyG38su6+RYaNrxF0MAweEVfAKrtzIpkjLatYSFoa+Dq1W4b7Gne C4tLICHwRBxL4OC09IYegA68glipFplaGoFNihQWJ22DXfu08X3Bey1w/KvqToSdkOjwDivwye2N YtaHjMnyTBNRObLwXANWLn5o80vd4jr0LuorNehgtUNp3NreFe1enDmHZ6skgWGnI4ksKXribplB Sauv1cvUE31QRyhNjYrDLmpId0tdvb0Wrylik52ZyJfk0dFaGbdbZBBsrbwnQleapJ46Z8QWCAAf ZHFpUZfpx8YdNe5WnqN7Qmzu3mXmbEvH25+wkp9KiIZ2CAVwDyqRCpHonLOPXLZZ240ZWHrKa/lA EI3bfrdTk+QJhmKwQ+2jIRGHUCOrZEZm7LxVfo4HbDDYxO7EqpyyK86RYviiVDQ0LDiJB9X8zw1Z tRxUOpETx/k73GHxulN5cP33aj6XCld4XeZ66XbvaJSUYfTZ9mggbRBBXPv/3k/labx2uXnEfPdS JVOrda31qrnP/RhwmADxR2EnZHYt0bnbeW3ZPxvjo8caYZ2iZQcLRrPuPtKK+nG20CSPOoW9CFBU 04NZGMC8VZvNRAb202N4avtvoQASyF4ITeIgVetM+ugq2UHa5AWHUOMInPXxONokvIMXUtZ9bBTo Ok9xxeuFvVUXovbPzjrddWL5FLGbNHh8+dCQDHR7iUEJs+9wLZ9Wy6YasNEwbyCMAaL8iVsBZtsA jumKmQHlUyognDSbBQV60ZO/8BK392OC0nyNACj0P3tpsqV5eFVkhvHOA+oaZEdJ9PLgxmC7+Tua GL6UiZ0YV7QG6h89uuW9CahPnfBIvBSR+ijP8G/iEUP5ci9g0G1zqEL/5IvZFDsCMJgB8SgEUXm/ ZRvphHRlFi12fTSXOoMaY1RabqRdVSKMEoNeYekUYSBrAgaBx/4bucBPmyBG/5T0pZM+q0agTCwE E/+CTeepnzq9osTnMx9d5WLMSEAlbOikf/hldhTwi5zkwAKctNTQAi2UCCLzNnlgTTovdjUFFSUx ME/TOK1P2++mENntZHqWNlmUm4Nda8w6aJfSLW+SV/PNPUAHVsTgVfVXmbu0fxL/f8EGFPoqGuL7 dXcnUDFvqtvZRO103FLuMJJk2f29Hv6JeTnuDQ9irGsa9enVCmzyj+ItlclNLYzEmuHpaYU6Z2YB 52tNwA1KmE1yQwQoMvNhqegbttv1X1hn6zQDtft+uYI+kKw6ArbUjMbLJTi7hoMQww6a+A+ebnnB BybHNTxTkq/YHihZ9KdHeNFSJN8BXyDetaJCtJMdxm+gmSzxO+DTYljQY/mtu/bBKd6iHFsg0h0/ YmFA1/l9hs9EhDoIocOZPk9U76TyoiQoSEYEwxax09d1SseALNcUd5Q87xhUVswaq6UGwZheMTwS ke6cOZ7Z235/620rkR5Me3lwcMZz1KPNvFvT7G097501rGfY3L3PHKWnymiWjYFcrft3cz9yf48D 71FVmMg8EFuMr0FW430yboAgx9JG/YVdk9t5qcnBQSl7XgjYxZSs85w8+1ysz66U5ZmaQXY3STRs f5iui3zKVWT+/5abO2hyPEiF7scvCAegie+x/DtJR0M+F4H+4bCDX8NkgEpDuuG/1nIe9HglM80I JOJTfmBeaVUiiRx3FbF6PPyALjiA6/7GuryY2w7JatBaAN3NJUm8MTtEMwTEBYcbUo3KdVnM1Xyy lN+5aICXFRAMKZWy5OP1PBZnx5hnO9/GVdPAOahASJQ3Vim9wGEIlJ+ZJ8VXyFpQASu7riMlcR+q utlbvsSALQ8hwI1+JZ352BBFlbcx7KEjB9SMHmKo0dYYlGYnkyAs3ToKmWwpmsqmV4Omb0ekF0lX cpTWPiQhOfv2ypgmKU2r77j6bPcj9NQgr/RPabrKmFOHeDPKWkDoiMI4g1oNmGeIPQqE16QAHtMb MFO8UA0p1SZPDmo3NoQb4iQJClyRrPE4H4k1y1CKFpn5lfAfJ+Ubpsk5lvL9LdT2dsvLyTRKhRV/ QbAoav9CvN862stjIwxq63lBuZOtzps9my//RU1qpsMVUm/mGStK+NOa1zvsn5HuYFkQ+2KOas1F R5U37VAUg8chkkFtVPtORJnnYD55vJTXhT2x41ALMYL0mAg6Iw5F57zpwPi3H+LOYzZb4lVy2t4P +IkEAUnynRjqLQxnlIDKb4nxl3TGoSUmBB1HtGgeTSIzJ6/nHDyBazS/oLOgW7ShUJLnD0dFjZlZ K9n86+r2mrOhFEyRDcNLmDQk0QbHuyg0DSPhPJeu0jxyIPvt5vtNDx8HwK8TJ+T/cRWNmJLkxcIQ 0oMrF/b6YDQYiBXdj/FgfZMzRam4fU4E//32CAxlNMsdcF2zBi/e8EQccXqrYSX6D58GIeAbddnU VJ0iLE0vB9aD9F+hiidZilAAg4Rd042NODBzbGBxJZ1FgvDj2BHcSzNjgjxVAbAEYZuzmWwornsp jMOvU9WdZWcFwEhk2s98a6E3YXycWMJn+3YviyiLm0S6Ovr2+S0Hw84ahIocmu07CRdcyjRDjztP /nKqXkf8feWcBhgw+2A22YSdM0GGzOEzvIYvhcmxouZguFBQhbQbyVxYtuX8Po0owjHGrn98UwQA gUMHA3xBHDUX2yRo/MUPAkb5Ef6Eo+9sJA3aJb25dzsJtFhfqVm0J0qy+jCu4w+UALyl1VoluedS +mDlFu+o/Qq1By0k1gDuJwU/ReojZr+fJ4C53vXwbmk6ZEDR+3cqdTIy0CWxrFFBOwqs4bg4NI+G rwPytRvz341UXAMQ8Ow34YfTZK4VgYyOaC/LziJH0q6rgvTeVg+HyX/em8lqvS4qptyYg91mpyYJ 9wXO2LRQo0Eq0gr6r06fz48YsEsSqkBGSMThLpCTDujKzKh/8A6q9yOWQstW7Bi7kbANzOUJrK5I 4In7iu70QNzU7gM9FHRtyTLY9Z0VirlBxzDWAgxWOgM+Hk+5qef9gr6H0IraWLk3PluLLAqgXJdN EKZuP7M5Cp0aYewM1Z2ed9Fy68ffaHregMqUAG/PQmfxMzItGv4mVAMNaCOemFQEBZQRM2CyE96Y odk/FB0pg6JTx+LINZ9AdR2cFSPWXJ7Mi8MLBTGOqXfykVQnQLsRyW9L7BHw+cCAasxMADJDmTFe zfNCYQ+d4uG2AcEs4NbAf9eI0sQmzCUb3IC4/R4apfWk5Ofz2AUJ4zi6MbuDQ6VHntpDRLy31qiA z/vFUN4ku1QVaQCmMGO2A7SbdjtH/ueWqcmCS27G6aOF2ZpgZ+UgIM3m8D9AsvjO/QsdwK/x7a9L hHYIrlpEWYnIZoD5ngQJAHDcZk2BCS1gX6YGsJfm3IEqEBQW7Rc8PTnmk5RuwktEhcol/fl+Pai7 YEOsjKcmRpB8kOB1nuArkuXOcMu8ZHU84Sphgf8EJYqRwwBBCG28Gp2Xr/4wTb4ZKWHsi4J0DjuF XycEUSq/KHHlcUx5SEbG/K5JFIfZV8rOy90c4tijNA4dUN3Gcbj0aepYTviCEZivmgVKetaDM1rI 7hvaNzAEqLfhJM6zJXpd76GSSnBwuID6ikOrhurtK3GKeyT1Z4vh75QF/p1XMMcQwN0F1ueZz5As fp2dxli8rE+D2qNqvB8umCkSd6LU5ig8pw/l2YMoIoJhBsot53QsRVdYmc8HvMmRI/X1B882aJs0 v9VRCGN3urw/9qqDtCCBH3A8E44ia2YOr4QzHOUoM7YI7tzgbQGHkXHOtn4DRIsEVWbnDOw3pdPg r37OKiDPi9OmWkDCRoZoGK6zxQ0myJPIBJ0cO0I3P5/vZYofX8b5DW8a3pWnmdK5EI/VzJWHG3Wz F5FbcNUYRrpQFP2UhbBtbNbJJYklj8w7Ku3CxqqdQz6A4obzCPzFzXcnEACTdBJ7/8GiWQI1/2kd AwncWqHMJ/mq12uGJXp0w0Ht9j2JHF64wxzl6u0kZeKh796FCXM/PregqpAx9/ldMalnYOfbXAl4 Nw5PWBEVYe1wi/2u4ULdriPlJBvWq3kUum+dtJlambxSp2wwTU2bHY+vTebgyc5LhP5uf7jPq6KA yEiwfbVFqoE8JnQF1NFsVesYDOGk9X2bf0mB4CI1AXcksWJjxLPH8ZHc1a5glr7ahAGB5d3sYqUz O8ZNPT0a5V0zOzVS7Uxg9bSVMQDOEgRP78UX5xDSPOjmQSwgy4UQ1AaYqbAkSE3aOvjKc2xXNJLB nodBoR5pohxHvQ4iBWtD5bdy/y2tUvx7sZErMDWxZPIOMWxo/gEn+JyLCkOzwZAlgdwQpm3/rpC0 lYDH+o3K9rwgi/2q8fHRg4LVbDGoKQMdrw/kL1eIcBUtlS4EjKXH9U1kWKhA08EApqqWmzCamb8g +NvrFJQ77N+IQGOCygCu7nvmVBSOC6flsCVuOtID7Kdi8zbeCUIHQFVbVfK9NJaIbSDXv/JOV+H/ 3s6VI9Iqi3b65coKl46Mcdziir5Czja+qBnESNCKpN1AjNpmICE4qm6K6ZDdCc6GFOqj7jxRcwV6 zgKAJ1T/HYc2Sc0YQ4A709ZAzJHgrePcY38EGeD0vEoBoe4/yoT2WaTOMH0uRy6gJE42LfS9vD/3 ZcTzRfL+BAy/dgGH4ZigOti32y2TT6x+7oo7GLIs9g4ev8zZbshUApiDNFYEmQ830UDNcGpRvUmz i6Y8zfrRuBTfBgxjtwm29raCdMMHtfX2i3b8hSdvIlzTAZycpTTO7iOD3wuzHO1rHdS4c+YAyl8b 3isTMiyYJ+eqy45PcPGSzXrus18cQzyToF9lurXhaSBJYzvLT9p7CnN1QsWiNY73tKUNJ/rh/+Wa I7FnVWVtGGJqCLmq80EEPDy6uUDEF8YqxaWHBQQHuUPZWLWn5G1iyn3BaUq7rynTpXf8g8rrUmQE p330TwxSVKjnPp9CKUFf/eSDUK0s1dWUaMuHg0diflwE5gWla5v+gX7xum5LmVMqCi6n/+DQIvLG F+r+5s2a8UXo4PRfcQO0fp34FJzmzLQe1kJkgYeidfJRu8XtBiI8yk+MesL23R1jHHi/MThcVlvN tPl0n+ZzuO5YTPfOo8fwfsecnNfQnutL/FIe+mqT8z9IKV+Ph2QMZh8wfM+3SS4seiRyL2odpngv nWzdr/50ha/ctV+hpcnYEc67PzLyjTEVUXWV9hXxNUJLcKR8cBYF9N6tt5XQ8wTWu3qdXbCSaYV1 isdupsYYn0XtFkHo0WTqE4WjWds6FCpdDmFzpXF4CeXEDr3J8GkTQ6SQaxuHSNAmfo6SFoOt/+r0 XVwVLGYFqG6a2TD4j/Zr/a5/wV0OBfdvnjPfVzuB2tdchq0CN03n59V5oXK5knaA+sdJp02Y2okN s7ugRVlK+yEhRZCZTHxCC0tSfGHvQglFmdL+Cd7j6pS26dZen8Y2vANYT7P7pLN8WXs1ezyipKop OXV7xa3pBS+rY+1TnXTfCD+1SRPrTCfiFBBMKSjvuh+fC3ScKGtWlIKrr8eEFuXK7LgSBynX8I1s 0nfTua9izpQuPOSvuNkUCKwsNNDr/PRNsAMGkRV51V60n8C1nOOHMAwJK6kaZwNgEAoFUl7Rk81n h5v0QQmFODVe+DKACZ3HXHlpIG/KLBoC1snkAeskXUd8YbTr8LYbEXnO7uRkOEFk+KMPIEw7nMI5 wOjcK4OEFU51dCtokc5CUVLKTzm2ba7H2wY+4jcSDq1Gm0c+H+C58lpRcPj7TF7qd5xTIPRhEJI8 XmULlAUQLpEg9/5xlcCdAPkrF/sZOpt9yCUUQFdjrpIqgNz4hGO8kcm4/Hv4yodEPHLwS+1t81ma DfXHet1JtAbgc1qS7psG9kslYKMayWIUigsPkUyr2gCoYfwXLX+9eeesKW7gT5761A0OwuW9uJZ+ WlYXsiPAPRQiAko0S7I/91WLtWPXWPlQRNGUmBhYM9F3hVI0L0gdIFxHr7RrNY4oahl4OLl9TNwg vphQ8BOygIVyn6OFoIy7RUdpjhiDRzHhmOWhDM/VhJdJOvkWJqcUOQK70mdfWrXVeBhFdgf34D1Z TmIBQv7FHkRbtEvnW7SflRNhQ31t4zWm0+FKSXP9zgzdHm6i+Rw/1nR8aqgMNC5IK1uUwlpl1TrU P/HQ+Q4Z6A40N9ECTDFO3oNRvoto/rlg8CZfz9tFnVUJR56cGoy2PZn02yHMP2I2cn0UBIndQIWf mw72rzCEiZKfbb6z2ZhnanghnxCLCyPGLuDiZ8Xje+j84P9VNgN3fKeXW5AuynhF/L3CqJK8I3UA mPaW7x2JEIhmAFf63g2HF1ptB2eCaiH7SOXmQsIOVIROJqP3qVbeT45ana5+pU1V7H4omyeLGM93 RkSr1nhEgyt7dZC+iMlYLjRn1D50FiR+/YaSjvMogJmrooh8gx6bhIYljJvhFd45TiqFzepIwSh+ rSCU7VGOH+B9ryAeVnjCiMv/1eXLBfdD9/UZLc7eeOWKWhMt1R35EhtoPL+aiv6fO5R6rj+bEXWJ I6b55J6XU59QwfWFUw/UX8ER5uUtZxoB1ilH8zBvA4URKm7WsFQE3fiV850K5WEiQvqhm9May43g Mk8NtORT+08Rdw/9SbXayJG+j4XCcBCzV0Gav7fk9WqFR2p8CUbeI7SqjBaUt4oW4ax8ywmTo2Z7 Km2JlbTAGOgoffnNg7+Lp3B5UbnGfC9pubkeVBmGUEmLx6umxn9M8H3XtBfxp8gZQUAlCeBUMXM1 2w6i9j/48awL5E/xr0T/PjLN1o5s22NRKxYOpGzvtj4Qj9zwOPLfBehAoO9ujvik2KnvPqaDOaB9 zt5jmHAmito/jANsGctfuMas8fXw9e+zl13qWmQ3yS0/uH9s+hp1tITIgU2FD+OoegRo34DkDmSm gqZXTaUuc+58tu8AxQ70lO9Usbc4odnh+GlgiOjbO9psR0RX/psBOsIdt4FsDKnJKPk9BqDu0yyR r0rEB4LTuCeNAecoe+1UNe3/7EFaTaSttuU1t0WxGsUnyKYK3PYqGPzfIprEzE8rIMWNygIVKtrj V50QEHP798y0NXW3sVS0qt/940YtOptnmRcyYPOvbPxr1VfXTFRl26MkyIXNsBAQWoOskDxk3Fud fWQElVWEqvhBzrHhKmhloC1ldTbw3iIFXnAxkq9SbWUGhX4v4c+YBfOXZHTxscjD24kT6Es5wWdr vKEFd+kg8V7MfpcL704zKGPfpar3PZ6kE8KSKaj82QM3v8WS4grqcOv/VG4CHTkfChdP8i6JjVo5 dcotAXbCZTWAl6uk0WFJ3q69qKCF4fyy80C3YmGqx8XkJUEtkdYF+k2ZBjW5EIidOEuePKZxkQv6 ElRQwc2I+PC4bXBXGKXpCodDiMSYHr+iyLSotYgdhAyYeWhCb2WqzhlJstG5PkTsVk4vsshKdIBq ylWAv/udY3A4M8GGqSuvUcPPZNftpc1ILs/bJ53kqJKnncMS2IlvuZupQEPlQIyAkT1T76tTkHBX wn/iNUgY63vapVv+HiiTqTOQwd+jZYW+QCravQywYVg97WJzg2eV/iw1/q5GU6Kmgg2wLtvGGDmp 9ZxcpK3sZC0zNXYTTcLo309PTcqGYN3qdZG7eaR8CGWxl5PhZMglhuJG3hcVYu6AH1l+ABfoHXSs XABNc/q0unYRasArJqv9yiLDqTZrYuy+sYNLxjOIUKbuJ9WLXGl+kE3l9OGYLXn3omTmDv9g+G8H Pq9/XGtT8c9k/S5kMiN9fQBAg9AFWDS4/uLqjHUpkG8pl+erMOwzwYBZs/kTnUikMhBOgM5LOpgC k7eUfj3f7XGW4URr3ab45A7SiKPuRNdrmF6w1V/wbhOnAv2IHtdHrubc24NQKuW85Sb/8N7MuyPW /ZH5NDSH8gpF8S5HbBdEPBYe8Mit/aXrzNzPAlsVcGP8YlwOG4WKhCVnJg4a5JBMmySaaXEJ0zZ1 kS0aAtLevQtIhorIf6dcAAJ30b234Mv6QE21Ej4oluibSlHJDPBQa3iFDli5VFXol5QUQ2ruwY7S 27U+x0v1mRc37FgkwKCzm0D3YEjjHdjrJ8yHpKooKn1/1WioVamr33iSGk6ERipXymvx6emsf5dX FwzwjVbNLk3q27Xu9B1cPfS6jqKLVcZgkXWxc7hZwXaBMosJzb2DpXldKDt6UMfBg5xJHkafA/+f 0bJf0VUXHXXcBGC/9Bw1Gq3sw9ljI0W1atlI5NXnG3RVgKxK8zHdxSu2kyt2iMgs2P8DIQs/XLXa ekCEHsvt4YI+8wGTRcDMMFtbAHSN9UEAqrZDDxoZYqKvlpSWYJPAP6/TzZtgoq5Wm8Dj1Wl2V5xB og87JMKb6IZs5/YB2K8YWnT5E6fA3/otVGqJ0S+2s3OJBQ00UTaQyajusgkot/RpD3vzlBKU2Gye 9OVs51NznhdVA+mTa12YjHwFCVPe8jmLg0y3f8vokBKEJEmXniDnP7zva9KhBErPt0s/WXQpc7jD 7aUp/uAdzqurNJ63Abb79b9MMS2vpOPYN1zNNfAIBEHCcpjg41mwN7EZsIrR2Minu7jltZyliA00 uApSrbrvyXVvbkJBFYYNkCyrNWQs9NkZJtAQyUpG6DdhPJ6z0XE1xqSYpEcXgmw5KuoQ3WgA0jdv Q/1m1644NczqfxkVhYyLKvleDoJ30y+hIOlvzEC6hwT/VOTgw+KM7CeOJGETTIYgsUDpArZvg0s9 UsV0K80MXABiTPmIOOPH52M3Fnqn7iPV7Yk5b94NDzjwOpO9hZ+t8lbzIJnjIZ1DbIlrnHmFnPZ9 UuyCFVrH95C0bBJxHCRgoRgqN5UdDp248y2lrJPb0rrKQ6/7CO2FUZQdz5A87x1PAchGsANQc37j JgLIIbYgT+Lnr3h+hiVcZ021bpPZROQppK2PU0jTDTkgESHLk0lh0Vq5z+XzHg66yhld+fddwvmF OPwj406vkeye3+tnk8eA8gfLvbQGQvnxwyC0xEzRUqkucJ1kIGAPt0aj5C7vvShwz9AZIJErD/L6 ChgZjCLQck9eBVIua+EkiGfMgBLBbzVCFFMWWECb+csogn1H61w9VOWicqGHoU0N4wELyej4Po8A C82hHQmfS31CeRwWeKng1gVrQ6QyOnc8oLwjBu3VUtJ62mTULLvI/oonufRlQgrwPGrzLZ/u87sN s2in12UF/POylp+v+0bYOIz4SZSupNXO4YN7+fmykwqY+vsdv8NNrbybVwZxMpFqWxqD0wJ1x9MU OKf6JGtiGVNpW4ban1aFxq39PxbMqisq+gD1z+oQz/AC6K1Aq2Db5x2uW2MhPCCc2aSu3WFzVwO+ D3nv7Tk3sEnb9Aso6uR+bWJog1Pm0uvVIk8dvg4LD6M83nN8RXtfPRPyFW4ESpejKLQiGI9lVl0b 2CIRnXSGLTcf/VtbTiIgMvYPz5zJu/fSXbDtixQ101xpGi6VJFKUrOzb3vM3chAlXQoygurSiXCE bDjqa+KBmZKclOjeYozWx6bVl8+nj6W4pDPiVraL1tveelkpIFPW4K7Jf0+qkW+yNlCvRH2Rd77j 93aRv9yd6zgTh+QTEqTo1jS/LP5D3QPgRi1GRz+7ig548/jqC6cCgR5P24YooQDwXoMRra/wser5 sAf998RyxFQ6bDkIaRxu8RjIkHyQnYog4zItTYoC44Dy+vbMYMOBiTb5LqfvAU383mPCaqr41gI+ 90iFfi3pG3k5wsHxKPELRYrs1Jaz78n+4GMEstHotg/2Gwx4UqH8Wi0+HXxmeLd9KejwptA6BAZg VM76vq3r7MssP/pHOTf5yHowWdt/HqtjCoovDM/I+uNPh/TH0wlC6+dJ1Bc5suy1eemhtpKCZjbC 2O8daUpGBYh/PnPVX+pfBcbzVS27s3I8PZXAkLgdDoW4FOKDzVoJn5/MTfEDzIV6dlufSKDoIY1q W4wuAYK2Cifr+aB4RvCVMG0hOW1oKyrbkz7CBpC9RJLKvO78plP9ebo8RyGsRknTAr+CyUfr5J44 bfdKVoRfnNCWxlLlq2MV5MqkkJyaXH0o10QKtm4pNfugX4mus4XIJzk0PpedkiXQ39wS05XhJBQ9 uk3ENUpHe6y5CyXdOGPvNCP1L4isABrKPlha+C1NE5mg+ZBQTyQcEKAvYDpe6B4+HskLW4DeEyxi qjy+hCYMY9cl0yyJrEOsgvbZ6aLHdJhTO8Uu2SMQUB7D8AF9qxxsIe5dnLkL25rRPAPlrOUb1Ud4 Z0+u4rLJIhDvjOP96cWguBG/vLlIJWa8WvkL0YJKvH05HdObflWEkerwTcle5VwG1fUIF4GRFb5a 34bywiryZ0zogphWAmM3aekru1Pyc822XaJ4ey2dkadSUSVX21GEci68ped3OjiBsAe/KYJtavxq mOePUlQeclN0JmdCocvrHAmn+SRVuVfrdGDBGcWqK2rsijzwr0uByulsk56wIcaYfsI/H3hZejJ5 a9Yj/9yFQYxAE09ZXMM4jKkaD5bZWRCrkOTl+D2SlqyyYK9i2QX6yi13iB7KKUkwvzOetxVfMKta MdpWmVW4Pvn++mkl2XiESJAqWPPMTBKE8H3b/e392gg5zcAgiv+00xe1+4YCcNrYL/yqAO+aV16r og0cmzMQS0S/z7nTGnvPJxz3M2wIoWQ9hMDl2EwlCJ/Rsk2muj4AvhS9iNsOM4RoEvfTRbZBVSaJ wkwLPBrEHUmEjm4IwtwGwicZhHzcyWUqOGmp5mbWZUitiCLJfEhnwhe992RFQR7iLT/yiWaGI+N3 eBfVqpxsUGzHqn1wRml7raPv89Y7JXPjRLzf/BHeY/xpxdd475Y/clOOL3em3IccPGPcyJ3o2Ejf BsX3k+93M9TwvwOYfjePCaJbs5xmyf2RUU/bL2qQbcHcj5gktXLBN5aubMdkHQhce6GO5liCeQoF umwolsKCi4l19MB88VsUdmnUGrWIQYT9r5M+mCgISILmbVvio0kN4Ff8vNU1OE9oIdH6swd/XdHH NUsPpxetnW8XfEloTdSx1NWtjcMCrmsdq6+yme2X+yTn3Mx1vmgFqLE2qrO5B8BDx4IJVCipiZOi nn6BwpmEunZnUKFjD83QAWNtVUYfTTEonmwLGDg+hOoj8lV6s6wswpl8x6c1wJUk8ue3fZ3p7m99 yJVxU/O9iow8UkBc92eSep9uA2OSnpi+PMXnKM38E9y9vjyrHDcMIxXudMqVpv4r/nehIJlJcYyX oKylnTk3JzllShS3wxY6PRpiy9akMYyb4SEEHEg2mFZcPJdvgq9iXvGcjRDX+ipU3CcTASVU0LDI HfDNCvbr9VBuuW5U0ePfNDh5HQlyPDKfmnIXgilG70YD64XrYi7nmtaV1kplr+2eMMxuKMEXPXQN FUFiD3sB3od8g1MrlczOlRmyOYFvdPFkcTWKEIRftpaMbCHzdeGGMouwjEbBSYWk/IXuobBRKkAG LlNOXj39tHS/GJ6Tgv5BgnG7vm5s9jsQtOcVcxlg1HpgN//o+XEV1tcTuDTY4Q4iRdB0Nx+IZOEu E+WClUWCkDvMi5j6AIMDqKSlCQHSLcZe0+TKI5jVMPRsH+hLlOTbTiYf+T21bOXXIb1GGagROtCB CHmh5dCTJ+ye0Y+qTOFnHDyPzOVMxIrBwnd+q6yb+ZGCs6mGy+jHwsX1TfNY204EfZD9Gap7C1Sw ZSZgIH1rj1rxvkVCrQVJDwpKq1jedXF7J5rDl6SWVsqVwwilrg9XOyOVe9EOpMBdj1tjc6nLF8dO Lcls/NusmyKfdikht2Iq6PO6iMnj4gWRgNcRj08SksU5exS7I7eBVTc5MH8y8EIqxrA7GjEsDRe7 E6R9cObHYSNCVRVBjO9qvsxjaEtVcbBTxLN3/+1HWIum2actgEUxaEHxq5ZCdfhTvqSN/mrUFk1t Y5auBCgrCH9lSM7TShyHbTJBK9bWNZ6ch0GaLX4QyLgo/LEHcId+6oqCetduAEDebj/lanmwSPvN wgil0a0ifjEKxbFIhg/IdOi/GoEVlqukg3s/fOwTzuyQKhb9L0cQ32yt7QLMB9vGg5+XxC+Ztg2/ DnrDWzx64DwhZEmrBTfLGXkthwmvvaZgoSMlhrKEE5mZLN/t2QE0Uo04i2CEriKEgfohLYE61urD lUjAysNWTtA4/a9muEyeQibGYaE1IZ6YDnTuSsnmPxIdaqKmRygGXPvdsuddQyuBwGALnGwzbJJr jWh2XTM5Es4DQsNpN0UwqfO4Cs+fgRrKopONvNlnlsMqnxqOztD8+1LF19QTxo72pdz6GauSKEpI 3AmkryZPkkzG/bY7tHZFWZY/wx3i/GFyjiWZgrL2oN7q90cfd5DP5TY0pSL2cq9Opm34F92PJ39Z nVBY9jAfLq0ixAakZ/RO28wGrfygxD/1Lgzb+tUT8UPQXNI3P6kDjDyGqruTNOIGQDJ4ZOdr8YM9 ibw54j7xryWD4xUnkdUAme3cZEKyIIPX7TmkhPIUnVixn1skS7UT3oNyppoDa+x0rIBxBGhRjZrm vG/qgjcQy6KqQM0z6Ca3Lhjk9ZDaIHUp90O8afIsSDmKyfzwGWrQhUfHMou1DyMTtVBr382YNhIE Mop9pM2kjhtcQyuTkCCAWCdmkpXIMAn+qz+YneYH7nMyuFCdgO4HOLF7jRYa/+ZSbl+KLsEuVTZ8 gQeOG1zlG3pEZkUKSOzvc2OqMBNxXFA1IQPb2EnOHLifbKHnPZWSng4Giw4/2kTSL5fZoKLlDivL /YoesSXmDljq+0gRSeRXjW3aa2A+GiW+o/SMjqgl4Xs7qL2AdCQZ0zLbzceq7cn8LxoggdFR4tAu Dp8/S+z8Bw4b7rz8tWtKSVNRJ2liIvD0ddk6mUFf1D+0Y4EvhIKSBnUR5U3zRjXqGCBmh20x3VhW MXrv/dbbg2gUsFKKBJoMaiLij6Y1oeynkVCiw3IBOGaoYnTjs25HGi+24ZpHMe6EGR9dz/r/Fi7o MeC8d4GYm5ahqnOQvsSDB/rxwLwi5hVk49ME7SBUU+9FSkUGxXcH8v5RG5ehaUIDg/hsObN7fc1v Fo4Tuk5qhrRQQRcnbQIUXTA7ghzTCsUBTTFtxeZkwDIGTDaiO7us2lPbvYoY6qHV3qh0g7sSVZF8 4IGr/3sxB6B2bdAIn2wb052NLN0UVbNtPrPxv0TLGEnJsLBmvCgKUFOOl5+Ct1JOv6c5bA9bTNwL PeCoTjGzNbz2p99d8b37cn43P83Fnn15+7f1s+BVp8MezAiQzn0M9IiQU8Yqlj5JqkhjilkAhLBR GPIZZH7l1RogshwuEQmPspaAV9IJeVhSbipWA4VMpA+0FTyy5GhkSXlvhcaQBMsbSglCMjVFevfJ HdSRaApGJnwAOV7yYkhlvVCLYW9DsK5bO7ZxZZjXX8U4MD2s60R8H0bReKtL9ltyojOk3BPxNKVb o3P/cph0wQ9OftfkLr1BAkWnIBI51tjTaFVWMoIw+uN9n/WJrnoodvC2LDq3oa3VCCGYw2Nb4k6Z YwmRDNPUD/6qRjAC08rEyzyBSWZJyHTV8whBn1T3r/hO09yTwJdEih81rjHb5IlFYyNOrFXsxR2o +w+ujvlJrJP4RlIRBOFZ5oZPiFCna+5yeGdK8W9g0c23eB0jim8NsekS5mDaABGinB9BeyHkJl3r 5uNttYwyqt2+9cB1idDggnxyiA+iRKrIIYONkFDnzhqOMlJdvNQOtw1/iQjpNPyjdasDqrCTRxFE K/Ox6JaXxwH1amWNtSMZfhUMUUjiIg+yB1WYseQxZrtSWgu0aCjrmM4JTiGvkTr0dEoxKUtySnSm GGQokK/cvxXsj2gcjZ2ECWWczUog8uULLJld/XW22s2Qv3jlDGQq4qhOGNhgr4Wt/GHECcdzfJ1I 3UyE1nxcvo5MtOIT4aPm9xn3S5VOfVlZV1dXWcX99EIh/MhR4DGMahmzcNYC2blE39FCRTe2X19D 2IYIcnm0+vdGepG5wWY/XJFu0SLRJGpLlS1IOMv/PXwpH645hCmNa56N+N+L6P7c6Bqnv/pJap2r Q3XszzgKyEUHpYx1gUoLko8fkY3PWQB4c7JsTY/et+ZZ4lntr11Uwif2yvV0wgCS1PviwHW974NE F7g3EfZPxycXp6cwZHe+Lhe5hwHrBMf+NVJhs/RlfNmU4aCX1jL91xFrMKbJY0k5Tv9rAkJPMjsM izArvbLc2rwZs32Esvnt+r4Ng7ibpneKSC9RKaNYfbgovLK/+R/cELgHKheOZe8+cSWlQvlLRAtZ TqbgQAZKnT0e40I2HA9NPx9T9pX4tkAROtgUsejmEfv6H0oZTfJ1N85Cd/GitvqKRLd+jVGYysWo /zb/Lwdk6wjAhG3n/+84Vi1meQKyVBEvZG0zUeuEC5v7qYK/bEjOhX5INt4zbGBlnLqxqBcEuIUs bqp+1QMKnxoEXE9yrsXltbaqBTpLJEYiOqeuPW/MLNYqpnXn1c+2MishCN25EgJn6hU+CG8guOMH dN6i6jOEnjsRHnNKfSA6NtQLmeJyA0GBHYHRgrF2f4pucPKAEfDvINFfR3SAcqySHdsSQ01yBkXU JQbNROn6WfECDUSZmVPHYIyiodrStfsBaXgewWE6RO23DsHYQ9QN8433l9y0LFW1u8BuCyMGAwho fc9VZij8CyDmvEZNOnRTzFVhB5QvbVwKvO7oTr8zL9GnqY6MYJM3lUHEIfA87VlY9G8g9gsfHM1i xw1zeIunKXoTgimhjD6bQiedITmhRH+STMDIx1gbpo/XMme6kguCwzng3M/Vq68+LLdnmiIgnn1r s8+mglROpx3K08mS8iysv8Q7ZtemYtfcGZhw8ncpQkzCAEshpzvdrGN5OyztGifjqqMgxW72YCHA +rim2LP/hbwlFLXfNOU43MNQtrpJV5LxyieTcF0RrGy9qegnWXviR23w6B2YDxy9AknVIY0PKReB 7LlCH6Tdyt59X7MO5hC1PZu7mYNtfpH0X79NE6wbeivwaLUnzN9v393Own8dPnXrZ0vDQ2Cuz98e Kum4gDS5nGZOeRMiz0H4QEaHzOtuFsq8sEb89dzAty8AfO5jInDwm4/Ondx9VPZu8/OPKqQQTohw 56tpfgZ35PvuNrBOlkC5HrdjX6KPK1byAeBdbRW+jC7YBCrRrNKSQrlcIMUztdJlW44ZJtU5q9ah BH1lcipdOE5audcUqHwbjTrxOM4vLHH+0KH1h23ZGgESZ64ChAfhnKyRk99XOlfSLFsXk/J8fx6H B7i4b+05DNi7CUSsIu1ug9KGhYZ6JeHhY+0lCk9r2NwrnqDhzh3PlcQhwPuSN3N9aaVdubeZ6vwn liPpEC9Hr9OTTJ+RvgUczWSTUgHmDYGdXocwwzhh/wSmvFmsdNDwPBjQ8TtySN5zfZ8ke/HuV/gA H+Vz4N15QkJh9gTuq2uK0Au34B0FU/4fvrZKRWwpHyoav3QL02LS+/8E+ZQ822YzXCjcHMY3ofQg yB1l5s/lJ7MWvX+iUO329P16ipRHFk6bFKQ/YkA6sj5ayT7Oy0uBl5gmU30F4+R99Ob+toc9dWAs GuuuIfEK1Q6R1z9+j/I7Iu0/xzSa0xm64p85lpbQkEpAw6NKUnZ9ss9qPahZMMj8vBj7wSym2Xh5 SE2sFrn/Ngr0cuu/GyRNb5ZOXzStb0Ok06QFFVhnS/3pxATJhqfs+FcGFis5C4asopq8Mf0vnfmq lVXJqPXnKNFM4aMWGBqnsbwb4j4Acwl7dp69HtWbAYxr7ubIPzdDtERZv8lpWyCUyfpo/ghz7Ywg LiLaMDKt3Kw9gL+N41b6okGfjEdQ8LNJdgwyOtlotzBcINh+UmrXio4QdCMfanjUv1TsdZZmFjTX r0+yzlDD82RVcvnaBvoJyHRn4nwpza819X0GZYxphBiMALSvvW06X5bRZ4fO0ki+ND4rZqZdujLk PnUPPxtaM7RjDCQOqalxw7XE76a+5Gt2QQyzpzembxluaIIJKKY5vIQcK9MVKK9rxe/xmJ/PQ6F2 hG9ZAepbHMe9lov1pnQFvCPWokzMhhq8bhiPerJKxEh7pLCEyaWhZNTKNwhhNUC3myOskUYA0Tq1 0X5/4CBUNP48IiOfyHoBnHV+ziAC/AgHYLYrJAWKAu76cCz/dpe2YLP8WeOd1aIIRLxHgyDiu6pE /5Da8PgqG+yeK2KD+/O9YEntKpwzX7czxde+GAT2tCyRCALMd4KhicbXUVXWQw+OHzVsYTQKMoZ/ 2NoGX45wFqzfSnCK/jZBpoJoEvv74SEi6Vdm/mr6KUohsV88F13J4MEUzl7EpPlN8vQrVteAoXcz aK6Gnq7x4HF24+FFaEquIgBWJbIXtYLMdQVZ2FvLnHR8nm2LwmOmoFJZBEzRY83PRUtDzRonR/y9 tTcZ28Xq1JIPiM4aaCbC84TSwwflBxfHbVgVLoPav+ic6WXocYRiaAu8WlkaQ3HWmkjLxu/4p4MF WtHfeO9LsXPIvhgj0ZoJX6SFvprbz7MOFwHmlXvLxPuA7WXBBtX/SPp5mBVRz+WOT9hSFxCEwata rNsnFSTX58lwIVvcb8A1cvSv+n//fZzaq3aiZIsQXb+r7Fk0iQl9NSAYUZnDO9l3bPsdWmvm+TJJ MSlnFUDKXhpae64elZCoAaX55DWvUlIkuDBMAHJNs9nAZy4LWOA/BR+C8DapE81fSFuFn2Jrz5L8 Ibt+SDByVjAKWXhJuCMYXOjQh/C/QdCYe5xpj+1q5aFBkrEr88MGHeVe3y+hYEj5x+sQbKDZuRMt 26jP4pfKbNY/aWy2I7/+bVeeFEA5E9dltqk6E6jHBigjjrkjMxFrWhPhKNdWntxvbbcuMxXPu1A8 9Amzqh9KcF2aDyU21GX+Ozgoe+ei2mGitRxIt883eMsjaMhYF0NB+i1NU7infWGO75DDf8N1/tXY EtppDJXuAR1FRwQ7v6nsmsxrYdIn3HzG/7o+cjktnO3pXyVtvG/NIvaZq0zlb+W+0QcfetBk3VAV m+d51A/IzlIlXMvpox+CI4cFDDB6r9uO27nlhnqmJ+ChOlpLx5bbkx8yk1UTGHOfXDZGf/DcO5VG 2EK2ARFs9tWrgxWyB7BTEuzFsqQyW+M+v5G9QTPKGhminSaexwUK/InBQpvCjM7mrtCyqHKsgGIt E2Le1+9wx2Pe4SbiM1eliXysJNgjvhbRzVr3dxolb5v+dgcRKfIBV+YPJCP0l77Au7KV4FG3vYuh yxpL2hGHCmoOiCKcfnOYmImAA0brecZsqnxyUXmsazcJ2oMsAhsOrDe04SyucrbfNZJxn51TyWoV GsSyrg0e9NJn/nBIXmN8JKvEFDa7ezIV5HCPe666RpP0hZvZYFPpZIOmXJBOHnYRIBMV2Cnm54GQ 5e919K+v5P1r6XcTjdpksGoPN5kNJ8lJnqAkuKqieCfuVzh9AfJKxd3w4J1j/4hhD0AcIFAHVz71 dvTgWQzKB+wUBqJp0I5CznZsHqnir7RZhWCr9Ocd/+Y1tQVQV+x0Jxk32MeFzxavR6NxMN7v4aXu fvgM7BTLyfyGN4BsTe73tBg1OHHFtwm/eHiNT+Z1zGoBj30+K8WZtXBGlMIG1xpnOgK4Q/qJlEOa AIyNGeyEZjW+J4szQoBvX74dxVPp4Wa9YQ4bw/K2iHMbZyHUK3X2xoObXasXL1fgr1m788XH6374 BHbvc7RXZRQsUT93QIBP5TPsOB/8LcWghdD5bwKey+ISIenLUtsC/dr9mVG423hzIWae5USEiq0i 3/UIqvGYkPIntCrBiZQjiKoeOPShwIOD8cBouf2Q6f5nbX+371VS0Kim/kOADYnkCNj7RHM1Wg+d jMBFBg0t5rYH92PY7jmIJmUegzWZRPzmLBZ3k9/PKTY7GRm/3lDCPslbpqNoBltiAjCvelofnrBO TsaepYcbzjEAu6xO6gpKh9i2zb6zY2+6X8aqAtzemV6HwyghIbm7Ch0mBTbazchXBgmYxNSigbxx vlQgWsTWP99cMFdrCKzID/5DsCiXP2CauLILuButJRUT8bgBowHwVbLG+qH1JqRlTPZAcppqP0xN yN7gu+RvA5fbfcg6lWEDn5B+qVqEwkFz+hBy2taC0R4fqYLWYabAFCCjXqmy8Py/gm6ZRbYmPTjL inCuU6UgA40zfYlxSddemK4vCR8mxajRrvyxveyUridRQwtGn7cLRHCmuYIxWvi9p/QA89N21auB OpeKC7UXgDVQRRi3jjy8aYqH1+jB433FVVAPMfDQlp9KwxBVoq2ZSA9chuvl6b4kaYv24qfzPqdR W7CJ0hT7WE0kqtksez2ZhK29Mbgmg/6qWMrBUwTMlXioXJ2Eo/jK00b8eWcrm/fYYX/fRW2bD/O8 rrpr2/IbG3AsDEAlHTnWtsaW9ZfbaS5UFFN3uX2BMLoaTFAdSepmID6xMh8kC91t5u5ylwsf2EkC 9AHV96hE34O8spIfWv4Xz1EBirUGNA9lJo80ibiap5Am4lh/AB2PYVuh6c5xDNmwHPBQe/n5jnSy 5jMQwIsljjL962sd6HxGTaQb2gZLBtIb0YJEPp203QivrUPFmJDnv0S1MyogQeNvF9Aqg7hnbbi8 1eebNE0U+sZ+zOAkXIbTXW3kP9js/wfnq+5utcQrRgfPzJ86YyrcUviQh5PJ9u4Wty2QP+goOmIr nAW3SFfQUPCXIoDBAz7tnntNJQWaGePdkaBDF+pdUDLz1JUyomLnaM1jdIKszzmfnIO/SeN2XbwR fDJlpk2u3FMDugShYJ1NeGfn5B+Ec3CbaGmvtPG2EEj3Z9fxCB/SCSi2fLvjHZqkF14TIrhAwFjN e1/vDJlAMvb69qoXr3NEOBo7pdeE920b4BkkcrsNibW6JNfv5l29w1G1g6pxgEPPb+B2OLUYumBH JqH1OMIlKBcZMNj5Qvgiihlm0PyOC//dkYuHGmyikUle1fWXTkxZ3fs0gvc7E1pb8dO1BkFXMBMT p1WFLwvEwS9UADuh8Z7obK4GRrXulH5eXlXsoCxbAHj+h+SzG1+mrrzVKTqAE3xYIPbFvqEt5Dp3 rf/c2hQ9blSESIv036iSJQq6Kwiuv1Bu6TXNQbdgZGffezGOWDu8wv/4Ew7kTo06cV9D1kqvx4mP DBEe1yR5HX8Rb3p2Fz+U0awA4Ywzt99f1nLzKxeeFilJSb/z/2keiY7Vsd8qXN+XxSPtFEaHbk3Y +NF5YOvEEwcUE2KbbjpekiyFak7sC0Rb+iBTkV3ymMXk8ThptYVJTS1U6/sfvqO9u5ve3v3hz4Vm xXJOOWppe7sTVzfdYHTLJMl34E/yapc//2y1y/1MQIk7rp94NxbDh4ebt0bCnbZ8oNbuFC1fFohb WpV7Pw4OQIMe/+AQcbPaWM+UEsXesxuj4lOCfOuqQwHHD8l44fNtCylvsoxFdfqOuX1ElK5vsziC zWw3tjebBuHL8yXGvuaTE51RiBCUjXpA5hz5egzofgspEUr5kou86XslSABwW4dZoTnlsd2F/eQ7 E6gi9xz+o1hL2srrYLOfWOb8PIcJ9KEMsAHXSueEJPA88zvKbhLFEDwMsv+Y/r650m2LiycS2voy EEGY21hqrnl/zVkO8TsKGlZAmru9Zo7Aw9CGA49zFUffJY4mdYfe7dyTJWqc36qjqLxW3IiR5iTg R0XoIpSdi383XM66OFMOzMH3zFU7YLR3F6L1cSygrKCYf0PE8gAu7LfERXyJQ4JBDntghPe3bnRQ v/6R/mlkJG2VgfaKnMiHrH0pMWSvC8gmRwz3iKyO2FAFipPQygFefC1RQN7QhAdoV9ObdtUIY/ul XA741QbX45AhebXbuR5WxX1ZPnzVVtN8O0lDZ+BZsmcTpblu/dU0kyOpK9NefZvXcsBIUsiC9PKV RVVUsmBdl/oetp0BhDSJGW2JWAsc3DMYiz8COP6pKiHLWdqJUdPNVhordso9xy52rA8Ppva+YC4L R1YCQTC8gzQ7Y3GV2uycHBwCIr+xYDHtk6RAmEBvYYS71vlYp5PQqnRDcJ8V++lRy0slaICZhK5M vLvvGaXry7CKmppN7jULxMnlve9Ix86CEWhBTbSu5Y2v6fJGFnScChbnuUkjUYw3DeSYhv91AxIv 65Q5wYAVOJXHB8OelQUcg0QNTjOelmv7EK9O461kmBrXPc8xFj+8lWCRyPMIVCyX753mzSqdBIRi hZHEgH3ibkxOEH+ybGvDvA1q2btuuYPzwfj1NZlYFl3brWTTCAuehBcw9w4OpVkcm8OyDdvCai8W uyRoAEV+uZDzeKly8w6YlPCpG1SKj0LLH4Pb3ThKZ4fh3RqUaMHvaai4Veun52X1yJ/o/HTGCUip 90iczctSp1BW6ehxB1oQFjdZ9C4TLcHkQiWW1HopFeUSXdyZCB7I08GVcd/pNWXfhvAwDYXQlF4c KqEwlj0GRWd6f0/24C57WV9+JIRFM/40jjKwuQBGNzbPRAO+0ggJ1cLFnQLPuDBn2ilU3hAeDDp6 IGcJWMRRVkBBiVJc+GC/d868kAyh5xCmffufyKKZWhAS2ui00CSxXlrC2dIg0WgxxTv+dfOejJYV xDD48F92glmjudtvTAAne/nk3ATsw52/LBt/9KVgBc8bWRLmXzKNTIUQPT76TjcvjpkzfFCyJG6I OYCXeN8q1Ic7flIDt3HfNxrBmCjyxSDY8ivUmw5qbtT0dac+Lc4l0/T/OoLgr4zwQet34EDKYjHk K2BP/g9Qi8AwnsLWHAtxK5rACl05NjTPgH0MUvKJLcIy+PZ1anpfdK1h40JyMCiBuvwlCR5jXDC7 fwZ+pucDHwUioesfIpLYKHkkb+qm7tkwtGpQX7DI3V0eUeZZBYMqkd7UrvGX/o9mctvMX6XTrd5v afgHshHZR5KNZxLQqE8k7PshBqOWj4yby/AeH/sWCkCfL5jMloI5CO71Nhlwy/HaE1aF/HMZiIcz i2S6TKDcsT3vkB3AFFJxq5c/ZrMTSr2HM4LO8u0VsfCo+sh8HG1Z7HoYKSvUXe2Ym1Eir0gSHfHQ z25Lq5eJb//C0RR/00nQMd+9fMrip4n90iBDar+P8XET8GBtDkVyVFhsXqbPn3jyW+radRe7sLEK /lu2KL9V0Rzi+fIfPwMouTlc/UWoiESUO3pjzwXYyS6IQS1PpIhbHkkDhAd9EimPL5h6DQDgLl3m 32Hki0Qm5TjoJ0hOmrMtU3/kE3hNq8cKvRGeLa5DLG2A1w7WddBPBw386qQqu01SP0LJf6DjSlMF 15DHO/3eDzBQUt2ZfgZ470w4zG6LtctGnY3qaHmzhEuEy//yBgyG3Ap2A//GXoLCmsrb6qhjHc/p nkWT4R6IjfDl9B3UeNzHWDs9/fHjN3AYv59js1clW1ReSbkJ40w+4QvFymNKia9xOpXan7ftW8rt BXmHyuT8fEAWSQssshjQWgwWoRLdI84Np513F6HGvvRjtq/HH37CBpZsS+t9mJEJ0uMIR41BHNOR g3NmcMCCFtoDYWt6b/v5Rd1hZq6LyJd0jsBERxAvev5+KvWPfDqTjzw7yrNM4YfZ1k+z3aTc6xB8 2EBy95kROVh5qF5IoRKsRDe64H9ulS5dhGjaD17DJnzVB7yBE3FwSOet7nK4EQhfXMgCctZuJySt 0zEW6iMNxODMRua2bRjbMTKi3DEG7VkNl5m6VEneyRvPGA3wT5q27Po5pABuXnFIqB+s9/k1J3nb gDYqrGMAJ5PXI0dOWitifWbWITOSd0o/UmxrjCfL14rfq4cgSatGSgVlKBqYctp7wYPDm09n81dP 1Qu9cNMW7To47DsRTDMpzhX50YvErckHtfGcwwqDU8cQ0c4zPWEauHZz1oRFMgM9xK2tQXPnIiX8 KCWUt4TLVNgdaeCi3Z3pWAS9p2sopLfxLtaYxq9YJenGYAjs77g0K74DQRGX+1dEMOWgUXgwumsV sbfVEQkiw8h9gz8oazK3stkF+PQGWgeDFhcIMcdSGKtRywLluamy8jPLSS326ToI5ZxyxI4uWaG9 2+WxaCSr8IFn+oV9y3awwkxjMQXmKOopiUIx4Q7ZyHvC+IAxOjf7Wfd9ClCCuZCJ98ZK5h1i+wNj RhgV0mgk3ubvu4lcHtwDYaaF0lB/FMf7A6mqR17fIPdwWnUMa8ezB3xZQxReDh7opkDJIIlvzALw q8IgmAJEP0VDzyXDQjUnBu5R/I4AAnao4bvRszfsQ3SjwXkSMbFsCEit360HtZk3nNqvbWd4QAWl mV1c5J1hitM3Qf2YDjzd7CZrunCOzSZ4pJ6CI1Hquv1yg6VJiqccs2ubSMl/QFQcx6lULEqjqV/E /tt3wt6ryTdJrR3MBuuRcpBvS0JxVdYWWLfElrCghGSmi3KaIOrUNZbcEwhqEbq1lrFBHfl1tJKt kDyAO+WydToNudXu2maHuzYYWKRHJ3ak4HSYSqZ2DeU0uW6dnpRZU/CGhujrMJuFulnSseytlcar Q/GexHOCAR20ILtfkypJZEs3TxiWi6D+/54Hc5JP4lHjtFMKRcXDh9CO/XrdwGw3xcaz0GbTG1C3 e1n+xf5oYhA3dLiuDQRDtSshXv6hZo/7J+eUlGjnK00rcLlD0gt9TsUT9vWQg6xPbU8sYH/GSEvq 6gKn4RwvIqCHWoDB4YtVf0UG7MZQH/gzhGUdkRZFJP3+6OOg8xHiVJYiGtZprEI7Aq7P1MclcWmU E34vHoEc7GgC17MxMddIufHdKrBbpzn09V65IzdqMdC7+04/nbtD2Rz2G9y1UUfPeIcNG/byMY+5 u4SaqUSSvYahDe6XSR/5oDuBjGbCCkxlPuwxeYbUHT7tZbLHOg3AsnAGV7qu7uXFOFPPsLLdAqeD 79MJeTi9u1It8vODex4aLloY3HE777UWcjzmsXVSo6f/7dcREkGRan8CD6lkJqKz3Y7Od+aZfBHJ LkwMtvUMoBkG1fRqwMy1EOs+CxmBfXYuS9gQrgCdXSqGHK7fHHRXAn0eD4Hgk0JCeucV3uFRChJ3 im6GrAL1Z4l7vk6NCzou9Q78UlvRDEinXRcxBt1vi93uCmOa2n3f9MJbBJpMrGkIjohD0PrfioMK 8j2YJfBqSDgnPXOO3ua4ETvHWB7pVFNRkxM+JJ1gXCiMNiHW7bOxAy4a7KV6YIsYznA5of41q0ug +oo6zTr6rgh8OaBeYTcQlGdbyce+VnRctmyEByOepdsTc+ShwJ9k+5jLmRcL0Ze6uFi/UhO6yJ6R xdLF6QztrVyFIxWglaGZDfJ/fGBib/W7bEBlzwObmokixk99hY8jFgoHS9paNb75BQIIO5XbfEEt Xf/UG+2CZzYoa5g3/01J7vRpTqPOS8w95Rle6kKthv9oVtCVH6sBMCqw50a69KMtHUBMUSYIJXrM /XbhJqn/CEoml800jfEHM9Im9sb65+LVG213L+n+2kLH2U2xSGZROJNbTucYnmwFyeTPGfaO7hIj FraQijFiJlFse8U1shYnv+fI6KghVFO578jWMvUK3bFlgkKZwJwiJowZTyRNEeBEMIFJ7edYbPiO AE3UwIa/5rZii5qQ+zZl+OjJFAOl6Bu6WMekf4VhOEYmRq1OU6uoa4b41AlL++Pj9wCgJh0KASVO jrp9vDZFEC1rk9Ef1fv0FRzEIRXJ3n1FL0xcuXThwtnB5KRSp0IAJ/duT5/Vy7M9Mtezloql+o6w Wr6HKfA1kHfkQPUjCJn/AZ+AlV3z4NFvuVHqeBZhMrMO8mCMcaXyilohAjqxEMqq69nJXdq2oGpO 5KgNWZOKOz3aAz4Izfq7eby3FubUukTrGORc/oCCu/gZscW9byuAGh7Bctxm3IDLYNPn8qLMq+Kw Zr8SDGnJi4qUnXXp/lsu1BxOuewCB0dJFdSQGOEkTadghtzE7ZJma6oLN3FYbFnsi6puoCE6QrgX VOZCgLErSgjVXq/i7/IXLqP0jPL4X84DfSZShF2gmF0kLcjw62/SXCT6m2GlnOBYIs7+atbFZ3OS EXs1tNbBF66db11LPBFYuxkS0Lg9lLR65i7AmfhlgdrwJF+SgwMaHT81mqbQrM+HYa+3Lpzc0Ytm tC2XP9pmykwnqS3tr6MAnFXIY9AqZ41fEBGmk1piykNXOJNiqrDjMQ4Ud0wZVzHllgDXApGdPdPH Ls//kzw1/yrwkiFmnNL55B8jPE7BfOkdS3lQlPbG4/lmWjpBwgn45F0uFPlojebD305RNR5vlWxY lY5OdmqHIc5seEVLAd7TmnsvT5C/Blw5qu5BADpqpKizbh871cWvMrpUIi2VWavQdCUR844HLdRe htdGJIbt5JPaD+fs51opR7GbwYZICGaXYwii8Eb1SZvi3PUNwM3lWU5iMu8S6j8XqbtMoafbZiQ8 mqYs03jhFCB1vNVIa9cBERR/+DvDRdFN+EQx6ZsoAhzTOFcbV+AAvG4eI0jPJoBuXeVk+bZq+3Wn BfIV4nj1w8Lu2iXvQ9hL9E8VQ8+U3VJxpwRIpAiPukFW1bB1+wiwigkXA6kCJaSeuPgUy18N/YBi Y3/KfAaU7zeaFkSSNYgWKLBADoPgt//8/HzL1H47oiJmYenlKg1jGGxPs024qv/FzFRpzNQ4QBvO N+3jF5C26YgdC+5Od7m+WDWQpuQyO6c6IVaIavyKnJW+RkhSCxXVDvhQpUDVEAreiFqGizfECg6U EYrO5eGhwTeD/8b4b4rXbXof6HGdeS7GFN0gsLhM6EjApqCKH1j+kc4umMLZlxkUeaPTdQqTnwsd OZTRhdcJMMLXW3EcBdpM60Lo9AILgYhwIwoLgIa6WJeVdohMrZaZ8vrMeLkIYFVSKVbt+l41AXZf xEtfj81zxUgZWYx03z6yGNe/92c88Sa84S2lcgkY `protect end_protected
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e/_primary.vhd
3
4083
library verilog; use verilog.vl_types.all; entity F2DSS_ACE is port( HCLK : in vl_logic; PCLK : in vl_logic; PRESETN : in vl_logic; PADDR : in vl_logic_vector(12 downto 0); PSEL : in vl_logic; PENABLE : in vl_logic; PWRITE : in vl_logic; PWDATA : in vl_logic_vector(31 downto 0); PRDATA : out vl_logic_vector(31 downto 0); PREADY : out vl_logic; PSLVERR : out vl_logic; INTERRUPT : out vl_logic_vector(85 downto 0); ADC0_TVC : out vl_logic_vector(7 downto 0); ADC1_TVC : out vl_logic_vector(7 downto 0); ADC2_TVC : out vl_logic_vector(7 downto 0); ADC0_STC : out vl_logic_vector(7 downto 0); ADC1_STC : out vl_logic_vector(7 downto 0); ADC2_STC : out vl_logic_vector(7 downto 0); ADC0_MODE : out vl_logic_vector(3 downto 0); ADC1_MODE : out vl_logic_vector(3 downto 0); ADC2_MODE : out vl_logic_vector(3 downto 0); ADC_VAREFSEL : out vl_logic; ABPOWERON : out vl_logic; ADC0_CHNUMBER : out vl_logic_vector(4 downto 0); ADC1_CHNUMBER : out vl_logic_vector(4 downto 0); ADC2_CHNUMBER : out vl_logic_vector(4 downto 0); ADC0_ADCSTART : out vl_logic; ADC1_ADCSTART : out vl_logic; ADC2_ADCSTART : out vl_logic; ADC0_PWRDWN : out vl_logic; ADC1_PWRDWN : out vl_logic; ADC2_PWRDWN : out vl_logic; ADC0_ADCRESET : out vl_logic; ADC1_ADCRESET : out vl_logic; ADC2_ADCRESET : out vl_logic; ADC0_BUSY : in vl_logic; ADC1_BUSY : in vl_logic; ADC2_BUSY : in vl_logic; ADC0_CALIBRATE : in vl_logic; ADC1_CALIBRATE : in vl_logic; ADC2_CALIBRATE : in vl_logic; ADC0_DATAVALID : in vl_logic; ADC1_DATAVALID : in vl_logic; ADC2_DATAVALID : in vl_logic; ADC0_SAMPLE : in vl_logic; ADC1_SAMPLE : in vl_logic; ADC2_SAMPLE : in vl_logic; ADC0_RESULT : in vl_logic_vector(11 downto 0); ADC1_RESULT : in vl_logic_vector(11 downto 0); ADC2_RESULT : in vl_logic_vector(11 downto 0); ACB_ADDR : out vl_logic_vector(7 downto 0); ACB_WRE : out vl_logic; ACB_WDATA : out vl_logic_vector(7 downto 0); ACB_RDATA : in vl_logic_vector(7 downto 0); ACB_RESETN : out vl_logic; COMPARATOR : in vl_logic_vector(11 downto 0); OBD_DOUT : out vl_logic_vector(2 downto 0); OBD_CLKOUT : out vl_logic_vector(2 downto 0); OBD_ENABLE : out vl_logic_vector(2 downto 0); FPGA_OBD_DOUT : in vl_logic_vector(2 downto 0); FPGA_OBD_CLKOUT : in vl_logic_vector(2 downto 0); FPGA_TRIGGER : in vl_logic; FPGA_FLAGS : out vl_logic_vector(31 downto 0); ACE_INREADY : out vl_logic; ACE_OUTREADY : out vl_logic; TESTMODE : in vl_logic; RB_TEST : in vl_logic; PPE_CSBA : in vl_logic; PPE_CSBB : in vl_logic; PPE_RWBA : in vl_logic; PPE_RWBB : in vl_logic; PPE_ADA : in vl_logic_vector(8 downto 0); PPE_ADB : in vl_logic_vector(8 downto 0); PPE_WDA : in vl_logic_vector(31 downto 0); PPE_WDB : in vl_logic_vector(31 downto 0); PPE_RDA : out vl_logic_vector(31 downto 0); PPE_RDB : out vl_logic_vector(31 downto 0) ); end F2DSS_ACE;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_2/blk_mem_gen_2_funcsim.vhdl
1
118209
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.1 (win64) Build 881834 Fri Apr 4 14:15:54 MDT 2014 -- Date : Thu Jul 24 13:45:06 2014 -- Host : CE-2013-124 running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode funcsim -- D:/SHS/Research/AutoEnetGway/Mine/xc702/aes_xc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_2/blk_mem_gen_2_funcsim.vhdl -- Design : blk_mem_gen_2 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_2blk_mem_gen_prim_wrapper is port ( doutb : out STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_2blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper"; end blk_mem_gen_2blk_mem_gen_prim_wrapper; architecture STRUCTURE of blk_mem_gen_2blk_mem_gen_prim_wrapper is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 2, READ_WIDTH_B => 2, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "READ_FIRST", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2) => '1', ADDRARDADDR(1) => '1', ADDRARDADDR(0) => '1', ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 1) => addrb(13 downto 0), ADDRBWRADDR(0) => '1', CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 2), DOBDO(1 downto 0) => doutb(1 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => wea(0), ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized0\ is port ( doutb : out STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized0\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized0\; architecture STRUCTURE of \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized0\ is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 2, READ_WIDTH_B => 2, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "READ_FIRST", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2) => '1', ADDRARDADDR(1) => '1', ADDRARDADDR(0) => '1', ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 1) => addrb(13 downto 0), ADDRBWRADDR(0) => '1', CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 2), DOBDO(1 downto 0) => doutb(1 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => wea(0), ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized1\ is port ( doutb : out STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized1\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized1\; architecture STRUCTURE of \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized1\ is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 2, READ_WIDTH_B => 2, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "READ_FIRST", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2) => '1', ADDRARDADDR(1) => '1', ADDRARDADDR(0) => '1', ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 1) => addrb(13 downto 0), ADDRBWRADDR(0) => '1', CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 2), DOBDO(1 downto 0) => doutb(1 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => wea(0), ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized2\ is port ( doutb : out STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized2\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized2\; architecture STRUCTURE of \blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized2\ is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 2, READ_WIDTH_B => 2, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "READ_FIRST", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 3) => addra(11 downto 0), ADDRARDADDR(2) => '1', ADDRARDADDR(1) => '1', ADDRARDADDR(0) => '1', ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 1) => addrb(13 downto 0), ADDRBWRADDR(0) => '1', CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7 downto 0) => dina(7 downto 0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 2), DOBDO(1 downto 0) => doutb(1 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => wea(0), ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_2blk_mem_gen_prim_width is port ( doutb : out STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_2blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; end blk_mem_gen_2blk_mem_gen_prim_width; architecture STRUCTURE of blk_mem_gen_2blk_mem_gen_prim_width is begin \prim_noinit.ram\: entity work.blk_mem_gen_2blk_mem_gen_prim_wrapper port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(7 downto 0) => dina(7 downto 0), doutb(1 downto 0) => doutb(1 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_2blk_mem_gen_prim_width__parameterized0\ is port ( doutb : out STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_2blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_2blk_mem_gen_prim_width__parameterized0\; architecture STRUCTURE of \blk_mem_gen_2blk_mem_gen_prim_width__parameterized0\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized0\ port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(7 downto 0) => dina(7 downto 0), doutb(1 downto 0) => doutb(1 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_2blk_mem_gen_prim_width__parameterized1\ is port ( doutb : out STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_2blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_2blk_mem_gen_prim_width__parameterized1\; architecture STRUCTURE of \blk_mem_gen_2blk_mem_gen_prim_width__parameterized1\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized1\ port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(7 downto 0) => dina(7 downto 0), doutb(1 downto 0) => doutb(1 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_2blk_mem_gen_prim_width__parameterized2\ is port ( doutb : out STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_2blk_mem_gen_prim_width__parameterized2\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_2blk_mem_gen_prim_width__parameterized2\; architecture STRUCTURE of \blk_mem_gen_2blk_mem_gen_prim_width__parameterized2\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_2blk_mem_gen_prim_wrapper__parameterized2\ port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(7 downto 0) => dina(7 downto 0), doutb(1 downto 0) => doutb(1 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_2blk_mem_gen_generic_cstr is port ( doutb : out STD_LOGIC_VECTOR ( 7 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_2blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; end blk_mem_gen_2blk_mem_gen_generic_cstr; architecture STRUCTURE of blk_mem_gen_2blk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.blk_mem_gen_2blk_mem_gen_prim_width port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(7 downto 6) => dina(25 downto 24), dina(5 downto 4) => dina(17 downto 16), dina(3 downto 2) => dina(9 downto 8), dina(1 downto 0) => dina(1 downto 0), doutb(1 downto 0) => doutb(1 downto 0), enb => enb, wea(0) => wea(0) ); \ramloop[1].ram.r\: entity work.\blk_mem_gen_2blk_mem_gen_prim_width__parameterized0\ port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(7 downto 6) => dina(27 downto 26), dina(5 downto 4) => dina(19 downto 18), dina(3 downto 2) => dina(11 downto 10), dina(1 downto 0) => dina(3 downto 2), doutb(1 downto 0) => doutb(3 downto 2), enb => enb, wea(0) => wea(0) ); \ramloop[2].ram.r\: entity work.\blk_mem_gen_2blk_mem_gen_prim_width__parameterized1\ port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(7 downto 6) => dina(29 downto 28), dina(5 downto 4) => dina(21 downto 20), dina(3 downto 2) => dina(13 downto 12), dina(1 downto 0) => dina(5 downto 4), doutb(1 downto 0) => doutb(5 downto 4), enb => enb, wea(0) => wea(0) ); \ramloop[3].ram.r\: entity work.\blk_mem_gen_2blk_mem_gen_prim_width__parameterized2\ port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(7 downto 6) => dina(31 downto 30), dina(5 downto 4) => dina(23 downto 22), dina(3 downto 2) => dina(15 downto 14), dina(1 downto 0) => dina(7 downto 6), doutb(1 downto 0) => doutb(7 downto 6), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_2blk_mem_gen_top is port ( doutb : out STD_LOGIC_VECTOR ( 7 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_2blk_mem_gen_top : entity is "blk_mem_gen_top"; end blk_mem_gen_2blk_mem_gen_top; architecture STRUCTURE of blk_mem_gen_2blk_mem_gen_top is begin \valid.cstr\: entity work.blk_mem_gen_2blk_mem_gen_generic_cstr port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(31 downto 0) => dina(31 downto 0), doutb(7 downto 0) => doutb(7 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_2blk_mem_gen_v8_2_synth is port ( doutb : out STD_LOGIC_VECTOR ( 7 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; enb : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_2blk_mem_gen_v8_2_synth : entity is "blk_mem_gen_v8_2_synth"; end blk_mem_gen_2blk_mem_gen_v8_2_synth; architecture STRUCTURE of blk_mem_gen_2blk_mem_gen_v8_2_synth is begin \gnativebmg.native_blk_mem_gen\: entity work.blk_mem_gen_2blk_mem_gen_top port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(31 downto 0) => dina(31 downto 0), doutb(7 downto 0) => doutb(7 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ is port ( clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 7 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 7 downto 0 ); injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; eccpipece : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; rdaddrecc : out STD_LOGIC_VECTOR ( 13 downto 0 ); sleep : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_injectsbiterr : in STD_LOGIC; s_axi_injectdbiterr : in STD_LOGIC; s_axi_sbiterr : out STD_LOGIC; s_axi_dbiterr : out STD_LOGIC; s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 13 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "blk_mem_gen_v8_2"; attribute C_FAMILY : string; attribute C_FAMILY of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "zynq"; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "zynq"; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "./"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 1; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "NONE"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 4; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 9; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 1; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 1; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "no_coe_file_loaded"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "blk_mem_gen_2.mem"; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "0"; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "CE"; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "0"; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 1; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "READ_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 32; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 32; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 4096; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 4096; attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 12; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "CE"; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_INITB_VAL : string; attribute C_INITB_VAL of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "0"; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 1; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 1; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "READ_FIRST"; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 8; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 8; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 16384; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 16384; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 14; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "ALL"; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 1; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is 0; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "4"; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "0"; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "Estimated Power for IP : 10.9418 mW"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ : entity is "yes"; end \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\; architecture STRUCTURE of \blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ is signal \<const0>\ : STD_LOGIC; begin dbiterr <= \<const0>\; douta(31) <= \<const0>\; douta(30) <= \<const0>\; douta(29) <= \<const0>\; douta(28) <= \<const0>\; douta(27) <= \<const0>\; douta(26) <= \<const0>\; douta(25) <= \<const0>\; douta(24) <= \<const0>\; douta(23) <= \<const0>\; douta(22) <= \<const0>\; douta(21) <= \<const0>\; douta(20) <= \<const0>\; douta(19) <= \<const0>\; douta(18) <= \<const0>\; douta(17) <= \<const0>\; douta(16) <= \<const0>\; douta(15) <= \<const0>\; douta(14) <= \<const0>\; douta(13) <= \<const0>\; douta(12) <= \<const0>\; douta(11) <= \<const0>\; douta(10) <= \<const0>\; douta(9) <= \<const0>\; douta(8) <= \<const0>\; douta(7) <= \<const0>\; douta(6) <= \<const0>\; douta(5) <= \<const0>\; douta(4) <= \<const0>\; douta(3) <= \<const0>\; douta(2) <= \<const0>\; douta(1) <= \<const0>\; douta(0) <= \<const0>\; rdaddrecc(13) <= \<const0>\; rdaddrecc(12) <= \<const0>\; rdaddrecc(11) <= \<const0>\; rdaddrecc(10) <= \<const0>\; rdaddrecc(9) <= \<const0>\; rdaddrecc(8) <= \<const0>\; rdaddrecc(7) <= \<const0>\; rdaddrecc(6) <= \<const0>\; rdaddrecc(5) <= \<const0>\; rdaddrecc(4) <= \<const0>\; rdaddrecc(3) <= \<const0>\; rdaddrecc(2) <= \<const0>\; rdaddrecc(1) <= \<const0>\; rdaddrecc(0) <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(3) <= \<const0>\; s_axi_bid(2) <= \<const0>\; s_axi_bid(1) <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_dbiterr <= \<const0>\; s_axi_rdaddrecc(13) <= \<const0>\; s_axi_rdaddrecc(12) <= \<const0>\; s_axi_rdaddrecc(11) <= \<const0>\; s_axi_rdaddrecc(10) <= \<const0>\; s_axi_rdaddrecc(9) <= \<const0>\; s_axi_rdaddrecc(8) <= \<const0>\; s_axi_rdaddrecc(7) <= \<const0>\; s_axi_rdaddrecc(6) <= \<const0>\; s_axi_rdaddrecc(5) <= \<const0>\; s_axi_rdaddrecc(4) <= \<const0>\; s_axi_rdaddrecc(3) <= \<const0>\; s_axi_rdaddrecc(2) <= \<const0>\; s_axi_rdaddrecc(1) <= \<const0>\; s_axi_rdaddrecc(0) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(3) <= \<const0>\; s_axi_rid(2) <= \<const0>\; s_axi_rid(1) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_sbiterr <= \<const0>\; s_axi_wready <= \<const0>\; sbiterr <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_blk_mem_gen: entity work.blk_mem_gen_2blk_mem_gen_v8_2_synth port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dina(31 downto 0) => dina(31 downto 0), doutb(7 downto 0) => doutb(7 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_2 is port ( clka : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); clkb : in STD_LOGIC; enb : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 13 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of blk_mem_gen_2 : entity is true; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of blk_mem_gen_2 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of blk_mem_gen_2 : entity is "blk_mem_gen_v8_2,Vivado 2014.1"; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of blk_mem_gen_2 : entity is "blk_mem_gen_2,blk_mem_gen_v8_2,{}"; attribute core_generation_info : string; attribute core_generation_info of blk_mem_gen_2 : entity is "blk_mem_gen_2,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=0,x_ipLanguage=VHDL,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=blk_mem_gen_2.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=READ_FIRST,C_WRITE_WIDTH_A=32,C_READ_WIDTH_A=32,C_WRITE_DEPTH_A=4096,C_READ_DEPTH_A=4096,C_ADDRA_WIDTH=12,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=8,C_READ_WIDTH_B=8,C_WRITE_DEPTH_B=16384,C_READ_DEPTH_B=16384,C_ADDRB_WIDTH=14,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=4,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 10.9418 mW}"; end blk_mem_gen_2; architecture STRUCTURE of blk_mem_gen_2 is signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_douta_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 13 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 13 downto 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of U0 : label is 12; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of U0 : label is 14; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of U0 : label is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of U0 : label is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of U0 : label is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of U0 : label is 1; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of U0 : label is "0"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of U0 : label is "4"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of U0 : label is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of U0 : label is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of U0 : label is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of U0 : label is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 10.9418 mW"; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of U0 : label is 0; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of U0 : label is 1; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of U0 : label is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of U0 : label is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of U0 : label is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of U0 : label is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of U0 : label is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of U0 : label is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of U0 : label is "blk_mem_gen_2.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of U0 : label is 0; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 1; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of U0 : label is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of U0 : label is 4096; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of U0 : label is 16384; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of U0 : label is 32; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of U0 : label is 8; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of U0 : label is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of U0 : label is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of U0 : label is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of U0 : label is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of U0 : label is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of U0 : label is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of U0 : label is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of U0 : label is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of U0 : label is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of U0 : label is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of U0 : label is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of U0 : label is 4096; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of U0 : label is 16384; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of U0 : label is "READ_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of U0 : label is "READ_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of U0 : label is 32; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of U0 : label is 8; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "zynq"; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of U0 : label is std.standard.true; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.\blk_mem_gen_2blk_mem_gen_v8_2__parameterized0\ port map ( addra(11 downto 0) => addra(11 downto 0), addrb(13 downto 0) => addrb(13 downto 0), clka => clka, clkb => clkb, dbiterr => NLW_U0_dbiterr_UNCONNECTED, dina(31 downto 0) => dina(31 downto 0), dinb(7) => '0', dinb(6) => '0', dinb(5) => '0', dinb(4) => '0', dinb(3) => '0', dinb(2) => '0', dinb(1) => '0', dinb(0) => '0', douta(31 downto 0) => NLW_U0_douta_UNCONNECTED(31 downto 0), doutb(7 downto 0) => doutb(7 downto 0), eccpipece => '0', ena => '0', enb => enb, injectdbiterr => '0', injectsbiterr => '0', rdaddrecc(13 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(13 downto 0), regcea => '0', regceb => '0', rsta => '0', rstb => '0', s_aclk => '0', s_aresetn => '0', s_axi_araddr(31) => '0', s_axi_araddr(30) => '0', s_axi_araddr(29) => '0', s_axi_araddr(28) => '0', s_axi_araddr(27) => '0', s_axi_araddr(26) => '0', s_axi_araddr(25) => '0', s_axi_araddr(24) => '0', s_axi_araddr(23) => '0', s_axi_araddr(22) => '0', s_axi_araddr(21) => '0', s_axi_araddr(20) => '0', s_axi_araddr(19) => '0', s_axi_araddr(18) => '0', s_axi_araddr(17) => '0', s_axi_araddr(16) => '0', s_axi_araddr(15) => '0', s_axi_araddr(14) => '0', s_axi_araddr(13) => '0', s_axi_araddr(12) => '0', s_axi_araddr(11) => '0', s_axi_araddr(10) => '0', s_axi_araddr(9) => '0', s_axi_araddr(8) => '0', s_axi_araddr(7) => '0', s_axi_araddr(6) => '0', s_axi_araddr(5) => '0', s_axi_araddr(4) => '0', s_axi_araddr(3) => '0', s_axi_araddr(2) => '0', s_axi_araddr(1) => '0', s_axi_araddr(0) => '0', s_axi_arburst(1) => '0', s_axi_arburst(0) => '0', s_axi_arid(3) => '0', s_axi_arid(2) => '0', s_axi_arid(1) => '0', s_axi_arid(0) => '0', s_axi_arlen(7) => '0', s_axi_arlen(6) => '0', s_axi_arlen(5) => '0', s_axi_arlen(4) => '0', s_axi_arlen(3) => '0', s_axi_arlen(2) => '0', s_axi_arlen(1) => '0', s_axi_arlen(0) => '0', s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arsize(2) => '0', s_axi_arsize(1) => '0', s_axi_arsize(0) => '0', s_axi_arvalid => '0', s_axi_awaddr(31) => '0', s_axi_awaddr(30) => '0', s_axi_awaddr(29) => '0', s_axi_awaddr(28) => '0', s_axi_awaddr(27) => '0', s_axi_awaddr(26) => '0', s_axi_awaddr(25) => '0', s_axi_awaddr(24) => '0', s_axi_awaddr(23) => '0', s_axi_awaddr(22) => '0', s_axi_awaddr(21) => '0', s_axi_awaddr(20) => '0', s_axi_awaddr(19) => '0', s_axi_awaddr(18) => '0', s_axi_awaddr(17) => '0', s_axi_awaddr(16) => '0', s_axi_awaddr(15) => '0', s_axi_awaddr(14) => '0', s_axi_awaddr(13) => '0', s_axi_awaddr(12) => '0', s_axi_awaddr(11) => '0', s_axi_awaddr(10) => '0', s_axi_awaddr(9) => '0', s_axi_awaddr(8) => '0', s_axi_awaddr(7) => '0', s_axi_awaddr(6) => '0', s_axi_awaddr(5) => '0', s_axi_awaddr(4) => '0', s_axi_awaddr(3) => '0', s_axi_awaddr(2) => '0', s_axi_awaddr(1) => '0', s_axi_awaddr(0) => '0', s_axi_awburst(1) => '0', s_axi_awburst(0) => '0', s_axi_awid(3) => '0', s_axi_awid(2) => '0', s_axi_awid(1) => '0', s_axi_awid(0) => '0', s_axi_awlen(7) => '0', s_axi_awlen(6) => '0', s_axi_awlen(5) => '0', s_axi_awlen(4) => '0', s_axi_awlen(3) => '0', s_axi_awlen(2) => '0', s_axi_awlen(1) => '0', s_axi_awlen(0) => '0', s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awsize(2) => '0', s_axi_awsize(1) => '0', s_axi_awsize(0) => '0', s_axi_awvalid => '0', s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, s_axi_injectdbiterr => '0', s_axi_injectsbiterr => '0', s_axi_rdaddrecc(13 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(13 downto 0), s_axi_rdata(7 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(7 downto 0), s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, s_axi_wdata(31) => '0', s_axi_wdata(30) => '0', s_axi_wdata(29) => '0', s_axi_wdata(28) => '0', s_axi_wdata(27) => '0', s_axi_wdata(26) => '0', s_axi_wdata(25) => '0', s_axi_wdata(24) => '0', s_axi_wdata(23) => '0', s_axi_wdata(22) => '0', s_axi_wdata(21) => '0', s_axi_wdata(20) => '0', s_axi_wdata(19) => '0', s_axi_wdata(18) => '0', s_axi_wdata(17) => '0', s_axi_wdata(16) => '0', s_axi_wdata(15) => '0', s_axi_wdata(14) => '0', s_axi_wdata(13) => '0', s_axi_wdata(12) => '0', s_axi_wdata(11) => '0', s_axi_wdata(10) => '0', s_axi_wdata(9) => '0', s_axi_wdata(8) => '0', s_axi_wdata(7) => '0', s_axi_wdata(6) => '0', s_axi_wdata(5) => '0', s_axi_wdata(4) => '0', s_axi_wdata(3) => '0', s_axi_wdata(2) => '0', s_axi_wdata(1) => '0', s_axi_wdata(0) => '0', s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(0) => '0', s_axi_wvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, sleep => '0', wea(0) => wea(0), web(0) => '0' ); end STRUCTURE;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/simulation/fifo_generator_vhdl_beh.vhd
5
613661
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dHLKy/nz06UYVZQugnMYVzoizdQga5eiPviTNijLVrfka0e4n10maZroENH+i/d3D4nP+6rCehwJ Pg9u9lMPEA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FU+YgOMEW7wHhcRbjPDmbCo7UM2CGV7VwVVl4gjrfWCjnM9rBXIcGtwrCocUfVrUK5yAzNllJcbk RkDirhqVsH3Dq1TEQbXSZIdRul0B5/DsmxVfyk6xwHMQT4GRVIhAj9IY2BPlyuQe+uiGABvn7SO9 708Iw6IfcBM3Akln2XQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sCyiVB27tH4McbS42COLF49X2klsN9rEOOt6PRuLABV0U4bnrzrPA7cgvCNRl5ervASr1Eq8O0E/ 6sNkTJ0CNnexp9PRJnvCTuVEqf5rDmOexlkysQSuvCf5I9IjBWrob+rfkQE29AHt4/iWieHDAA03 fAnUI5CoEWnbH6V5/BSBkNIB/STwgBz+UXKuJnqAumwRextGnYVz1D3Vlf7gpOMaqMaG+OYUB6Db 3wK0S+kLVMhec5dwYm6dh9Xy3uETRUEPZrin0TkQKRx1pvXxIoNFQSWapro5z2PdU5ClAC3zisqb DjX9vdb2ILrhSNByhRV2/IS9z0/lugc/VHH74Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qwVz9qepk3J1bxUCOZC+3ZtoY3qbZiPW1Bkyyou6j0YnDBiKN5Jk/5EfBzmR7Dqzep408KKnGwkg I8IQZRaFJRbCPYH/CX3nnRBLW9hg22xZDUESYSDyQPNfnaGdXEa/c/+VYUWHtwEjEQsjZtsNsAsa iQzYiQoN87u2pFro4tg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block J3IBWs5TG54OVxD3K6WpxUqKy5qvpCmAWVBYJUQLz+wM82DQcBxD0CAagvYWxWUkhwpAUzKKi1wO YUxr4jRVrxo1FtXZLUP1SjdxSbP9maRFzxJ3+/buydDsNIEHOXkAuAgCrNwv+PUWW+ZIH0FWxxIy oRAA6/AdlpE78/juksgpt/1tbxMQ/1EUHnlEY2bst/hSr+kuuOzrc/qBqnFFNlg/OTPvxFy6jd9h MBQXulj2wJ82hPCq7ScKTJibNl1EcNHRZZptGiphEEhpcBsvQyOTNq6x5zpcFGceUXkKqiB5Rti7 2UdBYt7dyuUuPqp60TVu2mLCEdQKcryOh0M1JA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 452528) `protect data_block azQoGUAH6Y4js7lGt5Q8UjSNz7BAz26CH6rxZX8MRNP0xNrQm02HLZOq6C0WiXDmKrCZQZ3B1zrz jLndjCYkYJuD7TOjFux5fq5YD2dU6hF8SF9NsL9op7xNJj3mghCNw0d8++aT4VQa/CvnE4IEI0Nm bTNq55sp9OiZYtNOEB3N9F2A3XT+vUQnBW3wVkHLF+TIasSeIZs3XYsKzRYNJEb3f2dum7/TXFBh GFvYvm+2AwT3xOsHVrRVQhI8PHBT/hqANOkWzvR/E32epQgVbA5gKtQwTassEG6cntrkqGYU1peO MPQ7DN0qNnQNgOxDicDEiuXVDVkGQuJGDrEE6uiNlkLglYibcLnEIlPHLq3NbIAVjhiYxMYiEV9e newTOilzj7aOAeuYt9WkWo12XvVr0umUrpo6QOLn+Y9CmKAPWwlO+LzPBK1k64Jnv1FS6hlHKGAx Yx+rRB6oZ6iCe0vE9egtL7AR8FUquBEgj2Lb0MMHK3x/R1AYsYPbUyqwO8xA7YvMToLb1Y8OaXQl khpzYCuQB7bordRzDCQLbwIVCjz3X+DoHuLe0g6k+A63AC7GpU8rjOS9EEDkFqQNVtaEmBoIL87J S3+IMjdtD8YPtEUldRHRe1r90y+r2T4dOrOcVryC3h7bMXvcn8ixWoCLoNd8athbMLgMPrEdhiaY uS+fLA2fs8j7/1wtnasn+j5VMfJXB28/c00n5lO6uMNfCyq4mht9DZEHxH9Ha7g67itMagZYGy1w V1tQt47mKTy2fFnMtU0sptlkPot39QrSnA/DJqVRl01Bzep4yq4Oouc+1fGBqTOWZWMOH0O0Kmfx n9klPkk9kRmB0MpuD8siC7DbPXOqKZTT4kfLNFRPThzdpWwuuS89ffK4MsddxdELTdGGoeilGh96 GsWJyGfEy9puCGEvoG2wjCRzmgxbCaSx99qWq4M7gn2iFOpEFv7x+Jwx/tK7X7IWaB2cMv5e/GSp 9jb+AxfO4uG77UEuIG0FK4o3Z99keW1QCmRGXTjdP++1MxO/FO5oZKIfb44yT5lQ6C2XR/jpd17M mHf0VRkbJiLjrVytZ3aAZdBGJQwBELbILX3kZzegl9xIBnr7K1ATPpdWfr+b92x4HaXNAz1jWFeR E6gCCtxfigCjyBnFSWsgk+ql5ZB5v7+TNf0mZORAtahg88SCVZZcVt3hKOXrnt2dxtj8Nqnqd4IV hWcRRN4ccZfiH4y3G7i/4MXvWJpxRusqfqmyJtmwPYxbWHRY+4tI/L5bRhB1VHqtrAONUQZr/jFh qW9HtlSA0vbKde5hzgXd/2Uz0TW+epdk3xrjc4VZDXuOQxHQT6ETAocAAiGlY22TSMWKi06ERhet 41pBmyknBlCLgJYWPbRPrjs0gZOnso9gbfl7XT+zEK+FHU32xFJKovDz/JihV7a+6FWWBcCA9gpT QmaS+XKhF31hdsDpyR8G/wPrjCnfngFCLZH3dAtJZMxZZmIet2g1Nu6no5bNKgioBwSZ8Qz4YaXI AJtZPD7tOP+Wz/OwsYh8C7FIBCKG7dCvZSqU8t5w+Q/8lHDh159+Adxi5/w7Ovjn19X3X4jijIuN ksT4zPDxevjkfoS4biTHhpNnseG2+alPuZEV+kOmEP26I6FuVRY0wcSyDE4NUKNc6eJsfMEDHXqP 79WEvaC7k51HvTQ0xgSCiXrjTb9Gd6yVw/qtlrz9H+tS+RONuwSUVEy4S/LGOy/sXCaJzjy9tV+M AyLcvpGPx3dR1toExx7y5tGvgnvJdv7aJ4sm5VjR/B9TNGPSBEksp85T5zIQ3vT0A4Kh978g14pw SchxBZHskFP5tlPPZMqafvraEvixr2urN0vZwYBVurAR62L8AnubkOL2LOjyFcTsoIxNTP6NWWnT p8k07k11IrGk49kqq4wet8WabkltbarqTf2E9RlQU0Ep4Nq63VHdlA/G310HioClmfmH3H/SuDnG AdX16jxvBEmljZsKx1ZcKhBYaHTjlEAEpNzJu3KypdKljbS5hnCGxToSddZfE/HHrm7Hlm5NLdhT XZfEPu+bXUAqyXyZ3L/i3UJfwsJdrcO2V3Y8SjRmxXrPuPkPuOhRZilwVkna/z+nQdSbB47LkVbs QxgCj5ogZwNKhv7VvXm4vjqyNdwxaQFgdL1mwNe6DoCpQQoDgDl/z9lAHZzIfXFXND5hPJ9pcY9s ZvMXF3lvUJRUOuBB4D92uvyeTnq8zLkiPAplahaTIdT/m64XNjVs9AIbBMY57Jzvk7f40+V0fTqb WkldeSimND9JhYDHliYipaPikJdM1+SNHTlbcfVXs+o+MuwJcFNClE/u21xGXo94vnhJBlE4YZPp f2xlOcRnaQT8WMRB54c2ovg/eDj/Hcw2GiVMCHQRKeE6p/M5Y7glYVXrJ5bol9QhtiTCZtP0Rvpm omnl1CfI1m3ZVa0FatqZA22F+jRYaoCM6MFN5Of3nl1735oNW51JR5GwVjh5RopiZGhtu09nr7PB iIDBfS91bNDtPyFeIl/l0Mp0Ieo4Dis9hl459D6XmBp8wM6J3Y+h4PZwCmBCQ0pYNxaJyka1UeES oPt0M0+gQeo6LriQWyREB42jlRCarIrjZ4heWm7ITJuelyVmFRkePrCaAx6+8fVmUkWk0QSdUOZc rObqWafAXeYUXR2v2Q6803x4RPYEtN2XEWiWqwV54xWRSfPpBsDjk6poyAcAgaORiSv9JzSdpcig H7AhEZf0Ud3v2w5QZ2oJhDBmkOz54zCRIRUth+PnrBHQHOhGc3RtvgFUwHl4MrO1az685pSBurm4 RQj3FEmB3iH34M5TrCd0KOl3y2wYfk+VbxVpQtLFbFT/cOISP/eUtoqZmGsuGI0QSXb8gVwdTZ2l l/3oaT821TyHiod2Z/BofR0BSjrMsFujoR61/243QCWNPynLYASqOyhB7KuuXnWK3zL4AAGLXhIE mXCAsLKAw6zFjJssUnq5PK6h+3tC7YrZangiNDYy0GCfEokk0jl19+EMmyhZk3IX6dj0Ruq+VwZ0 egxBvULsTTlXmm0rnllxqIiMm9gu2IHrPPJd2/Yavlse6zz6hsvBqighMWTpTt9RuUx08AqgckWe msP+p/XmNaAvnGk5VKI6KWnQFKJwH5Rr0xsTH2LWK4odsR1dBynw10nrV23iIe3sDAuXPWQCvzDT tH2niSSwP7yppf3gJW+9yMwm3Hc6tyeE5j6lydPOhcOrCMo3ckHsHZOnsi+hMaoysdbOlRabC60X 7OcOGIxd+p5H4VzweA8tR+xMm4XGyOYmY4tgw5V2Vg7ibgeACq8eCZ/MBo3t22jGdIPDl83LI3nA jiRcseGo6LUcbr44v1LpndEhEepLbh5Fs+XKsDahNsaWy8OELTEiZlL70Amb0xahhMWxFo5wPrJP E98O5h60je7k/0yK+SovhgF+quhzjx3uyABdhGfahJ+or/9GpbwLEIW74ykFrpnmVQKI2U1IpGz6 AeHQFw228GZPpYNnTYeolcO3a6lqGy+HJh0Hu5EAf41KOk0FAQgt4Nhzk/Z+kg1gu4fsaijmEFTS uARW8xTmBYBnSNJ2TP03dBTf21TlyiwabtRTXHkjGNJNIQp7SyH8+cOA/+aMRm/avzrNASBojrQ6 f+TmtzUB06sVKLNfPdZvELbCWp9KZI0d7aOPqhzhCu1s+L9H7W1lSKCmOUgBpHuDNM0gQEg05ILR fDZ3K896YukJWThfUHhdK42mkAVMbJEjlsqRwJ+t0BXJUDS83rA734ylq8amoUFHcy9LFvbcKN1/ palkgN3OcTH8AaW4/pWFB4Q+1Y7sVJSaQikWjnuEXMUYru6nsdNMB5fJpX3yEOzmgjjDl7yjpT5j ahibeGt+5j8jdyXtGyYak4XiiGLfPBusHY30MSP6J2eGXQWPTz9/Ibqw97YietIJ8djxTR7Zh0Fy lVxaLwN6tOvO13uajpM8vPYHC0dd1yiyLzwcMuvo8pFCS9VN+6PEAlxSPE4ovWSFfGKXCWHwQZl2 FlzhBkIKPQOom9Ujvv92+6Dzzan5wnzlIUZiWDlj2MNXsVscNOnKiFN/hcGWCAwkK7Jfc4++Ifon 5+hwxjtJNPS6sDwNPIag2oFmS70gjfRGthkOF1KpvOh7U+kb0uSAbUwp/34CKKCE/RIr13PQtu2Z /O56kWaaw3AuIs1uPZ+PREOBIJ999GQXEAjhOJF2nEp6K/rvGrpr9F8cspSvuW0j58dltlgQ0quI o0m5hN+tLGP+TeP1VwE58ZfM2SkbqGtsmvZQuPozYXtnjCiJsWVb2q9dIe88WC/X9t3aHcJlLyrE 9+6YdJOUwbHIY5Q+SysHwXL6z9EOa2hKd6nFnVcTMpjFh2jIls5bUKs1grR/VioB25o+twwbymZd YHVDdqs+MqO/fjEH1wBVr/7jMkil15o6vVs4UoEc/CobY8qklI36tHAt3DZcbALKcyGp8eOrQTRt b9TxS3cbIgLGIVZDzCZfoxObaa/FWGtcsozSwgz0xjkmzCWsNhJAK0pleXpjlhQD55VIp0sbTmw2 AR6t2cOSjnhf9SaA81g3CieZwZWB9cNU2tUcHSMTCBQlxVY0QqbzDZf6GLc8W4l3PuRPkRigsBIG nGVBTUpXLKxxK77eXm2h50yis/XCaYOiL1PHPgtdqYgJX5aSXOsoGiS8BmDgOfkzd5r0xZmjdxCF q5MQlISbYM/ppIWOFjo8VicX4KLI5IJRL7ZMWZgfigH9YI8b2afp3zI27b7mrbm1JUvDYYRaEyrw fSTcB8hGpoRQ3oEHj3F5EyhwzqZ7KKkJKKQ43gLy43YYb5025K2gjQhmeMOdKI2R3e6CLG5v0UDj f3UPtAabE+oJmFfauhmq6y4ccRtZJXDsWSrL/A+Wb3AUR/8Us/N5rzoE+8R0EK/S4Fy9djFabFa6 2Em8uyIXIpaXKrHBRfsq4Bbyy5zKqie7XYB6A28TyKf1rAyqrsF82N4xOter+HkSY+RaQwvFrE1t q9E2f1Ys3LWzyeP3F6EJVJqy27QWuuOt3xVrKi4SkAgOGCPMTIKW4tUt1SLSE9Ky4OqYmH9IZeLt 5lELL23rAj8YIV2gvddCF3WfGbMrF197i9qyjGdHnUSJCIJEOLb9/ToLK30S6CwLj7MH4huwv8wB ztN21+rb1Y8dwcUokf27G8KkLu3wry5BVmiHbtPIL/GfCKuGOpV+fOIWl5My9hZM0Ra4vi8LqXGJ 6ja0sWTSYAjpyPi+wp65Tq1N5AWCeSDYUuj9pABvnTIsGkpNVJc73ypw0jy1qV85FWk7zOTkoPLB 9pv7B9anCGulmP8CBVQF2UNmxYXTDtqd3AKnmJ47/S84vCuEvXL1ovywIvIoOKQG3f02hV7p+Aip U4yc6NZROTnVKvjJU695fSh8tP/Y1Zdb5Z7uA5XKz8bfh4nNlz3ZbiA2Xh3qR6j7mTdNAUJJdnx9 jA2YSjzE288mNSGMS517zC+Uoj8B5k4XHHj9rJv85ov9plg8xaPYCjImMnzRfoJCYM0djCwzI7yn FTxqCSmE0uRvJN1CN4sLAQAbYAJNPCA23covEOFUwdhkyKElrmAI7saznYIJhcF0HldB9ILCJbHC ms7wm+unvpBfU+CTVGIGaY6f7nc2nOxE4kKsW3jxgtdyBpeTJpusrtf5z/JWTuovb9Kjn8Y4Y6C6 jT2uZKFEHedJDoISFIjB2K14NUeB+/boEARpRX0jnEfomn19ZmoOpMB6bUm/bot3TK2tD6tXK351 O+lDpvxiz33e4CmzU0jXWPJd6Gaxbupn50N+YYxIIfGVQuoJZi/ArBU87KzDlQsLYSpGML88hqBe dwqcTY0hyU9t5BCt1/QZQnT1U6eTRmqhjaxflfZjLNbYCV9Qx5pwLD3DInraKjsIy9QPl0nRgXxL i9yP8pObOWL4D/kJE08OrZLeaEOXuvDkIFdYUntqZUdCV2hB/CeRXP5ev7U3qlWo+nJcwYDKU1yA SO+7rJF6RxF5qp2V8kFMazS67kL3xppdaXZBcXXCTnBrTWKi02BiM8ryU69paQI0ZpCXh/usioN+ 9QUuuuZfNQA5E4jNe5pTCykW4Xt/hF0DOIOx/MAnbU1LOdjX/Ah/r1MBdUKUHP2YdV1/2SO/1OPg 6wMdcjUNu45xzSPu6H4uHmt4bTshD+cezfBZI4Kufn8eSy36Ho11Vg2ZiknI/SeO46dsZIvgz20v os/JvVyDxksVFxPIU6hEl0LSRE17nRUpjDQlywAnH0woEB+hukEF3zzkvkvc1nTzaEsmzSCfCYWs h5vCCMpYdOw5OcspNKpOa2SVT+82YmlPFK9Y6GuXpnfNnRUFM+TgDVADpTLcdL4/oD28L3viWTar stoeamuEmcTOblNUxUF46evvJSm39t00VFXdYwTEdk5ikgtFARIVbWO3AYTMOSKGzO4rIObNTaDv ZHvpSYC/yu4ehffUIcSWnjkYKr/rtPnE5xC0gyRfHxiogF3ceXyco/AkL02oE7DcXCEW6H2SE2pi 9sSDma7J09PkcIcwGHoZVbEQq5GK9Tji28qmxlhmr8ean+8WYC8OZuobV1qMyKYh8mHnXUK2+yHx Y14KYcMisSZ9H8ze/+88kwlrQvpMs3no4DBu/aMAL0SB5RWcNG/FlFCEbRyZigTk97+n7GFt2Qog Uvg5v+znSvU280Ez3tGw+UlmJRJBEHd+hBlbqpSq32csOI/tLPFqXNS4uQNH4FchUwYbavYxIdNK +UN0L5lKkkSaTxpCKmS0xtbi3bkZtEwpj7R0oAwWxMDrJPh4odcLOdfK7k7fFFW6wlNSW5gdhgvP w6NAMZXLqKtYO6Bo7J82YkwZaRoDO5OeF7g79Krj3bHNjViClXQozZ6U/5QmXmS2aBzrk8w507j+ c6WEu7a9T53Ggd04iih8iugGUGI9oXeD0rhGamvnBHoTVbHflKFgm6cY54AyCdm3sA1wmXa4LeO9 Nk8Cymn0+saBIgDySGius1Sg9JQzXz84Ofvb7QC9NKpA4HI4wX0eyOIC2EQonnrQQt78YQNBlK4b acOIWzl7tilZxiApFVQX+SRi/yoxUAYrEx9QLpT0M2MOsN9hRkMmnDAO/8G8vjXCpqLNwxpX/oc3 x+N+i0e/d5Qs2k1kBE1ygLMu8q9OzzMOuUN95x8X2ngV2gszkoebBZWTFj+oAbV/OAmz0BqRdrvi 6JIVwqkY5dnFdr5paehWieEsqFZQlMva80q8MEfljNCUs7+NsY1GGjzetPq1Z27Djql9fvx1bDKW leE/VKWECjNUNGwYvEIQ5Ee6jF4xKs7mW52/c61b6mspBH/tZtmXMlWElaoRK9d10yaWXko8t4US beiLwchhKLBurEbpldgAlfgk+LU2Umbrczfpz+Isdgkbs2USXX7f6w4UQzVMqmIAPteQId/x/C7F QAYXRNCBeNn/toicrSDSKPfsYMHHxG4mpXQ+DXQFVmvwOLT+k+cAWTWOMNXNugIRrizPryeJUvNw cwknKUskSwDKb6RRhJuq6MAXh0aAhVd7i15SRHAS4jJRggdGsHq2hCHVOL6Zd2MUkSfzr9JMmwQE BP3OmVFku4eldVcxdCpacHLCE0P1SnKNer5HxF2H8qeaYycKaDcVtgaB4aZbDYw1QHPaLzYN+M2Y hJMEQ6zcsaj2kMqjhuUAB2cHdFTAEZ1Z+TVoLi9FRvVLYGGsqxm8ZKtVOpJaW1d+gs7w26nRxUjl 9PtC0/e2qKj6KDPr9oMb3zQQqBTfhED83RhwiWK/5atxUs75I7xCWHwITHsPjGpqcytYuO7JFfOZ M4SBHhRVqKc3FS9E5fFrazRVTdWA2x43LKcI1NS/VKbO5+V1IZdwxwjEe29aGCOaa/G7fTbP8zfc g5O0YlD7DUBCAkka55t7qpm7X2pKIAQ6pkAK1DQK9m+OaEx8x+5dxmwp5MGWSIIkE8RXp5SFDVM+ tO231WaGkPmKjsLgQCLO8mJCqtXWrh/tL3UcKW87eI1GLQt3ArHOlGOzn5eKMRR6pyDVGakywORP wn9KKqWlMRhmB6mB1SAz9wSkhDqjss8vxAU7c03Izl+dvfalN0eOJMRdj1l9iafosy8ahlEiUSgC meRYAlunMZE/SBp47f7IZPu089+PBjyUjkEWElulx5JAkgGQyzNOhHy1v3H8aVAdEVgLVCDfOdEk uSQJ9piaG+TDab2y2QLgC2HAePV28WvpoB25u8j5dCSnMlPETsL6xjzc8ljr9l4my2w7cz1Jdcxd 3HWT+W8m7V7aKPDS2olOc5h7WOC/gma/7jGcS4xRwQ1TfU7eIQUYsW1+pzet74u+0QrH2VBmpRVE JQZ4kv3qG5r1ZnJGyGUmL5LnTU1I0RF5/pxNiPmbXdjpfefkMSBcLxmP3bJtI3bjCObmUrAk6PRo hmGiaNOPsv+iOmpNW2LkBFprQ6tFsEKT/VZnB2ljtvOvnQzH0YcGlllDm2RVGQHjaekGG/4B6LTd LTptDYE2HK9TguVc09oIWy+tU+ENloek6YeFtusD75TkU3td5uruwjaw+Ym0uRcB/a6464/jGE+p qwfpXM0sZcyMOkMGNlwWYm9IZXWc9bUthvwPTT0ElkQ+25OpQWTbidCzBUS5vnrXrJ30c6YOc40A I4PHJFvXGN3zkUJ/KZw0skHruN6091EgfplTBoedXhAcNrRZ2zxOboOoSUvzZ4Dz11JXJfMAamLA bFOVDNeVpNtwZsxaj0Au8vd7ABfPp3dLwU09GbbfwaeldAd6VSYN30WNRA2gPdxAaaFMs0kMWvNU y+6+r1ZlCSfM40bNAmJ+SyYqGJxjumXjPZycZgLEJkEWkIQOONh5LkIm5Oo9m5ODGtNGYr62sbNj i/z0Or4BMUC6yg9d3rQFEr+4yqXeXcRH8TiO2o/zXT503lG76FwNmzmJY1BRqbXHjKgkUm8rgzl0 yH1gN04JEC0Cr34i3WQuCCDJwluAPRyCoKYsI2sKAXtpJ8knLiWhn5piV9USwTNwjzDB3q4hPxUC hZxmmed7NNlon/FvwJg5spiGH/NovjCv5WeuTHtyRJ9Sa+VH7OIF/TvQocHkEzhPTgMfmpKu8I7N x6h/wFPvzUvKBA4X15D0qHR2pTkRHI7kNm1aBOVz+/IAATYfMBSKXlaCx//fHaNfv4CBFlTm3Ve0 N6uUBb0aTH4kC+48cQOltVv67n6Mvz6xdHM/hjUW9gLgeVz3HsA/uYt1x3nSpFoxH+mkUt+14L8P AkiyAIoFmtoOiYrgEkKg6SERN170h/F8UwXagZucPX3BB6O6JacE3p8VjrSeEYsuafPzftX42H4d PtFCWuQI5b5HKmMbFOqLfhwYYbhv5v8U1h8KNKZdKgn21zJ3YGm70+C78nEJu9eaMs2QRSUukviT HofrjqcsJHpIdWVhiZLD5UYSWKpSZM7E3rG6MBbIJf79dgrJoCcslKGDTWSXdfhegvySpYx0FGHO oS7eI0QFMpPpDlCenIfg8Ta2lM7k8X0r+K5CObEoDnm5EdecHpjht13P5DfvkS7+dc1ghD6VuLUp ocRnKnwe/cUJ6qoAwlCfH64iNd71g7y89TV+ZYMRSEwt1twbECKem+VoLhWmtv/E1q0IMuYFHOWJ 5jTGdtlzxZMlNAx1LEqGOQJOunk76UjyDMq2WDplsBrMFd4YLJ0m/ziJS4JpTafVUMj3JfXgoSee EaT2j7NQwVlY/7nbbH6z3XHXwhbyzBxdhZ2BNOjn/JGMoCbm4qUMEoSoF+2X8MtbU7H8LSU1vWoW +k1bqVK4FSnbBOjMaaa1nnMbZRlVkuMMyUFXSaubszHCjg55AaAWuXYW+rlgejTJ/5yxa/QTCUpP mKO6BNlioVmTfSM1c39uc5iVHkVL55RmLPz0BN+lqNqHVtVucxB+E5mIQECnE/mCTh24Xzwg1r2R h9J2J37F5zKbNpMyYkJHDDy3soSSi/PcL1gtvo2ZUJJSiUYxpV7qAMlh7I7dhltsqAo2LXqovaUU tyiHNxnacKTmCDn7wdkrVHoTjja2U1KaITV38n77A9UJHTROpkuVSscLdsjAJ0D6zl+8NPnGWi0u vJiwvJqxcy6eQEo/2N1HHpFBFyu3MsyMIn12SNG+ngMF/kAvqAi4La+KdmhoBnA8YpGt2pJ6yT38 q6lJOOKwKbaB5EH8YT6jzyI8a0Acb1p8zmU/aCV3WbzDsS981k1Kx0CrqF47Ki4QJifCtg/KMx+3 3fv8oMRgeKke4QSEZbKLdUmOUR3UJamG65oFUgoV3DRx+EBKNcWk9eUe1qDgPeF+cYWvECUTCAhr Dj08Grms9yuV2w+ABbiMRqK9tcueSQhmPmn6FbOmBStlTXyEPw8zxkgEDwC/9nDf0dt07DTxcxu+ IPXiF2pq+u/LMZT06XtQq/n8CupZiO9hS+t7FH7qIr2AzhNY66XWtUTzKsR3uy9XUKO8yfXAsTPu erT89QSSIfh+lsbM40JC1ic7R+xTGbACIJ2/Rq90Zw6184MPVUHhVz5oHIJm0IDscfP63Pt7lqz/ IoxvKSEo0J4PjfmVczujTL/26LpQffwgAHXduq4mqZozvCGGHa3Ib7z18F52iB8VAg1MBb7TTTDZ DbNo7zoSQ3/Mx7m3dH8dsg5Yw/3gNqcW0WIcWeawmyXnRlBtBNYmKmpYFAE2CRGFLpXWqSr5clEN m6pmcj1lgYUjl/maAc4m4hj5Fb7j5E2YX0iDFKc44eJi5tPBAKbvyCFaE2AjnvzM6jTS0+0ibekt yKAdkwe6Xu5TZUSlkwwApauprfAUdCTwsNdM8obR90VDhqrU1ngtoGN9EGPbwZAPjivjXB3FOuPF Ap+S1JXyQiPTxYl3NexpmEUDW1EmILhr+fqfV5o4k5xifZgB+oLG508IvnrsC6OWNgs8mVT4C5SF 1nelcjI2twr3gkWTKf3eypzItG5eb2bOpsLh6FD4CuC6koyznYO5h5vq6th6+e7cyodHujLbQYhq N0nZjs2t5WIO8eEl1bRmzwI6VAl6tfhuNK0r7AMyt3daGxaBMV+cq8VY2td/spab9P4eIm/q6RLF 3QjOoDRNuY9XDkyP/SqJ6YCStwCd7s2zj5eKOCTLEq2ZxzamrDsA9ciAMtW6tRpzrngULKZcWk9S 2MOBmCbo/vzco23A7jD0+eWf8TtDn9PiVgjlu21FnTUbk1pmwQ7AsQ2wQzEKBD/4ljXXuFNDSHbr BylcS2Q5gBpR0I2//ccMD12OX4CwuMXjZuS1H7YPLMJlFa7fc/T7yxts8XF89c1ZKoICLpZF++wE /6/5RlWLKH0vgw7cD6emu+q5pCDr3VEYuTx2ZLRdI1r95RR7t3Z5TpUUU4C00taNs4wgq+3mnAd3 IXy/SCX5MKEWb77MtyfYurMK24sv18SCKYoAlw0DJhNH17BSGnYGY1sIJ0lEEWoWRivZ6qCbX4Ml gfW/Q+dP9k2BVour3rbxSrp3PM0kEYs1mog9/GAnE6aEuikeVw1OApO/UAWoeD5qxYxmC7OIukRL 3E2fGY73UwPS2z7a0568flNFb2D8DO0cAqIvDfHCCulNSZxXHszu8IlRYH0mTGV5wO40wZyjDSKF OZMyISvH1tXTHwNFbY2N8z5z5/vewWK27fFxgSodOaCW42XENO46CVfmiltZzx9sx0UNV5bEWh8q wv7Jtut7hgsO7CuTwq5vvk1R9ZZuWm1qezu0M/smMEdU4XwWPEDsBV+oRgmYUlmfGXzbvKCLTYgQ y87PRL+0FCJYc+MOqGN2U0bHnJOXElrvYUeaIWeZl+gCJfqkIAWbfwlBn0KYRal02aYTy6fMvRbd q5rf1gwJBELXLE1e8SbbQVtajrJez2C7Poh2XC/1dTRMjXVPXKnvgWu99BWljJDh68zGi4Hvqy6Z 8ly3JI4BWhWKPsHHFhNHxWyPswqxHaTrRQO83EX51ZTT+TOQxU/owhrUNs2I7qDkVISpZ1QMP0st nS/6UkSWQeyiQOuhh2lrBSVLjMbdNL/8Glx33RhNGDJdfeGalj08jDgmdXOyle19gvcScIRzkSwp xsShMK8pw9wGYaOitzKRB+0Je309pFQqTh0PnSDoCtB2FHP7tRIzpoLVQos3ta1pTUwR6KJ8oRXp 3T0gW9oJdNkFdOc6sw9BFW8xsxamrrjHSZt9nPgu2+6MCRRMLrVAL2yCtYMF6lWiQmqDZx0fUtTL T30F/RmKYqrdgxQ++n2Leg78giTdPlb5kBgBb8l89h/fcBauvgm03u3yDx4nHy8VUJG8iESITEsQ iFkU1PdBr9XJCRmyTbbcxR6LNdcZwr4ILKQL/rBNzlVRC6eNzvSeSj1h+ACcrqstPpaxSj+gMxCt iBG+hqHxbTuUGWobJC3a30szJTPDmviUj1dq2lFqYLaau7kZxGHD5er6wINpnxiUdB0SJZ7CjF0W j5nAODyptTyi5FgAnxQnXDFp0ShqoOJluAyLe9GHPK/4rGa8X1iqIV55SGUHAd5xAxaD1oi4msy/ Z4eGsB/MpT1Y0j6UPWA8HTAsp2lbQrR+X/Ly6y27Y4IQobVpPB+vyOuqD4lkyP3MF+CN++SnN8pn Kwq1IqZ4560ljF28EuUZ+vx/Ys/EA5+OwIlrvSc4fKamU65cf8r4kSvc1XYbH4JosEqfd8oaKl1W DaZqHlvT0cgJ0q098woIZbKtdAsQxISYR6K8qXok2hYo/+TjQEYDGIRZOoZ677UGoE5F4Evpbk23 ttVS9zy2EmZTyjQ+xS8dUSqTlImYcLZjHZO1CPgql+7GDfpLhJO6ARMK7o8n8CAon78HxoHkLKkj vF/cG4lqXrk/R7+psyFd3QASZ4fYacNkMVaoUQWmIHFYI1AS/v8UZnEvSVjqQLlyhEJPE4zOQU7z Em/VbnrHvdTXOP0awlgQh5NyTHQsjKjNiwy5TPGAmkxI6lZJu54c9cbPFEX3k4YQfiFOazC3fQ+c UohNBK91YcUqr17xD2izRX+aU8EfFQTGFgeI+WHChVrAiqLo7fx8djWyVF6cdq4q6pD5LcwAR3A+ 6+Mcn3/+zVYCLmNVEcb58zdOXq7D8VbnAn0df2Aq+c5Olgg77jYyAsE2O6gbX2zX37YGPynyb8ri /DIclsRxyH3NsMuSfz18zlmJHzunXv/9lleGPVgxvLjk8LU9LcdXF9pfkkznRR292+mVULNBXd7l kDqdqi2sxskVzZ6rMDig/cYKBfs6gjp1qr/hvE3CrdNmPXpRm/l7mvLS04edVlboXr5adlMwSJAn GsS9qKocYVGTtSgGl7AV/yJUEUW24P4oT6qfiWqjoxjN1tf8iZ8ePaOBmUNFbR6U82JUbtMhVDbH 16bx0rJxvGO6+tdGOhGO6tLaIFWZb+/pZf5cyyl8+UwdcSFZrEe7VODYZ7csquUDEVv5LmnFyLzL TdvZCcwJqCJou+RVD4Se2BnyGK4+szhvXjOJVEJH6hGfn39Slgs0nkmiDMBL5jiQpQ7mfV060zLw zqt5wOO6MweBe7GHmVc2b42JEy326bHVUJInMD498JP9eiG+Lz3rzSZoSr131BmpWTh0TcBw80VW 5JNagUfBju/xuv/44koqI+Bqa8dlLeNhWleeHdH5N51CfyeazLwM/PUTBevuTmEwbj0IdlJ6/IoR XMG9BibHek0lC1BkW6/potT6GkXbWZBNVkWNzYQcM0o/ppNvNLiLDgVUxpbKlDG3lk0Swmdhm+sr uMnJy5xuWXKisVTtSG0ieNgPlPy5MIBw/iXhfBeBp2mq4g9W5DV1k4+Zxa9zK+5QPJr6PhfIw5JV EpaNElPoyL0vsApCaUzSWBfrFKjlizGMkuLOS3+BlhzfMmXO+Oh4a+lvEtlMZr4Y1eC5pITtmUnO 3F99ozHFS3s+NG4zkgIteamEj6FU4d7sgLObXXqjszKDz4kFEMuitBP1MAJSSQ2mBY6YA8/gUDC9 C0ZHsPHzMXsU4p0gPeda7Ipuv75UDLLh1Kt74ZRWyL8M28FCjCU5txy6WmlKwb+DJ+guxK1dmITQ TpucqXVGH/e936oyGVQDDDcj6D9vJ6Io5CuwhD9v406/D/IDLOCw3++BEaV11fmVpPmruKrapydv GMX353A2rSVTecz/aW5EWal7GSEf1sxevKv6HEYgCLiDT3FPhMevTLH/4yDHUzD/ICnsLlOvPEPa DHOjclGuibV/jtm4dbBsSiC9M8WRDV1xCkbmWV5PnAMgGHBl71Nvbq0ySp98i8RTVrxes48Chaf3 j5ZuHscRsWL6ctIYruFdnPFsd9PmQ4ZG9XX2YIN3XTy3+k0C4pl/9oe+toYEAjxHT2ve9sLMyKt4 4KcMCkCn9sZS+mBMHLzUbnrmc2/Ah4EuUHKohRrJmXDxDluxf7z2/b7f/cAnGozTUqdNGY7b60W2 J8Z/ZgfES5VF47bEBA5xzKBqBz+opvDeV7jrCtq+lBJky+WCohtIspdXvtlbHYtKj0p/DcQ/cuqj Exi9El5+Eb2bYT0rHtXBIQTTGrJTC48sIxBINgV+BU4SSl1hX/j1W7Y8DJl+yqGms5LObEWxOqUP PAONvTJoM/y6sHgQiDZjDv5dAIgXHT9EAGqu06J59ywlduRX3co0B0joQao7rQiATSI89fzOM6oW T0EcojIKyLfVRKfdqq0eNViQRCo6HdqdRLFmIzFzbqkyNPpxeZCSL+buMDboUO0oGJUhqNLyoNNs SRG4wszLr4+WOWR2B2X23G6F5dB6zBZeOBZfXhiVJKui5o1xP2sTucbeXGqUCmaXWzYUWli0sPOk Qy24el7T2fPsac67osbgffmrmummH+DOfBOzFZSiI0FwD60Z6Z7X/JJUBijtqs8kGO9eul9JscPI 6b9mdgcIhGyo9UEz7eAXt2Es/lUSIdSR6fG6bUCm1m+RWMoDE6/zDnK1naBuAosxmp4ERSlYGEL6 70qAEcwWMHl9QIwNdQitn8/dCFYJ8fxPqPPbUBOMCctbx6vut9vtaovFGYF5DkzjoJthqcMApplR EPUk4rbwilD3GEKu/451sAPkbZcKvTlA6hGfXH447frJPCs7edJmAT/0AdiT7abTl5XGFKMQBdRH o2KUHJ0gJRNAkQjVL3IsuKtU6yPUMVKGqf6hiEkC0QZ61oA1Da3WkkBjxOpequ9lzxa5ZRRDNOG3 vuFkFWq4RIcLoYq0vJrqebWaChq0b2/5cPf9KJfvUmyWVmNQCl194SoZyCgt/lr1vEPFszuhKP6n /l1dvvWorKogpjNWw/NLzVGT79ZFBRdclY9InTDUezqt8iovz5UxqXgTKfvr+tSHNUgsmb1/e6Mf GUGzjq7zLg0fTuhFjXCk1/Sizgx9Gz112xMCUGBJ2wfmpdC7khgrAQa4/gRSkUYyT2LBsU3oF48p cYDNqqfQB3l7wz+TlSp9HzQPi7zwqF+lkX+C+uQ8evTJQq8K9Wgm9sSaa0rI+1utbWSv+mNETERZ fnOVBbRVLxAHKKDmNuv8SEIvDCeQLTd89XaOuATn6Qw5y/JnMJeDkQanUpu2xTx6C2vFYlgQD4Cf RTgdOjnVBQvtfhXa67czCuDxCqCS4rgT+OkK/0TKqDP5xovGvU1NqfJPGG9KopHE1UYCqZPNHu+1 IozGrSXFc0hxPEHRrCNf7OVTjGiQpfAJSvf8JQEhbQHefJRCOLGvVgRbVzj0R2UuB2suME9GMEr5 hw+bIvgC6RecjscFWRK3tHJka0X/7lE+4tHMCIIEfA7qnv4rSgfaxDGRlXEgEQCIjquqAIkrN8by //lFynt1Br43T+yK4651ajL/D2MTLzbI7wjymtRqG2neEYk3GUsd1ef6+toUUNfGPtvmS+y+6Ifk uEswixVKkdKposySDY3E+rkuFX2riXVHBrDRxaXyNbIjRUpT7+x9y5+aeS3vRLCCxRDUzzna/2DQ SJzN5ESOfGUtT0IwwKZhCJCy5Xcx3nNr4N3Uq6jrSDP3FDDpnDWrpJXV/NgUl5StuxC4F1QLdfk7 AqpAUkxwK9NOM0ENtEBAUPviaECGOnpTfaNRffmU/UKCfV+1xPHNVk3T5HL0PwY+AzakdnUWAklH HKWuqQjZL0yfNcEDXw2CZAb384IIAMmGIZqng4GGaqv8eiv9L6VcRY8TMwH8UK21xaDbcqtvkHZ1 yCdNFnan5zr+G5a3tiY4bNQczfoA8gsNn2IIftUwtlpNZ5N5hRebTY7Pz8qrnO8gBCAvT1ABF35l mSA+UgGytXoTofveTD8lOn7JC78eZBfXxXto15glDV7wHwDxjT0INjqbVqPELdvFuQmS1ukScM9T fDVoumIruyYyvHHcZOhKiNe5N2s64pbrta0E+/2MJFLsw1R76rFkov+f0QryVHuA8DOn+nhObRjt jJmBQ5r/EWkkorW9LkbP3doMaox5DsbrSjLB4Aer2gn3tGsH7V0uF+WZSu58elvDygmBEsamedDm qXcDZk3X6F2FKAOtF/bBXd0ea+6zFZe2t9RljSIYAaQk2Cbumz6zKKZure0DAnKkwFYKSbNMo00U JaA6aQpBtI+vqfjsxAWWqXSMPqUVU9kj1r9WClhyv0LL3H6HSq33E4TlpltlMs2FsOQWdjaeN8Vv dZ4mAYFIbLSPO6CS5zQ31tHfTMzC6NmFt3IiwX+Va5byiMWOGQBW2kMThGQcvNBXtJUMRRDlwgQY PjRb81pQeep/0Jipq1wyfi7le5GMWCw8ZEr2h/I3iezB+TrtHya4JDPx1sKTNvrJxdV7brC1emb3 GwvTeFq/kAowkSrLNb31uzRmU0XZHejkgqhPodz1XeMI1hETcaXrqja7CJt8wFqeupGXvPACEZqy dzgEmvtYnjo0K/+XzUqmdKa6fFNdwrgZi3isMkJFNr1NUylMmaCKtB4dltEk60kOjLLUHo7uAJJ9 uNhLjIRYQfhrJaVta+BpdXkU3Wm2FYkGAJNTr56GdPDYwfciHjsiqZZX+MduxXfaBnm1KaLJcByZ IWopNwi/DdAdsI+pazovVo0V6ioDMy5B/NKRZMQQuINy6NW6BnZAcqARcBawqrts+MejAJNdxnyh c8ukA2Eokoo6M8PYkW2IEmo9a+gtTXbbNC6wVt855NZqHGPI6sQRNGskDIPU0T84qtNiVt7m7t4G bRkY+HhVm8sBfojiOzc1r3zQw1N9y6JB12RV4XHiWPlVW5ilMzdCB7/FjpUZXleTTiLOI2nPzGAh +eEYiZGsTtPozR4rZ2xbriWDS2otxsd2FThgXGcK2XmyeWh10rxpHDKt/opg4mUyeDJmXpqXUBvR trgfDhW7+r/i2Qqe69PZ6GCWLEKzgpCRL2tu/mfE0UkGApVvT2WhEyG4LZX+2OS4/A+L6Av5Xr7i vCcpwidnpAk6ogNkXKjxeSHSVkPfMZ7M/oDygLeP2XDV27xQirGDRq4G+9CiQqfr/Jo4og7jsXTH hdn9YREtVjyfdcDukKYSHp9LcrcWQC3eJ+4NM0KAz/QOVpu9vTWMISVlzDDVCrQz/S5uYMP3Q5pW lWmDSO8NDYOfMjQSuL+fMyWSVEPZipJvAZ74htnZT2d9W+X4OiS0U3QL4K4nbN9SjpESRhWKQyH/ 3CCkF/fAONFwh8ALZf1alEiiAq9jdOZA1J2pCaQoSAX08bKuldslyT6cXitXf2MzLyFacCw+IZsB uCA12uImiOhanSd6xiHM2sSUMDP2DedLWK8ExDmF+gY87m5Vaxg03LqbqgIGShBHKPDxqOlTsKRj 86w+4m/uaCXZTOFI4piKMWQLUJOyxpFalbX2m+mmhXSmsnJPlOS2D4zX9xpIoSX0R4jWgA1PqI/9 pfN0ODoyrd00QudnkLjfjenVD/eOtzoIDZ21qPLagCYvhhgWb5aHsl7lt8jWv/RYNgHeJfwSZg8y qtpmUnwTDn7kp7EeoYaluDrl7iq6OZLbstsNJ0yYZasDWo5TPZg5q+n9LFWFCn8CUnRKXkncctLA VdukRU1D0IBJUYpdd/OIV+TUfdoPce4QV9GOsRM4OjY8PxYgsY9MspzlFsqDG6wJ82MXKnjvlCSm PM8pERIF4WIy09PxHjoAo3EC5Lz2Dm+cu8DT1X3i4xwt3RAmddJZHkg67M3dDiDnEHQ3MSJ9Phst zFGOMR8fQ2+RMrJrdgYlgXF7Sm7uT87WLPNMxaWJVvIAMf3qApSd2PBN9moXWrAeVNRHhidNPF/7 ck7p4SPGS/sY7rS7CXvYAju2Lc1bnqmu7maC79c/J3jEu/0741Q60mlNKeng2B/kTujW8gZc6yV4 GEztSlD5nz/nXHlJB4YPyYwc2LXdB/Z3CT3egR8WNB6VYhFcBhVP0bbZ4W470iwkgu7lLdaesQMU 4HSwwylq1imhrDf1c6lcoE7GdPXfP7mPMXJUM99uV/n6A2UajBP2lvVencgssjaHPnKlyPKbShn4 /3tTWLo+XVSwwXoGfiNGjLx+8HCPapMxPnWlDYvWY3Np36vADRqboBBXr62KWjLD+FtKwCNAuu7v NtJj2mUYgiGU//FpPMYWQo7S2a4YLa/EmN53NN9eGF5pDthJ+zv8Qul65nr/39t7b7VLDr9oOvjc AX6GGUVn8rUP4Rt07oro7tRG7e3M0ElK/TxHQBP3zLeNnZnWGK8P//WnEBJkf/GoVa4FHd+tzeeP eTErJUt7mYlTFDPLk09UVQsfBHCkNigbWN+X0e8FP4q9Ns52QrzddkP1qt/7qOu/JZN4XH3GRT7a tkWhY+2k8niKzn5FQF8bWSu/weTH+l8zq7RxW+/NnE6JChH4tRGo50ldfhT642Rer/ThUlJIBeTH 6UOI8gJ9CbvrLRPywdcop8PAfDqETNxGUe8EDxY7HTV+hFnLb4Vsn8/TMvRhfUb8LCZe61mp9FD5 zi1rHmJ+EO0YUbJ3mBOyWhH8VR/OIvTA1n5TvapVOFH1gs0t8gluxlKxIUdX6wHIm0MDiNVf0p4p V04vP+IJTb0/BX6EFAAyjHVYYUork6OOHub3yiiZIhyEYR+DIG/gaaaBue7/tmrtbs2eWXEQxVWL pcqqiNtJ5UFvGdndGc++NMlLTQkGmqCPS9Gu2wI+p4MfuriX83lNrAQYwk/TS75oCvBBNdh9aevy HidFt0rWrm0/bia1DQ/KXEMMWlCUfHzJPCbZ4sP95PvtEfxyL4Gs5YkEcHb7ZS1TOBItPjxbFbex S85emrnwV6KHw+tkNroohfvL0bY4O2u+/9gEOmEcDYNx+kdVBFC1Jv+cFCSolipiytcCkdZYnqQY h39NA/2yVqwBZINRTLPsGJbIAyk4R1QDpPJR6phgB2gVje7+ix9XYYlEPOpE3qx3smdC41OwyfFu o4JDuH6oOOs6/SrlKjXRBBhzawLPUZmE9+DpqO39n/hd5hKg6OqnoZHFZnb2JV6/Iqi66O7XKKda zZ0wS29G+8Ktm9zsnfyrVXsaWZlpYDG1pKwOVZJx0MIOH/p+31Mkm/QJRu/is6zCT1us+R0z1eWK PqO1RNojKjib6YRKyup20KdfmncQBqntaLhdYcj978Pm+k5xgjIpmAX089j7VKPQlcrlGtY58rJI KJHwTESdXJZBWboFDrIpPlC8fLNtfINHmLzU3YVPKvsEy50ScBC/0KfLyFIv/03L71pZ3jhsEH7f Egxf7SrXWM59j5XPjF8Mw6Lmp4vmuSpTwpy7J1pNK4SIpWz3GKWXgLim4+6qflZBh4RcGnM1wbek JJomi5kHdUp4ULkGyWjv32imI1cd3+dgmVlvlrhpEarR0phj33RCW2O4hU86DEzLfofcQpKgcVuh MhaUCh9SZQ5U8tpk2kOH7lPp4nj1ojRfYn4X3JOpNBA1p5y56ob97wvOBGkJPeHaIF6MF0JjNCl2 qdamHf8eOaI88DZH13rKYvfZ0wBCr6TW4bsLGOaR3pvWFctMA6PA8BmyHaSPtITy0vI7k0MX2rE6 nEYQ+lI62b4mmt7TYyzPL8RH5cYcnyZkEYdhYqP/rOgn7DrXV46MJqcO9SED2+BrDuIIHI6S1gCi 6tPGsPudm86UIsH0pv4D6FLTk/Dr/1fQ2Dv+2qrgDRDnYYmLk8yMUO3xKqaqW4b2tYV3ZDVLMlpE DZMwH6NRNh+YcKgmIijCIfWJ9L23BsgsIoqdy/3tpDHbEKNg8P8+cLlfoOTD4PQigUNTN1dXiUQd 4SBhJgqGaFOC+bdQOpA+ogyk/ZfHIvUjBuvKDej8dJu6W1YCmKwYCOjjaKKA9Zl1idc9c5+7lBK2 DcjMt13LlCZiEjDZlNe6oycn0heM7ORwP4RfZ8GUbYanpJt5i4lAc8w6M627hVGBA1kxErai1KCu RRvE7ihw7+4yAPI3+it3wZkbci22rvaG9eg1vPdBwKJCoToRrvsS0UI8BiOVa/bquoEn1OkVrRMm BYe44yAvbQmJ665SCvxFxNdMiuCIO+AroL4SgKVw/alvsfnKYwAf4DW+lsFQ29eVazGO1Aa1K73c mVDxEjtOeFSBPCNldEu2U9XrfXIb3FDJ4ysJQa9NVTnLadKYVbRkgQyjCoGg6jabdgOSRGn1Ulhq 7cn/1D/WdBRllV18foXdRCcV7sMT6TIKkg/VBmvarwfzZxeIly6l8PuG6lOEIExpxBleSxey0EPe AupHaWGKUiRvPhEJeN/ZnK8+1ze1q8ggieTPAe83ZD+gy5Ksjf+zQQ4SWb7Y6Nv4h2B5vZlHuKi5 jwAW1SvlyQezAl5nBtFseGi9+u3R1K9oqL1pMRo8tm+5faM5LNXS8IJhKbFZa4f2riU9hcBsUWlT 4UdNjaDZOdyDD2ScQ7Rpm+BwOuk0UW0P0ItDrOju3zeqjm+TSG0RfQp4xJAq5fSMwy2YWGI6Nn1q D1Hlq7aogjSRTX7WUBv/GACCEphNN9gc7L5J7u8FXvTabu/EjGA0ifA7gfJbepUiXudnS++wHkRL fB9dFZDAPpW2Vu+YB7FJyeMym1+aXt285jgrHSUUQsCTR3WAl5BbrU6/txRfZo2x5Zop03daIASG auErAu0QF+Swk8PHYMceE4M5Ahx57K6dRO/ifvGvaX5VU20gmDj5tVTotLHsxFyoJ/8HOwrxbrDs /M/4VUBKnUUan8ZUat/p8RR6wb7oJr6Yu1T21nwJtRB873LRlBgsGjb3D8OZ4WN3zqNbveSd3N7q 1WVgbQUIYiU2ka4bpSZtaWcZavcqs6ClEiXuNWhVuc9D7KQlnJGRuAolgSPrFMDRc72oJFyZMveW 3AgN447t7EEDv380075kXcTYSTYiVMYsZtEMjPwY0xiNzLYCy7+spNPmi0GDdaltWK2v+jImLND1 +OOAopTdHCydSF7lkU+TJxvjr8zEJQM6BbSFC3kLM51ecKSRGSMbmEx9u5ESs2NTSLSgn9hxRlQS elJabmTp0OwEQzlVhf9RQ0ZptGimsl3BIeknQHjWo+jVSIYeEIvDsiFj7ncKgdb0z6zzKeuBWmIk 0LB3wu5U4FgUxBt3PL3khOWvRT+eYHuaARSJaBaTFoCgD9t3llrD64QLOAx/MigGHSk7CLZorIEP 8BhVq8lXmHNBQB66YGxnuNpS7NdBYkaVoqY8okp7Nx4NYEiywxBsZ+iUuSQbhbhR73DVC3IBsyZW 4yp38oSfHUV9UiZQW0KQCLM8PebcjW34+5J8AwNKwTsbVyoTzuDjQS8xXYaqbZvLhjIHEn6rwKwV 1nDTZ/qgvI994waeYcTGKV0Jxe42f8K99vGuzaHcuwtUicxzlij/uwZfEzw1i7QeeRb+28tu65JH thuiM3Y2SZ4JdCfwPY+ayDjjdAH4bXA/WWTieP2FHZPICh0S/F0ffWZioSwjSN3hrFs+hb6ZmZxt 5m3buzj0AG89df7M5ZyacZauiqg6vTcWo9Rl6Ko8ClbyS0KokxO/fGYAEonvqaqOUOXirM7D1ny9 Gn8IlGuir7arQlP8NLtvizR9xuPaqvzzlHiVczW6g8cmW9eEnColl64wv0U9SFC0ZE+gqquRpsck 4OUnRgWMP3FUMx7Fh/Nc/b/5qaFbrmKpn9hL5OuytjeQHBbVNfRkn2m9FxOpZMp1rK928kVS/NvE h2m7NVPnomSJUOhDmdIg7TiybAJVn6LCgB5XbNGij01C1ci5Jcl75zSAlEMA8p5ctFZkZI41mT4K ZlfDnqQieSJtqGQTLLqRhEFkqYElNv74hctR/fPfo/90jfxaq9oA1H5CPE2IBFnRds8IETRWnKO2 6/iojR5lKdL6XpX/yYUYN7IXUIyP80I69eqepcregnP6SPTrChS6lHpSixyYZ5mBjDGIAakNyYc2 V9Q1mZxpmHwr5hIKqL26fmeBGzuEgTEPZ8J2wT0ymAxLuJYRBnqPTDP12b28wGfmwrSh6Ozm5EoN CoBIVNg0i92pAlhGAeobLFD/3pQlZipUglA7njildFJiNurGCrnkzRISoLQirZu/paQs7kYUZcjX EV1FGn+FOe0/eCpMnH8zfBspEQzJ48ZLH7lsTBg14Hgu4IPvtell020fcMk5KWv0aD+GBEuwt+gv AsDE0F7YRu9y9+qa6mq710LxHRCos4blGgH6qeEziM3z19xxe7wYwyB+tyl/DlOojHwsBBrIIEJ3 ZzBmok1bnqN7AFSC28SgtTc+rGmIX4IVabl2Zq0/Bou2gYOiTnRbgcuEjmrxgYdJWnPro6ewpFmm WVwvFeDGC6AcJ9HWGID/wiWwqkdMbPB+L6eGqeu2fES7Z5biEVtWfF4yiY4OnP+TwKUbc4lZq12y g0VcpaHG1ieKoDv2eiuTAQz81X7o3A5Avm1riI1WtXfNbLNmTEZhgS8JrjRsdNHE3aGbLuZjJNqj lYTwraxl1sSXP+iStBWDHzbenxadeM3mIb6cel/86GUN3K5JBXH2DyfJwzJSe8n8IP71lI2oLZMw U77yl8vYM1LQkEjbTMkgHZE9yqbhWs5PIYdkqccRTuseh8i33sIjyYu+/hYJIiLgzQtIU+HgdiuN 3x7yxwQ/CZzrVvg5XHct3+kx4Mcd3rXY86yPKUGqVEtZNT2ytg5j1+TMxFrR98m1afiEVcylplgn XOBt5ICRqZbW1oVMOyH+DFKcpHcDOWqATXVs7QN1jZZ7sDFuCYqHt7+vf5hGWy1/S7Zylv8YY7wY Yszc9k1EmGgLkd2AyNabDnOHjHAX90bNecBpr79OrxdYTv7CEKsfLdrBk9btEVegK/uY0ofAMbfM YJxsAFH+KlQuhOJS4yvLTcMXN52I70pOuMzVFAdEVRCwk8YaxqpjBho7sQx5pFw44GdDNycd4uzV 7Km3eEVDpOxwzCUEJC/eXsTyelBE8N2E7L6wUxAFmEF9pQ3M2lt9EdWxhMu9t127rFm1ZFc74zoc /KB/0Yqs5IiMZ450K/t4OHN9S1SJBAHFh0/le0xeaJO8iM/IZxu+nUUTJhEsj0eG/Bp9ffsB6QXx QassVMnUKSQn3P/5CFFCa66caWhNuLrl1qKsbW/8rDtPztWS4/zm/T17qmKHFY0xi6ubyXSpo/6B 9bktNHSQamv1wn7zz7Qas/zU1Ms61JGSlhHZOb2r5LeqpEyeW18+p0Y0Nsf38Zr6/mXlYFe+DKYA HUYxaR7CTpCa2ChclgjXcqLdHwKvjuX1AStBqVsOdt8PSLSO15cOIGpnMsr6SMLqbujq6kJLtEaU K0mSfv8AV2ivI79flwX+WcJ0J465hR8rKjjF/4KPIftgMps+KWa/911WRoakgc+JIt/98vDEfR6+ LS5bp3hlQhuWQUeriYCemNQ2ViEEM0Bx2fOxIM/IH/zrMrcrHdVO0AQPIW3skzBrs+rlicIclpkt 948crOw9RInAtf4w7NsKNrPyIZhcck1ZyFVLpNDTsF+uaAOv3AFAbxXXbEi7S5Iu9cXOZgHTcFjf wWoohcAEVNoWAh1l9wkLiPN1dGdvdJqmcdP/P+EU+LtQ2f+x2LmYcztN6LTUDLgILa5g88TmKctH wSsf1ep0hqw1RKDzVBGBJZRCyJDPbc6G7Vz6XvhZ6c0dS/j2RF3VhMMRkyZX8c+4dMxRDaOMMLLJ NQ67lXxiPqlBE0t+hQsGT/gKNLemq8tAP5Cbm1xZ0doEQORnRTvGWHnW7lYw02oJ50BspHyTtFY3 /ENnTubrr5mB157HOELiR6sBaKwzEcyMfk0hLjX5eEKEz043Sn1/1rRig/eaqqOyas7+jFRlU5pH uSrB/Layt4ve3RT+x0ECQHJBQuLNnJuGIgeBKPIXnK6ngQ0ifSQiVr4sEcy2S8AJ3BWEHyH6IbwC k98Tq+G4dW92Mu016O2Ll9JWdRtgA4jZIX87Y/TO9qh8++T5L6B17iKHpPvl0IqU//ROfKyJ5MWJ 4yoZXi6ZzEtag1xLbKdeENoqu+sMUdfqS+kHiivv3+btElpEilUHAlAytP7HnJzkiufqDkGYHpnX TP0zJT1JxVVF7EDI89vzvJp88f3usv8RxlLp0c2jCviDIJjq7mMlPt/2BdBO8qynfglMupUH/phu YiToC2+Yha0VNMklQbohGCZOP5pCseHRoO1mZzRcT1MW9fUzg0X+dRtc6aA3fcdykWxsdQZPXI22 UrJp7kkh+qPIia79JRIjrmQfA8w8P886yy6ve7qnqvZ44Luwwcigy8WL7yUT5zaeN/3jbwrdK8Ko shpuIqKTiimWm/HS97YVXy35a8EMi8aZUmGnlsqYbYcgj2kpJf2Q1+dFgxX2KMOe/raOAsfD1NnD nFl/IFV5RlotRYC3ftK7Vv90ZOaQyRD8soxZt2z6cPtjNSf7ZEfbDthk8f/4M1eh+oQ1F2hQ5diy Sv/iFJg3jlNXVCMBJMI9xz7VU7aJXSdOs4FnoDeq7aC4HRd27Ag4xR8rWB5ALj+e+aggw9Crdmh6 vvHKx1SzgUQGhb15AQcd365DSxbgemg9z2ewO1Joeldi0LJ19dzDdpTx8ud3SeBndIarX0MbfCsM 7BC6xtCOyOJWenrcvO6AhZRI0j2sGnc2KiXrVAAImslMtZc+tz7JDsD2jlNQFRWFK+081f6+CXTX 4lP9K/g/yDbsnYtDfFwbowKzf5B5smq0dovPc/yykgzGK8377u1b47Xmvt+LhFOcy5EYggpv5VOL RNDCl1b30kNtiWKruTiIQDGPB4IUso5hIE2M5OaYAlHL2kE4kN8tWM1r3TSfHCORYd4zKeJ1VmHj Gno81XezzeMyUCZ8eM/gPCHdUadVXEWr+IajCMfthLqhfP70T5Oi72BddtMAkK8aZLDDPnjnpRHg aO5Un/N0fZ/qMZDHXvawSKOGNQqjSUyoHmQlE9UGhhygKVTs7kl1Jg+Y6QhICTZY5BAs2y42xrHN pl3VLVyuUstAxS6T3GbOsgt7tJSYQvCXGbMK/ryWDscj67nk6NkIEb+8Pp6Tj1GNzebAbsZUskKi 342mXsDHUF5LfmwcWG6xghPmg424pZjCG6JfpyGyE9azDsk4+lvZuIeq1mQJwbhNspdS5nxTzGBd fgGXSBIrWOnC3FWV29g1iXzF5TlGX/ElDEUPOXR9yvtyx3wp3NWjtT+3fUFoM2YWByzuXL8bneRi 3L84ScSUf8YrXELUwlno5RDuFxqE6GJpNC5drP1L07vGVEXtMI2zfD/opc5o+q+zyO6xbGk5SBlg QoXkcRugW/rBqc7QaNwrpsxa+6XGTMBzNn/7T8/rRzJlEBrGuunBnBgR2VHc0uojTsrMia4vlpkm E8PzvGsKHa3txPuwHdXQgXeYmMpy0xvJVPfqf6GmG5SHHFoBTqm/0TZQ8uL+CdM5uxZfbkaDtuF5 OY1C7ZS+9af+pabUqomdeP0wzi48Sh0zO+x1S53jRzwn9OG9FiEPzC9Em9rax1h+7mbB9H1AnvJl V3J48CApofB4TudLPbA/6LUzHs7VcKpgGupy9ZTPuOvp/W7ivt++R5uJvhcnnaGrtH55VjauHYqi +e6zvLYFwcQvFJipqSc4CLQgyzzEVMr2euLRbVle3RfXYaJuOgHB4z18ZZzoTaT1A1xk6fgizFtd EIbPgfzpMtHUbztPkXIXBKszUCAQQcZMxvoki+iXt9NRBDVABA0xsoX83FFsr+A43lrVr5HrnJ0A kwd8d9tsr7YpOnYue7df4kfch802MbSwXNIFZ1/X04V/bSyKwPppCD4oUqgoA0cXHnCGgP8N5n8b hfqmrT7GJZh0NoQb6JcXa9AE8wTj+y3fAxLd6fUQBADckY51gwfsQFTrMV9mSQZQWQxwMFz+B9e9 2u0Abg7Ge9vlRbqZPjX/YT/6GOrR3Z7g/Z1L4dJ2gMgUdLwzprgezPUZSGHP9ZGVg8m0gDaJUkoY 95Rg/ArBlM4aTmwXbKjR+ym3q2RXcUiIl2/3evpoZ+GWtcx3wh6EeVs+yfJgEYoBxvv1Mp2MCXs4 tzvUOqh3ABpNerHXuvIpKKMobz/360uZahWajEvQWBuozYDtwa9sglWuXLCXoVqc1AvhBoQtjSsv U/o4GdnVo39AkQSAf0SM9BPk3PgLbsKcdEHDvEnii7dHXfXPsDVI6+Ok2tpeMYbxMBZ86wwcxRkt YYXl935hZVVzyHH96K3cuGikDFRenrbGYNGkc2RLFCxnOFQu3wVT1Xhj+ieGr/gVFHz+mVcJW9L4 9NpKVX1zVe2ZuSRAfDwwYyQHceW6lIYqo1vGtdcjZmSkbHpJXaZ5Wc9n+Dwr5wRTfrv5wlJdNjya 3OrDBLlboP3eLzV/O+Z0bcIesB/9xbIGPSvZ7b2cfoGgulnI1wfbUakIAXo0S7Qlswnm776w2aGY HPN6XzPLTkPEnmrzBnexL9tJJ6VrNUqiqxArHVPdTfjoEkz0Jw/MNykF3720vu64ten5SKzYkZpi t8s2AkkNyLVA8ixVst5dqFTA3e2K3MzKd+Sk326TFd+Ax5axOx2CmZ4kUCIhU6J4YQL5EVs7MDZP ZzHV+ybrCqwzjkZZP/A0oenparMkxd5Vgo7ilxa50M8+kcXuW2dv4BNQMYVbLrakWx87qx9fqVS6 2jRYhCvCCA8KmMQm/E93N3QkdNgUptA5pmtQBZY87n+FpdDJepuCoNaDuqfJ34ryARNOYiIhprNh Hib9Q5eL8WlihOKnz2DTRDOrpb3jWa4PMcdbAhYDpKR1Tk1ss8Su+AJIRoher+SI+xTXKCUyQIwd hXck3LgGcUdmrA3Es19HWCYJOuijxNfQRvkNI1HQv1xNXMc5Cmg0fZhxy1TVxhwZ/1aeXe/8NoJB TfN51PxoeBT9In3Egx+UohWdQT9tN8RdEkSrF1cnPujLOlSmh0LWyLhadU8XYVJTNagcRHmSBv/Q kYGlI1XUcoV38+8+r23GT93oQuv+JIOsfdyUitg5krYsT1KdVFsUa6ozCCFAHGgQqkvCAKuZySfJ LFhTNdJMzMCn86IomMlMDcwkOLypMyvFeLeGY3SIEdEyqhQ8owAIxLSXXuljFXlXWqDuM7KQnc8H 8PM6Cem/wdSpGXseld8p0QUJak8Y0k1h3/EcKKhV2Q32wIM8MnNMv1P9krznQ5VWL7pzCCoW3hSV Vkp/P2v3DK4B9+1b3Xe9CUmipFj6DuGctHKUc/QU2KRyrOvb2MmZPE58u6q9hVQ8BKZ37uDLnATT 4nIJ2QFOBKf1NadSyUg0UM68zfeIpE3hvDbsiNrYSt5RlPxwnlcrZUKUpUVwT4Ij2qBF9ng5gqE3 8lcF/yJtXdKhnOjFrXcmH0tcsKrQ/unRtf9HGcXsfumC2upNWdzUVztehbQDYf46L63CXdF0Y+rE trH64CZlratJ7dzUUVmw0ugtungTXfJSI84q48pzi4iGZBeDVHo3D+Z/S9kB2MjiboMdGxZ2Kre6 uWmjgD4j3O2gxqmX6qrlIKx5hj4Bg+7RoKhAfpz4lASPJPwOxmkd/jYMyJWF4lhuUrsnQzOf0B+H qaYSXjZRaLDkkrLnAONzuEGWjyXLPBQ76ZXOW0G9T9mXIFUil0Z8v+3rl7qIKOpERuzKprjhMvO1 2WhhqNxrh2FkvhaAvxkfsoEBKWyo7yncnuhC/xvYgTu4QHnpIZ1W1Rj6WkeywoQhGICg1/0CkOk6 H93JSmIpt0rxn/IyrZb9eHvzzOpkKa0FPNG5mRVCb0PTES4YrnZgD9rAc9SkRkTZi7e3Lg1DoYh/ GBYmjbGUv4zcTW3BsLoG1CnFvpaCLH7MtkbbVl8Po+O3q/rKNnF7qK3FGr/dkxFzST3ePhei0ecl vy4Pdui1xsieYk2CLxSPkj6qqkt/Fc5jrHH/i7iZXAFCcoiufWZbmBPj+r7g/S+D4lli2BMTmJAg fGlKb3ZZqeIRXWnfezP5+a2YUmHEZM9OsinZpqr2RXHJ/BWJ3w+A7QiFYoJ6WR2ojpSgB4RmcXmx RrUfqNG68oS+1D7+/wWRcWSjEzAAdXFOB1HKS4GmrNQF5F/jHGAgjMjXdG5YXS+418RHho6qoPs1 PFXwIfu99cFINwFfO34YhagfwGhbdZ3kCorciertuWQDcgZor7Ba1OQ8ydrH5D/hR1Kwh6PsLcpF iynCgm4KLnPmswVT1GSHng6IBnqZUDQoLHXeAX+c5PslccjgZLM+iXmgZkyrGKUdeu6MPMST3xaY zC2YKseL9MUnlzAoNIPsy6ULBLzbp3jMXqxkEBMhuDrzvTFQosd5a/cQ+EbXuBqUj8OvXbx7Zk2b yzPnGCczl7bKY2Vf4UbRMM7AJMrT/9c4MJOOM3iqxU0jnQZcOwb4OrP+LlNxnpueMMV2uH1qlNTO EDOnY58YSZAGqAqV2aVK86XhMXLEc+OyyAwatWuGY5XDECiXsHpTJ12SKPO9VJUIa6z4iNBByq+d eXKgPJ0qTuKlPS8R8rcE+6+1fP8H/w6K9m4zH4wSq1GxtPuniEbnXkAc+zg1MfZ0rE4yYAt1VbTw QD0hHqJqIRSTddPA6ph2ilEMr5lHBzhrn1PnzXZIPC8quEB7e3lQmQzo6+Ifu+M7RRDP3aI/tFiG /JEntXBZdhnkuyK3fXGSFGmjONKQKUcRQLHUJGjg/jR4Y7EamASB5+4EukPFsEgWOMY3k9IqxrlY vvBvWe9NkIOGQtf/0hXwmKGrgKxIIWb8kqpUBba3hnUzrF4QlvYqGFfxnIw9KVXLB2Rm+7Euxo+b FZQz+8yRLJy32oscTT0FmePbfGWnotjEJzucFjEn7kHDRVKmLV2Ns7LBYFfj8+ehkC4ZY7+SJJ23 sYBmnpzE5qBeiK50aQ6RFPiIjSg1JBHCk9RCmh1xuKkZeByi19YgtJQZ8HH8PO5Dr2soXkBqo8AF 4VzvWUQn3DpUksKHFyWvKGGCFaiW58QJcElZD3CvLgyOO4yyGARZ/sZzo/3CkQb3JKrpiYlyEF/g ZqmFySZLOIKRumAPtdWp8YqSGI1f69k8AwGRpqHMsgEcrVThVNde8BpvAYwYDlvROPBnJ2DfDTbg 5JRD3V80E15/Ha4CJQOhCgG++zY6VcqMZKEwdVkQyFgVBk/0HB1j+FhKgM6jTUILB3+LkKRe4uFw Fsc+zbQvb5OliSPhhpyae8vNG/Fh9kInulTXDW+dAJpLkoi7ECRnWgAfcUClRCymmJOBEV4EQ//z EkD+lvOvlSCMr2QYhRCt/ywZZHY1HcYvBabPyXV7v9OrXrRtQZ+qhx2EHHTqaAH9A/UmMttDcLT0 RD3MTbgTrH4+Z37zbJG48bqL3CBMB85oag5hRyuGyvS2gwaC2awjU2b/Uq4i3cOWfU7Jp+T/tWoA 0vuNiFKQm8Eq14kbnkfDeVvMl3p4wlcmNOem8hYhBPT0CvVtEs0Y+hd4Hc2U1EB0DqK8Y7bZrK00 Vw4FVtvWyS26REI0BfSdMqg3onN++RX76cRJr49THJ+1SuOpVlLD5Ugj2VDYHSl2oPHhCkQb6kuE Q4GsupoIi3lwqH/7BcBrQP0fXLAuuBCCawOe8H5IgBDkTqjbzyPBP1SZ1TbiKWrL8QW9jYHHTXvD sWJ63yi6RGkpD6R+rVbotvBed2PCQs35FUPjSi063/PCe/cDuE0+td7Xk8lsqMPUsXMFyW+zSaeS z1k15P3iksF+T39s3OtFWNzY1syLGQ1d/c54yEz0ClUJtbv4gEh4Ct8CF3RTc9hSl4f4jxPw4Y2T O1064cx1Y3Q3j9ywmmtDzPa7SLHEMbyypJU2KWDaHLXuykth5NAsmu3PVohgEsnsrfQ88udH4P5q wC6X9tJlREqEZEgrWzn8jfA7cpUBOKZo6sTlNB9ZpkVruGN57U+4Q2/B09DGWZWXpnWyHRPeTD5R JuVE8EUwXAinhIfggg4B0IxoTa0GNxkXH1B5A14dSWan+qVPkHk0gRx+oegYFmjlD6roAoA91eRB hXZyoW+4dxjJ0/aVFXZXT8aGnqHugNRCA1B0A46WZAjIVlaKhx5qAF3fh4UkeILthZBKgybsoO0k BquyDyHJgbnsrFaOBmOUl/6zp4j1qPXoFcPtmv9ljBhW34eezQm4Nm8KXBrL4Zb4ZPgyREgFeO3p fis7C8DAUzvSBBuJsat9/lxFH7mrJZPvXSHJJJV4dNF8j0LE7FclA/f+48lkXKRhuGPhS/D6SX7s T/rsvZR6Gb7ZxXQ2pLWxili2P/jonZX5vPdTPWJI7T0xxL/s4qp7ZrrYBv//1obK5sOahZ8320PX pVN9j6HcNQ52cg9PBHenhvKjB3IJPQx/wkvaGwn+8aDsufnvQoh8of0M6dMzTQChTgieaEU4aBVY jOyc+iAtmZNirUQuc8qvjNbTI48Lr+/khSrxU4ywM0/WIR31fMj72+aQz66hC9gsW+NjaXbb9G2F px5qp7oSBY82APi3PIcAGL7+8ywZf4ujy2s0HTbBNn6UvdRRhmePbBOg1SawJdCYhGCn/ZceSfnv WYmQq1rELas1hSyW2vlAc5kLMs8cI8X3bgDIFJxjCxgEYwrdT38oSplOnuWP8QkWFHbFYRcsfb1E +Cnz6nufb+ghtGh2CxKi+dSnucIKyUXk7/2H+k0DMuYzSUuscbOn3z1veI/Q6qKe6MmALSelLlRu LE/oiqPaO+Yv7fGZtZda8WEdf8DaoxvHwmBqP7GxYI/xdpLH6ZxJX0TCnZTZATGWRTGNEc5qhjkT tLN25dXLchZjszKQkDp+gX30gE6W+XHBvlDFcX+b2pxC3nx6x3Caf/+b1PVgzeBA1Trgq0KzTCvr 6kgwvaLYwtTsecnVr3NYwjlbpGmiM6VktkqWX0K76F33qOVwqZxWOW3fgchU4x5I71DMRjm31xuD nXTsrjqHKtss63OeQaJ0+Egi7RT3Oe625rb2acS7+nEPqRb4KA170QNBqe0oFNcBtH777pduJdM3 Xn2sXFH4IjtdJxTzorQRIprh0bXv6I9f6iMfKfgZ+Vgc6w/vTYYDJj00W5wmCxztPDhJEPJe1s+5 xCWTajNb2mSClTFl9Sr+ao4uigALYHqFL4v4Bouqe/cogIX6k++TAYvTsd/8blk8Ha/PCggDzjE2 mKLw92Vg6XexeQn4waM4uPM4Wktqfo139r5VQnZMC4JJT0gF1w1BZefxWJeTLAc4O9D0cmWb0HxD Ku0mznT4XxFCrwOGdOZREgqFg5rK/oWbVk47KqU+EOT2OK3J5M8FFplFA1b/MsGgwlDy2NFST/9Z Lqv/mIFucaUT1erGjm26x1X6/nfjlLwK/0dEiIxl2UMdywqxNUblyDEcPMNXgVWq7/nxRuQBTISY 0bDor0wlzNA4OoPjNiNMtQCwOrwHM6hjmodv0DdMy69wJanv10VAlB+LIYmGS2PeUtQFP/jTFi8Y jB2JLAIaVVTwMh1xUTV0UnE6RoIttVkHeaGr75wFaeMNJGgFBicX75G0I5TnHUUvRJvpmZHJPvsg CX/7/2GnhxErmFjz+KuLiBQulV+JT+h+MB1HAf6dmHDr2vxRRrn8hIU0sRDBwkbqKflDj4FF8/uz 1SJY3yNxK8VzAcDyJS8HoWaA7pSAJPYZwV40WwypZENeSTWbJ7eThdXn8XtxWzs+Phkw9IMVl15p wVPLwaKKbwdoTDpQdm1dMcQlC/n/Clys509LIirLvGsdBFmY2MRLCYKx/NIvDiMcTFcuZIDOm6JM FEXU2jijV1qShozVjBSOkfNmRTQewck+DsslltNhLOyakS7JhD691WsOzelU0wjtQWgrHhPZoHcn PRjFu7YlyCWklE4CcfVDV2W/t5ManAwRpQEIWO9I5loeT2sYdvTpxCqq49Uiy8hJ34/28AKBUTMk YQM3KW7sN1s26VsQPNeLBOk95mNLSdP6ZRScaClEWF0vu9EvRqkZuvMqsksuDqi0PGxZh8Yp0RD4 8CjYuRDSFyrFnQR8YG/TtUZc0qZa4s1309PkrgWW+xqi+yhzN/TUI0D3k/HPMRSLK1PPAn3biNcf cqPPclBDYjbpWq7q76cgCiq5pfFFnmDRB10BRr0xiGeUFoE2okL/wuPzO4f3HDxkVCf6pwYI6k3E EXjCZGxwkTqZ2M7Qz0wu0NMmMvYAVNXNmgKN0JPyz8pTrvScmEJbCZmF2dQc8HsnZSgLHxYuJENT W9V/uq5Rp/0KLBbjYk68jRqIkBexqsb32XBixm4g4JF3kK3b84QEIeqyqJFNmSlhvxVboNxHNBbT 1RsYScYeDfJBeWdy3Op9eUqkvbmulv6Ry+SbpdE2XRqsaROfOvJ3x3cOoXy5iW/oKiJdlJUDqcta tMqWBuB8yAYvz6NoQoYO9+8+a3eKbMUbG1MP3F0GEuHxVDm42WSqVQaFVOHdYvqnNA+V/f+DL+kE 5l2j9+Lb8EeWjvrVVe3sE3pBik+/AikpwO+OJ8dsXqHrWcg9m6PBkGAktu0FtNoHi7m5CczY3VyJ TlgWPDZDUfRD5tFysSNHdd5UNXevW0WyjjgdlgXIPCWRyZr2KyIEzNHqPnpr23QcdyjQiqS4UZph JKmU1fzg33BLwvIUoy59QBHX7Ga67AH3LZrYv+8TAiCpOpR1NLTvndgt/2dp7j0pHkcoG5d7NqLh imOfw3NQQGVZpvNPuCfx2K1WAgvUlz60i46T8z5KXp//E8/3AbMx2oCcGhlfRwI44wyJVYMwxtZ7 5X6Oj9ubJfIqO0bPBbmlP30WccajbvQQKnuyhp+X7FVi03uB4F8b8g8RVqa/0d1J9cDa8tHuelVE KpG20G2ldBHEGgklyzlPQdnfSW5q6Wi/xXfiuFqocF7pWINttJB6BhF/xdaukhmxfZrARKKtPnwZ ur4cPURNI18wlZBuHVSsjA7ntEucbLzDMOX1FGLp7RlZF8opxpXK2DeAPDqU+snixW1TLd/ufY+O No6dBFhb9V3KV269+FF3j/DeYr0dfB9y7DoajCUEsAMgcDheqRk02q5a7OKofPl/9BrNWg8fAhJ2 Csa/DdJyksp7ZSrOft3zY3gLOoOP7BEcG8FgnU2/dZBB+5X2ecIlHDPz5KrCGT36O9J8lIJ9UFE5 2kQjjLLIPIjfxY0Okr/JUNtSsiVtzIMIzvcx2lSRi0CIVYz401NvmT6hEatBsO0ttOEaTRDCj10N erO/8FDo7oxQJoWnCgTx83cZIDeo4R02NyLJCn6+olT9wAnJwrVjatLr+/3f6sSFtm4mH8yl4Uti u7Pv/+bx35C3KaGmZH/EhegJoJ2E3HUp+3o/h92fxtXQjGUSKKI4kAcl3tjCH1XFgsf65ycB0gdV 1D26cdRwiOkfNQF4nHdxpC0fb90wRohJX2mBpuy0e3Vh8uRoLYZN9PfuErv+eJuB3REG55WefVH4 5EAxpr+F/UANamr1YaPlUnGKbXOgFhfDe5ApdYUYUE/FcMkLpcHmX83D2FjWP44d+lB0wM2JNpHa Vr7lJCTnSdviEtpz8ZtZgwrCsjd7411qKgPwxbn0jW/0xbMMve8tZvgA4GrPmp6bqeGilos5SdpG VzFRx4EyHozgGzzRv72zn7qht/bf3UO2uzu0NRxENRtaETlJ8pPMhkrEpkr9Tt0T541yHsXr+zbU AiFvx7vP/aD0Y/wV+qc+/QzXoHJm4jR99ck1Y8E2SFDLKeNieH6dMRHNG+J1MFKqzd46T8RXe10e +sXB1JGA+7brhBwmyrtPaSCriw+B0LfFuIlG1B048x8E3ISnYuvXIyQ2Qx7rH9uBGrAqeHosth8p 26ovRhItNbOH4hdgh72GGds7O/MiuX0yDEp+kpVVgvUisUAd+MghtZ7xqkqe2fcE+lbK/WhDuCDQ TZHXsBNjHQqgMxOCwQZ/bh/lfwj8DhwbO3JiUPgmeOa+AgfmOEYN7qTs3iplrWYc5hvmvKLRFZYi VkZW7rUkK5OZ/3cOaIuABsh7egD+37xMdjNkDh8wmBLWejL/23zVnqCKuYT6fL+OqDDh/hZHw3vF 7AY6QqktBtnnrFd8Y4DzRAqI1Lhj+UrYIm8R/FvGVgrqMEHTkO7EKXkZfkmesA13RXaxl2Uk/ZOc 5LFwywKlWCtAbcxJI8qP0AzdlUeI4RkPPQKNdknKplYp7FGmK/e8T+UxMkSR/ceaoTW60GYnaL+C B+FY2efESr6M1OKtpvm+Vyq2aNDNna6g/w/dZl85fLvezH4cmIgxEzukz6AOq0ydLk5tDQGCCZ+J YV7cA9Dcc7AuhKzy4JYo1mKsvp7eZeGvcgpSnLjwErMUf4i7pQuVBae0CFS5a+A8Gbe0/ir9Gvpp /0/1jm2sRalqgxGo7kNM5dKOWM6T3IIcdMnc0f/q/g+5c4bCuSwgGTC0Owv8O+kpEwmWG/X2pVwW NQhpWPL7AGZ8EwhLgznNneqwqPAuKnbYgIBELKMhyvVK02TK57LKOfS/K+KD6f5AIlf/LsGKJTp8 sYNIV74IN6VpA4LxLEKGUpnqwJF1pPkncLlXU7Xgt8f1FdFARNVzOao+5czwfmF81RD62m5Mequ0 UHT+RXUvydb20bAHhv/sjotpt6iB9SOTzpkK46UWUfzwk3DgHDM8FobYOE8nz1n1C7LpXGNap3nr ZGhA9FafWB003EceAf/Do/gJtYQQ0emCealnwSwFIyzKCg5aSEyWoLI/c0Rk8B+VHQDppVtRp5wT zVAokO+KU6XsdNdwCEGlL0Y+hrF/8Gv01zQm5cDfVXNduyIaDxmEYGRfPjY86g3tzOipvL7tME2Z pzjWuWFrbJbl53DVnegtjt2pj4ZceAAJJdriCARmEdguLTbX8FyDWnnm/Z73aBiF85tbb1IzZf/g Mlt0infJpmEC6wCPsIkZZJrGjY6pMkZRH5AUy8U36ySz9nW8vl06LKo+CG2VhWnJNIZaR6sn67JG JvAueNTP4ZBWGIoT0nxSpYx62mRbt4Q1xpOd4P7JWkDPFsMkr0fQ/B6+wRTgf2+UcT0iHrdvq4aG G6R3UaLcA3lfbX6TeVx/NgSs0rxxt4mcbifxSl/lOXRXjaxGw3PAmThjLoos1sd/ZoEJ7v2IGAKS TN9b0nMx66cfA35vtLzMz4mLEMMfbkOVj977/fStHd3afFnsB+IFYzO08ke4gEJ1EcIc+rhRSFqy 8QA6BCc75oddHd/2yWpyWMUP+x7Xw3FMQyr6nxQ0Dp3rA4WkLOs4rKYPOQieLffhWtZC90dkB7i6 rBCyy2Qc223iE6ISYwtAmATtb3u2xTcrkpwcwnsD4SfKPI/JS4e6UCdGfr4d5jRLl+akQGLcbl7W BdMXSyuC1J94ESBU0V2aVpu8KYMYGUDVobmxvE56UA1JlPgqCGCRU/TWoYFUa7Yv89+YjVgMPMYG H8vjvHJdalekXXmq0XO0uhDlqZH+dMiwMHY9uhRurph8s8dmpQgFLTOOQBkKaFnw3JOHs9CzLAlC J8FR+K2etnVAWl5I5Y36mLqHLHr0zKlR6zbiejI6gpYRXfSJQ+e8AvUGEn2Y/eg9DbpOFs/iabDa f0G2HFppkBtRZMSpaFQAdA7La0YLXdai20YHp5h7vk8cYFBXauW5CxUJxMYuVXxxLT0QU5LXKBGd gWbJoPVrGFH47gHClsLHx2F5EhUfEkSlNSmbFvu5p01AmftOG8jf5DqveTRNS0C+UIlCUob1BVEo snm6CXyODth06iaPymUT1YUYAIx2C/k5/Ezcjp8Mzz8HYcuhdsd8zJSyAPi0lQkywQKgSUxO7UGk gXRe7LNEHcJYkDKcMcY9kppIDczMHN1FGjXMLBK7xM/6vSu91sgsJd/mO+tM1igzyN/uo4drGuMA lBO5mOobmuRp1UGt5lSRFF2QOtSIIJTS3l6pwC7c7EV2+csSEuQg9uAAy4Fhr9CcL1LLfXz/b8mK Cy+za1E1vkNU8HVN/ixY4vCW7UJ4J0FDYBjuWLDmoN8ejp1z9f6zretuRFE9CaVFC+J1I/AE74nN rGPuBiD8oxJEZAdgVqLmBumKytXHS+8jKj2D5PdggKG2YJSpoM0KiA9YLnULoMjkY/kxEwgwTeOT eC0jiIT7EGl8CpAsbD5P2mws/kK+DeKZ73R7TxRX0uj68pvhI/1u7U/pzn9oQhGh0UGNtzO+ENeF /xuzb54h4b8NCBwbB9zh4NQDe8/nl7wz0zxBOPOz0I8Q1ncLdJ9+KXb/m/ViX4At/enBkQPmHgXm p4kIaFVq6+vEqjHz6QrYyv29WZVqEUVb0A3MPwBxORPOQUhF3cGEXdTnxjLGzoPPT2BAaDn8udtV KeWBMVxbNcCndfRDu5d4G96WWdHC/FoXiIQBRDg3U3Bq+kI5ee5dv9eU+2W/BKo26Vzyzpsj1XHH PD9W1RXMCoTIo8khqmEvbf/pSOiqeRudTBZUzszv+f3YaQx9r/GSQb6Vzrz8BACctmIqX5r0l120 dxhkGlKegWh9++4rylnGp1MgiiJdf5FQVL+caF4+hoOvdUANhuk7Kv9g0HQ6z5pkTc3fxfjF4yTQ BcPqbTdEQIZehF6EphjAIaZ4rzfz5NtcUEF0FZHzAjJHXmGtBG1gurKNFehxMUGm/TArPThnO8YV XAvUOschnmzSsTB5FILRhW6dwUvf7DaJzZwHhIqU34VJq938YE62DN4vD25F++PzdNbv2mr7RiTz GUskn5rdgp/2tu9wbM6VKNKDeASqgtGwgxPFlQCZU/DWa1JFTfDPMqhPD9iT0vufW7/0dcf/FXY/ SxLrvb4wFhHnXwdMhFj9Wek+Fzi3yEqSa//o92qo4tHfFdr2M8Yd4XCHSeE21ZGcx3j6eD4azcCt mwKnWMFzde2urKeoHAELkV0qcZ90Vg+5t2CfLgNR3Tae91d+3m9FMDOXLBZluRK2Q+Mlx4vugfvt 6/P7sk3/nErB04sa3sdhOzJOA3cvtOFSjntfgKH9XTci5ZMtG5L7Z7yNI2taK8gskXBmnaRb6Hvl gQ4AKd2cKzFDk3+nlfgM6qEaNMYjB0ANCjgpDzSt9MGQHlHcI1UOgrUHrQt8UIYhAl+ePfdA0F/v 5qRDdOVYSt/VNSouQD3Bqo8scq53d07gxM7UeDDrrIBe+7MIHOEsTalkCyhLAotcIwkxb/i/G18d OAEc0KBpjSeJ++dbp/T/w4s7xuDhe26iucfgcfCUUEwqhdkAish9AZbApR2SzlZW0/xZU9WWk2vD Ad/Q56lhoAxh4KZTxS92t/i8ypNn1XgSoQM8wIesZZ6hODSHarfrE9yyAQ5Lcnqze61bJxoACK3P M4iP0lkzv35cfx+56ti2t8ZIeiqa4v9FwPAQZRgFBAoYyZRfDRK8shAoNT793+XiWW7VCytyfwEg JTEXYCfUgSkw0uz3/9MryZz4XYxACdSR7whyZWAa7P+sJawgFBQWoAr5RMo8IYpaYQU1ycmK0XPH PETsIKNYCDNvhjDZxX+XG5loIg7SQD1n/65uPjKxr7iO/hILtlb/2WgMcyG8ejaNrtJWtBS8POc9 WQBdBSAaTPT350BsiW1LLwWoPx3n/yG7DWeimop4+KIPN6nUdaGiPbL5vn5pvuPEHOu8Ca1ysDr5 Dgd69rBcQ3UJRXTuVHmvQ176wFsPG6fgTD+Z87b+BSc3OpeaAZyaY/KhvlVFxOnWmowuV6SxbcdV S7WPIp1pYaGuMHIwDyTbhkmMIm2hGCN3gDBNdUiuj4FMLNu2coLK3Ul5V6xzKYxDJ0i3u9mEkFNQ GU2aim0moBGwhYgm/BFCUoLw8DAv3DuP/gg2DyaxDHfMPcvqIl4dblyjyRq5DzjTK5B3R8ISsbou 1oEvLLPA8IbYzldwY9yW1kUuPBr4YPg20YxG61U9aZIkiqPmMBTs/BQXXp8zbQ4AdZq8gcTg8QU0 dfwWsTrzJD/ceXE+z+MOKldfLevm5oRmtvV9T8xxwt1O/5ZM7bXUeseishy03FMvo/QLdxscEXqR Kst5fBqtQV7BktampEtRZWcE/dK7FYG0U1FgaxfnLr1jAVDOgZuqrRdyHuNpbw2OYGKPoRLRSK+T QZ1Thmb9WJbrgTf2vZ//oKpJZeX+wFBxEPdfClRKuXg/aE6Ks65Io2N3rjqrl7NOlnNr3fOdjKI6 M1m3/Agr9NdjmuLVP/LhfaJcCKK50nA2+wNbVbxQFsTV4VROra3WzzC30M3GKzePFPZpWrcG1AS+ +GrnR8v+heZGryk+EF2Ea6X+cMNVqlSzvGHpEcUykYDhBG52bUuEms65gEmr6UfWZG2Y0NCTCyEW 2mwsFgR72KDS7pTbEZc8lmW9cJ0xr5DnHZ6D6wn09t++vQCBg6P3And0vv/tCUBg/prDZ0Ou20FD rokQkIQAxU6aP7AJZKEGcir8l+Y7naV9AV14Cc3xljyB3YjZMQnQ8Z7OpCT09W14A4woO871HYmX P5B2E1wZUzWCEnyo5eTIkTMz9taYWyA7xTaSf4gHT+O954Gr8YtGpvz8BkSPzOtuMSx4YsIjyHPe i+osD6oVzNYbrv9a7G2OGvgEhn1cn/NKRaWt9SutLPU9VM0MpYgX9JexEVIi5OVbRcFNpQKSdiok XYUj+woA2Sv6dsd/B+guxtrimhzsteVV546X5jQUOlcxOxZpM3BLow0w+RFYl2Z/j6GGkPD3vmS+ 8AyNGxueZRTDDhqngpZvkeoq1RrUimqtEUVtYkkw9ZwS5knciX0d6/M//LPQplvFKxTzXohy3ZT6 ecWOfHMHf9aaAkcba/KkL+EX+oAKdKbEjroLuozVH7toF9QrKpdM/Rc1qdMbsEMANRaF3lgkNrm0 LhKmYmTo5E7skNJJ/zRL6hdklAPu66WS621kTDe6k7U7VFzgvJgLhtfiJ1RLNiVM0LHOATjl+P+T Sap/dke1P467nbiiY0Q3GJxm5Iwnr+5reQvv2It9mAMuOoWo72jEDdiJJs4ZHbbnT4YQukF8RnKK sCiMMksgi54ZwkigKikc5ujl894Zxsf/qNpJryRekeKWorl0NHs8lWGUyFbpfu6TOBKiW/cSUhm3 j3tOLFZKTgCIO+NdjEnAJibt4LD6lhWbOG5W3gRWbkqB2HOv/zlnKrfEEo+kDPgYJPocvJ3QI4cm YWjlwS02ExYGBLi49Sp9C1Dxgma8zBZrDmMTJLd9laPmZxa/xQNsulLKV37cPBGVGqJXoPWwUXKU lbfhuiY88dxSD0x+u3zgPJ5+xXyALSxayIAtNjFCBh2n3qsg5hgINDHO/73qvy4cFrDNVKlfeTRA 61OUqoLLrwmW5ItI2VU8g1rwggxSGwMCoo+g8QTOFTVaBFPdLCjFb/1RF8QOHPBTAGFXEW9UAtuK kLZdUPxy4OQnjkNYZY1efaU4q6E86hxYKywTh2t5a4O11Hcf1KF/I8gNxz5C0WKeqL8oOGn7qsp6 HHAuSH2jAlFzuz/hpNn47f3p3EnFRHnFiXPjHyoO8BkRu4zSrEjNRf9ofprugLD1aast0/yEZekr 5+M1Q4sWWHwcqKZNxCmhIETE+G0kcdvN9edOd16qdVISMzht6O1aHSGKeZnf5sbw7kPk/g4hnScc +w/b6YgDncDoEgD0GYOc59KtmR5eHnNkn2XKtHKThuFoj5KZodzsKKu6YOppr5NGdK2VcfVLTuco F12hoM9gsDH7IlrBpl5kRpVk3QLPteaeaENoMtf7u+eGKLeQhahHuG9nWJ4wp+PYEBxoPM53I8gN PKKhM15rCZo6ZS75OUwICCAmkjNa2U/8ELEM+zGORG1L577G4wOABAWVFha78qHmoy2j0j03twoC zMUy2L+bgSBmiEfpX1HLLQqt0TtgClPab+iJqLzuzQrYcBFnoxmYnoLmZ9brrfi0xn1WubXUtj17 yBVq2WnKG6AVfBmfxC6oFrqm6l3/DxaBju8gHqv4cj4R8USqVNOQaWlGOjF3i584YpvYZ//CGNtL C9jvEzgL5J7Ef/75PDFoHRw/+zlPwRjJEUBa4ZFgpo1whn7UtforYAfJsYJbqraPr0brtwfSWjjU ttXfo31VnyJCBwpaAX+nknBzqBgABFouHMZ4W8am2Tm+SUNdEkQ6Fa66FLUiAsK2sA27dhM04jsS o8KUMqJri8wVNBoIaQtOxaKdSZsCisUJHTcUrZkPJysx8km3SQq/jvdEdfxw9Sr2Ijfubsaufgp7 ls/Bs4b6RQvPrDxePxNlsVklM490ijOUqs7XVya7oZb1hL2iBUNcgqDo64mqgJHY3FhhOZNKN2OL DiYzyO0L+iaTdJSAK5pY3tJHEbihxH0OfJpElOtYc1dGvk0l83niTPgm8/DN0wGOD0lney6Q9SA6 lW10+r3tZeE3A8qmRtoMQmhqt4kBFKvWa/adFu01r4gP/f345k1q6TTIySXNCr/KkvaSUW1YIU1u 8dxmbGxJkubQsviSJQB3odSYyyxm1exLjpAnRukpdVr2kgqITz12HtUc8fvMk4DPvDb+VMVU2uQU 9Wx/r9dnz21TvdN7KImIeDbb7THozza+2ODWOvRNtR28ZoCP3R/+k6X3+ylHsorXhAKukuWnRrHG FL6LFWFA7dnJ6/nPUl4v+BndoufRNsFUk0l6fr0oPCib5wGlnH/s1phQf+05fJspm1A67XLM4sW6 F2zawIXWSeAOy96EH3d4EX3OlFx5OXkXPgHmie8keCgTedqCKrwGmsmS6pX2op34tybbO/ryIbZY 6iXmlfEt3pbknvq11DMwF5khlDIzUg8ojwqxy3PvG0uJ8kC+PSvGJ4WA9o4qHgT3DLnm4O1qKX8i KpgLdA9IQvvT8qeEDwvtvC9TaZ3MFC0aDKzRfpRHULyj81zoR/1+tT7In3F+lPKnTeu/tfKtni/a QW7BSxUVa1VovNeArGttjB+wwrGDk5NOB/t7SBW4OkZaKCsT3yrae9ZVLX6QP3uN2qAZM7H1OCpi Ieob6rnJ6FTx1X7t60rsOZtVYGZv1YWQlqQ9BZg8X2M1eNwaBh/v1XiqV4PcDDUqo6yGMVOdXMGi 7HZqli0TAK30e+w99Jbt8PE4V9lySCjMLXXrfixqKctBR95p5grYLDZhU1RrLYv7nnHhsktNcZGV ybNrh7yuGbdTLtY9OzR6jMyfDLqMwdBBsmWMF/disFXfWK7s0RRIvTD3CB2Gh7rHZD8T96s1Ib1d cF2Njd92ZhjyOSJKVll6uZP2l3Az4Ir9wSzrTbiCnUG/uZBQ1vYHJovaaeJom1QOWUMNG8QQLhqW +UPpDgxtaYKAAWY3Gx169UDyUwiy5fxJqk6nL7FBKyPFwfGfd/h23cegeqtiDmknO7/IhoGXqCPM a9vhkL2bMgDOgaPkWDrV4AlH+KNoE3JNM+nO2ArwEs8nUW3y1Ojc/mJilNCdoXIWefgru7cJ6qLy 0w9UP4e4tqKgfHDDlCD4MuvZvqnJrnJTJ2WysU6ll8hWBs4HoBTHpL8FISbsLjeQjHA8q/eXOreC 3Xj46hf365MNPWKi3tIBTflMOOZn/R2aiFghChxb4Zfum/whxkIm4nIbZXik4uVB+5ob57pviVQ2 MDcEu9F4aVcUpE2VdUVIHsgnKqwMocPmyYV86KT4290tEHVy9VDPVBLMjLVtvWjTu0z7VCJee5OQ v23l7u4FO94X4YYcepUSEPfm5WM/31PyPYjzLltvgNBIvZ+YhLfIfqMnblAflQXBOOkdhhIrzok1 etG1TNvU8dURre9bWFIv92Iob1w5/RLvqwtJtvcpzazN0CF61JkX2YaXpefa+8mUUz4waYn4737s G7A1skX6FAcmsl1bf/xDdvxIXCytq5gPHDpF1264Ouw0FyjQbEl0/Or9xfyAEfu1IrkkS2HVLwG7 mCye1EM/y7vQY0M3snFKPVV6mIWI6tXAt66ySxRLfAd/poAqSNC2vuTMkhI17xPr4BsXw6IlpRCB owMeFfy8Dh/xr3TKra4QQk1WjXI8CVbWxzwKPopAo//i9Xtmkfc7rm+WoLXIh5VliseD6JyZkpI6 VwUrUcMmb+ln77hFeq/gflztxsoRE1C9bAt2DXUU4gRZlw66FmNTR/pmrALlTmbhikkzN6fl9YKS SKy4MejOzRhVha9iRWBBEhptYDedVqrmNbCmAQyhXJWEqIrApZPCSQSdXaKf9d5kiwwJO0SHbngz FXMf9qrM3PEnMRCq6bZYe7z0mXqcsKlGxG01V/K6Iawf5EG8BOY85OL9dn/gf163ZJgipLwq2hqN QefEm+YgqpSmiOG6ZIE2PhBUKGh96EYzpqOX8pozdDI+oewCl5LUHmo+BzE+35DkDw8VQM4Pl/v8 7u36dRBlkgHrJ3sPFW4zU3CLfiFQF8OIu+hoFuAddbZSSJ75daipa7UCnezxzsxu6jLxXKooesca lcF2nykkr0Uynh4FDZ+LnTEjIfSDwK/Nawupq/oms4kY4eAFQPmlFe+tSl0XgfNM4yvdoSGOSkNL lcrFhBGTBy5ciralC488G2Pk3VI9pxVkSjME9eiYfXku4Ji+P3FpP7ngayx1OJCqBtT4twQnvVdR dC9GDdCuw6CkDd7Nk0AT4RUkNVVrDRsPu5o6WDwBBIolioTX7nLO8V1bYSEDXqVHQnTj800zqRd6 YmGzWYIpefI2K0WkNSIahME5kLvYZcdXF6jvfII20R39EMuL5vTVWIzoMCrkrPY9QN1csZZHumNh 3Fnt4F0yD2Y5bRrHiK+8wwLepn/Y6Fpq6A1YFBB8SnR6LfoF8CRvGh1IuckX/eiyhWlASSWw14pJ wSysLF5989M+zQmWQHpwKEplPk6jpdhQj9ARYfvh+Hap0exhwDcFhnbNA31p1ZDNpd4ZbwGI21KI 5BnkCIiJv8uwuJxpFL+tEWBYJe2s2INm+f2hoQwIwN0HRgf7ioD+G0o60wq3vCf4qE5g953wATZi DVVS1KZG08NRdclOM4B5Mdjg4frfgJeJM7+2r+0OE1E1NS0vAqjdEavDB8Q5tjwY+PoIk5axBa82 E898rHVhCHXaqNQzFb2TjOXU48LPGe3BMGUysH5GNVG4xUxAZfzkAwnxYuPkTMnzpV0pTe7UuLqH h3nunGns60yhyjlAQfbgeYQclAuOBont0ds7guf5HlSFtvXd2MvgOwD1vYOxvo+oWO/L1/nlN7G8 X0whCjvW8hmAM7+FIopnGtTuibfmn9IrhHFvaijy/1dXeyssxP/k0yOvOeqdPgkIpg0p6iA8g5Pg rEa/gpb83bYq0Q6kFbqs3Z5Wbw94WJILavxTdhjdiWgmFZh3lMeuduRTxISjHr+hiQMedT6wIdys 5e1r3w8bV2jaewM610GlVV7k4827SiqXGr99fT6rBb2B5LswocpaCAOvK12yLXKjktk2NaHrymXC BIl8Td4FExoUXc2h5gdVUFZwiR+Vkc0OKJdDmGRGOMGXjcMwXHGdIEwt2JzXfCGkyg4UnQLPcTo/ LicSLOgvDzpGHFAmN6XS/ghPsJqWvIZxSSkNbQPR+9pfARGso9AIFtqsEIhc7KbT3/fLIUMOECri o3YpAnR2fJXtPoyFA9NirQ3jsLu/uMDChSJ/fu0kbYhFysjHoMGeaz5eFZsy27qr6C0UVmMbQhx5 VQg+udEPekJ6W+8aRWaiRf49ilakgEEThzXHGsbOFrvG3fE+S32hXcomKegwiOV58i5XXmius1Nz PcRZn4LQ+x6rCZ8greTzekvrro3avPr4cOs6V+O8C9B0CJHVTRztJda9HzVPT6VHERKP2S86Wlrh kNfNMA2BfVcyIC2i+bBNU1cQV+x6YfqPnCPvDlsfs+KCX6JGgDX/cljynX1RVn2ZAFO7wrwyVbuc oLqtNkKcXQWJHECdNzX34KsmRXL2nkfUBJikJ1rs1VHQM/oPZLb31mw1AP1kwnW1MAO8rqOglMgM N8IFY3nvtTiv3wkuhRzF12LRqwM5lEI73z2V8LzT7loPkVs/Ww5fJOrEj9e6ib68IRL4RymX0183 cD3p0EGLHpNXq39WU7TUpHcsGD07GMXwqMJZhGHtBDY8zYruJBJn0X8dtj8jav2OSzI8NbPZD+jt FB14N6WCr3F9+FtzXuknyZ0SDUPHVsa2aU/r7qeG+Lzd4Nx+yuBwS4SFMF1Rxkn0PsCps4P37+ko 8TLQlTx7qvQ4Yj54iGnnfQADcLeWgT+ikrvTlmEeXSH2y72Yjof4CvDtKWDU8xGntThTsYVFaFyB 3VbwoKbO0JGBRt+Ff9SpX2cP1wLn4v11sxnPGIKGKySyUJPRZhDJExPfhRgjnVsonvUE0E0lIC9U lqpMxs3eHXD5t328F/KFMKxVb4ync84VmO/1mCfxGNdvzuuAWb6kGaprPamEvFlDe6ckrWg8COVP rbY/QzCiyHK5/rKAsdcEGveuBfu+6Q/F/1+91TvD3q/EPvr2yHNRCu7a7eSJlbABy3CBAcmTSmdW vwV/44KgSxwZTRpKi0s9JiQ9IWqMAk4YYQ656bKNOjCu49D9BsgnUo67UXNv0ebCbVThwmcWhF6f T5OKI9NdgCwu07mcOUr1ErZ9RTNLVI/cu1yvqCrga0madQq869j5rFBS8vDn8TN66HAAYnAZiwPU UqQpG6+WbquKy9sH9dA+ZwwHoWxHgKsNo2xlnpzL0weCy/PXMJXqBjqGy450WFu6c3BYtyUJXXt1 cnL+RMuwabqsZAuHppcvAwhqqhqHFU/b4UwgmbEQb/7FZUuAoCluMGu0FHpdXJR/2oSoaZpwkkvq aC5sjkcu8dYNXggr73/HyuTC2WLAHsuGiGpXNTJdOAYS5OdD9E1x/U9XUIIJmR4Y8PmhEDN/pjkt glK1V3L52bGBCyfpQ7h8+xm0ts/7vOFSJdzsE0bcl3tJVXCupjpwnkPJuYiNrNvRs7z2/EH1FT2J MhkNYILwrG5PY6p3dgjVSP8HHfIhVEXdMHqJi1tgb9MwEBSgVoZKoBzzNe49OTMBgwKKcXsNmMKM tw2Izmfwu0AOS5X+Kn/pC5emrD1enQSMJo/Rzy6Zertkwq3P+cbvLzsc/pOJPU3w+aOWJnnC8ZN7 0LngGLqujqi+f2GCqUQUI4ZI441WuvEgJs/6FNxlLxraqw8g41F+kmZhezQNT5Jt7fdJfm1Sdoyi r6lIA2erl7O7IpqSAo5cefGl+CKWpB5Mo9LtsrXvJ7+XQaibBaB8kX5Y9ttelepBnK2mB88Hgn1O 9sEDlUvquOMaDy6YK/dY1w59yGmPj+VDV0W5h6l0y3xsE28TNsKxVpERYGLloiDeIBujXg0YrZzC IsemttfsXiwU3fb1CaZUTDfY4xKq/c6POLXlkMjfK5NfaL4A/NlQfhk3oib1D62T2mPi6RaijBUK QeCNzU3NNB5zNUdzlgzkOTRnXr1imGRIR6g0LUvP3ibCHbUUZvNvzDnfDKsqCn+WILbYbmvJ1VT9 KCTJEzoNdxpjl5/PxsEIVHfnfWCiumjwCwJDJDtgDrpIjgin2mbBF2tO0zJHcUXisrpL76pNgV4j EXvKHZaCu0T7UJW/cqhNaM2THPbNDs+EhNgLlu3YTcqIHEWYJs6V2eitl+23KqCEkCxjENCwNU9M EOj1QiXJcJcIb6P7onAk0MWnK6TPQiHmHp60byMNGGcGijPZDn+GBnO5Yrd+53eAA0c+K13azJ6d ZxFxOepM0T8wMdm/guqYeBKm4H58eucQhQI16Dhn3TU32K1kbR67PzUYdRf9CTkztxJRX0rl+tAt c1OVOFKI+qmWm1ajlCg3ZXn4OPwXhmIApFa3ROlBTJqwasNxOsmRTlY0xeV4szz/jWqKNct6bJ8Z wLhY9wpkvL+yjxbcHayBTAXzW47jqV2s+UNsispMTF/H3qISQyV8jx9iY2r2GXuHG7jHXObkf1ap 3TXStgNrgvHAFCIONLH6V/mJ6OGGCtqip4H5OlbJa/Tnrg41l1GzZN4/zX1H9WogDURARwkPoKEj 6oHBqP8ZTEbFe1ILZMHe2MUxubf+7yf9WEQzi3RkImLIGUC/TQ+fw6/c6QAOgJazcfd0x6CUIUko fPu47xlbx0/lRDZjMlA5Z/S2lNgld3uU8Ji7KSa9ufC+53zxQ5yn0fTeeyN0UiIm0Qb5NmliXxPu x6q0RnC6BES6m79DTdqVIXtxs/eRXfuRlxFo23HRcWScXyS81gWoYumxk6XgzDfy8DlpYwxfyEIo XNC8fJ/gXFAdgBkQ3/3wEOCLSAFtKRpE3uv1LANb7hgtWL1xRFzr1FRL01PdeLDyLVoUyUNpKomw O7aHglGYGkhLzqdEYAZ1TdJt9Mgy5v0MFan3cxSIhXs6THcjiMLAzVM3q3YsPh6iEX76xxzgz/o4 Q1x0mcXuzVsCMgCcI0RQE3z7YJrp+C3uWeFBqJ4QF0rwnrgS/3XAvFVxPk9S+SKTutz9m66Sy/1i hKFLlVgAI+T9GDoV70sJ7loog/451zIp6KK/6rIWJ6wpuUHSgU/XexWa7sV+uIDbUt+rZKPCkXjg hIE4aq5rdkjY0aqi6vDY06M/4sh6KaqAy/iCgwJOfZvO2mknvgOwyBzIgcv5FgcLycHkndAl+wwp LGJvboi98HAdXHXUZVkeYHmfctnSa6I3Y27GQRj0gV7er5sFY1X69Z+QxibSKRRcoVDpus2jjd0r e6oxEKQpIjCDhjiaWPJc4AAp8I3JOXbUUvUe4EYBrQP84fLuI8LuvAHoL67x/mpI8v7Q8dRFYtaV 4TC8iOEhVq22c+1vwrNKCcBDjSDPD862rJ6NGSFZJnakTPGVJEpRZbQZAxhum4jOJ6SBJ1Pp8xPS mbAOeSCkhft10vUpGfQKmKtn6xp8Ugu2gsqHGyHenOBBV+s841XCunPMm17Qeotfk0SSvSZhFp8K ps5XAZU6oSTcpOPMag+8hKUfzw30XyGXgniH1vMMyCyJv7wfOmRI87OKZt/3FYpJcfHm0u2a5xFd vLQBDsi10OHgMshm8lKG71uMUDV+aopDQsMElqBFYR1LTj7FIOX526N7FUtXKoRZWdLbwDWRfwZR S8QpMpE/aKkBfvDH8jUU9LSntDBfeuQRrmkw6pLxbSEFWNvlK96i7q5ob6uTzuf3vLgIyHmINBmJ WDv8VqWYamzoIXqx0dJiG0NUl/tdpYnkgIT1G/p42AXEfxubuMutyRP24O78DEHpPCrDTEGLUNap rqMv/A6c0yw8DMznRmjQukO3BS+lATt2MspkiKAuW2yXdCAfq4Sq0y23jxk/BkCGod88YMl4BJM/ zEHlrG+yqOpZeE5bbdHyAV+DFa1/SMcqNlhXuLODVma0kokB8jmJTBLFbMvXsi+dGRMZyYC9F6an 1aixQDoKOEMT37IZDrewdCOmULczXMfTgJyWLgPEO2GZ7pLfudaUedJ7cBb74IDeFRoaowqFLaze 8/Vlvb3accVSRQbsrfZJgz86gSP+TukuD1FDNGFbu7BhrMF8+Ih6rjdA32SN1jnhfWRc+RV5Tml3 UKn5yM1O+3fVj+j+MLmeXfWqvluuPHGDq7MdwQ6Rh0hbD5KhpZZstu6sXaOqr21MNEZBIcG8iFof zpw3keAC47fFLz8YyhcExBYXhGsB3kT0JEDUEzHtJzQTg3TPVXKIynIzLBiCKminWrWOynP6tY7u A4voi6I2oBmeZGAOg6LWZhB92c4sWyC+LnVMvcwceiRodNl6Xvv3fSMTUHRhZl/KLKtQcT2YAOVm T4082o1fcBdfRaUbh8TUsmRHhnsn0LIGyR63kR6Uz/WkkxAz+K/Ofe+i8OIU8VJgRKrh5CKBFU9R AXgN1XFBozaxubMIWbqfmvcuJC5Ft2xTa+g+VCK5yvdQw/kOJIrjHxilZi+02GnODfdvQdqLq9Va u87JpSChiIzXzCm0mXkaHZr8WJVl7gfF7zDXg8k2URaQGfgeTNKDhtbquFjr2qRcyo80nOjBC4Og b3UuamfzbnqECXDQ1Io1vYJkNFbkbsk6yKXNQbY66zFI+WIfdS0E2iPvvI1PgKT8HAVcmzyesqBX chPQtSAf8UnS5lhgdrVToUn7dWAFDqtyhkzithY9/rOD4BDcxPaZTj1qA6tWq6JVvJjyOLrC5bpz pd4IMSvc21L+PLL1UV7aYxtZ6oT4yfdOthuqnxJt798k3d795KGkHsVJ+Yx6B8jwkOiGriLT5Le6 AzH8fD4Gp5bOhKZIG4+A//FEPj2ZBW6iPiUmxJ8UHncrQRfp1a0ZaBLUXV/7U7QbkbsR1lufE47d 72hPOkuqf9E9vsoD0p0D26X/5I2qeCOk0Z/gvN6yRF83Ni4bnOZvwjeY727I5Mzis+x/CJQPBMJj 4v+AT6R/f/mDY8Dy10w9eN1XLeqxeuU2SOt3VgsswkLCQQzqF6anjlVDSG7A5lOy/etsi7P88His 0iG+12a0VyH6D9znsa6GFobwEpRtljdev7eyfvCJdkwKAqI6YAAlfd6cbgBbR1mvMnHKImRPToD7 7l+kZCwkU4Dy5MBtH0Zh6Jj9K/o6mv6Q0HR8dLz7nSqzz2aS7vnutEZFuKJukQh0xMb9/gjYeN8L fSkoo+KVOF7iPWSgAJ0a4kBMAipfwMEJNBOnan/GHQadSnsYz9p95Oq9hwa9+DGC//H2iYS4FHQb fNiGVSDKjU/C42p/e+De99IERvZiYkfbjNUNMbEH1huHUQ3FcEx13dH1Dov2K1Z4qXt5rj3wrNZw TAY7/0ScQXaHhEN+/ZknV4osry+oUVbtFFGjg9+XAGolaV+NrkFjTE1wby9X3JJQpQq0B2iRSVJD k8Aot4zDxGRWhwRGTsjLuumzCpPLpVWt1DV0jqRR3eq0ioek9yC23Hj7w8d7KsTQDyzZ3H6jA2s4 juiyhuVMvUE66WHKSNrMemJ2grXUt5PtEx1hZE3kRn9zVtmnz59KwkGcIqQ+xwD16vKoH1wWWZTI 3zSlrv7k92RjuB7irUow4OkeyyVoj5W1AuerW1uH4TfJ90k/8Gz10oSoeOvUPAszsesbepvuz4Q0 lN6/xTDHdU2WORHjyannxfKBThWOOXIKJpj+eoZwcBnzJahvy0oYHq275Ur06jo2Jsvx2ofevMqG 72vthb6irOzaiwpzDCZJ3eBAkMZN2RqbBgEYmHKRM7P8z5YIZKFMDC9isLpjjjMtkn/HCHH8V2oV oXjT1ppr8O19p4c6D+5ic2QgEe9K5T2x20axDjlNJaQIPdKpk/G4BVPnqH0zX8RG4UkCAbyuaDGi BYj9pfZQcvWgnC+wXG3T2BjZgpxl1f8qULlvbz+32O/TM1NGqlNQMJyWO9vffWHwEhZkuqWOGFhy hjdjnKbHRIUAJ2KpQvUrKMXv6tISVPnMSMn1DCc3Vlau9gpIZJ4gsYHUzgKiNkbi2HC/jw5hXqnD x3PeuDiw03eGr2zQCHpCnNIG1MsAhD9DEio8fQqZ0dP11V+OY3x73kPyiOeRfZ/RuzPEtzr/OydD 3vPVpUzrXj1dSvHbGHQcex4hhOISwV0o7LNqdlh16ZSmvyRIPrfeYD3bKann1rRCroAol9TZ721L zwLn3gtpupOxuFLvOf+Ux4jsDglmKDfNMpNI/Ig89iG7obg4L7tbmPyUJGyUKpegdbvkM3Rvk+8p GXQpjyZGWnVmUu6uECsMLZPHjU3IMHo7cXGrdGdqa1ThTFpG35cBIpQlHXSBkT+UHzWj+E/24oE/ oXsyHKlK9vl3vYp3PpT+FP2zPrqOCEIhJZV/gy6R3hEnUKLxIQK23OAjRWEwj7KjVyc/gxBtn4bc It3hq+ygOPQeDVszCLUvbNI4J9++T/ePKpyut2lVNtKowJXQkrpWIMTZ+43nSt3aInf4EqlnIIRG vg7Fo8W/3OqGzG28k2nPF4nwYEceEX2YISwRKFvn8rCgzbV1YiOUwh8Ak97XmJ8yunVfSBTR/Y6Z BKkinZzNqGNxHrwWs+GRK6f5maxNBuvpIGZCnmJsLJ4g/0ND8/l2OAv/O+duHc2J3Qk+IGexUZ1g dt41yV/8OrWXY2/vtx68Xk0X7TFkLYl6Tj2v/Z6yGExg2FvONsVBvXSEk4KEIb2daE7/iw0UqOWG eB+z7mMhiaPoI/9i4EZBrnITCm2g02L8rppJ2O0lf9ZUs2nUxCZ2A+pnXecYFfubEHUO/D6601Z4 3lj2GfKvVn0CTY56xckjcI9duyRFU5Ox0a2edujri9eF3vtNGfALCcdnCgZ4raRy1n2LvXvBQjJy CCiZwQ781FNOPchFpa3mNMnGU5Mj2bzXNwlMu0QlHsD0ghO6E9/S74OAJ/eMUC7vT8jscySLup9U ayQ2mcahRvc8XF2GsHtEAUsokh1M0KAtCWm/7YjtMet9zB8RpLm2BQBidYCrJwisQkB6BLYP+gtK ez3dZQQ6OhmyLkxE7/+xnULXbtUuSwVEeb5NWEUhXWFYo4hoZgcKXqZl1VAFooWStQ+3wtFIQ6UF 8eoE4MocAo7WTnyV3TSDuv6iczgMpY/z8UY/7m2+C5NcU2Ah9jrr/BTrXu72frKoMQcKrevNjDe3 Dih/oHE+5repqJnskjjc4keNFtuCze2Ig7x3RHtTethxr+5+1FRPJF0+avf/MynO+wc1lR3C0ZSX VNTcQyg7gEacFlX/MJPVj1xCQCyyHtLJBGpKakkKaWBS6wc+VoA37sEgPcGcnwLtAGSq1NkvIYZN HqFIjE3sLxmdJlrZWcNQnwO+O2k1YrkV9pjQAqYwa+rpSd98XRxBs0Dz3nW0g1/xFjh5hM9E5IdO lAEll0sEEvGjBLozBkWwWqbEcARMpe+i/uNw4six8rU50s1gjdBksQHz00SBEuu0aF+WqsQYY0ZX 72rjawrK3uEFRnlMB7lX0V/J5PvAngMY13GZegEUCcCc/g49b7nqbQH8/a5SIua892rkHmW1Cxhi CnWsf5dAuuJppMoLFxLDQmnD5OVqLomvsU0E68SFBaGclMFxgVLpWJC6up7tpEWvXOADmTeBtDDV sQEW8xr/Yj7SyI22jBPx2rGV6yxHSfLi3pk1ImgURyG1zNfudfTglf+xfzUIR37d4+E2IYlxUzWt Ceg6aStbr7myN7oSgbbn7To27gMVDdV1IYHX2vgM4rVYU9K9HQZ4XyPsoAUDk5yFwnjnKJfRJ6sj RgIhTXSNjUzr78M+MDvVeRRyi64MlFGreiW62NEicwRxLnwj9enIjpH4T+WD+LgPzQzXMWN7gHUY Ga1Cow13W8PZsYwty6cTJaKstMhya3u4rJIc3tAmydlF8TNEk383tmqkTp9A62Ehw7UNvdJiOxfL 1hw1GYzrSoBQ9OuSLy1jWr7cW2mLdw0v1WZ7IpIS4IV3OCuODNPKzb+d4xnp38y/7isTDS/Wg8/s 0r0+PDM9Wkzk9IJ+RcyGs9+E6uU9gT3zPxiYdgNv9tbcmIa+HQOnKe69GT1OSKqIa/K+ti0tYn9G DUQRlFgt6Kg420Frc4iK1DTjHlrcn4CoGl7+wduLZNiY7pMIyybTlAiEovNSPEFYK2Peb8EZrM76 I21kxWSGsMl0uw+5k3fdWBUoMeh/cbpTWg32Yv4BTYZHICBiAXqpoVEcghO/G7qwgBhFJzSLDjeI qjQ7SZC4e1AWjE8BPLJjuw94Dc6D0oGmfsYez4Zt3WWYhBy2iEG8boZjhhfKgS14/j4RImShjmMm hC3YfAKIawRzmD2M26fsIKd75yMpD+HOLwoQf9MBUnf4fVazygSPy7QvNmPhbCueKCOMPYxO15Ba OZo6u842gbzKHXMDi3DBSUmryw13tINpx6GvvPik1gczpEA1/H/2KZp7xmM5JpdKHAxQdWtka5B0 pjXYdp6g0CgtoD34S6veHGrLilHuCIE2wib+CN7qRPym54FcHv44vUDQAoW5hSfCyWdYzgXfNmwK blPm4lv2AuL88yDbyRXJONPX0mimiMkRsTBMHuZRFDtq9rNjMSosBKYCB7ELI9gyTiPsEY5QoWnJ ufDIgvwz88ahLFGiQruHMRq7D2ERL5cZTTYnNWL9TGJfBsKZfSrKDdN9/xUF9u/rdPKc2DNiT1TY 69qr6GFw53TK47zPNuCF0VvtgtPf1j1AoUGfPJZjGdoiX+DKC5gMhXXWokzcnzcdKdeuE5Ssm2X4 68uq2MdC41wHHLmL2/7fnCwmx1+zq06Ij/XUDoUWI8mH9yHIHdCEM5uMpGMCFaWpWEi1uataO4jK YuE0MyQhoFiEjCiM7Wh/Cm76Ek6FvMm9cUkMVgMM1dnwIiu8MUk5n3OC2q+AGvN7pPObxHyiP/mX SE+hfUyHid+/XxpKgk+u9DoRTFPJxIzP28VPMNtgNo86o1MLiOzndHdLYHLYQmxgElAOVGalyIJR TtJ+btEbj0NNh9IBeiRThkOg9inhNr+7y3Wb4krTYiUPgIXkDLlilHd3yOQm1Ro5UC6jhdzzE6FB FEmYgagNkSpqk9wvxbNM9l3YpztDEfxRLw7EbxAdUN6cC7sZpC004da/Yp8xrDtgjRtQXHW8TLa9 yPMsw7VaXYQpPFY1A5lvT1GKej0VuPojiqja8f3BiIygkyVoZe93/5ZHgR4FtjZt6aT8HIv7I2G4 vfZs25rRH4tO0iiBCMdI2MiQiBkwsohuKyA5Tbowd66F6V7ptt4b0Z6gIaWfdFeXAtizQ7L9rw9Y gYssQJuRvrOwnKYINZEYFlpsrprb+izzJA7+NPAF62AKo881BaWJiERsj2m7hYq0wlawXdq9E91n Q0e5uz1vBkteBKZ1SERvy549a31ehcXPCGbcGo90d3XYAEJbdJvUV6I/RFLrOmewI/bWIqWJezNz C434aRPJgDC6wSQuDOSMXV1Ns7hefjnw+VcprhX35Zww1r9OmlXswE0FQlt9Xc5Lp5KDeKC8zbVc 1XZY0nqdMOeQOmAw2v1nKiDsBqYp7e2I3JH7KERd6za3dBcKdRk69pGb5cm5HjQK3E+48NBA0RTo jPHNJtPxMd6jSt5aWpWcVkNCPRsF+jUb8kvlZsb+gs+m0zD2dr3zljj68VIKcU9gZeA/Id1nnIqB ba+4N+KE+DkuAeKS7rCasGljy6MvOkAsxL3Kodxj3pGKR6X6rwy2acyBXEW9MdHZmLDIaqq+hg+H lhug2hrVjX9LxU4F1OJR+3IbwRCfIWudu0+ghSkRs4dXOJXqutGEHkZ78Rc+xxGPS1rIw4tFFb0q tpqFTM6gk56swRNfjwT6lTfXco2zBafv+DIFVTwkHWgD9/+nT/FGtwWrVw97beEE/6FAuvYzDvEl 7XsvjzVmvcZZcrEzfVv7U0vZEsa1OiD2YBudwwcRS96JOKx8n917su6vE4OBZS+XadWGGES475vw W/m0iKEE+yVOinG4I9UCwkl+hWjAYYYfS8jQrD8Xla9CSiY3hM75d4rf+/7Xe9E06JuswyY6Jg2A 0QUUHo0E1a/pK/soYTEFCGcgYXRWZ8p5toM7o3rDlL6pgWxwxID52O4JaSEP4ZUY7d1B/yOy+pGH V9VJ4S8UcoruwL+1ZwY5s13Qk7sbptdh4/twZpLCJ6IRBA2MBIDzJsmhSlz03Vr8IN8u12ZZSYOF GRsvJaeCo1YFjcNDZt2pxKADxBMCLj59S3P+BreApgrxOltrQ8BFWoHuObYczj2KiIF4nGJlE7yE Qp5579gztbBVSe9MmPi1d+D4R67mv2FtI/QIufNGm8WUJSIhiN683rPxhjcDbhr0l/3WSMDHON+W BtjEMXwezELYZUMXGnYJpPSy+O8miI/lNckvK6dfBRMDS1gXivb3Z5bnm78Y6sIWqEhqcntRrjGI c1nUYr8ajiLFxOfBxI8snMxL7/qON9udEaCPnhn1JjceT6uLl/hOhcqK4tIS+GbLvrUi8WQEN+/S NHfuTiaJrbb3XzcdtTQCp/Af0JdHRF/Hgk3kPZPxX9X5/HIk3t7fNPJas6BXSWLol6GYVEzXZQvr mstF7fP+H0wBQI3EpkSPLUQswGzaUddX6EnvqU3DoWxl2FxvE50H4/T2+KmZ7dsLc7hUq1+7w6ya JR3SHWOBqh3oSAV2I7YITmC0B3eYKSVoJnxCFiAFkshmaXOIT/QpNkEH9esQ9tg8FXO91a9VOQLU hROdA+SgC9bCzwPXPo5aBbHyPwMIYmpKKKmWy6qYCR2VC3DbEoBILuDVTa4A2qIn9A2MgtQAMeqE hz/OR0moDEMGi9FmhCdLkblkwd+i2xQoijlgi/QrrKPLXXozpXyjE/77nkGq9M/pdTsWLkFyiHkr OI1QShTSBH4bNt5if/d5DD33kTFSj3lm8EDCowTheBN8ZSlqTf8E9MYIWgw9gO1SPKho6Bog1Ku3 l1GHhh/ypn4BuYCujRdySNK4a0Dvf0aC83vd9Nospk5fGUj6lvL2l/OT8o6DXXm/xHwjeLL7cH+7 6NK7ajk1urh4XiVKNNf2rMDCTM9mu6gXwSdYVs+C/1ZrGHPFDBlUQdH4wfwp6CewImccuYOtALhZ 0y79kUBhq613qo9IA7+SZrmKUgvuUt1fOtOosrd+G6w9r00qlNdEiD3WlB+Wglaa382QX+gz8j+a To6ogjdtOftqX0R4Yw4c2E7efM+L9RBjnOGtuI4tPUkw1rS++8u2DNXXX+wKmVqbNhrVb+vKD9iV +AUrq00PgK/VhYmsfwmxL1JRXFgxiWVT1XTadudku6Gd4WWuQJSUi7q/VtdAvFx5O6/u8e8LB57n Yqen0haxsICZIgF9TId0Y4Qosa//cggoJQUSYPkl1L5pr2uupqjnjbZJmz1Dcvt0yX+J2hr4nMBu Wur0KNnG/HSpU6mSg/wB+pye+z2ph5Nqftl3XvjjK6Z1t69fDgMkhAlVo8EzL5eNP8HK4XCzvsKs nQgHcioqLRRvRnbIEe7tMmB2I2C7dJZzrgk2oR8P5JHuCXtg+Spfq3d96cT0U/9CZR4Hl3KJGp2V vMyeLqXFauOK7eUiddoZddekU1AEy/MTRsNs+2PzKLVjLBgoOnko6AOJw6BMridt6OsjYCzhWjPW YleCe2AzHcX8LOz+mMXFnN6eqD+WOxws8PSvP9ekJZ0nYsV7sxwS9pXn/Ci8a89eWdBH4Qc53JRX BivwaIrbWhE5HWqwzR480E1lilHfDddUEJEJLcfF6AfCEowqKp5q2m8OxZYLqc0tZRtHtAG0hEKZ UURqLDvS17LQi2t7xtWuRE0oA0oIWYZtULs3t319SIGuH/0EdR/jOg6vV13wNbvQv4QD7EFatRGI iL8c6aMPTCfNQyyML2oWqx8k785Ve2ZcFAeHyXK6StRbQsfAgWMZoq9vH8z6bdYuuIFGLKM3/tD+ SdvcogXz+G+V/YorqI752cQNIXWEB+sBq12GbNtG1Pk4J9uQqpUV0knfgAmnRwLm+1XLHUsq/e4J oWPoJD3u30W6fQYiWXCbE+AkIOCtQR0mEjWhp1sNkSxuzlgVR6hei0cEP+h0f3OePJ5KyY/A5BLx HpbVfTe9WTXj9bybKH/d+d19tHn9N72MRfW+D3V5p66XuVjvKQe1VTbJuu/9GHt4AtaOwEAxRysY ZdYnpblbO3D7u/F+JQHlWNYaYJ8io760yLJWtN6q1OxxrN6bR0OwGcr1k8C91OBN5FuNjJqw8F5d ETf9wxekSo98YZnVuZawwiekKXM0dY0TOaoE/e1mUO4ZyIAyTnblINaISc7p14Ah0KO5yP9C1Syg h0NbK7C3WwEDW7154RcuikV5XEVpNuMVM+JWlnBnycJlRQMPfrFzHaotzP+q40bnrldOp72SF0wP 2hOo6ub6eAFq2/X6InEdNaNIpM5CfNDxwP4yJpzhjJ5U+Xkptc/rmP0Od620dXvFNr+t08Yht/RI ooj4kpa20ZUXq3P/1RenFmJyiElZOHbfJ0uu981h/ccuO9OzPE2stDhSNI9qtR621NMYs88TVxm3 ue8YVy1PqQGZmJfZ2TL2jUvutND+/AXbbChDl4aNFrEF1lrXZ+gqDFsAjwJSWmlLqwjXkO1Beark vMRmWOshwOrtELW99Sq3g/I/o2KiKl746tqB22S8z4sewXOEt3wHH2eVZi1bUski6hgoqfbfXZC9 p7OTPm59t2aL04UddBKkc0u3Rgja2eclcvKJMUIJ54ZEs1Bo3PLXGsT3ccZsmgAImH8G9u+9lmeW gYBfSrflP/5NgdNAn0y8vxHRTjENV+ZEwxH7OE4cYhXSAyVIHhBnJMR3dQOSQFrbHOBy881a2u0G MeodDJafEXYZqxnBY0iCYYzz07jCDwp3UEE2zmOtHFEowzzxaKNWM0qHBFuMm5WPuxqhNUb5fQHR oNrIoEZLkWfj16iw3GrNvmwvVLsnOr9UtTu3AP6L91Mz/0saS3lIJCnMiNqWlXBJqslaOtxPOY0u oOpSK6vOGTXnir5JMD5BThtJUVzT/yUlIuSCsbX/SxEVQqXUmifBPLOOw2dnRI8Ek2QFR/mwdJiu CVaI66r8HuvSpQrbySCMtVHRPOx+gAy9l7ZL9JPShWFj2uyKLeOJzEcxZcHTuGxEYJxvQhvp4WeJ E818O7A2xAzXiI2qTUVzXsPOSJ/JteYTj2DAWmE6XNtgen9U7rm2uCVr9J/oMu7jJ+hS9DfHmEra j+VubgrUZy1zkoPwRX8MBdhBT4+KCW6aLhuzdS7CVII8jo3OMTdg0YHa+QAdIiyDvKoev+O+ekmp U0RPDXugYzPOnhcbnJLI7kQ9wr4VpKHV9NL1bSrijtuPJeTvKex0umxdX1eQaCele2cJ70/QF73Y xLDDjosAcn4kQIGzwrKhfD9Ec4RH6UpohTr1Dj9XtCcHK+hpn8QJeWKW48txflk6+FQOVrXSI4Du +9UX5/zMKsPNhb9q4LygIIZnA/qvDgncZAitHoyPH8LS2oNBXBmJd1WLi1xp13C2X9lCEDqhJT3R wwsMtD+B22LDmzTtne2d8e8SebDxryGRmAPNn8oMyuwwUU2Dq5cEpV2rF3VyvlcNMMpcXtT/qAA7 gtaM8kNTLKN6xPM7RyVJE5NqCecD6hvxU6ZKycpfTWXs94SxJmd/Md8dZpbqvxgwJF/GNivp2/lw bJtoXdNEub54ikHh2BT3k/p7Ze0x/SMAsA9zEaCrbddnaM1T3oywFP/3BtnreRb8GF1s/qKPRj/A GdAR5HSyttGAU3tBJ/44KLWWB8d9JCsZDGgQUeW1ZKBSWesPvgDrMkoGwuhFy00pwTGTcOGWgnw9 SMuz4G64FrSX6GqW1Y4el6RGbiFyRu7t+Gd5GWeaNNvByyHMGk9dfi7TXwZI6iNfZCjU0dA6RGC3 kk6Ie2j/VrBy8gRTWw0VPns1IyH/tH37+eTO10iP99c6okMzZon8pAwIdFRTwNz5XFJaunmogyJn la6p8L31VvbsbQ1HSLORnzy9Ub+wNu4oS4moeY0ee+jjf28HPTiQ8hu/VBZUIVWZ8F/Cyt9M7MUs yKtObTV8opWKq3P0CBu4iL7xyGppvEppbk/7OCFp6I3P67CnxseZxoN8vNVCYJWe9XMK+AA+Q6K8 ft0sX7xYl3uTqNg6NO2VEcpfBajqoiIFSxNWZSE2PKUxsv1ZUyyOIIG8OdXHSLpc0miD1K5HyLAn rThTJGU5UqYiIQvxHJiOLuDox/f3KTe18/J1NDA1ccuHw945nl9VP9wg176JDgoV2vxwj1pJYkat a7yCcG6xloVNsRBHP9bTUQHYMjWbOTJXK8zAkzIfK+8c9GQl42k9L46LrrEy+Yjswdowx/bnuDsi iILlehwIj+duZ4j1F6BAmJ1npRpxakEgUNu6qZ9TOqh+OmJHh1bSTnfYBg5IMIVMmQ7uXCylvOhM nt/elQQY93ecUlxvQY4XvuQCpqSRRRrv3tpXtlDYX0GscSTgjIXIlO7DDzpgIRxy9cXwh+PVxl7F 0hwtqsYgVo9Z9jcQOBwvfuhWzvPc4JU3gOFX8OdHJZqL7RXUDOm+rsBKyjGOh5pBgrxTPa270RLO SqN8zROrFoiX7fIdXjv9WMVjVCyJN7pM7AUc+nu3ZTx+6K9D1a6su1z8EH2S+9gmFQS3E51uPigL WVE0iTULD+S409w0VbVYhq9Gp/j3cCPBCat5xcNgdvUfoHDPsQHEsDJRqOVK0gaq7ksxdLzVRMrn bGAUscmUQacJpADaIfVu3s8GrhF0dRwAmHgU0ehaioVrL7jhcG9da9ABt1nRZEP/Hp+QZ/1n3RQo BrCNrKbozBcIPX9DV7sgPtuYDlgqHQoA7V+6cUFB3T8Iyg9Vaw8pnaq9c+FWnK8tdKtOZ4msd1ts TPpcRWi85AZZRuOOUtGxUvGo7Lz2YPfX90w1Q4DijXNjhJnx5oC29cFmh9robvd2rmcO6LJ5dpRF hObdz/2/LJnFr1OdfhfEEOQzOZ44U4lrkJjnUzw2uT2szaxcSRzAqeQMS4s0osF7yerMeXMJzP9q y8472tUDiwhylurNnGmY45YyM1DXdq+oJxF08VOesg9TUDF4J3lNZ1GOdMNZtvF5tpIueWRL6Dgz WSU3UKRhZYtTkFeLS6ZehUt24Q7aSn2GSj2HavZaXOE6r02sUJ7hLK66v9E+3mhaG6mmEt3ZA5uC znCpQVpjgx4QiIxkG/ZN6xeIBBAwtwcsJmg3u9awBnLKoUkW1fPSzmv4LlcB2KXBfyOP0v13f+AJ b6o6Lm7Gzc+r4UlyAckNxihROrv6RlZ14JWcfVhGJdFcmitR9+vwf9YRqylxKsjVNuHdR0WrGlJt z+kiYbI/Qm0HTAw6AL3Neu6yEGcmsT3R58lmZGdaPw/H3lSu++CxEIvnJFoYnrgGkRLa3/iUfO+1 iq0phaoloIrK66DUTS/cq1Eb9BJ+SQyonXiafbtT/mACxKu3/etNn3cZ2AWp1s5XImaTDBHky9un q0hya/ZTuuieq4HvuOE751ZOjhSZoY1CiaoZQmyREkdBsVno0qpaM/O8hwC+vfhDgwig85n385Ld /28nAi59Pm758z3RRrDoU/RY5NsnznlrryTrfCjcsxHZOmrzrwgnnTyKCNEiLcVGWXdpDTV4dHhW slzERMfSZw/fMudtrTeNlhVSTWLEJ9N9BCHc4erUgwIdnz1Il8TCrr4lqU4zCf8d7FBIV8GKFwOs Br0VoVropMhBFzmvY7TN1YjO/6Wj0hpr5MKSAlTLoNtseIvmmW6t+Qlp+nIHY5KpoDyd5stuYlpo lDAcjOFybVlP0tK1PFj0lGtwdSqAo+gP0ULtqD+Z89XqjFiCgUkJaxWsTY116OZkeCV3mZwMBKSx N07yltLgruJJAHIn5rxwgW4vh9giMKw5KGF63Zj1dIcq3938Sjg7uwnDxBR84Hlm4VouE27TdAhO WBPyu1cTr6Cybb+J9O27g3F9+zNyNJCsoShA1+gtamid0CnLQhxm22Vc1coMXCruWhZxVPYAS3V5 D0gyOghDp6HBcxv8dF7H/G8gUxXSkyjKJrIPxB1m9UEJyPYMa+z+8+7j0xPdSWHIumGDKU2eSQZj HknJV4DLB31SAucdSHGKFbALzcP5MHR1AcNJ+igiucP5KpXA+0YNTqL25xmFTguINYUCPSBzZ8Ke XEowNaIm1DJB/Su8gS3vbthXoyRI8K0I25fRlfSVysdwgvGVS4/BP6OoI3eN5+NkIeOJLTYL9byy X8P2fjwPGmqTcwbyeBbWGgG8GpY5X6CsGlglSb9iosJPyNxBUPe79YRC6ricqZr++1KFXaRLwSt1 PoOzvh8NBIwtH9AzrJwzEUYh93t5dakXzNsPhVXze1yG9rB3+XeRT+ZOLKouvQxWjCYcD7F0dqc7 +DHpZAZKEdPFXM8uZOBD05Etb+hLHmWRB7f0R/ztJMtG3LaOcZjtt5RZTG5MNkl2XguOFX4iCvEZ eoOrDBMAUfABOTX/LZkQd3ay2zNxkd2QHP07VesKBsJveBHfYNTcDpt/l6NkQNV+cECDF9mr0viI C5uHE1l62sBq32RXZNwYFr5Fybk6hfl6PguFj67KYnd9Ab7cGH49oiP2Lo7QHPVQ61n/07EO4ipG f9u467SxrzSN5X4vuv7pvTK2Wx68+GS4GHZBIicehyYeuMrXhjNDflklF3aDtEYOLCqPSCVWW1nj LoI/HPjC7TrLgynDNjB3piuaTUC55pNkNRxePCxlaUC1Oz+7Pxw6eVW+H8uqQe/Wskg8YUuB+hPU PI3ljfCtIC6tkb1tumMdNnHPKlUaXLwNXbTWmVwDheqh6y8UnNTEgWj1wE0qt5kR+D4Lw6y4nL7j AeVxsqjOh3PJTX9VMw9uiUCBEQnI/v+2zXPOSJ/ECdp3e81JvzqG9T94r79Xh/Qqt0RMbf2W9NlR h+xyJ9tsxKnsCcHVsj2Ww70dsd+D26Q2VNBCp0QFdnCOTe6bGpQ0QsQD6o1aL5Mg343NV1MUBGQD xCZuTNrjVFa7OMM5CwCIDM7oLGGS40XZyxxTfdIi80oYawvspHtLW3HnqVAiFsC7nm72CCk6jUr9 wR8bgg1lsQZ4Wo/Ockz5FzePhcaBMmQL54PEQkrS0SoF6N2w3hymfJo+2KuSrRiaa9MJwVlB1xrr 5AYoSSsc7TIOBBkzXrEhbxPEiY0d+qggt4FeSPnuJvvA6cOAollRCY4GqlmMbvuJabrBevXIrLTc qdp7oo24Txd3andEI5JlOCToiObVdNzOU7bCvKb2XHNG5tqSCVHh0/HTQt+A1PVHi/H1YOAbCQPP 7Pg9wKvcMZqEspKAOeS/xOHBbSz7AJiXgw3j6LkVgJFSgOzH0EBkFSoDPu2FAl3AGLbpgUeGCmWB aHvWbF7GOqqZBLh342T9c5J8O5kYcylrezLTqViw//AEkpdqWJf1EQf2BXNSmBSvLB5vvI652xDt q4vazd65kXwvQOUv9HaMYDcfvCapwtJVQt/Sbnaq76bgkQ2jXi0yPvKp5twA/qegUkW9MqE225zC /ivvIEXrLF9woodYGc8hb814MZZ9rzo6CXFG/BXSnx9FgIkR1OFirlkq0ABWcR/CnTioScrAgHAh 90XfTYz0fBCXTG5gsX1r6Zwn00vl5XMGJcfP5ZAnTBpD0zR+UhhdVI85d+P9Eh2ufVadvDH//iK8 QrHba6zjbl99vdWpAKTr7vC+T9MtNZqFUHxK85MppTNZcZKBysrlmJwOLAuTNKwKlEpcaSfteRaM gveaxps4HZdwDP31RZkFVy1CKVmTICftVgQFtMLske3ZyGmnZDznQNQc/Vf2KITU7Ot5+8rLtHBN qEK+/4lHasQbFofcHLKykmZi8VMbL0FbMnZDGGJn/Pmk4KTQAzqCE+JgaajiDzN3FhmNvhB4wwzi hrsXyv0nrgadQxV0g8U/SwZaOgSmomtDakf8ERY2KM+V62VsmZjvx8Z8x7SRsewjlPpe4LkWLjI4 0tIYh7vVusOW7y7F8DVtCmEX1UxvNxe40/LggQC2kkYUDa1GUEWkcuwvblo4kmIbNxTPzpIvtIbT XKU9YR4lEB0g/5AmHqs7EThix3iLatMB6PDrTKHKmdy1uTvX1M0G+xbhjK4ROj8x4CgS315/quuM gDVqlAsGwadqZWb/c4DKxPm+VQQXkUr7dbmquw9iFBg0LE1qLbKc4vMBCoYf75XpRsLAiof6ZvU6 gn+00+QEVApDPheDwGQ/o7MKSZd5dbRulLe0oH2IUuMEaQBzvUNE3a+/IK3mSPI2LSjYMWZAyy7J rXBMdTI0YJkWZR/hkMDMmnDBNQarH9dmigxItNyb8kVfTJQzwnvH1JshCNScDYdzn18cHer7QKJ1 zbjfn3Hffy9boRfDaKOArqRD8g3tvrAVEDJBYqypZKLXEHNGXV70DsWd6pz+SpWbTC/DRHFQ3K/8 K1wIA2MJjjaoDaNvGfe2p27C638u82SoWHG0nYbi0391XTfVjAfARHjhlNlAwE5+lQmcH58XGq8/ LnglQnhNwC7pcfOkPn6mjWfoPZGKuCYGPjWYjZ4cDAfByIDnq2OR1YhQumPOYbSALHv8RojD7pEr m7SLmDVFj2/+wndbbOnEiKUmCYCui5lJ6wVPoVtInG/JfetPGSAaS/XOgUevJ/NIGN58avlLJgOb WTK7+hjedPEfHY/sT9uZmw+ovRaLJlKwKMVCPiTUpVMSu7aLOqkMsueaA32tvPalhxqcUUe5cKi9 v5MX8JY9Cc9Q3vQSfFjHVDK87coSUgAtIpcbDUPxKdID3kNFMYYwQX5bNP8IM5zqjlR+ZFe6hDaX 1Wpm1XX6PahHn8pL0uSMNDeFs/8t5TbXzFLgWv/tD0UB4lZgT4aypuyY3g8s6DkqIJix7CEvJyCG s9SSN6tQjf2fKlfYf4k6mmjajalmjx2j3bkGnPgdFzw7rnWAvLC1p0MEi7juqOLhTKObGMhIqkSf ojTxbNL8V6P9AW8PC4pKUCmKoHz8gr/TEpnTPkB//eTHWisO49JvzfL1rP8R4C5aV0eMx+SHELd+ K59droWUahylt/gIi2THgxF1X/dc0l+MIiRU9sotoCxumjLmHY2GPV+F4Covf1l88v26IdX0YFwB MzL3fm/z0xyrdp5klpdsCa990F5ODdKGUF9qB4fo/Dn6CFiXjOlZI6wf/FdEUVDAVHL3lteKCeLM aV0Vux7+ihwJfW5cOua4hNN2+V+v4tUBIdTCshj1yBMd6Q29C5rsaNVzkcRyCnJtPlCKOdzzKRfH GPjIOZNWiex3+olruB6k4ufdXqaDwN0VM+y/DocgWYLwJp9BZXxfekA2chooeVPrVX0KHWa1uGcX vz5Lk1Vnleu6yP4P76oSwxjdfLlc0cn72GJgPEPXjS2H8tPHNaobG5FFNv2nDIrPjDhCDxD6sZD3 KeOfsFWB9GX7hQ53X8g/EwzB4cLPCuktx1/BWT5Rg05dm/O48TSQOaSaUE+qF6nLQDdLUNWJ5Hih nn9zAJc2JMzTvjN2lbL5AuZRr/w7jjmeSMT7N/Y6pC10b7KpIxdoPierf6T5nsdbzDwVECw4WuYd 7lfUSuqtKrkFk/OQu+S9Xp2G1BEa1KGwfDQtmfFVDUwzk+k5hFJqkSrNsDWezoEf/R3NoVp+VIf8 7RyZPEUNmXwS6qEoUvW5iu5QhMBn5vMC818lVv+tZuNLDXWcrAxon5xU+3IptA7AQ34DXwRPZCt9 4FIE7oMKRoWuLoxXPu90lXobQwiZFCvSgZP7JbvcR3HcalDc2ztHVKdQFhRseXMhrhaae26WNpf0 YZlIeaUlBGqOvO50eZYkVEkvgoSNdpQlNLCylT/q+iD/hUCVDQJb3HPA+i5nYY9iw0sFQqdHT94O qG52YW9U4DwPCtXoKkbubue98/MEO92D76lZSSDVsVF1VUFLZ6KVblli0yqX4GKAf2mKVfk7nsAQ Ki1HQMmOYDHt4nc0oVgXGTo10qJ+g+hNtTQeDq6DtUVSJThsXOhLLWKCXhMheF02ncBbT8rqhOxY UxtfeDqGsCpLTPryH5WrWXeNOzb3E3jbq3FVsUsEyQZC/Ho37tQtUgk9BLESbpLuthT62yL0gJNH eEMKTHXJTXawfekEBYpZf4UPnSPkRTu6nDQrlYUiBhX7GM/fqRh2YQz5f5f3OP1ZuNgQN86972RP vv1q2Db+HtEh9IJ6LN7jbARTlpHz9iG5HfmZH8lV05Fswp4XXdsrMIeoVwox1D0um1Tg5wRdUTum dSyT+03qBMCh5Spj0GnoEshPwB5u9U5iDkmPIvNSM1QnzvluW024JLrEJswGvaHpzmFGowFRK1o3 /Q5RQ5QLRw4Kd0O/RpLDnS0xZ4kzn7VObT86h1HAp8fBVCFuA1tNcQj5QE4FwRi0rs5SWZfs0zte FZ1O3WJFDmf4zOV+6Wis4zcGr2SOeQZDl/OVWl1G5VE9DmCWsNSuY35DdX3LCHGlHHPIyw3oTBmH ZR4O2LFfce/gKaQ8JCJzakBVaK/o0wmPW5tHTqrWPWv8VJWmBwsl7woJw7unlUel0Zd7K2Ocy3dL Osh7zi93+olTKjvd97cSuQ7r5n14zhTZf0Tg56EqhoUHl55UUG5OUZMvfep4Yb2ev+K0ybd66Skc 9exIOpW4CFa8pVL7Neu3SkM0RpnqmUjsjoyCoAfCZjffI1r31Z5V6uMcHBcSBL9SQ4MpYMCfgOL3 tAMhKsNDludoSMJfTdaruOc8O282f/1bL9qivVOa9XRJYcO4Cum921WPzP4uoN6PsdcbxvjkYnjD FCVS0JoDIwu9x1P69s5ttbW/sV7QtyhVHGm73TAHgQHkGwYZtEwJBd3EKuopBS6670nVV4YkVlu2 LpkGMa7aNo5hWEclB5702CS3rh17ddjn0Oob5FwCrErIgCzLgwF3IO5pYIfCApQNXsfNGvA5w1I0 kUV7aeM2aWtq8T04HmFu0AI+qmoflS2ACpoRI9ZtkAXJg+cb4KW5eo5EV3TDaDFX/LywwCafh48X KuRo/c9M6q3UMGSkGWX/sB4QIUMColyGm6QIMmXLAi4JpNnH/6yoVojD1ZtaM3vWNMhM24PXNPNS eTfvZmMHeX22je+lfvEE5BOGGDrKhwWlauXko7I20Vyh9+BakXJf/Te9M38VoeVexESXuObHw4qF w5EE8dvUcnokqDD+tajDvOv4dOL/yb0E+ifqHPXf1hynCeV7asrX8Za8hOHbI4/e7YDZtJ8IQ65V Czlt47IBoQhAPiQgQ7Ii2I3O3DO3/ESg16ovSPCr2G3ni5twvPTtRmrNE3wAy2Jne2k+eWOsG4S3 bWFjTAJrdOy/c7qtXX30ZMse5Wj84DY1Zl2O/AxmKKo5EDeOGsGquYuTjx1wUeY1DAVy+PXWMSZA vp5nqPXdMhxlN8w68mHNifIxtMOTeSYrED5/E85uU2OhCGxaP8w1rDE1a4NzH0GHYgXSI0xEBphn 5o/YpUHE8il3OGk6UfMVfMKcqrVN5sCf6PDt26QbmqbYd/QVYfZfcTQEdJXOSiGQCg+kwZH0f2J4 XntRpKODza5BU5LCz3dWYFLbIA5pTbeUZGgD+gzZn1CZXL0AZW6bFCTZkK3r+bsWuYvOh6W1cDPE E8NiM2tY71RSuHhsyMGKyoQeIwV9f24uR8AekrHCrgIsCMZVufCDpdeUrLuxWmaWUBMPbGBXFjvo lGFlABTziv25C/O69kTTZI4vfM+5q1pJSR866hdhrAecKK87RKJAnDvNWds7xRXtXupp+pjgqmJu pl6QTgWLxEgrKN0KBTItIxfu1HvH34QKVGVQCKN4a+uHvpx15/AQ2Bslouay6Z/Wnh/muwcSvorw GwfVB3DqzovTLnfqZtFsMZYEKgr4qtElRXZIExpFFxcfXqvcMIDmuO3T/H4lkL3BEI9IHXMYnXbx z0oPP765+6fNRaJtBxUY9Fpk6QjI+fcVkHH/mUAuYSnw+VK2VxW7wiQZqZpL1mvmA5Ap923leWwf tDz4Dqn+yzE5b8DaGfcN9OdpaUKj9KIhAvniIr6cUHzM8ypEYmpMziGvD5XVhJGeFb0b8jF9GXcy I0EYG+N5WIOX87sF9bn38glnSi4ifxw2+4h6krOvXLENa4IzdjE4L+KvkwqzGLw8eK2MApjsn1td 1T81HBGFvAIZM30pCsP2Kvxy0QfTKx6lJiY7ZFcYOPb2HbDJ6lMw+z27bZ1rO6/1CKiUo2ZpOobP Rascr9yLotFnQRgHTfTtZREbq3yzXtope7Pn9nFm/u556MEgpcK9eXslyz7+sc83tO6tRpqIzLOo 6RB5N+khQylS2tovYNOnlc+Ig1jsXpXPIrD1HjluK1kcJhqwky4K5YBj+ZyNcYGJxPT5myLzVLYL jEkHdMzJRxLuzAR0xwIkB2kYbL/m8lzUykkhE9i36UTKRJKXgSteUliUxquKvTP4Gb5DlQLgQuJV J9goWOzeTZGrsLiRau0oSep5E0YPhUND+pf9sMKDvJOC39G6psTHf2gMZIe/92Y0xrY6QBM4B1EP RV8r4S5gOMxg+r68wHjgzn4CKggurZR7Obnxvnf7MlSjSUj+A8ouLeWNgNbdn8asrCANt/mBwfwC Qcm2wpsjE+gGS/+5bGhriYJ+97IGiblSDTvOd3IFJin8huAVCYs8fsq7nt0NqhgBtF+IY3iA2U5S SCCW5FfHGwL4HbApm0LSU6feJnTUl3f63iO0BUTr5ywBdPEFnpDwMzBMT0kCyHMOn7eViqzJslYO dBZ8b8YUO/65BnIrZyOQhAI2CB4FSYAyi45z1Zxv2rzxGIaJ+NiKkW/PdF1vQXYE8CAQTezpfJhO 3jClU4pz6PZNcG5JiEr7tTEriKhAw2KcL6RcTDRA8pyC6ZVTwfTFxqfgEIgF+gMrfhswoE3cuM/9 7o7PuMdSBw69bVU8nSC2fORhdrFuCKEHeOyJrM6XJTZafvYSn07UVfr26CI49UbcCkbw4vnheLnp PIO4z8l+HNVzoH73vNBVwQa+Lv66QugTx+Em5LjyZQg2WynnrBpnHuKixJlKqIKhtmgHWKvlLKyF fvTe22Bf72yvjC7egfsAX7T623DH42y4Rum1OFAEO7gg6OQVtzH96y6EUdEV4tlj1bVHDuD6/z/j DT08JRntQPK5Q0rTuAifMAN/a8s/fIxrhvbvkJJRvdL1aDerwgIUSAdYQDQrl+1IDobR1f2E+9Kq EJol3VbuT/7I8En8YSQ5aePqJ4FewC/ugF/43jTzuLY+fS0iQtmspxoqS1QaxqgP5S1np6UohiYj lviD8wqfXWrdsQPS1738T8d2fsF5F31N8N9SzyINxTvfecCYa2BfUXY7+LMSzU0LkdT/pghOudT4 59OCj80nJXCJWO8nqSxQ48ctRV6UJk3f4r30ICP75PdogLvQYymJZ4HdT1tCihYJIQ3WTFJL89f6 omisyY35pdoaeLgYihzwZdsWufxoLHsBp/gL9uzPCb3F7W/UkLJ0fsSEJ0tKKqLbMn6+Zf/ZjVwT eLqTJ8wCcez2QzXC37pm1TrnnqiROUZQfJsfCEeqQkgE7CKBa8VaAG9ttUjOt1RALYTyezuhuc1g aJJR5oGEhuK54Q5UZxbg0ZrrlX1cKusy3csfpkOaEtzTC76m8WGZu07ILNQ4ePRShWTj+MI0G2lr rRh3XTatpNnaRGGIpz21nIwD9k3Vjic2OVHl+0iUpLvzWIPh9DYQ9LuPMZHhhnHQXR75OCSccW3Z osthe4sES2/s40vSfWn98aA78qVSEZPpsMNMmzJ4WqXH16OJqwEeY39OQ7EMkPNrO7CDRSnQscxL OSUgrlx5sYuk2kLrOQn939yo0RYeM5NW2c/UOc98f63aCT7E36adppYvcwaIcBXDmNi63KLCHUgi z82oJi/bBjKuEO3cczdu0Fg/3l6BAHIxOgeCyg4I6x0j/h419WOISS424fjgiLsfYwou6DhM98z/ 0jijxl12LDvwZPcR3PGKFdYoTs6C1Dc81V1+Q2ZWor6YT6p35JNkPSBJu1VSIXqWJxvV9gErQOYX pdIWv+2Nskqqah7JVLzxBkqkc0a8G2uNhuV5cftACGOok1psFkwDcQitp7hVx45bcimu9xhVhNAc y51grUVwwscZ9kfFyb7t9clIj9ZBKhq5n/RcFb7XCVVYWG/nW6iqaF/xXlnvkiDOCLMco9hUiVzD /NLefyOdmBzTEAa4/c9zMz5c/JeOJC9fN8T9j2xK50QUii8D0nwUO+XoercrgeHG5gi+dGcwERTy e6iz1pytdrXeYfd8EoOcYdSUgOCg++uknozuUhLVbxaq6rjSz3PNv53+TfLvMCGySLkOy+3Z7Xso yTma1X94IChjSXoV8dIrkt8WzrNCMXaSDw86Koc4EHhjm1RygscUpGNMCLGvlykdhrwT0VuVR8km Q8E1GgI0ZIoCo0SztcZecD+mF9IEBPSAzeIPfGC+KvIGxm1U6ZYRyLKYTphIljbJLl6LywloSfgj 7ASkUeyXUzLflif9pqOItrNFLUz6FcDkkGnNH9aHH1pZaHwIXqcN/cCASmJ2ZeR2cJZLtt4W4xWY mzs3aET7m3YrDajDFEif3+sU6IZ4SYQ5cNVkx3HRPsO5mydzgsXXjL0I04p/r4mIKB9sW/Lu96Ja TKpAdCsmHfhnKc9rcp1/IAtiNMBs+MOKyDhEBJooWgGRQ87lWZwCkZrjnWmYUjZ2neuCaJYhabyl R8UdB7/uPLORkannm9iy0XvhlHoX+NfAlXWEvjBIB+JBfuZeF5nTQTur/pURPso1POGFcrbUY/K4 b3H1wFFcimaae5VijVbS3WqBFM6ylWiU1/SOKkxWGhjMIQ0hEk4uJqSTrjPlQo/1ESVgxzvJRCbX a1NG6psOWwwNaKu7m+92e5803MhL2w7ihQIOSYV1Sh7JavD6jt5a6JgwusAt65WHfXcYvsbDZZJZ o7otWJ+f6xAk6gGrUiureQAWw/NSWZgovvyCT9kNlbssYpzNoQQIiueI27RVKeOrEWnpwaI9az1j I0o4hhsYvzOquXSQ5KlHEz25VfV12528PhaJIN8wPvKh9b+vNs85DYp7hch4u2cJERgU66sel6UE 9pzKQ++3D9HI/wb12Udq5/K0DPy2RcxDZtM4B8eKRjb89x0F3fYgruK1SQAdJfddoIUqfHQkVp83 rrBNTnK54Rr4GpF2TOda9YbeKMonUidq6dfdVHG/uH3E9yZvLHCSvqPzzEWxYwchNMqrvocEIhuR AyukG+XWleO+uBxYm3XajQ5mQSxdevNfUvvmmOA3/VPpJaVoOLuVniNZZTtyyIWZC689L+/bGIvh fSxlKplmEvz8Z3cQS3cmJYx/R19MSuOFBFw+XunnbCHfntw2MoQRTX5GZkzy0AT0XlDOrljq75Jl hXIEXZN2h20s0llMoeWMYycbeVeq+twTjosOU/dUnZULDQ/GZ7IFt/xUAnT94+UINVM2Xs/lzhda 77lyQPygwmSjkLoMfBQxmZdc/m94Tg8qn+QOYDfagEEsmVjyrOhH97885pRtXT521Oiwmyyi1cnv vooe3hkCyv26ruGn0pfHn7cWWrOI/TQNO2nd6YyShi0OC6GTbjp1S2c26SQD33Kz/0x5Mu6zGoHJ aRJSBn5HS6x/qsXpa8ITA1GCs49UsHdBe62JyvEEha61maWGS9KUPxv/7IV88QqQ+Xk1eblyN7d7 LpRyKZlYnw72Sh00VrMOAauDNEd4AHumEpq9QKatylbHpeeJvoQDzq/UJZ07B3vkqNPH+YGnz68c tZB//1tj931OlbBBHkz1TS3/BxooP5+7H95f8lfU70JSBVwA+tZ8/Kr+bi+lhHkpAjL0ttyF5L1c wqk9BwqxpVryCisAlFO0Zl3qTgByyYBVVU1/Pkm5XUpWwR8JrZH1Mus20oqdJfUV2q+r1/I8JRKJ /k/SMNthaYHlOxckIiYaSjYUdqffJgDv4yQ+6GDTqP01E7gmGwDlBbBTuxn2k5JDc8kyP3Qh46Dv 8/jfATCC3ounILY3RIC6PANHCzKzTYOehGzGLgN16DtJvXYNyyyyuYFgnNKrWljkbOGN5IpceNcA 9FvfE/1BhFKUjWIRePPHfFU3o8gQCpCpuMOsn5rxW8oaAi17qxHOam5IWG4DutHX0zJP3euujW3g sOjsBXkwtBuc2B9uA4IO/c0o51AFUeKgeAAUMwebIQY1tn2fVy+1iVGqg61MEUjZ9ZQ/h1bdfss4 1dJT51+JKsDCJLqOSGn8uHoJpRofzudzi7EqICjTrlQuhQDGERqTuTXZ0LnvJWvlHS2QYrXa7nnV S+ERGnNmHgd3JqhC+Aaf+b5h/xwi6TTYl6qARCPo/KHZrLaBhy54QWgtv9LD57xwm7G/CTPQjlPU 5clhnKwRjEpQJMMeDhzGOdwfLv48J83S10LZr7OAbjlE7l3bEzwQMhI2jtWb98d2ZcPNcEClyZkZ 3imtbbAm0i2tWJN76Pe3LeV/1WrMV3dtLzIqMYbuS8acFWVJfwdluuyMAwJ1uxcuHuyw/LTCxAfY mVtVv1EQCIT/SuDa9Yyfn3qtao36yczrAqur94qkdqD1fhntw6vPjtvoDS/Zk0tFoL3XAZAzGm9i FhD2ZQjinuZFJo5ue+ef2KHaOCca4u7incfnnBCvhc25IxlxgHjaRWiVVpMCBto8p/AOo/BhJUWo 6Af/11eThESxpR8g5bjvC8Nju+kVIwxNtQzL3ZPEWEhod2tMLSGthcy4jpKGRu9+ztSwxMNhLVv8 O6QFBwTGjbepT4tG8qlD9HRnViIEz53V70l21BGZCHj4zslfDxoA2qL/EeAJF46tibAj4MM2y/Xs X1XS93VoovxiS6sObjT8ENdcanUvQ5BCRojdLHfZZ+tV6Izl5Je0gpWvW39aA3CCqOzekZkWddS+ EYubYE86be4jM15ddd+o/c1cf48zfWsL+KK+1rVeELw4rMS7GAZk7tMrIs7RGEvvzQtdeuk5NzH6 r2Xb+rrmE6iAvHUOpDjiM53WTTmQynoWgIccbq69J3zoG2dp5pHdJiGxGcHE1DvH4EvWI2VquWZl TXhx9BYNM9A5HVnLjpnWHhf+841SOqGIJZiXCB7oTG9Q0TnKZSO7zoX0Aqd3wSZJD+6Chj5BXUuK /1EUuCiKx7EcuIveP+zWQrDgNi56ztH3Z6iSUKO5BOSF5kqDSpyUPiwr9JBDSL4KvV/Ui3bOmmuI nXJk9IGg/CHrVwKMfM6IyLSnd636dHfe9X7nLzvWExIca5l03JjIolFN/OMKHWKxuyzMOjh4smHj sT7Xx45FqFS/rsvtzNe1HUf2nfDuBTHUpcifXj4uXDnC9aQXpMjchd3Xkz6Zxt6UVLsudYpZvBKQ rlD7Yo01BwOti6GZFDSTAjopNhlxZ1oHr97h5cTV2Vp5t/aR37rUKCTNl2rbYB+0NEX37GYiXoJQ bq5Fs+7AmYvUiVTzdj/Y1AYL8keSjYYXJ+ihhehDm1diKfKddw/o3Evo8CI2+bkjVWijmCBG3dKR C+m54st2/o2fDQIc7TYZ9GbHH/I1rN052dTtSLMlfiCC4jN75YtWO/J2J3siK8TC4Lx9GXa9Nxhd x0L5ufa9/HNzJohSnaeaYjwAdw8SX0RL0HUnni6n//zDMjS8s9cV5fPy1koQjynyZodUm2ZQpH3i MzG824GfrlVF8vhwUn8WK0I/HgkylvaB3a47tRAsj2W9y1/qs5mUO3OyntPtJEJLYhQhAEdhBTdn /KoAvyW6waMhaiuVmR0Ochtqm1Yu9nvPwK6mLREZaK3PZ1DhwZjkrS4xGNNUnV1RXxO3FLbRSI6c r/q2hA2ArKv9ZnCTxdf5nnou+UbUTCya9EqMQ/OyTD0rVfccbjNZgFmJWnFF/Quyu+88hQcyPRee C5oj1N9QNgbG2N3S/qikfDz3wZUYrwfHejfj2w861phEMmPkFb8SNdWiSKt+XC5yjG6abl8FnFsu 2UdRnOWb7qw1PSVEq6Vp4GjfK2bnj1ZmE7F/2Qhxkv9y1ZymSuX1WUHhsqbRkRqfGhixJjf0h14u DDowT/UZbsZuxPPuj6ZHYt2WohFfievMx3Qw+TI8winLqhEnbO1VlniLUU4PFbo5PLTEcYXVtCTd 70iH87EZainGU6ciqH8AlG4bRdl5NPkWJFyosQeks6RWboQPWUhYKqFKDLGDkN95pXxxKnZ+vlPD 4/0KoQFmwOJI4I0TNv/++NaXX/WKkRCe1b2ml9BDo2pqfDEmKTss96lLduvH35GehYId/PSevBNk qAs6cXx53g+olqnAIr20H/QgqcWfJhJBnutogXnBKU7/q8R613T6WeUa7al/TpA2tW7AQvrsEO7X DzWc431S+8hWDKKjXtBzwjbe+8tiFDrTCcbiUTkqXIs9Kqiw527HwNDS6Bwwh4jHle8yAyAU8Ec2 UunqZL+nk7Q5SrGufEVkvgUH2Nx4ED+6ikIDF3Nk1M6tpkafgNfCEi+cSLdcuIw3zbKOR2rWYylZ hKlN2zocnJGviUCT4feAwiazVcCmh5icY3cvmJeQ8bNI8Gn+BFbZkydFRI4MJpSnkGBaGRNeDrym EDynb+VXKHV464cS7kJ37NQeeBcWSUga73yZV86zR8gt8fETzxoyPperpBiYhq3k4s4budZjyAki 5ciACjxw067LCnTW1t+5w7598f+Psu37PXI/0JSQhX3k58NF/my5wBbsEw5nuGcy4xbMkxNZPnQ6 MN1FVbBK9Zeazz3DTiEULn1ofnPB0Vq/9h4FGzlTRep9+5p2Hz+sINOwmWFcg26bKDE7LVR4s24d iHQTWrvMHLUB84L6x7ijaZtamb8tWGcmDzVbhFFkYG+DO6Xl31g/m28bThkiPXuFaQZ9nPuRTAmU 29gd7qqfILOMhasBkT1XYYIuv0/hrgpg0aCIXbCtWDNgJZ3ZRYNOY4T09JWtcr7ZsdAWUPmCVd3P 3ju9xBij4E1fi35Oacug8ZobIC+Opi38Axfxr5X/En5v1tBc/GpypgGR5AqdBclXWzj26eQnqAfK rWCPjnzBo7znvHtwrQyFnQ3bt7JS30gFkg+NCEj067jQv8nPs75Ai05a1X19veUa8KLGYfkNZ6xE sYqPo7kVP+K4JGpTiXDBk1SBdqVgVX0eCtxNKxKZ5ecgxpOc86ZdiaIWtKhYoP0N53Sk+WNcFKvf mBHlLuFY420KDT93zqUbepZK6vmHGnIg0MLjT+NhI8PXX3Ay5e0otSIyqreOxfm+qHD0neCQXRwc OAwwSe/LVWqgdJ6YAY0CbuCLW1H+IfHQ5H1PdvBZdCbBhxN/Z2RAMKL7D8Z8EhwGM3ADSIIrscQE EUjRf6fxG4QDgADiYcs8+hpyQtjRhUf1Sy6yIvISZVcrIIZ4r1UyRnhZlnIo6AdC1iWX1EcYm9pm yocR04kyUuJdXM1+34cVMhxKGmX3CUlJ7dftj08Gpu633o0hZMiVcgXafrxN/6QBLmZjUaSqh8VJ VQoQsGTe0DBwT+F6iyzrxQdC2iZ+OQCgXS9NiG9VMsGy4qtLQ/KsZr4F279V3GFMpevvwhcGboVM njOez7EyqUoRbIBwwynbZgaTYFaDmICF5DJjy7hKw6m/usCJ0L/w+e/O7aywZcDaPqZNOPlMdbI2 C3W0SMuTQ0EAlAZ3L4ut5QqI1fhlPJ98Ts+3J/hTIIVN3tSvNKzzeWomPtVnOWDDX19N29oZE27t yfRzyqsn1kZgUgatxICdN/cc267s521jsK/HKqu/EQ25yBWyqGyTCX9H7ial+dkacQE5lYB4xAkT NgB/EXdQxpgSMfLsOaaYFEsvmfTy3s2c72T+SlLXmCCJfm3+QAltd7X4l+eJHIEsnZ2Z5eRTUIlR IKjnmhjBpIlGu0ZfVSIY5Mi8xAYzN3qhc73zfg/1KpIyyuPnShppMLEt0Lz8ukQn4xBTvG1z27xv h7QoYgvuH2vMBfRQWXIUqq26mdRp0Hp2Kpj/1HNvCmoS4x2F1Po/vRIkSeQrUHsYXCMRPD93qTyS h0Uj3hDATMovmwcJFtF22v1ULjN+5mJ0u7Cvdk1f8c+BmyEcLDmetNmgT2Uh9yswPvFwePB9pzHY 925aWjdlj35G99uSjDyHWyjuWTnrWzv7Dskkbw0YvUBtzB9uPU1Px0Zvj97PD4RgMr5SMCD7OI+2 mI+vSFaDDuhrDWY74MZwzPD90BOgkl9Q83zWHhWOrswoxSyR39Uumu0SBBvUvSFrsaqd909iyVam bzoDLptTdv1hFAYnD8zdnjzQ3hW0hsxPYPXF/LWGMHySj9xL2PzOPWh1kHvRVU5EXTthZvqjXO/P yfQ+qpIzcacPJUROq6mMNnkN7REyZKA40d6rFs3ppmubqlpS/S1FgPI1XmSqUeJMVREKCTnk81Hu a8dtc+aL2NC5ivbTNpQgxR0H0pnwlzDA7V44/Q4LWe9bNayL76yH3Jd7lVMFG/9cJasQghI0OJn3 syO8cTmeEuDR/TLUfec6aNfab6dvcynLlj7znRdQ8FaYNNo5bbQ4Hd2eZ4gXhMNzrxJsZ+nBmKcx PQYdZEW526ailqJS5tSpaNd9yYmJCnyAm0ywvbwp81G3Q0B9qIWPYr9qIcX7jhZ1Kw+HrDw9anV5 ojWTEITGypwfwlxHiedFi1UiWN2IEGmHqTTiecfC3hsZdFleICACXyAwv8iJbKZK8GOXxy/3f4B/ p08kbu/yG32Taw+WfhO6IVz14ogYtjCjIhF2UirYn5VW74OZ5m85cYB+2sF3rCg0fcC4MjINf7aM kPZCteF1VleQIpfTQdTxOHW6MAFzYa+yWGk5GyIEqynM+QI+aBwz6KIIU7YQ3ikVnRYurdjAKtIs RVdThqVzshZgxRuA6l3GgC1Qa6DYU8w6RrUuAka1Ukt4VN9/eMmAAuBMpQOKpJiYobt6dxkqqGAk /TINQYJdAyAAl9O6bqCiZPN+4WKC1OsO+drHoWw0tj1vMwEbZepBbzkfv4OWEEby+yJfN/axOdBE s161uoasGLGjNz6ToZHDhfaDkLTlFF3PCI5XND2BH+8i1Mus/Ed0gOUZzpJGqrnK1fSMEQYoExxS oe9+4WIkXpVGZL+3UOe1Y78wXxWVyWRznQdUdlK9vBeRh7NlEnU34xWltMnWWg4wuMNetjVCZMSI RKSiw4q/IePQ4FD3HbFJjkAIUTy7nGlaDhk1PJWTrNL17eausTzR8Qeqp3Z4QC80mPqlrMBPyv5h Z11NVKA5EE7WgmGmWfF0WMQbuaKYjQ2eQvyaG1fvJUoDUASBx+TewxCnaeQUndoR0+O00FWQ7R/u +gMGIzHMdfX8h4oS2xtr2iCr1ZoHL8shPuofPV607JBeYRXuUdpE1DCDMxfHIAu9Gow9SD1irHjj xiZS144dbopr+zyo2DLyjtS+suOfe5JbtXJ1xgAckk66RAxO+fiYWfanPrCwWVJTXMrIHpmBacQg R4ChyW4BG61nZZ+MIbQrKfeEDCj0ZCaX0lTWHKBC9Q2pkwY6hKK9uvVB+s0xFn0nPK3r8LECNdJp jsEhcc/fWBPwYPlBC0uZt4LOZHS19M+uMJtii8ZIw6sE+ZSzDalRn9Ai9ZVxeq6C+L4dG5ysqP4k Tve74HVvBWcSxPd7r8hd57DwVfekw1kuNNxNEr68m1HIDLZekiIlP7myMEkxbI9bqkQB6Lhr1eCU OyhnooMJ5ITkEMwPupirXW827Y8mlS/NAbCRIROrsloeZzLYkOQFxLtRQvfMTliavv3pr1s980G5 ahijubnHsXiqgulpRD0zYOZSAooVr8mI+WbP9CfpG+2RIjt7e0rBnDInTOWxYtxsVZMqklzbjdO7 rWkgHNiZenOjebG2ESL3GNoqVtfhMgo7IZBMhaa+k05W6pXWrz0hjdD4UAYx/h6kvdeRIyTxBiJz gt+nfYuAI18Xw6MEWzoGnk0lSjTlQ4zowySxqEVYLVX27lIbTdcDsv7Nzk2PI0bPUTW7KfdbZlDc 1LosACmaBd+AdaBORzRFIpMmUw5uUImoidSXQzZNPiR8CFr7SYJa821gt5UC6VW9Yn+Whwj4sYV/ /EGGHR94eAf01UE+3xPxcxdOfECKomvyHK5SOEINdLnbBtKrH4SMoZdS/W1me0M4anhNLo0s4HEA PG16K5HK+Rd4qM1nXajckDJJFtP9j9px8tSgTMQsQxMq2NC11BMLJypUrOf01q6ON9mXYLAcb7w4 FQ1thhNbyF1Wz0HYeY1thh0Pyq8mwpcrBr7aHMPEmAnAW64rFqDuRqjagjQ8c1zZFoak4EYLwmN6 98oFGte6hE/x0zojrw4LoIniGDMjqXknf8I/BcALGmNPWpkEa8r510B+2AHgavLewAoXoha45H7H ubnzq2H/4AJQKY2whuysJzl2dZ8dU/6HRHrXL2bvAyCID+W1F8DlzoojW/x74apF7LXcTVa4Mdfy yQ2GJl5ss81bcf451U7V1HSVFbMRTif8mhK8uOGiSeU4B4n+JU+AzzG0qPUNsnE7XMlB9BfHwS83 QwicxpeeuqEBQtY0PMDpxqJzM5xDXnePhoWCWdMajNu7pNHhN15oNvgQT+XX/4H4/hmhGPvN/sfz fd1PYA4oD2WcjwxnDb06vVo9L8+wagrY3c1EbnBGZ+kywrO1jGzFOLpQSMI4AS9QNgcI/pABrcey 8B62rLsXQ6/STYsUTKMdsqL5sMlYyCKlcMrttCpzFZWiWtqIhOMc2E4N3fIVyrc/iA3czpEzM/d6 bdZV8qm8EskfQ7NXRmAhCkjJsdMmpeT0sq1gkGsCmx57EembHuzabHSDZ24fRPLIKrf03aGEpYO4 yGsiFddAWY6EcqVpTep66Jykt0JF3a4hiji/zLuzgS9HZf179fmy3BybYymQTveMo3AoGioX68wv 2SlqV0Oo+Cu5tI8vIdomOACLVkjAu6BSozWPrzxMNeMpda99CThvFk7UHPKm/dLYtNZU4/ZB0cmv 6qDbHaKMO2cRgPAk62ujbx+3cjpPcYbW7WAQZC+3dM1/h/1/brMm2BGiv3NjIzdRbv3ISoiBDQUZ JdalSKTEJAul2V9fEGe+mKbCYUA2Q3S2gZyXAXBsVkyBgNZ1rT3NGjy/f6JGT76Oa1S1A/Sd/MUn KV25TclmD0roLeVqQ6hg/DE1PpY2eyjKFlzPEg4t7tvztl50Ixj7bwzKMYH/9mOEGsR/wc0Ng6jM VAqYDdioWevwdc1sPhRkzIeR1iX8C6PDvyqIARnpV/MdCZ5lHr461S85oQBktqttnSWzMjZmYWlG UOEw1o/hVlK5VN1XYeCFnm0T/zbzke2fpsH5JnrRcrfg3IqAsXG1S+PfMo5068UG0DSMtCrx1vm2 nHKfoOmwJehfaLxcFAA+DeoInfTW2fPV4N1K6Yk1KaL+bnBIYvvKQ0Xl4fQY4mAajF7IZNvq3gLl Y+Hs2B5xUx1qarOX6uWPqH0+SwisILYmr/wHanqlfXh3j09wCWF0y3f0h6q5GBUI/nA6q9ypBzKv AsBg2E2/ESZMrsiZJJya3Lf2ag55owSE4byhGDT2QOWtOoMKQ1a4N5SMqes/6R/3xlGihu2mYZR1 qR/EaUUo0VItKVL3mzMfDy0DICjvh03rBjHighALE4RXSUiRF7IqzijQH0RSP3V7o8NIii4riXwT 5HiuGKyadRKE92HqH1sozgUVNJR/APaH2kZ4tpEgcqIi6kntA33TJW8ylX6y1EP3NpKuBngGMMmz A1qt7iIfj7tPOFTusKehze4Edka0wq62UizvZYEV9rjJQzfWmKMFIf9ecERjkZCkuB7RJcFkmAIi K9DbXXQmfQVkhFM2b+q+zXUVxQFG5kgaUKbOOZLTdw5Ebb2A0lQ6rrz/W+EJU77q3yxAyKhe+1xo x4c+pw5ArMP9vFmVTvuEaICZIFqjq4N1ZOfwvMSkBS2glAA9+2+NjtDNwhEMPo5SUlLd9aX/7pkN Og5uAqcBR7OhSVsYcDHRRm1AXWP/43GiMzj+/XeKJypJofQuuwDAVAmqq0HAhq1jkjL8VQWLrTxz M9+SexrnriNiRrkAz6G/UKwI/ikLUnqNM7lpScqwRgOmCXbtdROE6YXtW7jKTsGhH8Ilghuiu/Kc qVIthdOQGty9zUeS6H85xoYOTskTCROsA6Zu/6SBspy8/OqNjTghL08vphUQLE1HxIMToShWUqp2 EoPfJs7Ml3/n1xLKxQR+MiS8SfAPThOAKQH4+D2tFXpwproSeAgbZ3AbcTazo9uqQi3AW4VuQFXt s/gKZYaV/BvG67MRIsy1ML2svSjeaTP3V7NwFCKBWgCuCpo6OjU28Q/N0sEOpKuci/ehgQAMmEyO nf63A7yiyQ7zfi3rdgOxjpNi1BGa07qT1EsTi5HIeHs07oAZUN43zzOrWqZLADifjCyv2jvxiSPS 3G3HVsWTDEGbyOPTO5z5dWbdoLDHmQFE3+Brf33MXeJ3cA6uIRsMtaV2/pVYmdRnZGejIFPlEKm/ tNysoqxgkojHQIYPfTv9dXWyLPKjFaDlGxECN3UWcA9cvCh0k7J79ABK+jd7bSWiy6VI7gLvrpgt zHUr8AmWpNOGSu7HkJXrrYljtf4Meb2nPPwSuzVeyqupHhtTOW1H2SARRZ8Y3ZJHZu2Uptq854GJ eJGIQ07kCSoovS7W0hi7iIWesmTkoVMcLTwcWiDEnRXo/D5D7K45XJMfSNYiXxApvHMaz5WIjxhK Rv3ia82etrzAraKqlOHW9gRXq9+4eGBA/t9SSN7+2UE2yPH3hhqZFLgYTW0KkCliDpVPcyV8u2u3 jUTJkfWlv57nChfnXHxDUrEoj6ps4tNvcbDxHf/2E/sFz8H6O/hGPjg0CVMSk9ZaUcw1w25K6MDf kf9B5hZsRiquQTnol3IZfli0ZprVKFKnffwBCG5/g5o3Dm6YchORtkOJCqyp3zzTYoV0OZriDCKP 8M0smDAbMgP+m0x0T1oh5Mz59AMdVdqtwmzednu9jpMcMFHdWLPmSCmkxNunbls3FbKWRwcoGwkq dcrPx1zrxwtkQGzOA/1QD0RFXJ62Ra8U9xfUbi/TakkLosuy61b/8OUfgIcmgjcRuTntI0yqc96N cLmfK8Kg7e9ktgDOX3yJ1wMTThFLOFObaiEWtPysZkMlkbvanLqYNym0fPd7D+0NGpIMaXAEZi5z H0XjMhMmXOrCmQZ+ImX9Wimj3ctaF887RkC0cNYsGIyyzUqZC3fe2PvgvA9AOW+XhR5gKnFrL6Gj t6K1bcBJzWNsJGtpZOS3sCuCUDbSBvSCRkKJAlmzt0vHxe4O05PgT6XYdoYqmoGPuJWWybYoPDdj +6EDaRx9JrGdz6Wygb98YEGhgChcDtQkanumx2zbi5Lgdqoiw4h/KyYBB7z3K1hLUDmSWVgQwyHD /gjSp7ebWN5W0lcIvdv8ToOl7Apx7M/jBbg00wjZpQpjMUdra1ygKVdX2Lvcjp8St54GVDqw4IUn Qtag6NHvAiBhN1mGxva0ri+SlLG2aWkANd/FZ02oVHl3WG/NCMOcFX3qVW6Zo0+CdGSmQQ2rOu2v YAPNEgCmggbjemal6VUj7Lp+vUDP45jBzM67DKmGm9I5Tq8YAF4TOwx+42ngqf0uFaNGpLpPKe2J psprQodS1g8WCEGWh6ZjfNFeyUxVKcGCJfTV/OWjA4s/z0in7L0SdeJ2HHok2PoPLan6URRQ5FXH gs3EKcfWMSYjkM/8XnBlLpL/Gtm/BCL2qteuJ9lyebciIfUi+HSfpD1h1vEBCKBWlOiw7Obr+CdS HCTjjs0IoRsU4fqASTAFFBBfDyjYnvFZSuEXjj/oR6NjvUw5iZWmSeaMNRVAtnSKyVNDN6iFI0kZ TvWXSWFA4cNr2Z3UtnkHOJDNlE01lzBg1PZR/FkViI2ylNyuCFCgwa84uISbzOibGFCNhrQ5vL2w 7XgALjVteM/yJt8ul0mYaT4mQaUOdjgKCMWfKLsCudsvMCHLdg/vIyvEI7KqW8hT6F+iPrOTVH7j vEa64hr/yreDKDFaGF/O+gZtXYkFcOb3nh8JOBGnJ1ZJFsub1twhRHr3NxfI9TrdNaQn/netTBGt B9V9RpYCErB1m3HOSUpOyvzV/Rsm3f7rykDFrR+umuZJA5WhltTjGMn4TJG+7e7szVrlqyhiyrh9 w3WXMre3w2cP2zLlA7KbT2R/oiVY84xh008zaWL0B2tZretvT+lnTYOio0GuIZPd69I0EvDexHic hGxHuy3VfGARLC0w01aRUXKE2LiCxruPJoBPj2qD86WmSXL/qGM/B8qJlfu9AruDeqy3MIWUSQxH Q10+SFhkk1vOaL4hvcpEPDd3dfcoZgFD78arDPR8FyrnXl1FxB/JfzvkTMxvxazeQvKos80WPWbO vHA1CpW9A9ytrtr2v6p9qfEzQeaqWqzT6wBi6izMBhd0ua/t+YBCU4CFWEO3+Hx1MSxkdKlR1kLO BCWkq8usyJ15R31Zyq0PPKwhbfZSZMN+M8ohx05tolX0R+6Q0mlwQ9Lj45np2BD7svq3hdbcCETb QGR3qPB5hfZctq4Ez2usbtTSe2D0+mtD11Daeyc8cI0ZJVsW1o4qRitCVCeBVRKU9aDFWU9fy77f QHdoIaWENg+fbciz0yS1XYoYxac4pBzrfQDOjyoz7MD65fFjdcSYfwhysFa8q8bxwkXN1S8XJYJt HmgozNsXtZ/VH6UBG0qKTdsfZPAQVGHvAB8dv2KkrfwaJv5GGjZruXuizGXTDNMuOpM492HhSXGY llyX/62eNWNnnM0Cxy3beFRK8o86D6ZrT+j/WEpN6RtNnVt703cQVvMu4wjerI5tTxNad2f7ai2y FPYcv05ogm9TuVqPAp7ty9kDeHtQisa/u7uF8+WqMNaUU/72jSXAGaYxaSr2m7rL3VU1nNWN5bUO aM/rOYvVtRKL7Q9VS2ekb81eYLunvi00fKmi5qwWU8GcV8aWud5JhWo9bo2e9GhB9AphT5dV/abi t5eiKtfWW86kkEnvZdLi4am2P5xu3qNDvPguCtziF+U2MEJutOGFOpoFkFIoUTONojM6JzlEVnGH EUbemBtpwHlX6M3nUWDP0FZUD7D2KCOtp/OpFG2fqFFlErmf2CNiKgDa5MKittbsrYNez8n9kw4I 7FJTuh4+0WPbK22pMpqlHxzAz0gT6PDymiNMp+7KPD4eFNq5g0ZG7kalqrEWCQG1XS/owiKzxlfN t7WIykYbx9Phlfmm9skQSAkQdbZlwIe+V+c7apiNkhOdhMtYTyEnYgHL16C/5FMnHAYniBu3d9zo DpTR76kIJ+N2+pzA9555GqbD/wR1yfn3LavCIQjzg8bjlSR9EvwLuW0O9WPe16kGauvJFUMNIHfv kl5v78ck/LQxNzdi4Oz3sTrR0nTNaKzGFvxs0s5T3jhnvqz9o2KusDMNDbHnkpSNDBJ7hzkF39YI H9mr28Bp1J/JTKP5zICLlhlwPRjyv8V8ieBqn43klTlRAPtU8QRx8pty4MLL9NNze92adeXTEWzZ 63k8gUv0S90aviiKzEGtaVbs9tXfbcaTXEDgUlDiRqq/pW78YI50bURIE1T0vyleR5PoylVrk4Mh /v9fd7/p/93Sc1gC7G8wMYwbdmSnEoXt7fRXCqr1uygkgcPlPo8A6k+hDwN3tIDhz8kKewDqqNFR aNYAtNVTy404eL9JCHruEFRa/3B0iF29Lal29QgiT/d8C9DzIZuEfWrtUFzoEsom8Q7QXskxiAD2 Y0p2h52bKQ/BWeIgkoQMeJh/Dx+DhzslA0FeG7VZ1abisRNyXBEPTZKc6VUkiZozAb7x7oj9y2jd cSp/zTlJCh8+GD6phHJYduXw+DYUJyEBvzjG+jqIILsDz1gWSvXkb+lsPcojBrjklWooSe8zUKiT EsiYyRJlF4K0pC9DTMD+fCxg96rjC6uG4rqBiCfmUOUBxe37mNqzVIUzxR7Zvw4AG9EBc/mJtoxc g5d2PHHBfMv7xOhwbIrE6bsDr3cTZpepNOjoV3vcN4uOzaDzi/FVOt/YyksbcQfIL7KavxO5XCqb i+/oI31/E4U+2YpnSX42T1Xfos8ifh1+FJ0XbKAJz/Lo5UMuiD5oKUIaMqI1TLKv9Gk+CUU3YqmI 1Ya16/tTLcoZdCsy53ZKCGNLHyad0X7l25XGzcRXJWT1SaPbWF4t2DQBbg1cCBayJz5ZkccRIs+7 5KvsTHlv38Bb0z0MKQXTLYNc7mD6dMCLUoedWHk1B2Oqllin2j/ga5jtWq0r/sXRIutqgh+L/lAE xVMko5Wb789VQpcNhYmb/nV8l/37U/Bd2qPowaqxOCzB4h1tB56yPDtQ/1CCJdYjRxcblCkpMjGj aWvzg25B2wTKgGLDgFYmzJKpXNyvdy0nvD5hWWPNogGqt+KUZmQ/o0mb8xiLYa7pPOb0PyZQSLv2 WiKQFWn09yBNfTfpbaaAFshF/abf44bVn/UdxanKFcsZTpboI54UWbNLIJfnCk4dMcsMIiU1mjfj KRNvbVLzpyviufmoHzU4egw9PdEWla0kFVvwYfTTd4u4cJfd/qi3Hm7tQgsWPceco+SfuWPoTILZ UNvsXHH3bqrjpnDeaOD3F46FPhQrvB4H/jUYasx7R3+r8K+ervn7mT74nAb3S3uPMdtk2SaJtiLG OAgkQScPbGKQCwPH9HYF/AL5L6M+aOzUOcSgAuAPEMWPwLrcFUCjO4tJcOgUJu3j7w9uRJT/wrGo ABVdGdCeu06S3PTJJL5+5qPiwudXKXrFACq6FX/9TZ2nyemj1JfjvV2zJVErv7WbOChHtIuIWH4+ pvLp/2u66FXjfbOHhVc9Y+JnawKjWse8rf1fTIr3VKWwyqhP3wA8OjWDRS2kq4cbfgjARG+o24Po quDGKr1fXorUf+DMzL29zAzusGq8EcjiY893UwZVvEoYex5f3RNJ09pytU+R/spgPieEaMDZmtnw IIRuBCUCe4R8IncTNpih+sTXvGYb8rW09HFsTSe9HQkOh1wzQMUggZDpuB5KfInW7GpxUSmgJ3gp 4ix+gNvXTTZZXCSGbkOKWAquUjOQKqM/9e8Qy1WHlFH+paJtfXpBeTF4RjN19RiTflBm97arfMxR LH55U9XSjGQOpWsgaW2hjix+TwJU8v1Iako6aRkVQquT98CjTVE7GIOA3A4vNcJF+OnTxlHe4Nqh 8dzlFH/JlLiY6gh4oNka3tz/gwIpnP3hAZ8FweRRr7qiYP6jUM2tkatxn3vqF+Xq0G7BbUZJJMKX tW05afeTNCG/61liKFRxDBRNamA3l135gxPe3K2PknjuBDCXlTct9pDjuASfmDd9u997/+KokugJ gIwWHWXxCIzXVApRrqHnAf0Rqknh6GXgtMao46uAlASOU6ODOVrKEFSf5eKogioxSqBbVglCam+u xdLmsaDp8SmeVgkcNxM0HWM9BanLzS1o21VtLl7chPNw6Zj7fVK0OtKFDQnVCeVmYC/ZxDvHaKe3 RGhZLNXYf8eM43RZbpFivSBcu/JGd6ger47HHLpWvOujQrglvaowAjUynuOgy8r+B+yhwZ12YUgV XR0eUVUPgsTuTv6HWha2R7zbcfyMkdXD6OquW92Z9AisduMaDujDvUUQfcMUtmVWXwklyAKISEgQ QWsfNvelOHtWzLAnkNZe2fLDCiHscR8l19AcPidYYmUj47YPLuZwTxvHxYcIaMr3WiNVrh/IOK8N cri8P2GVRBO+oX+Tm5stBqMtM74QdLa++0n+UksBB6bo9fhrXYiuI960EZlEaRtU1HH/SC7qsfNt jV7voaMTN8DIn4DBysks8/bw1HnmD4AB9DkANQf8P/ERVdgI0O2SiVBIDx9m8Gz+3xij12CHhyPP 5IqU6zRXIs6pwqMiwmgtwbDSrZZIpuPL7AQLaRkdqUzBUt9TJA2uyMllk/Ai0zunnlLaE2knLo9G KWhomL49giuwVG1tl5VASC5OyZoXdMDyaGDTvQxCrmQEtjYxFRxmf43RoFVtbQq3gqnx13r+I5yg MiCXIOSyOk4yi8C/7aWWRfA5/vsvPqZPLXK5Xz+5aikjxKJx7l2YlQArUMXrmNXHMasGL9mjfZ0/ MYBNn/hwZUl8T1Dc1X9kKzSwiwd4wylYnAKkJiCWZBICn3fWMO6uOUEsR8PuYv6D9pMqOMyMHXpr AntnfzPpjbcopjemUan0ve/hk97+2h+3AK+rkUdvAEaJxHxPegvZZYrNbCzDkrB/hpU1W1WfPli7 ddJiTp90soA/xLE4ZrLgVz3QUIslfDYTISZScyovCjWeWz5ER3yrulCMoK5bp6thJJZjpaYEVxku uT5mX+fMr50RdkGVJScyhm3/ldIFow6M1vgaPGzfpup/dkBZpR6f1428/UGMisZ6m5OCKPo0z/FK hzTN6BzbLuy2dHKs+EzFaUAFHFV41g2nzcrAOVZDY0XrxOisuBuF3dHN4MctQ1bA2YLvL/ehMlhU TzpR9Glu8KhEi+mCj7Oltfdv5aSh6KhhXicKALHUsNlTsGB8VTVpICf9GK08B9WdouNXZ2qTppjX wYKvlEWr6kY/yiT62yrARM6cu+IeuHOazGw401QlRAKuGkZK7HDVSebJMIpI6fmHQpjKWrEA18cL ga7cUyrUXCnLd55Zlf8WDI5Efc06FOgpbV4iZ/FR2R6+pHq4nhzf4WpxmCl+YwM0/MG1kwsE4O5D RQ1rswv/MRz/FhB2YG/NWMN+oxfWhRpe1BT28tshBDfx4c3Vg3PSbDYWfUWHaW6CLAzOVcFgxa4J MG0pYvEHs9ClRZlzWiryaXqRZI1tTuHhn/W/L9z+V/qyDbxfqytFK6/YND8EUN7GdfSsVPldZkhA 6AMGTQlGch/0+ecst6eG/uUZRR6B6b9RYf+QmJ3eZuWNQecN8LxMl9klm5Jol7EJRNiHbBo5pHhx gGhpdMilaVoVnu2pRXebAz1QSWIUyP+nqdTQcGYQlQJ8lQwErhjZGKnfduxeFesGU9eJh60KHOzM 9oqJqI6l9aGt+8n8Dk5gcc14MpgKNCHq/0QZ4+HBWZ1xtPyQ0IFSo1k3Elgz9E6csVSOgYFR2Qoy Mv4Ot6ZV/pcUYsJ87c991RpzpbWgG/0Nyfj+wfQmAgEN3eCWxrp8Dq5OYBTlvRwNrxaDD8fTqN9v IPyt7FHtuqS8IJG3Qib9KW3HwyKaqwkP0Jbz1/cwE+oa2UHiT7H/+fbh6Q+D4VidEdXse2inJYDL LE1b3IFEUVqAmjBnPOUDp4s8PBnzgs202vzuWX2zfdV+DVhSm/zwkh7wNghH3JM1zBAhyg8okQvE EztVEAmfzMjOf/npnXy9FqBI1Uqz52mwaANUGGgU3Xyw+3wpmAbLuMnkKlNJ1fgMMixqHzKUyRMi nPyOAzLIxicIh/grdEIMN5e9e4/5tVvs4bOWrnYbv2tffuHgFDwKA0CQjpeeIpZ15V1SpC2W8mF9 QDBZ8g1t3sieynoEuBRtIn88wna7hKzuIs4g2UlegRhzBUCzPG1mEaPXiBQWT2XwuqbGyaSoCoce JyE3s6qOT2e0aRdSklc1id/ggXvWEsuT9xFr74DKeO+dlK3rpgtEug/St7LkdNEZ66ba68erB+lL qej5AIbHdEN7EN6sWFQFlTzW+qYHlNaVC5asS2NnnsuUxSLjPSvox8Vn1lWuVTWlV3cwcqMnmdkB 2JbHmr/Eg77K3a31jj6lzwIYOSoGUb76Cngm03v/zno03TeQE0ecT0OOK3/HZFxlIEmps4OtjiSr 8YQG96RfZcDKZtveteGdGW1jnvf+JWCtIX6FCFs7jPuCMkn/msV6aPeZ5QcwryvKu3pIAFuwHD/o JY73YkBX6L2jWaxhhpCK7X3tNjB0PMthoZ1QWA1kQ4Mme0VtSep3HYJkC0EKQB1Zrzino8oe+FgS Cc3PWBY2dneFK1luNWoqW/W1U2ny02z70N9kLkg/pyzgQM3wNUOrnLaHfTpyPKDVXjoBZwFe1R2t NbqZQsec6k++szPPlMI9MkbVyykOE3BDpxzpz6GZ430788+NweHoWnNWkAOP16joDXPOXUYb+SDQ iPGjbHEd22Y0vNTl1hrifqNHQU8FQrLyTXF15sHLNUOZM5n991L6tlHDBTnB6kHpw4z4542CvS1k gZ/3EsD8FBGeGbidCPbljOgPy+H6q8PepHXxdstMKf1zgR46oAsUXVdcCJwCaE5WLFhPOXy/gcGv tTQ+0A8Q9kCe5fESNbqZcbfYqsUq1oFrUgeAQoHg4JcwLHeQHJ6jzP4ueBMfCWFVq00Vm9ZQuGj1 AYLJ5zkHhXBZRV9jKKOCBV7GQzKu0aXnN8kae4OMJeiZsvTI/+ro8/okRHYi0FeBmyQAWA0VFI32 YAT8B8et6KZUH1VcCui8iFzcpUt2JgSrsHegiLpy2JmnJiPgpO4FODGxeFUxlherpWblnCjfurJ+ CwiNEE7/2jCukcZQnP0fSYFo/GtDLOpZ5czQmdU7dehKiDPOlT8BB4PnhHJtc8D1ZA7S51EBIEr3 6CXbZTaCPaCfNxWquEYuf+91V9oZVSaQLV43nx6378pTONUgPvmVcYAz72ecDUw5VOppLBgRZzKj WNrY1GpaiTDYD44Ovr7/650Ias71zh7tiaJ7IjHJJP4yfb0bC6oiQN8e/FzecXfpmM/GOrFwC3/e e8tkWqhkdwy6a/z8VGKLu9+3Cn2WnqMB0MP2lC2FeMM+rTTUd4H+9mU3KhxqHidviSEM0uxYyjXw iRUsNsgFqZYPuOd19UnOzg2V6H0kHxjs5mJUU0APa0yMkQEKIQ1qUdCqK9Z9RC0m8b45KiQhrIwg 8+Xpb5JHarX3RKps1cE/ER9VefWyD2qQokczDXTAfp30AMgQBNCtvgbfrDWJg5IJ5aPad22gRoGP lokKQCn2BfPfA/vU6SoMJQyavlQ8d3+xGSGovvlBsfIRhGYfw2HYtTqKLlQAW9gEK7+K3zFXp3nM p303TdS72tpR/v5e73nW/xTCBnm1XygeR2YWxnx9dqR7NFJjJ+mu6honEd1GhQbyGvCgrXGXqomd S6RSxjhD7bx9LzTW+CtkxTS702uodcjiZuVsq618TEaU3+d+RMhYtmMCwv32y8RqGnFfwTUFjGzK VZXqJ4/r+Bebwsy8LeWB2f9YIH5f+IHlfzbZlXUjPlZtNzQJnwd2kvvLOADAK41xhfFnbnSstkvD bn7r5fzRn9tyOPXG5rQRXIhnCLyE46qWjTG7PS8y0qBQJMjPzLrH+Tu4bkxKV/6G6dHSbsvDL46C E9haTcY8UPUZ0hdwcLt1Q0HVpRw+OPzvZQztQXQLGiia53XMpMlt1/XWUc35jq6IfTP9nyQsWJYj kTw5Uu5nVqqOTcBdeFcjs13lAzyPr+YjCBwsXjp/E7WZR8P20OgXSWPOF2uNf42q/a5vKsEEGT9Q Jz/LcTDxrTlcqhcfMFF2mcZjLw0I96b67eBH59Ywbxkol1+zLdtW/ob1XTnKHThdLkfynxldVmVv ovgGq4O32UpFNGGe2juRRNMkc9vkubWO6+QUUKkXMRV14edGP1b4tllN5w07gxcbmeZ8Sv+yh9kG aK/EQKYs9PYvUUajc78Oohz3T7WWCEkZnWdyYU9tCrQqNkHKnRIlS49dFTOzWNXTL/+nF595oXQc KI3Tc8+o8xn0SrLWwzl/62rWRspGoQfP6LlbFmOTma6mPvr/f0+B33W6T4CJVacM0oAbeEPZaQpV TPdIm74fOeOlqkzb8sSxNxSHOIAYTHdXK4mz2zykkViWenQ5D4xe+I8bsh0oGK7EQmmy94UwvsI9 8bfwdmvtFPBH3f3bK/wn9sbOMaptG8ede63oaizkhSUf4bKOQzWRmIeWM5gVTerkfdTq+x5Xix2F Kfx1FGtCO6lc+gyYpOKR/7JgC5Hms7ZzjaAReLngFFZFuxMNV3ME1nKM59ByUPeDEChgl5G2bkVy zJwgOkBAQEniuZ6G/YM9yKpW9D7GfP9b5zHvrYgTzwqt1KUvosiE5+xQG0XoFGJ7UUAwqnynlCgw bn3HlbOkJEIUyWTkgPYThLmG58aphHxoYRg/U9hbsAmXRHD/GoJnezjpjopCxXYhD90aMWAnXcv2 rqubvLtvU6gTeQLEq66HAh9V49EZi/dpmcbdRhz52FQepHekmvTdhbUYWIsLuhow6T8cVkMUn0hm rYMwu8hna3EQyiKYC8kX6KKh2H5GnJdw9zxgu0wMx+gbYJ2yq6mPULl9AYl80hch99b7n8yUW7Hf f0Vetpla1TZpyvzsLFlRx8wCe16OZaKWT5bLU55HIn46RWcIMw6xHDy4IIj9D5YLxYATGU7sltNj YuFOwDceazpcW2HxgJPYh/SokU4fS78TGQCvDbLzFEk7RUxK38C/TJ2NsZ392Yk5Qk7C3766SDvs xwVM1CEHVV7LcwEd0mdMnbjqqZPbRQNt3V/3DDz8znmBoQ+HTzcGCDSKoSAo436H7oI7ouhOO5pd N2Qv5+pvvZGZdfRn3J9laa5suJradpluvonQUC2W7Bt+3JXIZZvdYGM14oK+eAALB7vvJoPqJ3un eSN6ggYL8O9bYJy9TjQ9TloWSvMNclyQPER1qWcIeXVO8i0/XE5BVeRzuuQUKw0y863mRa0KFnuD EFJXXcapRMbV2PkcjpcM5v8xRetXVELh5uxdR0FS+vfW4lnoRMNZfdTVdi/LsqquwCz5UZqKZwTI nSnnI/FEbEE5I3nRLeCsNytuWoCfWJPoEAiG4Yz1Ga7DzIZLOmCRuAYr3Wyt/PQQa7/8+enzg7Xs IS8QoNCOL07dTwkkdzW/DIDqnXoaO4XYv60gvMJqpnES4OMMsSeuc4BgcQYyes73zwUtyrEsZdEh E/vFhyniml5loxUMPkMF3gH7YRkq4zul07AZkw5mTVzwxvxx3RWftCaozJ4QrNSHEMZWGOCgkDET No8WFokbK+F9+cLAF697r7GkjO46jW3d23OrekN10ZCgZExS73ShKzg8yeT+CA39he7J33TC7F0F vQJTIOakFGZDAO4O8UeIWtGX2xFTdjzhLNnXesr66Zha1clp6Wi46A3LLO8/IZ1QMMnK1cIxw7ss MQnwUXoeVkb+hJ3/MF9kWbgAMFFYrrYaV0FrKQxAEw1VSjKYteZv7exsNI36ycbONmFSTJIkvCPt 6WqHNN0zRKg+4wbS/kqylFwq88B27873yRFDccG3PARyQekxJgxzUEP8ivdCNVt0l+wCqwtGTRQG ucgrZ7llScZoCJMfRx5HBUFfrDE7Lr6CXsvhyS5A4LglH90P1WmD6XrZD4u8O4Lbh8R0pYMoxS+I n+FPUW2dCjH6VWo8IyNFXKVPkisRHQkNzBDzv9kzlWwkDPPXtQvz/T+3Z6hbptxtjcd3ghxgNmqG dfR1ZMjD/3QFy9AQC/OvmY16LliNJwexgvhoZNwENp7suLDEYr1y5ddiTuEasPdxxZ0SXkBWLtKy SH5BsMIqeYzsn5PCkjPlDnCtGxg2u0ac/Y38QmApgATpU9UVqyHUledLP1cu8/N4JBQZQZJHa8ny dQwpXaviyrnzas7hruMhW1WwXe92uCrM6GXepAWbAzRLQ2QKHPmcfEY5OegrT/EA1qFpWz7O/gn/ tE6gWAI54Ba+YVTUUnwyfppRh2mhIJVftzAFnlfBcbP4jDKB1ih10R2rPDhXbFlws5cEEng7TuJH a0pcmMzbOFNJEw2iSv5w1BPosXsMfwYD0xhJgG9z8ZiUufWUXLdSWqsKzrrmGMNgVosa+ujFV6Uw /O2U2GSQLaaWKl57cgiEld2WXPus3cwFhgJsFNksH2xaWYdY51IBkaDrXG+Enu4HumDBPS8NMmx9 0NHODERXFAL176Yvdk7cuDU7ieheQJDifmc4wTz629zj5t33PgHYPdtzurroXEpYtMAfCRpeiSci /gHf3jXLoYSVlv9D98ao50ExTqDmPyMG9yOsyOUcN4S/VZh+9zzMWaphOH8wJU/0lWY2893geW1b 7orXR5nxRR/9yybIcBADb8lo0gLJFZickjg8rVChHhIdMmruvBxieh9yOKEiyXPVhZf+ARjkdKx7 zPmkjglqvo68oZ9dUEOzVT+SB/wDAyF67H/9y9DQkf3Bit9At1tHIbVlPZ3bGtEtmZw/55I/xO7T 2uhq7MBRttuhNmUQ+Fmuf5yNGdqKTg5wd9LjEktkA4YrVomOaTps8mTSN+pYB1j9YTBxe8OImtxj SMVD94TWaIELfS/1D4JAymCC8gzMxIuiaxIZ6juhmC2yXJW241MqvRS37Fnd9ZCwnWIdCVKn7MPI skbuZ/kGDKqDwAFEGgl7fVbw4PO8LrgBMLOt+VL6xruiArxkj8R8sClEVrGjcYirRG9KySQyg7fG 1cMU0TRFES4hi/OFmjCUZe9838CY0aPkXMSgnPAWVLPxBQ4Yc9WQMXB+RZ8YfU97LU0MXE9fyJ+n b6dA8TATU07nCi0qCIIZUDxHLrhiGf7JSjYP+WgpUbdj5KpYoClKRspbFA9CIKWYLwN9hYawaqwf Jh61GdaCNLGBfw6vJoXe5XQihMIVreGS79NlBJPt75DNzcVRytPPJ5X87Jvw/tWRFd5ljA6iqxmv ztJcKj/1UthpZ7zjbih16OeXdLhCefh8ZGD7Dw8Dh9sXSGkb31abqGSTqZLghvyS7EFjhMr9LlkH /rvlXrAbmw3b+ihKq1EN9JmnUcrJqcrj9mAAW7RusxXd855Ca/lhqLBd3EvDwXW0M33xb2CF4FSi M1tZeBdmXqkGgGyGPD/uRpQOXN1LxVsNCgQtv8zF+6rA5hSvjaJjAgwH7CkWCbz2EtpixiuXj8fw AvNwk3R0Ic9z+rLloEgGNn6drfQ5eLkaEtKaEX47x+LXOE34hm2ReFsb4Mil+9wkfjLJ8u930zNY G3u2zntYz3ruhYRkamtV8HUQ67S+S1kf/OT+SCW92/rG72Av7QImlDuyBJpBbSEL9KSrpjtd9aB6 E6YyXbE7zLFp4TQSfKb8H0FL0MEMZrg48Yb4DJD8X/9m+90IDViK9m3uT2Joi7iLoZphYok8v+wA wbunQRHsLAb0yH2o4iTJg1z/t5R/IIHyPx6hWQbFrX1mpYuDzegHssTUYGhyCVbCfn+4b5V3Y8xS Cb2Ada+4QCx/l4Rdn/dX7SBETC+9Ytm5G06mAKEQEcVjxmhSpsnHpENj5bjv9xz8Id5YxXex9C+9 F/x56r74VhVKpaV4xWY0fgJUKLod9wgJ7w7dXd5hLC3xEozpqlU5XHcItfeKMXyUpHKCHDOy23v/ 4/IXeCikovyFGS+NueKeiTm8M5kad3YFTJ44KEfh+E7Ip/b1dvTSfQy61WSRIdcEThPHuEK2UV2n CpW1W08PftLM5PVCL73itf2M7oTireaVlO/eveS5ik8GLzpVcaN1nT689xBle1Mt6UfYsoznsdrP Qd9taP88kM8St+wSOXaqZFxpQlrFk/0j/0BHskBCacDyF6NIsXPGw/uci0C1v2TKa2dLrwS+ZICW N65a3ybVbqWY+h84RK3IbgE45DmssuQJZRmGtYH8mgD24K693XUKQ4SLxKNBkMV6xky8MuIgzn8r P+CGdKbnWTtlHOb/DusYfURA6WsydgQATRhjAgPOErvjffUs9ci8gPPMbVLiDImWlVin3twm/oZV 6UHrMAdqWr9YmB2eo74ZEWYfWt9yxxVUQPjHp4CVGZP5/8JM6BNBeOB/Psy/DjHobw5IdLEZeMr0 Avwx/1SvGPYXYy+Ge9CaiOwVYKcflYbrHjI9qbegddwKw9IAHfod0C/ZY2GcymiCfHTYKcX05CVO y9Kl56KJMotDxme8h513wnZzZ/ToR2FCyjZak8PiDZc2oMs70MlGD5O7VTLktD04+5Ouso7yogFd ka1kVylzi09yVjTsmnKU2dor9CJq3/M16txCtzy4sUP2WD6Awxa1uX+hIjFiyV/zJkzuUMNHgmvq T2mc+X+gTmH7oLhWflq99E+dSLfrkVCr/5ly70NcLOPy98kfnSdF30u/aObMRODw7vhBk2DXNt0x C3ZpAwzt9JEKfvzQItyhBls0eVKMQLV510LnHr/vhVeOjaTTnr8DY8FS66beh+77T/g+iUTCG6fT S4ZpGGvVJ1stbhhWr6VWKyeal+Mo7s+q9zBDEiM7QAFC1XTzQ5ik5k8jPwX6nyih8pHvrD7nNVgA HpAAt1NIdq3tBw3FTVm4yAFOVKt7l4jvq11rnzKajtOJOhSgGYwLfiQYw2933TlA1n3aJm/eh5Ne xMPtC3KXunnW+eLjqleJR66mA3j89j+KXRtDUR+OW6WxnZptvJeWmRF3dtfoxzaXgldyDGu4WTCN 4IKTxRx5tyq7QYRFCvhGFMpe3waDwmomQ2O9mKXahC4k7w7i8DNYgZM+YCbpVmoONdSFkK8iXelv k76XIkqGRntDbvUukP6Dchnf4y4AWCD0fX80ZcdJPJWKVnJlyJepx+69orPD3WJs7D67wDAStnjQ uqa/GtEIkEl11zlyFXu9+Pb0ChEfaTbmiDw02XgoMiuclwB43iuAUbS9tpkW8aNGh+uLmFUuOub/ cqB+putbTUDEC/x1PmeJpDvXSLwsQsI4/32VA2rkJsY17F3XW/HLM/JgaOOGcYfQ931k4qOu4Iyc TLFp5KugsJ1TXbsNiKqJt+5cAaq38TbUrDf1VmO7X/SKfZknbPYthYLcsz4gs9ALgInEJHDc0H+y Ut8T+Tj/BgXksc+yVWJEbAFCeK7mfznJ7Efxb4hr6HA+LD2NqHcecWza0zIeO4wNvPrDghBIvO7Z lFx1ViL8nW1+R7TOxc/B3bdcC/PfcC/+mNsyHAQt9TGFUVH8QSgksGecAHJJSyXepK2k0beDJlks LiNxTUbMBEvRgv0SedYvn4ewFl1uc1sabzl2pnTlN8JhEn+5TRAAPqZeiP/rlpgxGNmC9nbV3wBw dMvqyFVOd/q8VtaC65xv5zz3DX97Xsg3HYPVwSX7IPww2nUw3fK4kfl/qtkQ39jBGc9oR2IMVJZK ldImuT1jSQGR2k/u2R+mCuZ9U2runFjklDdWKot64VeOlcYj104PZ0OqxWVC5HWs8OH91kCzlR69 HfOH81+aaMQTmurVzX6GgKCZZaFm30J6TA7GZ0xLQL9uoT40TJyQayx0PCLvUCkw8IPSQOv08jS0 adc1PUhxjhAifkw8J5I0X0zRUyz5nOQR1jPJF2JlLTLn12f5kzsA9/vj0CL5POGQ4ib4Nd76dpQ0 vY4OGbLi4KTIbXG76dJRCidR5loZI6+WwF6M6WfWVK4No3Fx3WZ3qeSo0ka5hla5pk6Xy/BUlF+z /wXCOACnsydRdJY1QXip+1XwrheVz+zKrXPkKnA5d55Z5ZP2bfrBPaEHI5IYnctPwCHsHcRGF/6f NDnaMsiV2DeczpRGyHv9XraDtKWbBh/flEjA97suWM/LrZOuXdZaxlQaE5ItatlWFvF3ZvRJnKqR vA1aKPIFoWJnKeMtuO0VTNdD1FV6JgPQxRih8c7GgRcqnCYCmhoWKADC8cRwACLyM7/v09gmdS4W hAdsHPsOIWp5sZJZlh5if3sgQkh/4V1pSf54pAVRKBwGbcS3i/++roH1vZvTAewdIW0pu51U4lVt no1XNU+EkOWrL67cUKMI2C4vKRINmsmmXMJDNVKiOnJpwEcJcXy3J+Zla3Gs69irfZ6cBsUNErrC Yhg6IqiafDJHaawvOzKi8MaUqkYmd10SrQ2uh0NlZnbuVWyZwBnj5sUCtNa/nFDRtBcq8P1VGYJ5 xK4LsXi08+G8wBwVeTgPc9Omp0qCBxpeyPYBAt8I5UeEzVY32BFaeAIXCuMI9V6qB84R2Uk6Ckjb dcCeaLQSpGfJ/JqTf50AsK+HKS9/0WwGZ9+9zUaz5tZ9qbVKdnvKJRn7lXweDj7tq+2oL2RHpHrH GhizYWl0nBSKBB7X1a8sjGfB0kP/7AOcLj/1FwYdwAbKFrRQqgUubF9dcCAceXzjrHxnAXhXIRj+ py+dKAkYnGkzgIlD1/smgctfI7uGOGP+6WosyeCC9FVNnx7IIGYq3MEBLY/sFaur6z3Rff6kU3t4 33uYxLfovp65Fe+8wJQR7c6KSSmDpBvRM0e+EZODVvsx/cZUdu6jAWPdgoJY0Nq57Uo4Sgbrx1tD ktvFksHkIJ+Tln+6p/UENQ17Y2dsAWTOYAaIC6WF5vwq0ELfm6fLaBOWt9pFscRz6O59X4OpxXVD mpJRum1l8ug1mMRloBem7SxJD26Dl55RkakavXCcoEUaFVN43I+VUpUEZXglzeUImknCS0z7CO0m KA5PaBGhIGbmwThob/hCj9ZVfbMZ7oaUFFMHLEppPVb51h+DSMVeIToI+K3fPi6Ii9wyO7TE/S7G btdEUpkBX5e/5Djnt+rXv37rtOiUUKrjS42zAudpreY6PVi3+sBd9f6sYBWiSBqIlJDoPYMPh8LY J2WuuZMTJXyHKJmv3MijTCj1rIvzEeFzRbFmXD5IJfJFQXBHdxoxD/gTfuCoBQOLJeYxdyYGnBM9 EZLw6M5u0z+EDuXP32aGgI05lZqpOK8+K98almiV1ODbzksvCZBlg9noJK+f9WzKc+430sX6LZ2U zw25kZMXDTM4Yh3rcywxpG1c6NFSu2o2hX6/TtqbxPRC9gh2yh009fPbIFZbtpb3qVRXtg8ojx3c rUisy8CFcYtf0nXMP7YtEmwFSv44KWEEQdjku7MHP4w1QofpvlsTFI4okNJBu1oqNZd1x4h+vjDz t31fm0tpIFVhbfjHnw4/jQdnR8xPvHzSHpRjdFFzVcrHKlFmk2Hjg/npukHUk4/vCIvOyhxgo5Jn WmohOi4rYCBiUWoKCRnIwhD+g1xpVslY9EuP8TpGxJScsXUdRTTkxnHtJZULpMCIDv6NOi9xvhUk vAg160bqMjTWFBCLMOJ/usbv4l9DKy4JBnBY5lQV7+hEwec54PLroFp5HSZkC4MjFRmm98Jxn2Sf N3J1rkan6CUMGpBBfMZQZOwmPpwW/aIoU+rKGO6a64QsMUzO5GFSmAcdzbc21M9Y2P3hhFzmRQIZ C00NQEc0pCHhLO09qdNl56ndyFfENhFSBoFQLlb6vSx1HkHNPqHNlcQ/s1RznxUHmiNfYa2QbM1N /mnPfyHn7lK9O1dAwUGmfRpkVwEilgINh1dqP1IBYojfm8JURDD76oHyWmVWMlsjzBdqAYzRKHhg zsFT9pDDrHSS1nChN2TNwcA8XNIG2hjNBQlTaBchmP18AOnEGBx2kC8vk8WHHwZrc3j5jA6VHYml mTQGwHC7y21wlWWAdugIpVx6Ou0rUBWoeQHNpJZ0cgT6Gt9V3yD4QfCfZ8NmMF/84HIqwB17bz3R sRDMZo9RoKj2XNdZRRQ6Z0XLLMqRpdovVfSZJdRfvDFu36oQ2UDQpgd7r0DmIg0Nj+njdiZj1NSv boysUZJHrLJ2EjhwYmGS4VlJrUg03ZmiyvmdD/aCLcJvvxt7zZVL9cdGFirT53kIrxp+kjdtKrBv DUrz5RUzQgwnCknzBNTe1qTYdVWNzME5kRFLQDFrMAfMwvudeUzSRyr3ltv2oJyP9mkdrRWoLuyR UqXelUrcw6ZS0QAs4Bba+9ocPkykO+qASLVr4Hd2hn0vCoAqPUO0zHyOewvU3rKI5Kxn+yHIZd4t xc4MfTgsgiGcW9AzzDjnPEDX6+4vFQJrMI4Q/AFYYgxNSF4nRemKkMgumPd18CrG1j3awzZGEhiG KiVglpgog27IHlRYGTrq/ZMTLj3hKc51KkD41uRg9Jur9cxtopd7VmLhhwsr2EHy3lGGUCzbKut7 7EzVmwlyiwI/obL3CBfk7GUr/nuq4049Ts0DtosWVhG917WVldoFCJHOGYWWkLuUur0vO3xn6C6K 0SHwMc1nLQJAt+cK6rZLhZNd6dWT0g/0xv0K2TVAppMKQuyGqQc6B8EEuXVcVOtFQsrNbeZJotdL FjGdIhIx8p7VbkpXnEzYYFN12E0kRfOgMgyBdCRCS1XL0avqbADfyHeVaLGypPjYthDOdtU9/K1S tg9CixFp5pZFgBIdq4btDtQNfQqadovfR0aZrEWOShfrHO95NVWlwH4XI1ueB5u/CTyYbwWo6RQF Q4vXBL/Vryq7e4+5idj0mPKyL4p6azpr1aD2fWbzjl4pwTefoutj2NYNFRFssRXsuqCkb5qlwCy/ dHnnUlK4QXOOY4wUw4ILUXpxo2jInZFkoK30/2wSnJkcjf4dIBYPKSV6mg/qJ7/5bhp+3+HOoUbj 8oBQT38ot3ZyYC5TxD0/IyEu112JyUGZoClh5XrIDxjGVKG9ZCzi5mVdcCXS+rcmmCAsTKH6kuFJ GTEaj78ndvQ5AQElw+XxZK3Ibd2Wki8Oyypot5+pybwQIp6ZD8WG+LC6tFgf2P0gSm393nOoXotB fiVEe3g6O/ri7ChHt03X4xs/NzxXFGXGpA5+slLytYY3EkYYOgl9WDkpfj2FJB6Dj4s0Mq+DvfRV VpyFgshqy53O5XGquNxFbfitRNPxa9HJtXoNOR2s3m2kAj0cVsknKAH+EtEnDtXbNheX6R3WGDJ6 Tjk877mz/zVVHnSMrVkbGw9Hn08fqJC0jB/HZEcguMpcIIQVJ2cAGCw1/5qEIEsuXKldGB6Ss8zV Cv1d1Z1ccQRAr+aLjWKdn+peUGLmWrOWTCAKzhQ1J+iotj8u94mxIFJHr3HUw+ls0h2QE8jVAP5O Tj9HG98lSzkEiJaP8dFqex8dKY0SOop4iUZK+i/7ZcNdgpkfdcyGYhaJWj9mCiwjAW7Hd2NfJ6O8 KI22RCEEoawmF23A3dpp1Nmh8MmML+3X2OXxuL65PEqUfbXHO02PmTzDQ+rGZJ0Y9MK+PnKARM7A SX2z7IA0R1U2CPNKHtqCDssvZgYf8dh+iW0SOENkfm0lZEUDFFcdNdUg2B/b0l8WZZso7xxCZwjN poz9LsyVYYlntW6hdk2bF6V3S8Rqj6q+XvWOCka0RuhRpXrcNIFve4vB0Um8M8+anu064VQSU6yZ jTosIrj5ZnXbGOmUQcE5bptCvYoeVLpJ/8pcmzn4czybuivWQ1lzfg7h4Dp4XH/tHVmHTPVgdWv+ M53AlGmaEZ2TJDOzuN+S9vNVQfv53hoSe0UhuA3lXkK8EW3jT/jaDR/bZeTeWAld5tIeqfJr2eI9 ME6CEjpmfWIDSg9zZrVpPeQrhW+Hhi81Yx2U2ph/ue3Apn0jbuyxQL6uFAmMDPZFtvWP2YWl2uMc kWxkFjsCnyHTiD9Qt19PSK3iR8bzdlW8p3A1FieEzsJwsrARoYWowog2lZ9UjOLziDEjWxuI56Md DoTTzBEtBG0kk1GX/xUZieMznun6/KaiDB1dkQHEDW27dWbr6q5sbed3jxhLiGqKiHZgZC4F2DDy T35+pI6hDjgExA44oHzSJBnK1EkwVH/86nKX8Vx00PTA8sspwRns4ryOnK0tS+9U0bxLkOh1XfxM 3R87fajcDeLiguJoNbh/QOrAeBLxcdVJV5XjTcaLjxMlzyu8kuSHIO+EIRSoPECDxVSTCTgu2zkO cw8sSXg9HDl1WV94uO7h6MLJsI6nFG1DCfJnKIt0QiBxKwTRGuK2XJGYjlE43jgZEElu1iKfQUdg XgExo4bZ6eto6t25Mk2XbQYnZQoxrfA880Ay8wl/ulsj1IuhxITl/2WFd25SPjnNHiMk5uCBnIEp YOMcmyNaoPl64ZqkZ4uwWqxMPxg1eYxQECOysGPkL9ACVzw7I56xJvS6UfhaG1eRFll5gdTaP9XR Ca629lSDKp4ioCaOaB0X8ch+GtCMYtnw8lkflCywXQ8K0+rk0Gn6ksg2HjL2vhgj1ulu4u4OJtq+ aFFno0gVIeavy8awwcfk/uEqzlJffWbrFVgBQIIW/fdtf4CUTWPnjpkD1TguhQ5avUSvNfGFVw4s rynsHI7Nh5CiAlxlCt3rIBFFlIycHIjugiLl/n1mXzTNGi/Y0y4Am+35yfaff+ZotipDK7P8D51T WMdO/vc1gTor6Qct8QZLi38rCfhUn8K8f49kJlCHhjrhxzJwC98Blvi8vfR0QtpOlUWrTlncCKgg 1mTIVuKZ+oa8U8V8HUILMNdMuo+uwVsxRB+yjwbO9otUdmeseSZs/EAPobN1L2woXw/xA3k5vk5s 3RPJLbKhhcO0i0hs5hvQ5TNLeXoD1pqgMn7qfDwzyxH3Lz6t8ThMVfuTPgOKMyYDvMN/k52Fudln 15R+NFTP8Q2Dqb+hTwmUgewPRCPGui0VlNs9QGKM2x2214/g+aH/8L0VV9VTMioaISUdatYPpcAT NMGi20nB52LmzcsT94SPiAk2DtSF2QIpVVFjgMs/XrS5MQYZF98D20KWBBZTppFWJAUz5ShK+m+G FLHTC0n88ieyYsulHzOWt3FBoWORqIiEdJ8yWuK0dX8nKpJ+XOwzHQVAx8mzc5tblx0pxVsSK5jC hTfb0IKWdcUgvEiPo1OZqMTOrZdk28FQl9omYbErlDPGJwopsEp1ssV97+AtaCgXd1EOmjBqKVec /xyk90nNhX5vSYzSVja8Is0TX4DKF/plajfeoG7NW/OAzCfzf6BvmnmGVXcPRpSyFAbUgN6zkuxr E9LJZRDLI9d+eLAgI79yRJmCvbtZCbhBrAOqTsmhItfw/NPKff2szBYYNqM+KBPRsX/nPra9JQm7 UGnyGK2WgpEstzNU17MpW9qvVx/sLAJfjVsLALF2VfUsZSIo/KTIt8GHTIEuITa0hd52OcMj2X+R 3zOi6R7W05mGj7fq3dabCyxaFdBthlJGuNT9o13lV82WxcIHSJuh20FaRRg6fVgw8pMiRbYDerwd dZ3mD8DLil4eZeOL01rXGAwkoVVhqbxAd6RAa7398uVjt2msC+Eu8Sq5tatFDoyPxzfc/dDp0fFM R67PYgg+pxS8FRrVGhKNiw/ap3LEaambUv6SpNfu6HFsVqsHTFb3vRLqYJXYLKx/t5qwyDhGnDM9 /sJOnXk4H/ExSLX5t2Lh/k677tuqhEcOL0uJyAFUQrVqI7Kl3CFLvf3K7UAZgh8/D40euocKcuVd Qe+ChXNpZpDxlsMy4hd0hWsMPPDpm/cImi3Ckwgj06al2kUfOsMHYzXbiCsMp4aNP1xGBPFn4C/l qSCOPRhQSQFXBk+pU22kV2wVmCe9S/NQELIrjcsnepjB1rdjKWCU4DUzJp4mcaC+97wMud26zu9J C1bulYppiO+KX30oh6h9qryi4zUwaPwj0YzFBAJ3vYCvuBwVcDPKUyEKS2xkZS5uR0VulWtJIoox otNrFaGI2MVYcHxRb5TqJZjXlHKaT0cXJS/784f+uygRWJYpQD7jSDCpOvGE/bEiZwqtlpkvAlYJ 08ggG8vcoS2IpY8jgVJpZX/Atx8i3OmsPXF6SdqlfxyoJhFYzddBkaXrF5GfW3woeA79qrrDl1vm c7gvl/9SYsYeureHeVzJIoNTIQHhMRzgiWKc1l0ALM5PVz+5Pg4g9y9Rt4gBnO0I4pUINvHtO8X2 2XaSa55Wl1YB+bCNs+tAT6IL54IWnFeOzuKyuVcoa7WM9CLbqVHpuIsKODuif+GmoZH2h7PiToDC CsGDlWUY3qlBrrxqRFaKVgJBTTJspJn0rhp3MeIrEh6ZKbIXGlqX7WoSkFCDlOYSHVg4XYq98W2D RA7jTjacv5o5PCzG4aUmBJURo3+t4Pexsh571jUdLDIKXtLOhRL4EmmHcThCnPi/QnfVD5rWVnoJ cNiLlmlOBRAEW33zOTpyVmX5ouhSamwGLM2w5PsMvPiGw1AT5xkh3jiqCv8KiA7om9qNWMpFyuLT 2betOKROeio7VtQ/JHs/b2s6V5xFPrlv7u6IANCgZfMWQbjGCoouobdPL6TSetYIB+ftqV3xvfIx VeNhOEzgqKkOyzKFiFoPy2gDdYk81sqnvCb1OboWamhYjuoZfiEk2fHuiXoOHUn/3n8+JWpd2RS2 C1cjQCNtHKSJ4QnF+fZdpV56UBu7ptZsPDAxL/ITmKHJV+DQfRLVEvJy3gdyUoxMnkt++mtdIqRO WaQ6KtIVN0E9kTU/IIxhvU7LpivXjnknclCRdbIP3zXEdT2S0og/Pj7oX0JQHTFyRkywJr0iDDKp +Wzb7wChxoRpR+DlVYJJSKQsnrQCLSVq/8nUS3wtrQHtzuYGlHY9jtiapXKnwGeLe3hxplgJbT3T 096nKWDlSwBHGoQQoOvZxsxQbtcf0hKIb/MKbX1mv//6+IhqCRQM4EOXNJrvb5mh0leHUUffjjV/ 6/GVRL7yPYbstFy+8jPwOZoRYdD5KKWyNDoUe8XtXadFggh0xyedMR2VEIU93ZpH/L4n7sDkQaAb Tq1x7/lVaP2uRJHZUTWctEP2Qd1rJlPVw4JRoqoYYx9b20Nih3WbcFozAuI3u7SkceR26dWupUy1 VqXUoNJ4njnOyn/dttHYqg1PMiaSKDEJh78ezPY7bj4ltpKp1+RpGlY9NBBPmvaZwHAg3CE+1t8j +fvhISjhb4IAtaogO2p/Y5Py14ubOpqq9wCZVFFuhPikHwRRm8qjMoSdPsW3G53boFezmaparvPW 8oKs/o4/iYsKJY5Jb5Uhq40ZOx11OBvTbd4Ku0N8TVq8cUGuZwOTYCM5ls17NQwqQLR9eOVcnz1P KCfF7sYk/gq2sM1TJGe30vF5gPDvDdY8CU1krERsW36atSMvDHeDiszwqVD7A5WUFoT3lfStgwo6 UlM1zRmC4T68GIxW7LhDXJfR4BOGamEcV50gn2OEtliLfftJ2su2OBOxz6ZcwcJCkccm2hPWExMI aq62fqYq0C+6EnIuKPSo2mne+eMEhlpE1Z2lALj01MG5Qukr6tUhYpXhDcM3gT7qMOzSWS6TcW9J V7Jaxf4SI6ZB9C1v+YCfyfyaiVI4hccKD+KVUhNsXLPwuefZvSu6/F3jGD0XmsLsAtSz5crmp5Zy vPSoW3eiarMrbcY01Su9Qt9ljsFAo7DpsYcTde6K6L9lYWFuxPCaNAKWb3koHza4U8z4HbJNRvnw M4P4fb+SqgLnvPd/wR0+eWfp0mgR0cZCxoUWdTMVxk+ax3z3QelnZG5okYdp4yPBCWlABtSus6xl g5BVi/UJeRw6yU7ZQz9LH+isTm0Xj02mY18iRy3vQqQrjnhqegayYT4Nxk4ZVsPNQeKsHY3e1SIm xGoGpeUHlGY3MBCqrf+u8KCpW9b/xxyTGSmJNtRsh9OpmiZzQ0cA9vqZA6MAB4nVSSS1jFNYld4C MXmkinx/4SmlvG7W10XfKmMI9wc0ZS5qAu7ST0pHIFrx9xiHD6uGZ56DDfedVPVjHHnl77mKWZb8 amwqjTwgvTv7TMnqtuUqFqazS37CnmGdFjOFRB7nYGqBEX3+yhACJbgcdjhMYrUxULdx+K/izR9K kBl6H4aOaqedyyV16SWInz1v61z9KmvfPS8EKrVvRDa6vQLJQyWmrk8y50kfBvOIYxvbQ8Bgk96s RktdvzQqGIkZCj1NkOt8pxkBopxg2qiPn3DFiVppFqXfQ4pJ2HQlL2s2EPyWJJHXB+hV85yUZ5kz is5pRZl4783/Do6sDT02BT2t28HG71TC/fJjwyRi1F+pQz9CiJZScO/LqX56Y/jqVWIs4p1t7yxR KeQ17W9CVF7qCGQpr6q8TGXrigNruGWyc0qdaECk+IggViwUCwsqOqgKNR+YFDI5tDArlOWjsCAu uFSIa2CadvgWzMiIrV28BiS3Xu9dOZsUEvrkhRs62BsmF+4gCWn9NxIHtIkI6T5FA5KKPoGeocg0 EZST6IPqdVtKRek8kxRaHr+KwxTOenWhGbVI3eVaQmiFVFc1R9QwXzLLf4YepRH1cu8hskFif2po A8iuHCcK5kilo5Q5vF2R0Ho/IavgukuDTJQ8OS0Mrei0CxNtemwGpVGNT4ZPkxkOJSpENN6OPwPj xH7KH1Gf1CWUKtcX8H5siEisaVTChDO/nA1sxEYg+6l4rkEyGHo7c3NLD5aqWscw9BKUdD2g7XMB fbgPEirzbxvP4V/16QQTGisxkB+bmonV586hK4vzXZI5LrkVoUa3yczciG7uORtsZVvRRwLvD+hK S/guxCftfkbDMM7C9kqLhXUxJ9wA/qEyuJ50ua93u+TjRUP7J2RxIZeYcGLf1C6XiMxXkinRQNny Bnxb2cho+OmeXxZIWNmg/NwSr6r2QbY3UzS1KCrxY2Ox/I49aCmVPzaTGBpNHNQhvpiinKQ/QzFI k6SluhsDxgarwayJ/LYhne3tyAPpu4058FBTo7CoyfZXBXC9ZeZnGq2cnO8+l79LmRNyH+jODIl5 FS8AinvlsSJGQ0DkfLyulZ2B/261deoxyC/e6y76B4DjsQXYwNaNfdsj88348smHD2YJL/N76psl 88ZgZ48jB/ZVBQTw47K72ulcYPyzvya5cm3XNXXggXYxtSlv30OaUlYdUeVL/Mr1tsOXg/gaLBMD Ee0pJ82gxu1EbWyUpi+U0Xs8X+vO1iU2YxOjYXiz8kIgiIFBly94OWFgvGMHhdeEK3xs6PlASl3W AtEDiS6INY6i1O/vo4MQBHFM/FDDKL1yaMPbhdAlvNbCEZj98FcT2qTj4sJDO3aj1k0eNxfJ9R+x rJgKV2CyfKC5FOf4YdZe5kyYEW3UpLbuqR5rM8Uk8R0KkdR0I8o6QxFHA7WcRNwsPo7OiclJ/BLM bSLIlsmZ+30nqlg6JVYbX0vnw1xUTNDtcp8xPlDJqbb8SBWPiVNGGBWzNehmTKC+laoJ++7trK8h yNvKlwOhJEsi8Zdlchhc0q607xBg8JDDzNzH8cBm9Ru8nvoP+X8jkn3xND0hakn1OPxhNh7efJ+9 T06e4In9ZMaMkbq0fAwwVfw6s5bp4se4bm1LwLjEec/fFFsWm8Y7YmNMvNRhIG1JNu7yN25L+CLY l6P6bRXjhxxpb9DMZ9k7V5WTJ3WzNP6OonHIAMjYrspJiTsEUtvYjllr3KYm9U/3XQQqcre27+C/ MBBze5CoaiDyO1qOuOUkJZT3HDQTa33m32A2TQNpWPvpCl3hCcVYm7mR1EkITbcVQO9SOlA4xCr3 LkZFkWoMDAXiE6wOqOxMQ2+5jWTsCqw6a+o+1bhOaMTFgaHQ+cltFpqBaQb5X7rvYEg68dPTScKS HCPHgkQdwjPTSqTHOanWPCklpO4zKvM4401kRNwVqfuoP/ewz6RrD+bLrXxiec5W/fDEDPGD3dtV ScgQlFAsWgwaPMZbORUO5mec3KvozsQsg4GK8/tJUlrfOs+P7dVRTQF7CaYK00AzgTAcobAnKp4/ P2N5B/HOoaCptx3HTuTq3ep5cSq4H3OVwglYSlqjGdNeOLlY/kkuMEH6agbl3/zL+7CfeloLLMcN z66SqMsBY58rP2nW7afNedH2Ne4MGLC6E2Ph04AmdnpJEsvHGc+l2DehFD2zIL2wWSx0uHdTq6nO qz/0MedD6BgakJb0KMl+3ddVkhW9r4/BFsADHWTAFQ7g4ncyTCLFpmdKQLCshozOCbAMRyknRtxb bSdJyrlkeSMZiAgHVVJDrcz64aebJwYPNJ7shWPevKWIva1HAYBTexR7B10cYq7EwVZ7jFGcE+3U PYuQnGyzNVblnOwuMMZTGTEqXQFkSr540wM5KOhymAzbG3hmE9KWLvHmVB6ULMDRlxbdmWLMj8l1 OZ9RLJ7bfKVpQNsknmEQQfqTeAwRLIhZ4Ik+fqL2hEh1wvmo85ycAPxoNT+vuAmVmA3yPrZwf/kW nesVE3LUNHMwDa+r4DQ0Whb3DptFSSdz3jQsqKfLuCVNnIgjlikXPY4BvMXqc4OKfjHXsb6Sn0Zk RCJSJ2R+OA2FBPiYQya7dgz8iTErT7GyTul+rx0dP3fjG9W0ukKQ0wXXoeB0Rj+Gv7rFwH5Cbinh i9kN32lQNC2pOhJd9A+DcdCJBs6nYABG38ASfTemprZBuQVbyXq1Pxf31djr9BHi60CKPK5Fj6qp xEnyrSFQ05/Wq/K04oDFyWwggI6+grvPVPXKe71I3UHoXrm67Ou+pFIOoxFinyavY2pUzUWHweNe QsBc6hA8rocKWDoXIBpKuO4WGLNxQhgzaC3GF94upwlgaYEUOqBq44OJ5fsIZ54W8Zn9bINuZ5Dj OO4uEiOdvA8SVFTyNV+LgKwfyg+sZsaaSWAabTDzFtrh9thFri80tY7f358bVD9+1ieY/On8gWxq R/lGU0gVrWtJWCU/hcm+mc6/u5mFspAP5JBxjm1Fa9Ru8qx9l+JboQFnxNSbgit3jKwnIXbo8c8P 3fNKPrOglhroXg15VpSWgEoAnmGr79jEPXU6zyYlbRnLGokqN8jHGQs8yQyUEqE4gEUU+1SQ8zrv FCHKUHhxAkJATlj6OQcgSQpMqCmsQYXxg73xohVKi6yuWyN2txSFcF+dFYhyWuCfoOmse8ji1tPO A0fGZ/7GgdgVCoNQhr9jUSN52N5Xoj/i4/FJ8uJ9CdpvpnsWrhQO2paSGQzoF+xaAU31EdbhBoK6 xp6vsYfw/dPJidW93g5QpEnfhEAxrhEmYvIF26mB8M1LfqVg0MYywUqhHEzDK4/T/hVi85/0/k/+ o61evSHAyN4A9JZSEzTRds5sFubI7c1yg91WPQspkSJI0H9wuLmgS0V6mWOsT52kzQvbjPu+XvD6 AczBoy74PZY6ziacEQAAcDvxitZDh7fzHMQGObjy0mlxdx0ow+prpmR8lVBIXVpKkVA0mq8HGNfv mvvq/RRwRVqorcpDqo6V4Y4fi2R/wlD+/VlnwgdKDH59055HppaFDCmwNrMdh8o8XzTnAeMpK/4z NYQwW17Oyvdl5mg4QbzKtkq1lmshuDZRhvAsGkmGKFoGpbn9rLuAL3rGjle0kh0dZfLlRluX/Jew LVex1h8uFz43MWn7xNlHpP6Pmc4h75ue+WFdzPuVDyURVZwxcaOp38uaCYGWOJnFPuWGRfO3dIQE 0cdfuXIFKYRmVItFtSD2XQ+n6AX9umMyVbVeJduVyFzGfgYdkw9ubsZJqvuW3sdrCA4OQO5Mw4LK jD54GLgP7N+ME7g2pC2R5d7WbLkVjMEaOEr/5kQoN/I/EW4MserGQIMp4dqmYO/mricTQJE49Rg4 H/w9GEAIvDY+IFajqaA2SuOSlPuzJFR8Wlqfa3TUok9y7VaLYJtxuYkAscVNqg36wtRffHmmyV8l xro5xvR0zW4vsTgoqyphAreGStQLMyIJkLvvELsWJy/TAuTPLoRBL35WECP/BIaxipDhhGqpKecX upaVAc13Zh6aDu5EfUiebgX/VnWFzIDrEPB7CTrHEb71GytMHqOZch1eAho1BD2czvJ8Jn1YGtyt Qj/hhZ3RPyqbvjs8TkcRAmmSwZcC4qo/eNur55YWnTI1cVQFKOB5LWXwpN2uGB80JyEMtZ0sQaei 5ihZRN4DTvbKRlpi7UhHV/I/Z1IXcosvfoLfKZE9EdVSJ/l3v6pkHx6rtPB4LDHwDTP3nZTPzaf8 hITicM48RuhHc16eICl+FQXSg5A3lLcmaky1sj/KRPmD7hD0Qx7zfwnIMXZ0lmG5XOrwm2HbOFix mMkCHVQ/CC8DG7I0GKNew8pKGgriN90XEEnGWz+udyIVAiwiXbSa7M3BKfMoDl8tU/64wCVknyVC s/+84AxAgm4MLrba5A1++mtnLdjkYF4SGt/VTgYowchbS850sChYMt/+xnvstirEcxoODau6oMud EpAlHKZNGFm3bcEpBSDzDCuHqMI6TuuumvQSfYhQXOl+dQ4efYpIz+W4G0miJ2ugMXehYKwLrIS3 pzlx4IsCehcnhvabrwLsFmC/k6bTD3reVpABNyXUNgWwqPomuqpYLuMOFU+47Gfx6vT37fhHSbyC b+mHE8ViOFoj8hFO/qcpcDiXIB6rcqoGAHRs4/+1DwdvE+ouis+s2Ceay4U122ATep+kwV9lpsAv xYKYg65nMPdT+su9oXvEg2CgW5ldCakw0osw1PWHXRy63Tq4JoVCBXC9HlRV0NWO19cvLG9b3Mlz N90KBD5KqvVq36sC4YvzTcwwKbCdP/klci56O18CQPT/QUELrwNNIcM36Pcn1uwq6ONRBi4QW+cS xGmNkQMZ9sNHcocyRqOyukQc91dhNL+fGDoH50RpGGYeWJKk1fNJ9v8b1agbI/3iZuStY+34ScBM GcO1ZDH7NOPjg8b/p+EwDdRIvAvD27OU1N6lSvRMbauHzqIjqEWYQe/m+1LSmVjYWq6sy2X1IS4/ gIHmrKsCwt4bq3gvP8qP6BrSWDVv1ci6F2V0uvq0Re94amXTTiOQI7L5bq0zXATpM4YEA+9qtLH4 7xwsnBccv61MkKkgCvdWECIT3RGnEkAGX/8JTecKfXNe7jZEa0XrxlJt5CJi5yk9dj/RFJjk0n8r ov6/IIUn29dF20Js++pi+wbyNOVMB94VY2CCdwtK7Jbi9Z9SAKDmDco6smVnjGtdNNUFuahXXw+j GGh4wF0hNOuRPBF3jYfyD1Ylsw/45clKpO6RGQFdEkGuASS9A2lsWU3zxuuXoMPY1nGz90UDmKn/ zfsCMlhoyaxXPJ5+ulbB281jyTBSNrtfn6eTrz9WtWUQ3UTryxwHTCzePcwBwshvEhmnCeqNUN6B blqNMrjdl1FxOW4m+v9KzLMBnmZ8TokDZPj7gdae1GMhBMxbQHc2N/Zej+r+b1AM+gUm3FNB7YNJ oqobYPbH3nRPVx0Js2X+yXzIZKW4BCg0wjsMrREmA2FvJqyKDr+3TZtr9vszdsBqY7xMcXvUUgUF v0oYzGDfRi1GoZex4dZwLYO3n86w/RgdReiQndPKNI/Y/+zOs4uQ36+8V4N6q703KfBwZoAhMppL Stg1bMHmrY2pFYEa1yUT8+kwjtLFlCe7u/e2yHlE4iHalTmfEbdQPFnvB4MSp6FQNxxPwv69F2FZ 7z7CEcQEeJ3LUlmr342yUwX46gYZ4bL6S501CnoRXkAhYUQxIotlwyM4bsJ9MeMbbdufRHENXuct 0Iv4il5b6vDmm1nlRrF/9D1nSAh0E8B9o1I+SG/IeX142Q81wfrPlEVQqDs/eDN1bePVkSgiYaXZ wXv9p85BIpkm/m4SA1X9Z58Jrt84T5wUlYL6mLwqx/FTSsJpGkYdGNZnOiZDuILkKyz1FzQbov8t Bz5bO3jMuzWMWSJRL+29tEq8v36BNuMCVJbWNqNYEi8T1w4xCb+YVAKfh2O/FEEqXwGBJ8Db3bSu Qb/cWCZ4oHywVjZqA8dh77aCi70Q0omyUkyqMWnON/Lw47jpY0K9xf8ZqV+YHaak6lghUyq+JeT7 X3Fn87lNHe49UFigqj7DyqGAmaRyu0E0SaqT6uSwW6jAXo2RakQT7LzHUYauEnlKL/eBmNy+G1ql JaLeCjQ5vfsDRhzazfax328LGBZ1UonfkeaFIU36R1jztFwACb4o79xVjuslgGEL5AjMjPHoN59I OULPVdx7oWXfxEUBkFvkBKYO450HFzcHiZIHelM5KtboDmMIJETm3bXBEVDdSQTEBRaGPFXgImyt 5V4kMbLKs0GkBaZkh1PDCOcv4Y8zRB2P8bitTq1Owpvww29HtbEy/8udaypKUhD5Y8nfIEEEjQDw 4O9TapnwL7qG3KS5JnGts9VaiLypMJY1l6dVtWGigdLmgU2zcLk0wk72A+FAeon3+/u54kdtt11U /r/KZJukPIw38UTuRHbPiim2xQN8lTDt64KonQ8qnHD9ty8ZK/LXEng814amQLFN11MgLwYV2L+f yd1DC4WiqbnNUiTS69nFcxHKM2tyTZVdcuF1UMJQvcVA83nxHq3kuKu1r6vAEecQAJMcv/ubEloq sUvYQuzwotdNEwsQJWWHPfy4Nj+0iFqkvvvPh0g6ceKaz6zDErcP2VlDM6SyTwfkly+1eRpgxbzu R6a3qk9T3/IJIEpFo4Hq2pdV9iHKyso/xRQM6EAZLUswuPjRYLk80P/iMMKZ/FpQOgTQqGcueLSL KvGXx6rVVaQXgIQMNxyuQ7N2ssGNreFL0JYQcnskHt4lgpf33S71Gs51plkAA84E6XYoDAZgLcPQ 4uDXS3fDM43S0TSJTS9jSTPpHM1GIzkLbwSi7pQX71pjDmWFFwG6jb1BhGZB+mYfe10+AYVX2qjB L36jOakz4BOL3rq+wL4xtofRMOC+/q3nsrkT9gIEm+yGGuc1ieEx1vQhHV6UQqbfb4Pt15XRA7wP kJZy0hHe7DBIT0UNd/ao5Dzg34VlseR3bL/rYhKd+O31UBA/4bYTJgAWhBnmZwBFw0kk8H7ZHkvc CDGeKY1XIHtkTQqe1WJlG115Jr3bGPDMv2lhnFkoY0JT+Z+kjuDUCbDp8CWDum+KwiEogMzBrO09 CdOtcWSpGQ1BmIZo/6KZQotRnygaD6G+hJX07u624HkDLwCwMqqlbmmaOxpQgdbTYLHpcVTXrp4Z hQDusExRiZ5C4QAzIMf4lyk3gVMN55j4kQGyWJBFylf7M/vI/kz/Vnwc9eETik8ZxM56cfUXWxEm BXKZssJ4h82t2XPehpFNUVA8A4U/whQwzO4XzYV/c9cCWz8+zaV9xL2ekqW6gAnXWFmPLhSpS7lk hOnMKs2It4Md4cLeST6mGOTw1EbVlGAmrSvctcR2zFuwAWdkLRw0DAMNX0JFAIbr3ziq82PlogCL iVaEiakOculVChIam1v8Wj9d2+Cu66U4JZIthWaYDz0qTYJ7HYoHxt/NMRcC9rcyHFuvYPbxNNRa XPxKTCwyb9nyPf4XIrdtVqXzaXiEYhFCqrDQKs3Makt0o/lVJykYG95o9FFqz0AQu8ilPwOlQXDR AUhFQDxbaCIEGfIT7ICby8vYfLEp+8bFJawEwx5j91LXNLC/bFOY7Pm8+IsJhm6gkQ9BDOacV7mq EkAJYsAMAzJfhHkTifMjkvKaHb0FqPFKDr+rROyargJsPcluREKKmcWpVAWS93XvEre4NLp68mC6 NJ30tsWvab7yd4pr2mVhmSSsUomj7UXOGxQrKKHBa1GjI4R08Ws58ojRfHNUvUrzoLn/EL4Zl9ma 8/UTz97jTPJv4R21XKp3U/b5zCbQvfQX92Cgu3tqzHZvWphAmGIMzW06Ed/E8ZnLaSy8J5vAbAjr BW/VzsWdit4whsmcTO15NRBwqvgxzjH8pnhBSoOi1yYk8UOa1PJM4VdRlKXKoKlTjAqCUZkVkHdH U/N8tth6wJOBmU7gIsZKNkqnC1l25g8qhYDYiVp63LsUSOvTv9j7B9qTM8CD0hCSopHU6MwL5IWm n6w+A8qhT1dSg9M8rHdY2XTvpDJTRg0eI7uMdxSO0fgcOzX/zXfOPRBVxw2T6BnS3V2JcD1aSsqu WWiFl4VbQnYHI7VrnnAbOJd4jC58HWuo/Xre4QKcPJ6DCMMd1/NJVJuYai2iree9uutQB0k+j5TZ e72tb7DDuT6ggnY29FkBBBdBm3UPy5UQrhWZkVt5ed4dW5k+Baf0RkRzp7YRyTqWuKIYR9dCKzTr xaq+aY27X/q6pdN7Kj3qTe1ZD1jRd4TaUzixRTyCw3rhugxrC3MiLNpD4GM7eafhQ4yL3MZuwfeL 7QEcajyhD6HT/cn0PEL+k7ZIr/y5dVu7iJHmTkMyKgpCfL93qWzkYGeOEJrYcol0yQLLXzOY4tUJ Ijpba8a6UeKSfOyhq7sA8rTgJZLbGiQGwLsBkD+e/iXifLpnBCUOM0tpTikZZ7f62qZeds18YtsN 5GIZ890/tF7GD+ANKpPqZ2wqvKz/At/yOJfrLcdPUlwjs6agCtqCC/CsnV9UJc2ZIReBGsCUO7uo GckeXls2IZZOx5vn2miQxMvD22wiNLfrFki4EChM4db/Zv+6Xj+ynpeJKK0KhnBFNYcOByez908I 2gpiTuHDU4h/aKQba+SJUAiP6hQonIjfaWU4e8SIHjkFCZvjjtKgu80jzA9oeFgS8k7GaETjnRzp GbHQiUK9yNSVboSvi+T2ErL1dFyU/W6mj32AVSSCaKZhR1AfUKgDYsV1K7hFoAEV0MBJRKysvnXN yqiPCp22HaoAlp03zJonP/SpZ06Zki7DpkELxKb/Fg6mKTRueUUoMpwnRe0SIARP6Af/xHbCfcXg 3/fyMgCX0pYhrFb4rbK2lWRR67RzU3r444TStkFB6kAax9VNyrRiSXT2DAKpAyxT90YYyoEubbjj MK9W4mBDlOpQ6GBh2p0VVs4LLEPih1OcsNtmLeQgeAKddwf3Aaswx9ykdIXPacRpESlrZKOqEI6k b16mFFLJ/O1mWbXWrSr/hQGULZzUP7Ys4HX7pqES4rloo2euHkL7ynycBhAR1I7K7Xgzz61cFdjY 3lQFpA3nfbV/pHisBi/pAU0XKiYFq3dVAXwihH62oSy4Jhb/cr/OsKmGIECSvKFLgc4AcKnMbLoW nx5eheOu8fxG90KYELLi76ID3l8P16+QUeNK55QT7HzttS7wtDDOPElhFtJ2VRzHJ6sTurZLersL GSyJjnvgMmGxaf+3jmxbB6eK9fe6bZNxjgY4lMZeuLV1fWaaW2fa0cYqQjGAde5EztEl8rfprMp8 2Cgnpmua0+AyTm+GpZy1I6GleWO1VdH+/4RrN+QUmfkR5WRafj9pk9GM8qO5B7i4GClEgYodFk3T u8jy4cy3U08WpgPKcHsszXza7/HMhWFvWWnWHoRckZ5djsEsmOycotIEMd/D/b0w3vMOLbdwT5wC O9Nwgc7S9jRLbD/YnceWTaWOKDtNjn1+JqESHS+Z0c+eo4CtcF2eLYN5MRHn8Hpwk2BUkiDJNP3F YOetFaHWXBtBz5uYQjPPUS2Dvnx/0H+QMOgGS2JWZjmst2Z4Na1ZZhR37MjJws2cjWeyMxfNlZNP CcG1LvH4iQfC3eSp2Z6WD8SpRkqDahMAlMSmhv6O3jhucAP/YeY+m9WE45pEf1qtIb1fiCcm0+Kr zOGbH/VkRHVNznWeRuwNw/PoTV38QNRcOhJ3QrH82uQHeoR7zl1bHN3ad99Kf8X+/DRJ2wvNbABQ +VW1eYZzQ4cMN+FyKhF/XjhtpUprghTzcuTHlcxsrZsHKBMz2bdJ0hIisuGAWFojFd6eydRo3b8q a2E1mZiCCNW+AgE1LfXv0R1GGorPZbSmBSYPKyPu1TdRNgyfRof1EcEiIQTmiWFWa1TBMtS6TXoO JBJMYRplbkZ8h6D4857ixBh08YckqqgrW9ZP4FGIq90W1Tes+BvTGGzUPnVArNSYq3CDKgLEBmAe 9JhxoywGqk//KDw5MYrbTSQwjYFi597iB4RNZyTRC0J1HDdFp7yS8ShEUeNDv4Fhl5eDHdUsRNjz FCTMsxhLVI7YjHV83TesqOU67Niwo1zVV/tq+86ex3Dlx3WolNn+AD0kDMT3LC4/yOe25oE6Y71E onpU2zgtfmNgdD1cW9D2Nfienl3R74nQTmFSE14r5JU1jv6laI6iQ0ooQV1q54IhBSVnH+bTSi98 O0ZROdjMVBgXFmaZpe9141pcFLiV3GPNhzq+I/uQHDTr4LUSHXxlYCswLWBOLsedUuiCWLaCZfpE NmxsrZtVtIs8fbUrPCFaKa9ah7WmM2LyO5NtMb5IH8khPfl2gkmsJ6F5pYzsG94bxCSAv4n1w1aj FyYfR8Rg8rbH2X6qcZTSQzpAB5JheYxDEcwEE/HFguPwWMs7gyvJf+bATJ2ondHx/xnn+Ajtc2XN YIMHA5BXQhhtRm8pW0FPsP/pu8cv6MTZXEqSf3KET7115wamQDYq2LMR7c1SvpEnU7S027lbJjV5 YX9QgZ+/ECOopMvt7FP/7VcGH4YUn0YXbIptTq1+ERS26ooNxp6PFBNtHF1gOXazeUcod/DsXipr /3OBSYoEfOifNBiXwcQvQMVtP59Fd/3Y/NGqHctnndKFQRxQLSjzojs69zljRhcG6ygirTiKUDqb BQSF+s3AE1VfTWqZtnOAc2ckQDOJYcaxHSkzwwBShCYl7qXt/xUtbfuB3xeT+gK1xBQV6LBsIWd+ 4Yq3civ7El/uPyA7A2hvNYzIQL7uqGDnDqzXFKdfWecRsLtU4YsjJNh2hEUKGWJj2hOVzfuF5ci5 HU3ZhY1XVEyjhWPtAe5kYL0hggfibcEVIdTu8+4kkPb2bLcqTug3e93Hz+Y/yP6P4SWL+gi0X31D EHwyN/BdIJPtlM2yFFP0cVV7DC6/PogRM1YKLUDkKIHu6LLKyeY6h+zMvvSpya38S+AmxS7ftXUv HX+8AsyiDfV7psoTPRc4tIC7LdEdpjl11wB2fRi1J6FPdayejRamPRRqjK1i3xKJyibimEEFCOTR EbNBrt7SwgME51IvDejU6Okby6QqG1BLoCXiyObDf8dyYNMk7i80d7VGKOroORMQxwnUwQwf7a7H td+27RM4lC+E//Cz+ENOAo03QzCO2W0/q6izBLUdCNkuigmZ3y42dllXTWPTAXf2n07Bq8xe+HXG cpUtJss2ONGPHh2pmPTMoruW+6909uE1eJjFCdC9h7t1q4K/KwXQnutShWeY0LTk1GmFYNjjWdzo trUd5JWWdgWUT2MXw98cpwhJAZ3PJfgBdVBYvUvYh/wDV+A83E9ynHU2yv7RLtvT4sZ4RUFgjxHz oMplllVqSehu5VTTDebexNiweWXUuB1IVUaCT0x1r7OSVbgHrH3LI/TUBq35SodQ7FwGsF5lojCJ UHvQO5V9h6UjpSp32R38QtwrrD4T+wYFXG2AsrK1v4kxtdZ6pk3Fx4QtqO8WmLA84r7yLrCfrhp9 b228NefgjJ54q7nKQkB/4iJfaPvMVAS/cn4TZKsHWTBX33EeauE59n79ZFyVkUKQS+bMuqejn8Tt nC6ybcXcHVAe8kmKfVvX/RlEI6BPQVSvl9o8OUuuR/Fn1cnvUjBeGqXyLs14BLl1G7Qu58uX3Y9y evv0VDnhlBIw2wphYstX0K7CcgoBc2fUTfO/y0Mw62mHWkoQToxgBosqDY9nU2+Vp/1vAirqsmPj 9qOpuX8ibUVxiODaiEwuywrCHJUNoDlSYKu67c4t1dbIELydxzdtWe35EdvU/Yi9CJyATn4+u6Lr 4FbUBLaQRll2dV4ZPqVvR0bcSX9DyecFp7+tbEMh7U2HcYejK+6I4wyGl9tLVgklEh2thNfZMhEX lm1UyNa6Xp8TYNiJRt9OoT2RDkPtk5c794zidl/PBcseTLz4LWJzkS8gLUU35JqNWkU+Zxoxe/1l O7FnKgoS5xLJ/bF6xpcFHBjxnBbM4Wz3moNsUnNyup8+/V7dKARGCKImt5oRLAhH0C/qheIZe30S LezbWAM2NPWjW2G7xKpg1X+1zNtnuTTeMOR4P5YrmpRBBRvaGVClnm6Pn1iNL/pur25nYK/8AAKQ Jcg+ARDVmDOI+YzSkXM6bMPKYKLK3wudLS0K7yhlxz/V3XxCRGn3ZLHVgd2QQJWMSCswFoX3f7Fk A8sU4/smJlp5+KSj+0StYP4S+LPYC6QEIm2Dtt5YOjW/D7RJN4d7i3Cf6kGQq0YDUNXuCGW7NEiE oEVMj023lYllDLBUMVW5Cp5OVUu7QazPP6hMdaPotKNk0X5GLrBNfeiFFSvMtAj2rSPWrapVTzsv r8OqqtqLya6kry8fQVvqSGCR+l/V7w4UC8LlwazVxCfr/Ev5I2ty1vv1ZzJMUKvZWtAR22kxTrEt Wru2QsaI22nBhzkzmeKY6WldlmOCBOiAJHbDbyA0uMvePwT+/nQm774MKIADVX6fFW49NxPCekS+ hrXVuEM9qygToZuMeDVcnBHlZGecmLUT41YGQLf/8gY+HBZVHEzYHFStHUWVa6oTeB6KtUXo16xd KqKVw538eh2ZFR/eYJuaEvgZ/XHBWwlMKeZyAcvh5XjVibVgOiCEpDK6LHd2ra8YpMX8T3Rx0CLP YGhf2Rw1IB8EdEmfQwhDCU/oaH1Vz6sEDLxHmHc/qb/tvSiIvcwucqWzAIciaytTZiwH3CsDbcvs moXzNYe05ijc0jdoJx+mQyHuJI2xjnz7qi+Mkw/H4t9at18iZYSvy3h1JyQBhJhhbaZ+AdHvHwJ0 RppeXhQwwCQDS1Ue6Yol/PZTaPauptNgiHvddcwpwh7bADpoNNeP4JFc4wTE+qE8ltobeRYclL7e d8rY7DXggYhDQfpoyEL9odtqC3YtMnuXqbN3EAiDgOWogiQi+PVBG9ZpYTu8GxNH5b9N0whTQWtO X8swNmLLroETkitlMOnceFFz9udMRmYtwpti8vNsYJK3zn8rtwgt2w87MSG3ewvCC35voYUj9Mh5 nd8OUnRreEei76TgghhRqYlQoWjFlrr1hKB8amDsMh5AT0xprIRGOighXLDCKcnZuMfS/nwbAKhj idCRWFJKopJ65QWK9sjWbS5qUhTQf8ZHAleiNZpCe/ORBZiIb07wl0XqkQ5G3tg41XgvEtUKN6dx mjYezcPzzBMlJ8gFZm6NI85Nwt5c73ZN8mjm3B5ie7TiLOuASV6BFjf+ZOJ0BJ00+9cyltqsmErQ FGXNNHESMElQY/+I1oIIkbmBxQKLMGLbdCZ6GTaetXONhed33ShJqdu4rGdTOJyZ+WbJYnPsB4g7 S/9qdSR8KWrFrA8fcYUxqsQhflBI87aC2WjWJcYGClpzS4X1KVZEzIaQcy793NEU359+lNGmtg55 4wG+LQq0kY6soYqvgU1LdGKryc2cpJ2pcHLaiYpzF9evlgDCXkHQujkT/m2i6c9w7+qhLqsOOSDH aNQxOMEC6DlJyila2OOv29IiB1yUDW+8euMNY2NbNM7xC0ewLnQgT8JmU/LA/0kGYCw8lNsFjZnB tMkngeqBFJKOL2oIYvXFPQDGIwjyq9gmOeNPwVV726xusoeNkG4LKizs01htinAnrRgwTqu1gu+V nTMarM4koK79bJAHfRnqcZO+1ttPnohYWKW7S6z1zjQ9R9hcQ28kn/Dk5DDKZHB+0NzYhCzslunD OgImg14pxukkgMYRvKqnbSWwRBKaJZbEMlRQL6Lf7wbyrfHfUPvipQuQMgl8YqB2V+gqklCcID4P h2djFqE5oQs/qnm0WqEd7IdtR+gyUSxU345H6A2shs5yjbgq7kFaxsUs/Vs5KERRsPOJzZhQSrm7 cBbRnWu/pSm+7FFaq3/822/4RhnOzgQFNpQgbNzdUIrOs5qFYIORhIehkwpU7dS2W32SsBUcQciu QHFvnpeyd7riYyYrkKYJ3AjoItht9qvtrV4egoohVH10rm7JQM/dlF1IelX/alCWtIATVnB8lB0p 6tuUjcbS1DF4XWwaH+Q4rXcH0FY9iU2Vvz9BaqzLETCxr2g5dYJ51gKkQRsPzCAwawoeTiePiXvV gPAuBVgv4HrfEjDqBkXBmeeyDA1S2m1CeLrbAiabI/av5qwsb1b/VFZU6Co8oWWzZtRXMj01QUqV t+Wv9KkVF2xS9lPEiFLTmPIldOLIGXaKejHeMqA3F3SG6ipefc4dzdeMudEwktgxqRfxsgCrAqTq Mol/37RHsDOvMMv+VYV4fJAJCRsNeyHYsVIfm+QFPsS9/kC3ujsaDIc3UBc5JJba9WUnWW4sBtBw RZf53/UyiiCeJ4JcoDKJGue38IqyOF9o+bwPFaLHLCxbakYdqxMCjBLoigu09DNXb+Ws4dIA+05Y byoTRspLmzpFtZBDEvIR9LqkEoyxs4BE6vsjnb71EHsoVxwhvEY6wIWLaSUqvzI+xE04TuujsNW8 v3E2BZV79Beh63JbARZghbbqd2hYbUverkM73zeoZEtPrh8/iOkUtgF41MeUkbhxu7Dur0dT8Tb3 AFaeoELcx6eD9F7q5xEU1+fxNWiJ2qljp2F+p8CJ1KcTJ2a58xlagYmKcraDRvlM7q28GfzlY3+J oo2rwaZ5YYd0JcDuNjK/2rh4BeAL7agS5NzqKFn8IWg5y8JUmTmmWMqoyE9Ozw9lJwDg4Q0wVnwd 4IlFEngX4DsAlXeQNi4D9ehzMxi0Sx5hOdqw4+VoQMg8jxUF6prGkbiqQJT2CUsyA8OjFxHhchZO d4CmsNFP0l0IfroGerQBwwsEHh8r6R5Ie//7e/+x+gSUhroVvJc4fe2AjouO6Mh9YUJrI5krU91b YNzS/SK43Q5Rp4cC9us5YEL4NVm37oOxcVwUZWyQyFM0X+YZbiuDYveP05TR36Hy+bS3NlEv65z7 GEzVkP8IeJnnrcoBXWouVpcnecHtXNNP0PC1PjeXXWY98UGUu99bz15LNioxc2FyE3NdJhayiI30 mib7rApXz+mMpCCw568UfY0KZYWA5e+6ZH+OTxv+IDw4XGhmk4TArbMKBg4vwrmcps0WsHSazG7/ t8C9i4vMlbAEqEGhLBNrtr7uu5mZzx90IBuP9/HeM16pBaSObzphJ3c3ppp0qUg7C/eJ2vzL6VVs nhcoYFI3IvnjO1QiqVV5fN7/AKeLmxx8ap+s58gZYCPbZeRuo1mxNo30QCq6c82IC/BfYTmabHc1 hNBuVqd9NXGUljOcgPoDTOVWRi7fGpQLvNO2sN3qeQa2w9TW/MZw1lUgpWTw2EbgJdmQe4LJPmdM CvgTvCm8jZiL+ucsAPvbB2+BMXA778Wa9SAAI34Fv+AqYG2UHqpCoCjeQ1axHnPjItB1FFYbIwVo rrSLnRiRgXmNv/5DQzC10zR0ciYDZmzY5v//BJ3JG/lAfu0WrCeX+5Ka+zxC+E1A2szEwslKZwaT u/9mbeyKGXRopk34mLpSdJBuXBnfwU+Q5yW5ysLr+URNFIcdBesDkXHhBnCROGZA8uyCUC8vEVn9 E4SgMx60X9CbguWiQ5/E0hLkjZS/Adc4/wsi9Dryafs5pFTgiwzD0x++y8E/LOYqJ3dQFsHPNtn0 7eU/fM0ARkQ+K/mIDKY1nGrj1Vct0EvecMKgXgXo6NMDmsuTKOLoL3mVmpqHJHc4OYOct4ioqgW/ U3zpk3zVooqj9tDdTNhWp32n0KjeSj2xQE3p8t/5alqiDsB8j99XM6V8tZKnq7jsrvlWgYH8RMs3 9lQvEvhVbr8yUTNaxW4fcN/fU5JjA17+f3/NL+090OImn+7D74t9pdh+xQFEw4UX3Obi8GosLFeu j6ui6yB0GolOQSd5PgQ7cJjZotIo1D7V0lZS40ckH2C1W3/QBrGZgD3AIwzU1KIwd4hZhNlhigKc i1qeduV0sqRfplo1p4kPE0iv8QAwNdPnGovvOJZNnzcHbIie0TydKOFztU61Kx9DNkVegy/sckq2 5PQmda/0Qr2/U2fhl+04mdYLOmz8dYXnAUjWF2nrlTD2U1a4IDraArimknmvjuV269GpsLrKUTKI zLNU/tUhiwBPdK0eMCK5JHBriQbzegbRcs+akBFSlJ92hByJ9soxCnVik7IqHoAW72xA8fQSbgMg bPtlCK5VzPlXi9O2upXaV6D5dXdgIp1OSKV46y/w8kqQL0Dpvh6bMHjDGHuKTgNDcPmp71l2LAJk ChM1dGNnaQKXRyzMIri1tlhP+hs+70WhiJBkk6vMb4m5K7djaKF4sgoq/wNFMG52OVblPm1vt+os mhtuwbvJ5MZPoJmnc+ztfss2CkEkVYrHFhWiJEtOQh8GhwtleE5I6NO4ubbCrrteENukm9FbedRS HzG5klSDA8H0kMZVxXsLfEEtWY+QGVh5kgZ9olWTyDfZUCdodPY1WlaaWvR6U60qNPATYYGkwGct NqLVMqRcyBJxqsFr/rkDXmV8Byl60fcAi+cZwIRdGsSXpgX0R9pwbM0aB1Vmds4rgSm70wxDzslN W6fgL/tkVfx5hriOkuR/bkptMq1K5DC+bWeZx8GjP9ZT8gWWCcq67G0ik3KsQSjKQPQWoEJh1r/g zkYFDBqN5tN7IIDLxJppeW7tCwrNWNyvlqCiHpML/er1tzLe3dFRbnZAWP7yZTQ+s7WXmbnBYdl8 tYSXd3N951ugt5yxBLGpHm+O5nbYakeVulZZpy2cmt98ILFhAyAv9hl2yNfgxWfezqU+VUYjoAyW sVcD0YS7Nz2UKCCZ0OBDYaj5qMk/vEoGiPC2jb2tbGyW8oFJyW/rM96xW4QZ4PV/P3DZswMH9ALg 0rOsDSh4T+4pERG1+8X3O6hQoejvoWEuumEx7hzLiWFjacxnWApNFX+MhpwnfqjWB4+Ftj1JjTaa lQNROy5Cs5qnuakkcMRyzkomxjTaBP1prfEeMQDZtN5pCUirYW8TIPrV7srTPvXWj3SJvzgCwuYt RqXSRJo7NGt5Id/toGB8ysUIwQJ27eV5mi1e0YWNg3D0zrBL2krKlMAhtaccPQ0rqCwJZIGTg+/u yYA5I1pLYZqB+l9QWAV1CRLwVObzarUBzPFwtPofM5LhcLm+S44s28I5O2pN7Np74c1KmRrRwg2H dQnFUOgaIIxxnSUENOtaicD8Y4FQhq1J0OXoKJv1/JpOIGeK58pqWXAWhRmqgpW78O8kf6l//4ze XGYf7OZzI0AJoW3sQZA/fjies9hFaeU6IoS1invrwUken8G05+toiZwWZC/9y3SWMHMQQfE8e5Wv ggrAAIeTKqugqs4SyqeHKhT6L6C+yBtkIFVLLDHGbzSfzEt0SV29/zHmkG7SiKxabt4rpJuw0dEX sUCVC44uA/rk5coh52JuOxr2k/OOyaGrju9MnYfA4p5PiofgKZ1rLyvUcJJ6ioKXqI/KQRFKh+Xk LeT9M3nko+X4BMjseUl+MjbRifAyUxG9glfaY3B8C+Ka3oYPzONms72+xEAbdlXUlikyABHdbGQd eeKJArOppJrl3zcqX/VaEyHGYrD3mmZRoFGR59hNuKOqbHD2XjpUBZZjJ7yV4o2mKSzykN+S8VVq CIjzSghj4pWwTHhrVyrKfJFvZJTIxBL6KrNBsMvlrMVdAftg+nH1rjxzt4OrLgP/83i8wEJdLuAU ykPu6L6kaW0cOoFYc4hvt/OwFVIKLj+9fcBGxYyTMFPC3JMK+SfRV+tI1kctanpURILSxHraPVmg lg4Kr9ctGXu/5+/CRP9n7KWMo2VIVbQp/LvXOGGtLyzwYtFN09IoJ2cQqJoXiCuPz7rKd6un49H6 8RL6CxcVkbIFK49tJirVirImzqnRqb/i4hw5ywJtpYruYwqX0afq17lqsqAGSXXWT+NfTXCQ58Gy PKgjbrvmndWmm6f9Eg1cTOtzNl7csrTePIdskVpqziqCwUv1vM9k68XXBkoL6w9QZZiCFcQzVg8+ enUHYppSlFEso9LPS+hkozGoTlHtJFJkQlfEYo9ijJ3paqJYMND02PSMA3Wln4T0zJh1hzuNVtiD I2HAcWkRZMUr3OzPHLqC5D18Jgzby02M7yZC4rvQEbzOVXhcc3l5b4sLBaOG1Ulz7hphtDaE6yh7 ewx/aeexhxS/Nkm/eW/zOeokKbXrJfLgccu3HW64hsaPcgA7mlK/rFJFVJXI1CAQXq3lcQaJhnnd HbZtLRb4SSBlH9Zfc5vpZP8oW4SrzNeBscOBRNJmk2pnuUbh6xhQa89KhHaCsw8mPa4iEDa7/RwO BTCcld30IQXLiOUC0O+UJAPDArrX4NJ5+TMqPtS8x/oaie3eZcb6ckPWbIgeKfHAr4IjQ6mPLJXS bnpr15TUH00YVtM2JGNzhSiOi4KIRWQs/P0NWbCFV7imDjbj95KWYkp8BBuVolOEiADQYYbisfQh 9UyOWCAAGa7xv2lzCTpzFlgQ7zbnd9rQs3TBcGCX+AbE5fldDN8pOUub26ECzJaorv5UG4fl6RZj Mqz1YnrjR74hibG00pp+o6v/oebMUPK/oB5zNyhze9uzdMx60mbbCUMiM2sHJsGD8Ho8qpXolW61 MyplvCEfWhKN1HHvniPMLYGrzpS8Ir+Fcc7j3YgWXb1g/THLtmZMHmCFylgvzTCgNdA57XCL/YVO QCS9+itkUhtcjgMVNObP1KI7gKj8jZ/Jx1gC4tPFjs3Jx3N30MrP4aBG7MUhXYbLa0HCsV+zGw3l p9ICR0u0VKG1I3pqJMr8Lb59O4CgyIFrwM8413nqzV5I4SYhvomlNolrkiguCHwzW2RQlIXyxCjy YKjMcEDGzRV2gs+Dq9OAHz22Sf5VIePTo/bw+AgAh+niyI/e3pBFuGoq8qQc2TaKidZpxh/KR0w2 jLvOO/Ll2LpIqia2gVFlO9IzQj9gshXl5WZyw6aIj0jy7dJHqOZBxhnHmqbXtO+R8ExHpmaOIuj3 FX7QT6IILgzEerbszXsmVb4BS0YbS3Hpw/ICoE5/ayrIrKrH4Ccbh95TgR3V6UROR2HJ6iEBODvG iS7PMHGRBMmws5FM/mpErQ6djYYJVnEpuzskEG+ZJ5aMQn40vdffzb4b9IeIiGiuOQjIFyp3g45G kYLc/O4H+taWZeSp71OELlWoAgy6xpJf3dEQIqVZluZ6b3GwJ0wNKQ+ROApSizi1urfIykjk5SfA zh7wUCqQe9GTIfbLyxAFw4BIYT2FtM94gWLC7LgQMpi900vgkzcECgIvLgk2pzV138NVtG6nNc82 Ra4O7/3Kx8eMh8xzCzgiKLja0QD5SZj2v+IMaX7xR0E5kI9pU6TXnTwXnA5WgtdP+cGvW89TA5Ln xA3PG33bFgZ1XcQTLwlmLyYbNfhxIwc9zLfR/RA1Uoj4pAWy/xIYg42XZQ5HbeNUuLHKDcxzRmab zZPRc875rbUWAE1aPfvRhOPtFQ6iTRXrGoKBbV5NuShWdriTtwU3mk9H/uhsGLjUwoQMcve2Olw8 h6V9mSSQfBa9CddBGfaSQz7tAuAT1p6yb0l3xyY5zcvEB9Se/k/T0mU8iBVwIDLp5jtCnEIlYACd 085nYMjFUGWI/7uUbNQYmCRREW/q6YDPwsuc/4FvGdORNA3FEHRkIIh6da46bWMeux9W6kVLM5pF M7ZPX2dy8Kj01YvBy3z8JzTjWOOeIcqWGUjglqArU+rhdsL7nX42cAzpimJed0YHE78YyY2LtkBP 5vgFIvQBpguCgCVsMp3vcBQLBqDzRqHc9fK6bRmKC6PC+Mw0Ul8dA7knuQqO90VdDFKH1mVsqQRq 0BFVfoaTWr74XygupiP3+75Iyy9xlnCEhb7867wdfD0wtAojMgMDtJz99zu1/QneT059VebYcQbU 3aPxJO/P+2lxi/ExK5DMc8bLhBxR/JY3gKrwFAAYQntH2vf/80f81dS4gzIM589WW2xAd7L3RSpX g3Fw1tl+ms4ruOvBhB+cXKvJnhPqO3QHgZIjnT54nYhBdIjQqMGOP4AyAi8AXXnUchmX48IqUN4E WSmoP98lUQ2Lt+TGnA9JXbrM9vuT1KfL4Ng7HrtG7EX4HKsRXKQE0lZTJU/x29hxXudndoK5gj7T 7Ey8w/gR74WPWGVvNZK4QzmrJATX2Ef/8L2/pXgA7pHsTFyzLe6O0YekfpMFmPtONLciwZuvPs+h omN9CXzCFYNKBV7nWulMukjtD6NTamoeKS1/8TvJSBIrkW74o7iIkYyfDwfB/JAo0I4M3s5x4QL1 diXofSsPfsbsdx9IkYH+NAqLyL1EfH0JNnP51Ld2EgjVdgaqK4KV65vofGxFqtNqJodRKmKQtPwR Q6MPl1fGnTzE7gvwEPzk5OuqnE2Woh04GC9lwpPacNPNo+36Cs8yxRRu15Bp4y2QxYO14Nz3Nfcw PKzTd1sz0PBDGlp22dtb5zSxOsVr90OWAsPORWjCcCKgaMazb92QjiVSrGWsayZqaJdA1PCZWQZ5 woujT00QRVgfsvYHOiBdAeTyUe825bQh2iizkKjI+UF/g4LwWI5qfWSq671zobs8bbsDlHVMGm4P MOEFJmtoiN7FjLf4izQZzcv9A1FCupL0OQl0DHZWBRASXth9WSMmMT1PNgHIvMaaItsqa3v/3n1m WWHjt0HNyuPm+1WbV3Uuif73PVAZubNrfaa4D/Vwfz94KGoTTN+f2NRmOPw9koP5Px4N1B8vhm6h lR7TiAYmBemhbaTmOeIL00tJnS8FN1/xwanpfhe3Q9qsM6FXd6NgjWspmd5xsjtzhsSWG0agOpxE kUJsxveKXtXSZNO6H+/tQO32FJLObu0ZJIwfVubippie4Vx4msAcaLknzyLdaDgpg5rPYRSAUhMh Dwl8KAyY+rKCzo0P1ZpbwpHDpvo9PUnJwGNokH+Ces865CX7krEhJ7L3XCFQQuwID0SkaALibpAi wYB7IDlPYkq49luMYz589cQgOj4lKnOO+kjSy4Qj1CJXohwqGmYi3EBZ/DUvsF+JzJc+HiqX51YR T/WU3W8seWBCrA2cVSf/mGvPAEToIB5BbhVSRIGZolGcY89A8KcdDF+c294BQJNXf/RmjtlP8MoP /VIm08ISStR4iygqudcQPFOvleYlzlMSSm+2xUdYEnpMq4Ges1OKRZbWiKa3S8aifszE3a5VuDKe ocg79eOhYsJSrX9KblAQjj2FuNwpg9Dpf1+bnib/QwQ9xrGEWCZhBJtrTjMMJy5F8mV3KaSl02lT L/hp4Sg/Np8ZWixPo4ce2y0TQxUUst82jaUxuIksOj0XZQQYLkadYRZXWBmDhOaaUWzVy7HDQvIh UBSSzNSAnbp5tkLY1gM6PRzxjK5fAYNjoE+2z/409y0P3aOFwxkhe042Z/q1fg4VMpKT8qjziDDF hEq05HrUIV4iNk2uAftglTRmTDu8sJOuP9oHm3sQSJYU9Wl7uSCZkQxwG/tQNaFCwRAuhLRs1bVU WxoilaaMYFV1vi6cXO+miOUpNFT62gmUnQ+1voxj+WCCk/aReXrl5wQjit2iAp1babUXD70dv6pN fTKd0+mH4ZpngQ+kYOw7RYI+FJmMHjQ6zisuJdzifWl7VxL3EdiAdBGECQ7N4k6QT/OK7YcUCYMN QuF2iuWJAOXQ0GsYFfQ2lBenoXFiRB8Mz/y8Sa9e/Gd393UPkcvZG2zCHVWwqq3r7rAsWdhyIeLF MCI/HFpo6BkXM5TMtz0D/rpTNZCZoWBa5t0DZ43f0lSZzeROrsdLW0ZzT8hy66tuehc3bVfihNu+ itwLprzopdKh/4arAFd9oB/b/ulsjMI18jW3KLOZ6o3Ye2OB948XhfhvEs8yRYQNQr5b1povM2vr dm7L4Qe8wzw8e8aZfh+9SSrx9RG3DlQoDcdSmySwCTs+UpnGvbuu47HJ6Q8kJ/3O++w8OmrY8wFj 9Vv/vmmw6tOHme44dRFf2CpuOS91XLozA11CcCvNEPOBb9Ep1l6iAxAiI4t/lWIcAKDnbY2XEgpQ J29IdMARv+q5tzx/UltYeMYryGNf1CHsFVJN8dLWErNV16Gx6GrOfX8861XL4j0NpsKcMh/nZC+O dZ9EiQ2dfItC9GQ2ghBlbxTdm33Plb2AA8qM+SnrHc4WeAXAQ8K6AgQg3H++HnIcnti75c7V2TwM xq1RggdLBazcBKKyJD1/SPdx5KW6lB/iZwNdMN9aC0aaAV821t4VnL6pdP6TbYUm2i+GTjkniFcH u3Fran0dDwp/1adRDI5VdXcJ6xpVOPsJAzL+oUG/CmXU2BO2L8DihLT1aiqGoWX44SgXBBaeWEca hAsA6S2qcFksTF2OFL+6oIxLmFC9H2WfWni0N0ow2wX+72ru3gxV88Li4QOFmLjSxtnj5xr9+ZWB wzAhJcRZbFUd/7TEBNmRg+bxD+sYJfKy7KKbW4dWvATEqJ4fPM3e1E+ujv1T05IQPkaQ5zJItKZa KGnvWM7epP9nhhiqpJ3TI0ZpGN6tAUfzTSZnAW6vDGDb23mJZz48OMCsfseOmqsDNzOAA825NBTx MQtfYSvtjstXB4cePHR5F1zbLLJTjPpa+8edsv6WPLO59EtD9qwEbTDbTd2W0d0zHG4wDLqyIfTR 6Z8AZqwFrtuxdUe1PmkqRgQ/S5rFSXHXeeKKCN6EeYve3CxLjFuqtc5Vf0Efnax8pOoqAwrZpsFT qxCieGBujZBkowKObExU1+v/jrzN1lRnq2P/Fx+5pY9au8zdBO8duEH+mdzunspAWXXzBUiXLCcC 4Q0oo8jA7MCsuNGC+KH/TlnBBB1TSasSA+7VWq+x6Z9YMPvAOoeyEbqkxMFCfQi2oNG18lxfTcaA OetRic/xbLKscMgj+pleiNvuh2IaQ8c60tzq7vFLQSRYLjC+adaTb4OJ3Kctj/TEnV0kLAETlZe+ fV0DVT+/A+rADMQGzyQ5DTQSPCZzoGLOW4wDOhOdfI+hIPB/bULRnUbFN1Jx0qvxINGuWVIo0+ff C0+FTvaJucurhbXAiy1GSQ1xwePu86lVgPXULVvQLveEOFC4XQWoYQvmKOZPEB52TLSKVdRZ2VA2 BSd/c4wtTrpz5VxV8ApX9TYeUuvuZXliLBoW352EFxRq8pOAK0PBhqRrvrMouVppWDvWrxcC1l0e onUBu44m5ioRCyIW4hGK6/ykEIa4Z2wRSr2Jf7KKOXkgmMpCsrDtsJBIZBYtNb7AWRYVjWIhv0zl DX13F0y9Sj/OqZPemjQZzPmTT5hUuMD0wH8KViXnJE2ObPJEkobVYOYPhdckt14MtAIxC6Ed8NQQ 1oPB6L+KQ/GzDBjAGWXnhbY6wz17Rr5gDmyHyjoCg4uXhFIwoFa/wUOOMPFAjEnxLc1pFF0CTAOP ijPkA8Iek7hoASWyM9Ay71aM4dZjsX4FN4cPS4DLTaYH5GH76plsK2IfyMtAX5F3byXO9QNTDXyK OMVOyLNtnMWneqoXYQX2QqpJdd3dAqPKVBD7+P1DNsnHySNEryO8GA3G/5GA7c39rJx8TpZiaW0T l4OOuJh0fQ6ysEJqKd9oitOwfjcbzui9b39TO2rflLpGqLJ8ve/o967LuE71ccuIP6EhzvGvevIP jLjZNTpDxOXNh02+vPhBvB2OmUts+KJnTqWelpT9+qf6oy26DGiq9UtE2Chylogiu1SYxISFMJWK tQOy/ju7/6OHjim7lM5FPZBeMLi8V23DRZvWesp/6DIq3TxLErSSpdB3GqFVDlhe6dia/+IohizW Q9pRNqoxHs7pRTZONBdmtjnlk0B/oBsw6i/s9iiLZiI9zvm2IW3hKHkg73xfCrP0nEpFMdrhg/vc q2ENSztZAAPC0rU9b680YdHhTUuxW8GsUexjLxiGfz0MdmPhBdb4ru0wrif4ATuagpxv6kxXhd7m X/KqRN8Ax0MxgcuUBUStHee07n/rFr1UFplwTNxDndPhzY9P/cqY+moJHRSkkvjo5jKF1VJvZuVy 0FQsFtPekgzwqoM3s5R9ZeHbK2qJjOWPh02Snhj0I62iUXhmGZWlozofyhsxkTPzT8czG4RU8DDl NKfB4tRbY7tXzfgzZldThUfL+WvNMz0hlAD1kPQYiwvS3+zdWVJVDAqjDCGXtbryRo9Eu7yHbL68 GUoHe1njxd+ogzN1GoDFwK9oAiGHVGXP8fTpPDd9Uzww++5rib69EqKGws+DegMQbMYgRpubWWhf 6soCRG/EtDliVcYExbJ+GT6SKSBMVaS1VDTVKljq9Gs5wgrUS9IuIhi/Ijrw2cvTTJp8Qof4GL68 07DDgtdA5nz1wGQSoASF3kkkV/pl04nUsROmrzeXagF/ZVSeSFeoQ4yZEPgyx8OXFo6oYp9ohguJ 2j2GHHXMDJOQ7NbLZJmdd5G+hdcuQaBR3BohkYDkbmzzY8Edel6s8t5CGzMGMnXBEE3qTdCaiBiB MqEo/iNWoMkzE5biPjV/ShGJEI+SZ6BiF9TCpnvQHaYkmdGGUFjMo/pIFaQYwN+mUMOg2BJgfXuN Klh1/d817v28mZHzPrw3EyAaSoJTyWB8G5mXA2vtuwbZty4PZSjRMuNQxit9o85SNcrSicR/YcTH C3sa9ziZJeMPFHpmEenqjJZGvSK6ETtl5zEZFSjrxx6BdVbB/E7kYLqbyOFcIcrPhsqyOh5A4M3P Nt4P6CrZ5dGuE6hZed3IWQASxqrnhRoQl2Cv2AG0jdxl+ory56GXLHna5pviyxL5rAezf7GTuYad zKh8ojdRrDXYcTy0JVMAUvGXUn0dWnyDiALHivWjux/kKLr3AovzwG+h+pKpWubn1h+TytuhtNAm bhX0Ti5OghCzSI0vIM8ZNY9GE19tcdu9nvyFdN4Jm6+K0Aa0MCOC/jTdoBo7D3UL7SobralG7LCW 4IAyewirgasMRh3CcwgrGeFBdiHSV3MjG4MdT+4e8zLJ65F3GeguGY2FppugXjhlh6vjLwwzNtrQ FEAXGuHAzBX6tyZS6QzJeQFVYZELDq29zrMn5gmvpf/Jypg+hXQeJAR1nY8NMXnOcfwGZPz7XfM3 jfWeixGLXPNjmY/a3P/P00lL+mlWoS03ANQaAcA+ksN1dhQfEr35Gg6txxBGB13Cpn42rIFia0BF 0CqeaI2hP61mWw4KI5Q6g+ydd4Cg8/l58N5NbokpbuB6X+y6ma1+2QGYglBYN2zz521H/YaCvMoT IsurYTa6M5mMXAarzwtSxud3vCEeCUosIPYfvMnHCke9aWZiKUI3WizDmWD7biiwdiAR1N9RJFRQ +3HU1nPt+KvrKTGi8EPrpLr96UkCAjsoiJTi15gI5TUyFUnT8alU82UECqw+PpbHSNhcEsTiXJlR bUrqqN0SQ52ScKP8hK6ljAFaiS58pkjKG099JgYhfPrv61GkYv9lrOKRAcyJkeQCW40csWWN97Tf oyqU4fgUjQTbgBZ7d9opV2u7jxb3f29xr9suQkEHtMZUNCHMxLbhsqZX7Rp/EECG7HamXHqledzT O4Ehq/FgrH+uQFdeCEu6N1aoyai/S6UrAltPkXEcX3gk+FilUSbcY4IJrhzFokPmDJU6HMAYu1J6 mmnWLMj3Eq0gs4w1Xd5vVNUD3Qkg1hQkS0HjmZ3RWg4Xu7JUcvbZ9O/lj5HMWAoKaBtp5sSSbiHT dMlKUUPvzFJJ/EEIEQVbjlG3BIG6Ld+BhzqZiLQuC0XxPzPNTWbJ57K4hjjrESN+L80seURXk2Ix 6IcjZTKehc8htoEq8hwcooVadRdHCOauhGT5Gxz/hSucfgoYVoD5ftlichXQHAJv8PPd8evD+Ks7 ilXbRHe6/POTkk2XjzKrHJjJ8zk4lPFYOm5x4byZpCidTTHGDNQhRYYaaKE5et9lOkgykERpYUmS wQt5UfMualAzXYMNA7+1swZ8vQSVq1ObHpCC2BDMZjU5/yyYB2wsYcsPl8Hop1llV/i6l7DbAagJ MDZoBr5iEtZsFilm/rOMGbpQ5GgbJG6kMyw2fSoWx0DFQVW+FPWMTDpm0wbAOObz/+V0vSa6hLXc 8mCSYxUBZs7TNwrKyC8FSOzhA09+FSiQ1g8hK3JKpIfnPM9RG1ypb2qeU9n8wcUaCKoPfvm8PJzk bm7E4V0wpvK4qzomGgrPL0NY7qIsHx99/tQjc8ewHOAtzG1XQvKB0A+7pZUh9C0AgaNVTYCQJajF 3bpUmO68z+ndfSyvrF78IyO66Drr1hhCUfP2aaTGL1AwwWWivrs/pA5aGt23Mn4KPyqVOgSZib+F wtASXr6j9qZu1APi4lDm9ujFvM1SHqdX8LjnVkGFVCVwRYOTFHV3kuGa4U5zeuks50KqLbd/CTN/ 6kYhaL0V4JsidgnbXfXkwD/KnpagjpM3MjSzP5eNbg8xaC1Y76FaycwG0IWsRtxNivSkYDstBBE/ xxE8tEIwwL7XQ/KqmF5DID5HaF5/5qaXN9+aGZKWs6YN6gcr4P/p2+fJxxatqQMqn1+ZyzGAGdYt Aukj6xBe21wx9X6ARTBQTI/ymHgT2Xfqv5LmzDITQYcWPPxSLRt5UkEot0lH4ago7QpdVJXLYm2f OW8JxFWxGk07oQe7RzkL9oX8tRGIMA324GVd+irbRgtJnbyYEDT1H3uXEyby1/OL25mqtWHmPQ+5 VLcmXoNclhpu33ZNYKsqPGVev2m0GclJWzkG5eD8nB6qb9JLAECUUXuUjaVYiEXjDeIgDsx9kZHr DP3EeE0FQJuJbExNSm0b9fUlnMs/sDiI+VAt1E0vuvxvAwCwyuoIOCkykrLYuqzIo6BdtlWMsp11 WTdip1SJjdsIIUxHQ7/gpfw93/w5PcllTX9UG9f1g7lPkXYwB4+KViq09rsRucIAP4dnLmb0LPeE k2KuaCCNOghN9VDNUeFwJngTdn1eWEUIsxkmurZFGLT5L09O+qJOB0uZ+t0kxYD/xvqk2C3/4Wlq s98z6FCFHrBNiokfD5OiHerlzC5jUi6Fj0Y1mto2i51QAs2ttU2lZUExWOZdpXgu5s2IHtb0oO8c xYHiWTdVaPJtd8zhWLMWn+k9ExtPfAm6VV6C+9o+AQuRUaxgfENSj1o1qFJNv7v1qZN8NoO4FO6G e3CxoQZzVF8ForwjrEmnn7dZc70YQDjYKySrR1c48H0Jfc3O7BrKIo/2bKh1nb4orRqSw4ErR9ka elYrmvLrmQM6xajy1W7q//JJatuJiJLcQvD2zn3HsAaxQ6TrK5lZbfRul/BsbEX1fn4fxrtg98Tf bVps/H73mnQKtKhU85SppvVNyI6PVou0NGOUZQCxON2N3SUZLv1d2owKScDfpNCSn84WZ1GbQUzA vNXd04+jn6126riZjKM7juuASsxtWhDpW5r/hzSa3TYrCnmzncTUVtDIkdrEjAHSPTrUWOCd8YJd j25Un4upGxsDaf2uKE3SEaPAybRvGMnDAz//qT9rybGziFyDWQgEuVF6tp0oS81cWxd36OmwsKAc A8tbRARalSWJJ+y1OUowrn5r5CgzMp69Y1Hjp7BqoQynNyImrapG+Y8IMJP0K6tSemLaEQ6MlZkK loKWBA32gmS9VasP72eTZCxOuCkdp6N/ArxfauErAo2Fs68rY25XDg6ALMOAbEaYLium6XvIaUyy aFVXvPK//96QV3SoO7Jh/HyyFZuB6TV2FPbeJDhmPxN33hBMFufjeUw1WyEwpBY84aTQIaM+vZwl jLQNnqUhz/Zrn9a5ubMnpHBOH9NXV85L7lCCWegewJx4kwmNn9+Qt2WeI3K/RDP/IRT2fDtSFSsp c04zPUblkVYEtZhSVqgpfNNw12XAskhuU/9Z8Db8cqEkuug+etx8vlEkR9xcJTGA/s6TF7zZyxs4 Clahf0lHfzorN9KcoEkmILKYBWF/KAVFMuXQ22RN3t2Rl10DdiYZZZwIfEfCd6GmnzgZTWLapFVY StQTwwgMLsicYlDtDtSfXAztflNObFuUANQRYFggdhPdHDs+n+PMhqW7GNjZqBnRbZynq8uFXBNw G9qU5dmQrto1n8UEUyZxxQBnvH6eWcxtz/kO+rGTwJzBpEKtUqjurOqYzq30azMPpy4fZheFckBY mtTzB3xx2PcCP0CRNVH+jnHiTQb/wjhrLCiypqmxC7BUmByTJ5jYPJK5ez8Gz6Lrwd9Uvm0sc+k4 oaVs08ob86j6mQ0tXvIwDOGOMMaveJstXOuozIYpuKUgnpvXJ39Xf7NFSGTII5duFO6wzB/ryTtH aIuJsoi1HSwaOC16DAAUIrIXmrWN7kvMpfkzkT5/Xp6UcEIMrJqk08Mp6x84lVsHOyR1UGm/YqqA sCJfDY47b1xYp6VZ3PwXRYAEI9y8TftaKdHxrC9Kg8lVNCYZsUQWDzzPVP8NNhf8/suW6Qc/mWNB BokK/qj4FWFn1ggf6eirO18Y9yvayP/+588lqSqqFGi70zXZ0jvynvf0qBTpJftNfAgZvQFAtJmP 9KzozGo0tWPTbhjkEIVLCt3Apyhc1kMN5mhDqeHtHfMvC0EzdOk6nhrp3PESG79H1y6lC52plN23 PZrhCKS5gdOGzExhzYbsEWHGsLnx4VBGLEFqmTXx0dK/yiKtTxJ2Voa9bayEb9/mhH3ICq33lg+/ LNVSheOp/wEQ0UddHxoXyXgL7izQRKrzDnCDmy2f8emi5Efqn/CJ+z//kB/8/yV12Fy5jB9c8pWs nh+F+haBjrlH9hxklmrD2q8ZGujRiCg6c0dJ+wCX40MGl5nSu6R+xi8QW6K+P5Rs3uG7nNkfHaFd BLh9lSNjnZeaEk/6YkvOvvIKIdHSfarve9qtxtDRiqZnVgcBrIVMmNdKxngU1kvsTOYcwj3u4yeX xbJ7ovYkheEiyE2x7ogZvAkp9WcdVEUH5fnit8KJZkFgx00JOQYAhuWd87dQEKwpEEU4fKkdTxIx adxDmhP2z9BdsX617oBXqNjHlFazxuxTBNDCHFYWPsjSeSUwubvZ4go7FXxUrpDGBd5V2FRyRsAr e8eZHCtieL4a3bBib0e7CV/dp8UBM0lRUwZggtMlziYS8MRDcN3xEaZ/j7Vdyk76Kuc4osbdS6kr 9XD8ym8VZ0ommo7/+usKr9FTeMQt4V5p640cQF28n+toZ/ONqhF6uDMotgJJM76YjAAaGcRHjAAS V09ET7pFBLlRZNWtTETUWnp96Nsg3VRG2LoJArZ1WWvnKZ7Yj+GHbpkCdNzw2fun/gCEJZ7vwuEw Pk2IIw9G1yccu7UFVad6WaFPwZP9vPt777eXpQwvFz+sQsgQdFjzeFffXDcFbjV1XAmUSxeMumQf waurQSim/HvCEovoJYud3lzJLamle43FNFu10bGroKONA1FPp5h0iIi2zGV5qR9d4VYQa/2mrNq3 JxxyU3nG/LFOF/SLL0CzJxb4SeOR0M1MXN25cG7qHQ6ACn5SYw485AIgimZRy/hc6putS147kOWB rgNwgWXmaXcROCAY4LGamAowgxpDvU8euiNI4MMtoHldwuPn1g2wJRfoWJs+AgkOf+8kYJitwgsc s22MWuhgRYAlnp8GYQrsjittBtwhdzJXw+a2BS9xJkNpjBSLDQyGGTdT9ylSQ32dyoBlGnbMhM8m 2ye/a05EDT2FSANlfZdmhKWC2KGcGqgpziFHwL40zPhorkdYF4Bhbhvhu2ALtMR8DHJ3AdCC2aUx v9Fi+/DyQHssoxhsB2pqfcXoifm9fl9CIbdM1Kny72dAgMvUlcdFDM6JirASWRWFEajqyh3uzrXR Bc0OGFgR3RTR3w1rC/HLA/7JMema51P6x9BJTeZ+30vvrceiYS6VThYaGcOhglFwh2R5f0Zor1o/ iNXuGKF5Js9f9o1FaHu5799IR43ZvHtiTNXig77qnwtUPNUfP2EZFrhwqK84Skbl36ZR5sanEFJT dBeb04jV1ULzGbCDoRUCgC4nBdnS5Hl685bX/SnKohVtmPz944n2Y2ydCIv2a7RekHNIEz/VVeMD IE9aqQwQWAhUng7hWiu7r1QS5/7f1umvGi5Nx5gtNORNTYA0al/GZwlagTpD8Zb1KtjuHOQRoI7m 6+58blgf7H/ybqCJnHl/vV7qeJEDGZuDYzvP4rKYg2W8pn+lNUwZsnYEyO8lilSXB8FaT4ManVUg QqofGBeilus/3MG27K5iyWDs2RR8nsgh/gOiBh0P4Q8BpmEdEW8kRMFStSdqeKUkKbWxddjmIBLB CAoYQm0YkqVkNRLlCN3kkX785NS1V5H/QKLpT67/zcEnFr3XFpMND0482LtWOC4nCMLz2HXiyLnp VAI8oKgSE8bJfDBjmdcBzsa12PxfMk1Km+NqrKiPRp0laRrBbblOvplR1ZZzAClz5fUIPS6OZAU6 WNuQmxqI2iKMTmDxik/9qeg4SH3nvMDl+Uf9hBSSQmnQGTJ9FYq4kx9WnUxuTq+8DljL+uLpLvG1 GN+Bu5s2nSadSwxRVF0uczlLWGUjVkpTeNGX7y0Kl4kMeqfWkSXmBcxlOVhzMkmXx6MuqAMgTIdv lh+i+R26GC7TzJXxV5zGvIhy7qCILcU7f+VodWCRiQBwd/XKMVP8W2bxaybLlzBVctbikPIazVX8 fAzp0nXRTgt3S5uodurvIxEjgPz1tqaLO4BKav3a74X/BMn63btW4XuTIc0p/6QVAer83/v0YIor dm0QkwzfF0hZdYEthXJ/uwk2fZ++4bIHF5S4ZR5YFaoqhu/L2pilI8jxg5lzi4FhqCK3CGCEKwgD vNGYyIkrzzkRPA/LmEjaGA0XiPOLLIyktqM/XtcLEEbgL4hPv7H7bINQggMokAU/Pcy57wlUhS6B NAVgPikymtl7tikE6SxdFFNxE/TYpyut7/Y9cHlsjXH/TA6x6ikiq+m+tvcusPfRtl/4nYk7E/LG Jyt7AIoT4daJINk/dFTV/cPYQgcOsSf+aoRsrXQGGW+eff4NX6dyQDj9GfFNC7+SRxhybcMMWtbN 43/B/VUgDf3mAO/JpRpsMzPFb5fpEHrT3J62BvEMATPRteuZM0ZZ9g63QAqm7/mSKZkX5YCq2FZ6 9jmq5G0FQtGhqc6mSKVCKNBsFglR6FoS9oSjq+o227qdIhOoogv5mgyEGi5MB6G/eL69ZE0SfLzh LQY8A+NlH45vOQWGUfsRihaIFepq0Pl0aRbxPMAX4YOdm8LkBN/4qx00KztNg4Sg7yoyhpRaL7W5 BwHaOCK78YDzsHaYaxVB69MV2QbjVWoZcFJcv6p+/QpEgaiNUdPuvpI490ElUbGuzrrurLlhUXxm slgRm3dr+fCm+U4EDSQSyYcZZQn62Vdq7bsku/ddnlcWASnwbCoFcxNifutCGn1gYFXF0fM0zK7K aAoQt2ojb4IjWg8rW0XytYMlT4hF0Vd4db4VatMOWykjLcbkOeEnvwJPCXgPhx7J5cd9hEL4oNDZ iidX8EAqPp/rZ0jQ0tkCNYcK9tkF09YdQPbeXlHrvMAa/hDoI/GKbO6kjjT+7Iuhd/XuQTU6KXK8 bkc11ZC9rqYBSyP5/9D1uuiyafSGRU82O4NH9SubfKkyWaIPDUIrOYDTbcQioGgEIi3GgMXsKyD+ oXhlhwiU1Y11C6x80WGBCwr3aBcYe7rTMqsMz4SabnF6Ywb6P53rc2Miax5lyg+Dhxzt3NXi90BK HppjyM+gqmvQHI9otmnTUw2/6qTUTOlkHClcQ/geoPRKq3UZvTRqpxQpux3GBcYPdV5ZgYCZ4qp9 tcK9j/thdpyrIwkUHlk8pkTJf6dXdKto/jnl8FLbN9QSSoVJ6X1984j/oxLz1KFUx19LtzQLTD5F ve5QKrE3I4bJrf3O3vV+uOFwA6LYk1yiRdfiP3EeE06sQiLnLAxGufTNrmKWpX6IFYIj/nvOB2e+ upYlrx+CHGvMYy7JwQIJpEAhYMlaLlt3W7CjhXN6t+XKTTkE2OZJmgaHrBt7Ww0h2KOmmlUFQSB6 JJxO7nQ9I2ADFVwEclkS+oelDWuWjwquWSsHTpkX7ep7bvOL0L1fRpW1FiY/yomQK8Vvp8Qjvk1w x9sviCvyeJtTBTiJHyKxqIQ4nLgtqH7SOBe8oRKfXHPIM4uBoKgdpb1O3CUxHyQ1S2xSwfeWNIyL xehXtD1NvMnc4mskl/FcCwhYnU6HbZRbMph6BRE4m2oAvNVOMuiQ2jBhlw3gzNDOiLqk1fIfeyBa LTaTG3FpfGmzGaUS+i4omt5KCEj94xVgJAmVnJW1Pz/9xJZXf7pD9unLO3TseOhN3lZbB5KURG+q dEWO4+kJEuNnbMHYDRDLr4+iaYxKx5S/98dL8G9tmrlxdwNACzGtdpcO0/StycaZoAHoQ9jhxi7o JL80DRPDewgS6oAy0emokB8VFt5NAHp0j9Qf3ihnuoHePQn/pOjpnOTHFrOpHnefylLK26cuNPcm xFtUNN/tg7oILW2EJ4WQaApySKPaQEPclc/QOAYh6ZZ0kKnTrQDWjY+9wgX/2ZTMLU01TFB5rAxn +PbGCb31WoMRNpIAuDtQkSdaydKGTERtDqDnZk7Ucigoq9WCoavKBPXGx8O37E4bnjsp8OoiWC41 7PkO9fQ9MbiGOlyyjEjH3f58IGKu0IMTijoR7SgIBY+k0cI+oJoc4etjFEWQdfTu3WXeE/PdNhXj G/9PyFFsRPX/tQoSfrNHmVwOcqXgrOQIUFaY/qEILPP/uZVwZy6fGdNjp7WcEwIbC1BgfEdmOXZl XldZupCONeqjfidIZLfx7AJpe5HEYQ+c4QEIZtUgACv15Ukqijphk2nXIKwcxO9Z2QfahzcN9Fsv G4Xjx1LJ+iIY47X3zmaWMa8L2O6c56If0W7UnSheBJAGzvyu323sHrV92GYD5yO8ul8360CtxWVe 5/RxZ909qLt/ZfB0X9OwuTnFxIaiQQAUiXA01ZD72KzM8V3/xplIloFFlRuTPZFhyZHUrVnO3oFg LtHfg/FFLXpF1pCiZfhgWcCpmpd/aRsgjhewARiQo+VANim4aZRdcmycCGL9qqgtn0BArbKZupOA 7OHAUU5InS+iVtgVF72isBA9jbX+YWmdV7g2D0IZrNgUXAxE1+L4IQnRrHKPY3ePUpQlr5wbVS+i kWBKQD3bwLSYRk/+G808z1iEMAoBWTbp28vUSf7JRCA9Qa9b3Au8RYQAwl9z/MKtAp636cV3z83/ Pha1tuJsJ5Us1a9BeNNYr3f/I8AlVLr9RMGThhcdC3yZ9Pw94nkOBanxUgfK3UQprDd6bLugr6+G ClIdZQYjHz36clyplmjUZj6NDLD1CaAZEVupiQK0ZC4P5YXT980pRym0/nJRhKQzlvUDvsZyh6z8 asccmA+cZB4OpKeVGpKAXJjBCuM4naLdWzFQ2K901QSje5N3dqclYg4rnY8j2M1iHeFBj02HPcvI 2jW/sj2DjNxUQzH154I1OG3KvSd0pMiyWtdy9aYP9KqScrbhFzgdu5nC6Z4KtdQkmzeKt2V2laXq 4TzgJhQtp8pohlhLLmdRt2yHzFQeaCWnTCCrkaHLf/KAryZCvbfZ4UPXHPj92ABIkcSofdf5evgM 920QwDXa3zVNuF6wEiksJd9D3f8xJlb5ZNaK2gMR+7BgwfOn3dzqy7gz9uW2hlVWovVtANDZxqrz YjoryW/+nZUSSZg34pgBAiSmfiw00DRIIoOM4BBb7KPVqwFtd3nBXe6F+TBU08y5WDtWGi9gjbZl YQBTu2mH0DDETcms7hnbReMJ6gt3WI5eKZCT6i0k6q1n1eBc5jHGyadIcykiRJDsjeE/00FExKDn +UDd8NghS2k1ChOrGhVHfcNlj54O/oRIMYR3f/UrWXgtN2LdigSxLq9SHvQkT+/7IMmbAFIBmI55 N+CcyPz2BTgADSTFD5fVKR2kztoeGJ9quntNH1sboVQ6k65cTvGGHzJLPjwDYpoJCVsOSGUGVrDg 7w9NSGB4JPryIKFhKLjTGtCI6sebgOHQ5rVH08pBmFQQeaU3ORLAbmM+AiaslLRXIOamZwovA418 WvCeZdLDk6mIRiWh/SBbT7AGFWvqF7ovlDSm/r/WOpNTA3CG/VrSeFMyknguKvEJBE/bHYO3QOLP BM31ry993XhQJzjY7tbmhcUfvlTNRxF8NbMnIIp8FGaUX8pYFtCkXIA8WOPtb6bqndy/S1Fse7Dt ioVzo9sWPstR/BRC5HnAAqRKcKLUw9fi504RP7KsIUxI/V5wXtKi9ZZOxoF7JfvGb6ih7ffQXLlI SrcrKz1DZJ/rSR0torsYz7G4hxryaCwo7OICfnib365IXfTSNW4N41mAJxWbzanHTBCINN5DKm8l NAYx275P1L2OdKAtSqJl/cdje3HcbaHFOKkT+hkD3iW7L66cE7dRwahPtK6Wa9TH2ACdW9SN54uO oaeHPheuAceBatvA1EDLKvjWLXpUt4CQUviQzv3GSvBrfIJa+vIQc0rSq8F2U08mVBPVs7uCKhQm Ge0kEwbapzDD5PbYgIKhJiQTT8u4Wls1/xWNKx1OyaKnQP+QA4j+6R9MFF+KzCsYCl2ZDqh5/4Gt mpRgeHha53cRweOrMPUaUKDFJSoTZ+6lJSHzOXsJxr6IfTxmQ+POIAxLfyLuI+YBRqvnMMKFQ0ZF cWT0ed6E9IkFxx9Ifv1cXAt9lTu6o8GegP13A1aLBYQRnwDX6Cj4IwA56xDQYIMszTMNap/iaV/5 n6PTtsfmlZm13DtcwWBnRZWYnZ8WGEtNPFtU2FuUCvM8+l3QQEx/W6bBAEWckuH23qPk1biUQvzU 8a4UgYMGXTNefxiyEJeUlP//FYr+nwHkHSZiWaeGh7Gukx6Eg/fwQH8I0zx1bxHgxbF1fxVgPUtA 4WcW9czbZgle/6+uWN7QPQ0dlvlX6QPiYo6WrmUW6JfEMGJ8qMn1npDtJSCydemmLT5GasqR/AZ0 0P6YtMlQnL05EnRWPzkKIItRjjYp7NBTsa2nTGgPVDLy9PxkBjMH8dxRrdjDDq8ZVqBCPyvt9pxi 5/PW05XdM3lyg2mkLULg5138ALR1dj/HU1RS4h7chYFTroHrguQRYypHzm/+JB/GBRcqBBD2IMNu 25P3x0JOW4ujv1g6IqyhDuMcesHn5Bfp0UgYAdrvJljhbO6bQ/6srb4J3HYgIdbJx7ZKumbWAyMx 1bCN8j7qDo5CYauTxQEhPr3U32It3MMzYjiFurLsvB7xpRgia80caOtOtxj6iKF4wETfNjzDg1Ux dPxn98RhmNQJzjsapyCa+35Ghsoyv8WC182+j/x1l/M9j+WCooHXBmDLX0iJy42PwmMtC2n8Saan 7eqgWlOe9f3OKmojGjGEqSBpTqZ7RcJPPkcOB8INImISfl/DRzeA2Y+G4/dt3OjEguy19dVrkPbd OoLZvcyEMOsyC2OWotOqxhXwrKCuW5MTvA0f5eAJFShkFWiMpALGjFBTUSvXu/cL+IsfDINqfxIb GR4MAWavYCAK+oSOpKyNCSMrSnOMGTUbK9OYaxXgoTICMob01102I8GSfFj0PpSRNQG93ECG6Kew gd/8CBCGagtCkZqiUGsFyca+g+VJKFzaetWdWOy1Eh8JmXxzseQ+EWcdrV0lKrSx0MepCB55ygFY oCfKLT1Cm4ITe7q7iZ1RYUsZV6ogGgsIaPX6KnMnHSu2oYT5W0fXnHGtPOhlOqXagZY8Y8WIPuXU kfuSOoEwSL3ZMNuDsYPlf7O8iEp0VNj3hZ9goig/VhHrSYlS28qAP9AWjIb/o88V+HOLIWDEZ5Fi rrNoJtfn/vWFrTXB2ohJFwcpBX1OUij+PILE01id3aHMuDqpydRiwn5zSVA9DK+5gYt3JVw7cMLy a+7ppFaNfFWgUX+IhOol8CQ9lUgkxhCCC/Iwm4vwuXucFQybK48AybpmQQkIYi5iia3WI9IQ9K+v GPezyXe9wyRSoFdVPZpdqAPJvn4vf/EU20guLnvjWLO9yA77U/AYJiiZKGM1TQyVGm1yePJTl41f mrNJpLscLObR8TH6eLbK/lvkKf9LZ6+Uph7AH7d9c3KlYgtD2DPmiMznnueKyVRaUdFmaUpuavAN upqTZn1sALqj8sw/AHS4PFpViHPpWWvtsW3UZGhGaf7ihJL/2sk6lDp2meGtwN8L8rxPim+2Tfw3 L15QM9AYrfvHJuAgfpf7Oj/GXDntP6GfhPRsY+UdlJcg5edZP5iuS8Qu6xkQP0cRoRk+WDQtcwf7 a8/vJlFfCf8f1i9yG0T2RJxUYT58/V9/triY/HophpYNDdFvHHBPzuiTFNjBgyMIA7iNt3f8Zahq zSVfNiwZPZwknOIosnFRs2OGjNlnP8Jd1DLd2bxNplcbMwnGvbiFfRSl3RfRcaP0dddH/2sG1QVi wwyG7ZOvRJRTlcuh+JrToz/pwSYY9q/L6UBlXudJzXqvIMAwPZ0TF5z/CfwJxoG2sCybNRPBA93W 0B1E9aP5Z3GYuLW5MSxkuhFb9RuWVtPvyr8QQKbhH5sTjCoDOnJT4rC9dXW1gY1n+6PHPdXxKeDe z5UN1qLFWBnNKm3UDuGO0ripk3723xg++D/kD2L8p4jt9yCm8e7kR6j2PxagtM5olZQRCfJR6ky5 sahCqwzf6Ho2hGcUn9z15P2u8eZjTj/ENuy9wS1lVkBmuVcuWg3gAUbE9A4q0GLKZ+nbmcK5kXvz LHFCUSrvWnlviGLOWe76vQXVlORWlrUZV49Wggae1soHLFW869OaQy78AWefbTaWuQV4yAiZXsVj yvF1oThBKAWGKqiA6H4az4UxgSakA/GuHHnMiT5Ld4FYozijUs45N/O+rAxblm0DxuPDoe4nCdqS N2cA1Nsj5qJYM2ybtiNoRvIkJSD8gxeeykqciGPyFa81HvM46BNdYuvK7TPfKao89rlJ3/+ww4wk NV6cugPBE132ily1kDQjTQMMaTBR3h28A62Hg0KPfRwK9FoOCgaX8sE3UirxeEdUS3NiE4zIijF7 XeExGp66ByUpzxwBlxs75b1osSdOaHJE6CqZxlzuZUJOsnJ5Z/2p2ZEuk8FhI7CWBipL7eblAB8b 8eeCLVFS2yZ7t4XMrQ13aPfCz9wXUTKFeUBfs92FlN3IY92NzImiCYGTzfvAj6Iwgui5KoGgiWfx aAdeDh3bH2QM0fQWr8UDlGwRX5h70Uu1V92YOmG/btgrp878D/lywYmieHEtB6vuSU6JwNMUdbcd DctZQSBH3+d65WKvd1mPQxYX0bIUee9O4bY4J4aUTVG2gO1QTXb7aXyesblMGDSrSyNIKem1qEFH OjNnF1lPluRtqqUJMYcup58w16tnEEzO7AwKoDDysyKeWfOJjuEr3Pon3zwHQeicDNCQrBS4EGxb NwxmoBevRYqZDIp3GY5nzUxZX4qd66Hvs+yFf1H5Og2dK7RhKKG0mrI6o8uFhUa2HA9Kpqah74SZ axec1rsWdoXYCE4TNrpeX7xGR9fUHFrj87/PXdCeVqFHvN75h+G3dHCfRr9W+YlG4pzvimatv6oT qW0ZoTh0ZelrQdoLQ6xxsVO1fvWlyPCL/ucY3WPWeUSJG2fdLV09iFLvyOeDwUmGxLlkpZOrCxnZ UzATqBa/Jra1gqeOqGdL+CqMXZnc1l4h3jndBwacFZr/9F6t9/lBB2UumVCAtWUoGcPP9vOPxuxR ggthOdXR47jwGa/y3zfWcBbYt87Tqcug+OjNqK2bCBX+ov/Q9TDumk2zpD+Lq34qK4TBxbYXz5sG nb8qKbjRLrKF5roPxtT+qlNswqO+iGUj9FzVkxwfJgxXuHVlmgwxwqraRkh+lEmkXGFgc9jtbjxZ HnSztAsKD6uxzHj0+Ew6NI0UftWXXjYQR0zXgXeAfg3k62KdH8+3LrDlPxf7vlZJoZYA3dUQUNt8 1xBsSVPDcihC0+urWMTCVd6Rn+moG0lSS3zO/XopCfXuADKIDGJBUuKYerYE+gkEOvTa5VKOHVqf tXujwVRN4Zpm5m74NHd1rg71mGdHHmqNguDk9Mtt/imf8dhsaDV9CitQ9A/zEGzBeaWwx5O7AoOd 3++f6r96kk32L4nPBLRBPATpNT+S3z3s5FgLn1LmU2m3Jjwrm2IGrMdonZceucT85AMunl/VoEDf zK7L/z30hnVdjFkj90d0HPTmPYs8pOEaB51jKoflcttQy4KgspYiItRdb63nEaMr0RnaBTjh305d ueMIQkoOIHueHyDsNfN0o+WeahceWbisuv8LO7P8A6CR+dhs90b/eIPpHing58hk6nixuqOOuIpg m+BgQp8PdNnkuTugnIXfRC6EfIj8cIUofaLkuVEhbNaDZEfA6SGjLMNKAS9LMuQC7iD+QtzIgN6Q gFUg4HIBAFkBfYuHIgWyVOOrIXKyAmur7S/YjUoU9fSfqjLN9kcO6WCTzC0vfWyIMuVweBeremIp sCYgB3oV7Ozv/n/SXXBBOkQDQ3BEUhYxYzS7TW+a2CqqdZlRtrOtz00A3vW7qT6ib/y/GLxWsI/P aoGj5K/fjNlyCQjnqFzKaQR38ZrlHQjG/wHfPr+6iX7e3f9QAREm7frxV1Te/f/Ub8wFc/tjhl3I PeVJUI5WHitrjk4b73OyutOPtAyoNTmSt61OY1Mhj6FI4ZqV6Wig+459VcZ8q78fsyKzpnMBLrPO +cUeoMQRz/dgCgaMCZaOw6oGRCEF6s3nG3tXHNJKecvPz4kGP/UMROQevSnDiltV0gE41bo4TkeC 4c2XUEGiRi3fu/gxyZcq9kUzmp5HThizIbbMoHu7ErQ6tQ3nXFrgM2295PAWLeZEaqWh/2WKyURl oQ3NB5vL1gmNxkxC5tP3pFlOwGAepXcc1FKtAno8kWOQfoXa53Vzlzi6pSfLHnXQ0pDvhKhRhzQw a84TNErGXFoiR1mxLxuD0qOt1CV5wpt7cwX8jQTzVm5onorwPE36RNBcb9rYdLNirykkpOuEYWkm 9D864zVzWQiQfdGPYRcydEYSfZTBNeomhZSbycJNlylx9fQ+5F5uOZ8KksvLs8hzPQA50A9ASo0B uvJdjWx1syYTow6q1JGTb7/XAHZiOvvumhF84fvdkDW9UzbfkQvsTEagVmRPjJ+6fbWrCZFirD5f RfEV/SaVgn8lZDFzGYBUALcl19QtLGjS+iLx2JuybHyQ6kzU2XULy70yh7bt5Ybtj52SgCBG/crU Ca2kksvtwhUPpPoqrbTfCuYAXaA2NQYWC44CjlD5DaPmE9L24uTdhMavUSXr+V/XP28kUaMNX/dA P5DNBs3geZQ/GarPirshnicuTd70URdkrOLeWjt77ADVvoQ0Kynxo9uGsZQMD24eDhEJuYlMyUuw jA6S/ySka8hjoCrUEU3fXPUOIDK9dzr7hn7lVs0vXZsHzN81cZogv3RSt7w7PSnQVYu0+1FriPQU b3/Sz4nOE+agqSAZMiXvFh0IVtNFHUmA1e2ZmPb5B6dueyopSiXN82BOFF98NuuXHk7fC7ocM6bh uILBHKPzpowveWQNUXkV17dQovNZo5PeaRWCAJ5Spt96rQknw9Vfpq0st5wYCbRLJobGR+aZlKGp UccRilbrRanGio6DlmqXXZoClSFLviIVxsEpJZKOHhSq45Gyn+uMPWveqxiG+1FGUEs0xI3693a4 0bA9eNLjFom5ZmPHepiFhwQsTHk25KL6qm2v354g6065JobE65tIoFVWo9RRWYwXwCpslsY8HJ9+ lERYGwxS1uuwbTrTGMz/5ztFxVHaZAGKhWKRDQARC+hKQhoXfFxOPseEFBxAMuS4FdR0zD7AOXXB 1YNc3t7pezt4sh1zt7NjO08uOQ9OqrOSVJDwRSLqNH6TRL+9SCABUq0cLOKbYYaCAnWlc2Bd7Ma/ TEPENWAKJtY2/fC1rh3meJT5vWN2gpfYSUHvTWkyfTfczNzaQOFe/mYVKBEx8dNTduBCyN4jitJF r/B2H433Yi8BCR6qWKaZ2WvnWVs0R1ya1d2mZk++JKsRLOuIAG5rEKA0/HV3saqT19ODJ+CHPEOV l+iKwWgJzn6uGXUg7DoMGdQIsoxeQ7TBOcVh1Sa/Q547PxEBtjj+CAG5RYsYOOeYMdL4bdFpFCny c8l7vIPhzYq7M837LkqoXuDAPeF/a/AVtXTUzFkZfFdKOewIMyCbPEK4l1YfXPLLHn9UcLj9G0/j QIOJtfTkZYRZTaZoDUp0cCZfbSMK0zo2qUGk+GiPy+94iN9pZFKWws+0ZGUY1Lz7VkZPLczLnzNr xSAGWLP+MJUzf7mLzelzcM2ASI4ujdu9OIsLLR3JDLhHBN1/RsFwec1YEpvh4Eq8XAcg8PaEvh/m 1qip57/K91p3fwbHikEE9rVJgRelAZR6jQ+xdi2Zn5Sy9XV13TvJ/7PV+/irywwjR5JxvlIfiRqL bJGfRabHR658KzEmnBwKonI+RPbF1B2iET4iA/5thTPkIl01ctHV1p1pJuwfme8K9Xn7daVodJwJ PIUKmivxkmkZRXa3frnpUO470PPAt+IwX0MxZ7xSRLJKWEKJLxjAalLYLy5dh88JpeomRPm1uwsC qZNWdwOS42PAgAk/vq9UtFHg+3wi2cKWiM+cY9wOkLAN4KMqNVV6G3EE1Vc76wf2nij8eJSQNqVz 69lx+TzJwjwNAF77Me0uONz+d94/zEzTKS397vN/PArkCy7V4xoKnbRKvrS8BYc1TBLueKFfbKNr Bf285PScsVLe1lvUBdRJWQBRtwZr7LMSvE37FxtAdlyqsoB0mg7slGz4aKEyrZzi/dU1oskLHLRI 8VqOuA6Fctaa5BYjgksW1qzNTBNoIZwGsBwazi0z3krsTPtNWB48bknIL+ZrIyWPfmKWCrXhIRQB ALZ97TOFAKNogt7C4rFRDcHwRa47e0tPESfzjJq5LY+KKb55/BK1GJVUILSGb3ganjelsvH+uftq cyD36qOwa7nAdH1Z99KwWk70yXadvKKAbVM81iYCj86oFuMjl+UPjiekMNi1W4MsMQWEEzCudXZB prONx53h8W58CT4OwSkSUxq6Nr77eJdk+UjCE7FHVyhkG86bF90gSryLWPpAGVZoSfXMUhF7Bo7h P3yVsaX72mDzczIAh9+WS5fgBRviR6nggtPDSy4O/CwPZyeKA53AbPRUhiloOHoVqRZO8oHGKPAu yFZhBc+TUd8LSNWeQSxO75rwQYpn1aWkwPHac3bwIa6drz/eeR6+Pil6nxM/MKgLETTvGn/pbqtQ 653JNjnJUeWVIc6m4dPHbKio53e3MdJPOJ2R4kWTqxGlgDvA90LZFu4kzs0sZtvaRPNJ2FcyzaDq OnZgrTpf0HcdF0o9fQc6TRu+aoI1S+cUaU9Myo2QPxRUV8sA1osYvGH7vBVWByeA10/dLK53Ggws TtUnUA4zhAvX4MTh2ivOVbzkrZ3OY3vb0Ggcivtwjlw0GdRXdFf+ucAYVQ8xw2sarng7roOoOPqY jumZlId3sFSQBuQnM3RmqrmNdIWuhekIiC8NzhL9qw2Mego2lJOnGkVBqsG6R5gsQqnAjr99h2Hy /oezIZjwKImUilj+wnclp1DxIPIMzNsbRyXRm5Xap/293FGOVuR1Q2Uk2xWByGnNBwylNy0GYoXW cA6m+ilMc9LeZazvcE2j6sc0Izef0GjEOhXxDRLwIsT+aNZmIG5tJuqfUAk7MV1fiNm/CdJTvqOq UQsAWlqQUPwXtQOCYuTYepdjz1xK0XekEwaurTdt9sICN7/Giq1bbk39dK/5hUCz3/9lfYEhHuqJ ldktDr4ZEWpNn5WRwFA1uw0mWogtDOexjE4FGAFIFnrtOWyActlWsZPM76Fl8/8FJu9xON3h2Xue HbtZVShnXX25RZyE9Owkk6htguBAS56tGDsMA6axgrSkeuYkBoYXkrDafGRmGDx7AYSGIXe31UwR 3o43cPsrNST3ITiJHb0AC4qj8FslJqstZkbdhfGYCYJ5Ccy5viX4HOg555ojidv2JvIbksbJ6Nhs CmdlszK0jbep7LBfq4jdYqKySMXSjcNsedOWHePurFxGiiYr1lVB0IySTpv4NsVpvfk28Zpu3F7H /zcQhYYSAQQMeCDKLhlPAmxwF1rvsprI+C3YMfL0trpOn/82wpKW+pZ6ysM3prznJzhAqgyFYmwO Vgn/kXATVdGf8+xjyXeue3TJBtX6LNFlyd2UGkmwbVGg2aCUJpGP0gPRW6WMvFaBxAbhvLUtoRdt lrAzWkcD7aFD/eU94CrhF/ZUxmAO+ijtE2cY481s2nXxvVtBjDnzP+6YUxy4XOKfB9fVPQ5qOf/T Ny2wks8ajfjJHR9h+RxGPOMd0Hf0VMafXvPALNimHPKwCaVkUgX3r0t00bBOcAOeDRA3qHiGxYnd UW0rGadcfiwv/2eyLoh/F6Qqh/6g+TWEXur63QErkEDl8Vn9I+AtEVcVZVDAFx4nU73cRHP+jyO2 okgatY53HyyEFXb0PLOT8WQt7e/AQZrsSySBkRf39cdPDZSyQ7rX8jZ/rMSBGm2H8+K7i/qjFMyu R+jX8sRdCbl0NV/rW4x0B2R+NLISAO/GPOUlTdZBQVs3GWFYLJHkamhjwZ6/96yEULh4Oz2PKjkE vFNC/8hKD5bNZ5Cb4R6vsx2Lnv0OT69vOdX0XLzYDi7bIY0AJejDG11NCExSV3Ukc7QSvSNOtTc5 HBgW7COs8Ss5kCgAtsvHO4E2SxD8pZvKQHHTZ242VUesIh0CGGVAGGQiXLtPWhyV7OIiL525IPrX BB0u/JtiFeUAp/5ib87Yo4f7Yh2rX81jSDeHkyeSpTm9aU+wmpepK0nS+IS0fhlxdoGtNhOzm7Dk cQb5xMm9uF1GHnhalFCg8Lp+HldOi0Sg5RrsMJaabp6eYOLXTjdyRT4uTXEU3PbFYzUk4iSHJpTc D61GeqbPz0IgbknI8RDJNKPRlQE8IVhVZoULiJu02MY4YBE5CNqrxtUYgp1cLz7iEZnf4NwXVp40 Va5yO0WIZ1i/yNC9je0eNHpe8xP80HU6G8n2fSih5sH16NcoPbIWjLizCniC5mWDevW88rABF1p0 G9+/X2IJD1J1uMKgfHLZ4epIA6M7bFKBoqV/7HMl0qaBkrMPb+jS0O58jbi/twQ5u6VQpnplGmwD vtYHKeOXbBXLUCvjTl66oTehF2pDjiD9FzGsyJqCJDrmrNblyF/KaoYniIzcnK1XKhtlS4NgLz8n hupiDV+ov59B27dgG8N+BBZEGxYdyXCRV1NTAIlBrh3tVqYHsJtMhUvE7SPBhxYU8N7n9l6Fh+tj EUITWp6Hl/T9C4a1M/7J0nJ9rKBKFkI0L5ABDgrmb5IfVUmxrK9uyfOC5vE5oQ2UPvlP8kd8bTOO IUNeYWsajDzbsFzYFAK9DRM2tg1jh+jmcO5XDjoPWqJ8X1ZKrcnsqeuC7ytFoSuMiyIC/hAZkS8U 4WgJulPVHk8eGtVmJAFp58sf6ztM5iWjuADfi94dxxp66opnl0yw9nCTj9Fya0ZuFZd0U/FpjOWD Okrb737kmlq7wfoS1XcbDxhSw+ieSYvMuT18WWCUI+Fwfk+SeJyMusRotTsNSgK96jyPFgAZMWhT f1viRFS1NfDXlCoDO3W8HB7XMry7CS8MoLH8wTei4G8Bo5SyMes15g+KgGqIQLrrB+KW5PLlS6Vj glT4Em1xqPado6n3aKxuCSCnnse1nAUqM9szGv745bqnQcW8oshALULWfZc+nOh5xFGUzLFoS6W2 lHau8myouieNSl11K9TQncdGABMMU5EOfZQYlyIR2kBRjTSxXJ6/BBBk/nmuW0xbxqBEKlu1Z7pn q/fWrFhQ6DqGv8mif/w3+YzWgdp/a3ZD/cx91oeAURAmsY8TCKth4BqJE+nbTSNHlo1C6eWtOWpl Xu1rR1GoKhFZKE1JwhZtkAfuDMy+C9V0+v4ppvlN+iPHX3uepJo/fJUoNYwsGWhLXaK7606cqL6e IM5cDHLX4kMyauSpTlDYlGjkmF21LxV8Q0fJU1k5dN9OW7lWYlVsxoPhEBoNLnqPp+vpY8kLnDIC brMprmqylWNV2nH3wAue9a7xPvr0zimYOgxwwTkY1CkQ24lfdKwFQsTrF3wi6mzffV7jDaS9PtMj dXWq57n6fxI9Gdm/3ZwSGKFPS4j/V2oA9GTUvSgPUy7iQWku9rdlWXlr0roV9NYrCedClnog5nWX U5e6aVyEPfiLSluF/pyg4wRIDjp4x+xfdT614DUPoPNE8D1juaV04z7FywWZMxyLhSe1Rl/Sxvlu U5O+USgmRUvudqGiNJ5JIHx0Se6DOVsd89MCtfyN2btGqAqY5ZghMPqt8U0QJZ/PDPucOC3VounR 1tEyyOCMpP9ys9IVBQdP2NdDyEj+Sz2i4Rny3s9do7jDmwsmfK6UsmqAmhznxCayP3vVgxRaljAj TpSjPFQM8Ix4qWXHJ6pYX9kcWY0+PyU8a5a5wRU/KTvOCXsBDQl/bvN836pEOwnzM8F6Sztg82Xm wjX19F+zSJPP0eQyORn2pelx8YTu32xm9yuiNCSv+3B9UCbobzqAiuNiHtqz8P8F2INo5QcIMreZ tTYy1X+BUhtej5VpGrAqi8r0qebVxFzIm7f8EjAi3CbnFYLcmajUYExPXkW/Z3M2Wj6mQx9R3WDt QSE/cEBz9izJ0hkOlLsSMLZfCzMHiZndiXe5o0xKTvD6pqxgKc8AevCSLreaJ50gP514p7i1R+x6 PkSdLrdc3IXw1cH2ZiIJmnmjYbypIrkA+FwPTH4BpAKp0HlTgNEFTQFfkdrhtnYfdxlMlNhPfPzf Oin3wxM/HqzM+6/37FAQbdcVDET+c4KbVamrSIulOcOTg9plD9gG9aLuzFBQqxwCPNO0UkDagIpc bKEV958K13b1ZHmKaHkFa1JxXa+B5+5LHTGwC/seTDX+2MyK173wNrzNXhbxfmYPT/EwjYFDPkOc 7k9mGZdT5s+7e7/lBRFXvO4yX8Sx4V/wbOm71R1DirioFsfSEQj+jyB8j/MbHqiWk0SS+tPdWWQj Z5b+5gH2TAQO2veAvrn31nHr/vj2fu6k7xPRw102LfUfBoQFIX3KJLf5QSpw/J8ygZtpSScqITJ9 fDKd+LcVEOUvZWBDSSAQ/Uulrvyn3Yy8qrqjwryZb1NE7N/YF2HPSysf5V2/rUEEZTBly8X/tkDG 5VTYTgG95k0Gzz8CovZhtwoqBFhCecFO9Gi8CZX2YPVIZQrbWapUsTChsy00aIjzIceRw3/UmDBE WUgAlJaltrzODB9P6bvJXXOELPBeJSXv32aL6XpuiEpICoSrCq2P+u5tk6wlZre+Nng0JzFBmSDU Y0MZsonxfIk3QRPPlQe77n+0NzSY1BQsYDZl8QUMc5/cbr0HR3UgLMtkLMd0bgsqVBsGu5JtTNQ7 Nx+rX7sHKX4k+sczijhPbXeWCCu0U4LUlK/0BWc0pjV1c1Su5AibpJSoyQwt/o3aVZKWW5gcj0ST K9XUCL0vC4Swn9N6AX/RahP7CqnU8v+Bw3AWqxw7lsu/I0mkOzKq2KRQA7qmEmlrEuYnCHdWBJPu vx162Xng7fK+xZzNxzO1NLx9hAUOAs1hitrcTO/EDzAJEEe0S/GXO4NAEOIYKG6VMGuTPkT2PlS4 qaUrG3akf8rAtsBbP9ltnOqdqmM9FCHqse8A6orx5X8Sbt/qTiwuehXEikeOxGuOPCHouCHtPGAs Jz0r50hfOP5iJ2G2m9o93ZjJ9PNDVEBhSES/n+A39Kku3bqIsWiXhoK8ayRKRLTcgbwo09rRMkhu WoweH7oAeQ6RY1EDs6KFnDzXfUc60Wm9YuQKx/QCMVq+xH2eeaIDDwrLAcJ1j5iVFakYZyle85o1 2Y+t3y9tSeWDkA5VoGhrhGxpRgMV5AR9akHa8UQK5JKhhr1LFY8Koj+vOAkPonPScIMSLiahIlJx /534ucGEAEOoajIKHz1JFcAn4Ew17MsRN+OicaNaIg773jCOfDe6zOcP+4yra5hfZ8I9KXFqZjUm wjNOw7U6Ki80HmEpl7ErebAJWnBWmBr7ySOjTY67CQthx46R7miVMyyXPFXUqYbKGqUoskrcDS30 82WZmOpQrWd1lSEdemv04kIPEdiJS7D58m7npF3/X0AA4HquIul49gukr4ThpxjO7QRdNwr9/2er R21MhTaCL9+kv+ZjISwTObHlDLUAk0P4TvJ/gadIypWm5EY+K7D51xHldmyvyw+eIPps3CPe4s6w xRM+1Pa9KtNtb9PEBDvkDuRqtudpSasaYdcbRZVU1MnvBcm1GXwuq4uq7gh9nEcszSzSqIyrk+8I 9LY6GVwleM86lTbW/nkEhz6NlMUs7sYEFzJyNXZ3rDpB+BFCUaXFyyUmwiORxNx+lfuWpNboxajN CF4vkKmhbmeEanz6aTZnVBSMpQrl9b1fMoJ3Xxt2bcMoaiRZ+l7i0NeVB79UkpKTbwaiKfTlITej IACI440cOiAi8WLUMpj3/ReT+RojwHTEHBjVFrT892zD4rw0zswZS8MWABjmzas471DJgoKxHd5g l8VkLCcdD6xC/gP/cfjDZnMvsZUlluaEIoqnBiYhYEpwgpL1wn+ar5xr/gj868T3bF+w5Q3ViBhL xjpMfxItslZnKFDZe5UAAeEfE+dC8wBdQuqvXp5L+1/dhUJTA6p3CcAyCgie1CcrLnlDYYCGZpVI +WmHQ+Nvq0kTSku8cGQMOn1WVeK1Z/AzRWZlVpyKe9yTgKHZk8erfwlpiFZvaqbp+ak7v5kabmBJ IQUVpxb6i4TPN5tG2rLbh1Ljiua/f8NTCz7oRaUIArsRedbt0O5ASCOOf4e7+S5jKDDCVpTEZuYN +hWq3S3LyaLvAycBN6TKqBDr34vk7rJ+WW3PqRz5sMHGiLXxTEagY7QfQL8XWEnAAmksq1FW9/5o +/FWlFyAvw8VzxzHeg0VwUhjjpZfrnlCfbN04q7VpSLjz/LGoj+iRDfAlFQuKM8sFszZCsLwCZs7 FDls4Yyd2VccpoU9Q6qQMVyt57Hr7OnkmCHMwTA/+l0dOXudQT+Wd0VIimipEgufgVAalp4ZL7kj CRGXR352eX/DIHyB6SMCxHxWPwUf0VRla3YuNxXBiG/slevrsgWO9ryGuxlvsxmwDzCSYY6P7T0H kh9jyUVdmdhmhi1cdgIOxSx+jx3dcith9qPxamQunmUd5wuxaFtTBZQWDfR8MYc+Vn+VrEZOcld4 jHfsSuI1R76cSj8w6grswC/KpMilpRsluJ+uiJFJwxUmSoub1P14aAkkViqPbKb4HwsGJtoBSDq1 BK2vvoOCQvcRuKOig69htzEvVytSh5M2/2gt3zc/mFOB1xzSWsa8jCbGdwZJFXMBChtl615psLDJ r/g39nf4pJ3GWjdmVR8LBX8gN1uUMcTV2c4PjwXGabFOpWUEUAsocx/uhaPp5H089EE0KjWI7upZ 2Vs8hELUAT7fM3ky9z8EHLSJg8U/cS32JKaCNE2uoRWKNQ//Dg4daILVD+Amw6Pakb+hgIyB4AKS j+8M5B6sbZD02TddFeLunwKY6uIKYzTva5fv8cNhyanUZU8az8Ms9PXIX2q3xonatdgoKzuQQc2E XJmMnoBJtosOFl6OpbAzZqcrQQkpwQaWoPeDpxtnp6aVKTVumSxuBicWc9IIdTJ9S/Ezf/l+l451 8n8dHRKQs/Mu38EwCtKDqBhYnycUr1SRAHwSouzG5b2LTD5Z4VemWkuLdiZFfuBEa3YWN2YhE/9t 20H0YLWtb7L6F+SY/fOjebXSwBdqB5w17yDZsAwdi7kL5oLsFtiGeg9kahLVFRsNF/UJUHR4uvvf VewohfthvNNpO/LFhqoRJ1sMj2TmPVIkVK4e24GtxGB7e3leFfO4YptRVavyVpB6QLr+0MQB2Qm2 v57Dz14e0fZwsSfacNq0dlQqaHaMS/cYaFybKPBTSilfr20wyfyPyntwYUz2DrLfl5zn16LYjVff tVT8WmGeGqQtl7Vrq/ob2Ik6dKEjFBMoYmdREbIQ+IkjFjMQvFj7Ws2Giy+NtID/aExrtZO5ImrM YKK0YsSEz6YLPHl3sBupNr2K8pr5iMKetvxlivycXKLNciT6ByOAi1z1LgW4WNNV35l1RyJaIHFU pFMmEgmWWWmoKr8ZX1DJ0lRcxjTwUNHIukZnwaMqwOVz/bxyfKbUVfevYZ/SG7smgRZqTLyLVnjL aG36HPcdRjolp8MQ2166xug9xnKjFuYIrqS27tFwUV9ADkOoCYx4t7S/xOeBrf3y0VsWYVNg722I BuewC+jHwISRZgyP2qpfN95cwoUyfACZNxBwWjZEGF7YZF6sPYB4dwYDf/ses5xBJadAcwReok8v u0qk6W6AmAPAPwCVUoNXfyi4asV3NrLOTKLvlRLzEJRLQ1OWfS98CuNZNhEqyum0cYFIOU1TIEDf EKjnCzN1CRK7pVzf0tvXx6PGtmvVyZY3W1EIb79tqk+GDSbev+VY7U/H99+XfC6+KbghWlxSCyiH ISW2ZgqIbW9LMGeN5MCDQkeTa/yiQhBq1Ddyiu4H8SOUI7D3O4CJaZoLYkTMvYsb91+Po8cSM3kP qmJUZ0e1JvavF/17v5W2oLp4zrQBV/kKOmoRSfd3SmhQr3je5T5WShil4MmCkRL2WAn+2L7vSeQR ODiSND4gwKGZc6iiZpz1EDsWpfoEcVlXiEY1ZThiSKxiGfC1LZknHbgotkqPy80+PIv84lraaOky TOrJfVpcjkYsz+lhAnDTarN0C7cPLjrfowwg9yX7ng+JQtFgLl/hdBexN5rTsqBB9PAWMrP2fD// xYLUqYD18hkwOxXqyqnTW0/aEZn3dgAOd6W8uZCOgOM3mwrv15vYV9WBKdbyP/lVFmA7P9D1NdOP 5/bZHHagNOf3NnLGqU9lM8QQT7FI7lTMaPb37lkBZJd2rB5Bt/mIztto5uuIzMb8J7aJaohr0EhN tJyLKuv+6Lv9bP0zorDwtemm/2piLpI63nhCz5hsciWw5vNdSdt3BEtoTTI2xmCj3VfJFpuCnsbb mE2/Q3TmBtsMMEcaxDrZc2BHc51EcWc5s2KqA2mC3ZIILhV2rOilYSSXNrmurS8yR/MchA7Oi/bm 0wK+vDcqyRaKghgOoE7YNfGQNnu625pokb/F+gBMLotcAktyenWV4MIdyo9CbfjopDdDCaV6YUAd +1VA9KGjeWpwTY5eQW75eaSvz9pOpPem4MxD/ObLZ1x5WHmlNx961f1ayEsQp/fq8Bfr9FyB6KxY 4LaJs+Kkz+Qqj/iANqkqdtN25umQstUHwu5J3lHuBMo58KsKIcps4EYAhbrszw79TvfPYso8nQQs iK/DzrhoyBP1SJzs5wamK0R0+Q2BVP04/qlF6LiGEmHJmGONogrK6BNriMfbON1Ss71p6KPyegBc BsXZ6eHTZf+/fYxE80LpjJV0jXXSprrRH3L5SdPWGc1m9wfp93dEP20fxrAdqwLTe6L4/xt7NJrI 7YHSAHCRtde4rSUJXSDm0gtd91v4+1PsIqJe1CBlWy91kWaNLIvjZUUkCMu7ASZO0D+p7sYJBc2C 0MUswYxE9HteI8hZ9B4XSUUUGurQLmqiNmki02IZJe3K1XwDzPcIhk30KCUkaOOcrMzNDstl0iEu b2dqCVCamyL96I3FdREPMn55WnUaRSIOnHhWjKLQkQdgVnLPG3Bn/WvfNr0uo0d0qSlIgzbRoHVQ qb0bm+HxDAvahW0J2IRySFhLAErpsKDjL/ZFlSDAk7EQPl40pS8R2+G9qmT+JmYSEPXquX9+5Oxa kv1fD8V7HbaMuy6TXyOUwAdtOMIGZ3/LCmWALceMPRxGUqJg/Vuc3D8Ev4BhU4tLc9zHUvMSQefe knfzcbCDEiW6/sOIYCsy+avsAUDd8an7Ws5DN2f5tlKJ0OLlv+3/p/GiSHbEf85d9IMZmWWcbe8q w/DFF1r/yPWPnKnr3IJeVSswq/imWqhRJfWtjTBA6VSSiGKfMJUXQWU6OOtdRWxaLe2TtJmQdO3z Co1gnKb/d6ZY4oQN2JWXeADWimvlKiwRM1rEZE4MlnMCFPQpRr40MGsCvi+WQElYB47CFYcOxWxC QKGRkz5oeSh5ULEAO9gMYcXFmLDk1wlX5hX1rW6pooGVf4SeCMnE6N7DyqL+c9PsEFH+nX02Vm0F WynYliOAgxRHkQxz4DDfNhm8/8vZAP/uSjsykTv7mMgBU1kb52NdKbKYJ3tnVu6MR3Puxtei35ye Jh+qLMpqHYIu6qg9af+7yXdPwg0eL/tRBOGRaCPkEIEZ00jKdDHjT8WbksVYOVCmtaaOIknu7t4y VZb/XxlLwzK5k3IwkF0e3YTDgQ+x1skvj7Aspd5e0J/lxicho14MTB6VGt3V213O20sIkAqebFi3 uMvlRDvtjt5OPUtrLV0zaPX85dCIKaxOVwDtq6PaSCj+E3Z4IiFm2O9yUEor4BPMahTlcYFziqkC YJKc/PkbS58wh6WhoOB+Sv8QkGEDAncEPAn4LFmN5OitNh1VYtZF1J9h5qpmqboT+kcUblg8uVC7 84RUHF3DbEtho+HeJ336oIL0nhggJdFGgqNwp6Q6UxCuMNhCCIPoMm2sl5cNsY3HDMdUzcgP0Bw7 76cu7tf9+0bltMqGGoktgIM6WSpH2sNgSHlzxPkaxZfselkMA5F15iAMR4Z91Q/FRC9slCkqllWp K1P1Zha/LeyQRGFloM0LWbTutgJZUSQJIXViUtS1nc503O3FH0Hhu8CaL5Ea1Ww6oKKcR/ZgCyFw M+nGUqhxKVFdXHkxAxa0wIMsrtrvFTmAOjgrPh8Ya4SkfnKoRTVBPyHqH+IzbT4qEkrNqE0VGhVT XtyFD4nHoJqoQk68Fd+nYmXri3sDWTh5Ncbq5zUrxamDElrk1KnLpaM+ti46te8YD+2Lr4DGTXdN AWFieop1Zxga2UbnpnLk/KdC2bfCbjlBVq2ZWfnfNKYvBm0aQrhNrRpEMTPpbJsEJMDNlwoUXFJw d9/mWrOo68WlaLAr4fvarg3FCNIrN6RlxlvJvBHVfit8rktUjLBWiUhokFK13Oyc3GHqpz4QPOJx EAnFGyH+zSWya2FciWC5JceDavrKJLBh0fXbnz6wkTZSIdduPwZ0xTYeg0MkJi2XPyae6e60JCP9 LcVaIJTxYWGKHumfzBavUb60FhEO9/rbKJF1/SEuyCaRPgWLLjlDm6DEpPZ6GDuPfZwbloe5w6Kf XDW3SEOGeQnMewPKuJHaXjrY8Ba5cisFnbiYNythW2w9oSuzL2AuRhAJ+GtVf/P5JfTBxiesExJq gSP5HIpnSy1hB9vlB93Vj5z3drjq4AXCtvrLue7BK4spcAcmu19ZkFnRUt11HNkPLbnF0p933eHe teIkikf6kugo7yD0t9WToZAwi3Huuu3oWccdd8NtqApkP1XkSkjL841+GnkqtNv9/Nma8A2Nlciy 4bwv9vi0nWXt3nlv6MTK3+aIJQ7CZVQB92fUl3pGngWd1a4aG4j97J1PkXeEFJTXS3COfez3T/89 lirg+J2s7b479a/Uv8XXLv/X34IfDSzRD7b0Gb+FvcQlohGZCwUfXDurD1UT2yOdmBT55uHka9k3 0+v9GtroqvAFO8ZxMsSMt2dME4GnKV6zuKotprxalYz6vW3R128xGEvgRx4f0tboOkFDIu0DpEd6 TS705r3WCOYkNskxraWRfnJg61kI5380eLFqJjfmZii8AcR8vxXWddLJVxYiF6SYqA8bTsa0navG XPY0JeO2Mn+1KYLPMn5tYo0pKmt8cM1Mjp0EgjG5Gy7NdlznhemWTvVOgWxxUkWGSAyZHchsvTDd RTZGD1N8ovBJy9AICHzvpp/Dp1atXRHyZONjR0ShVpci8z6vmOokV+DiF2fXagGBiMNWtBpeP2hK JYxqwPombt54kW4S60TxSsHJonnrF8D95x708ljgNZBu0JX1/Pe129B8N9YCr2OtJfaOK5/NrAyv DzwB0XEOeQRmPpDPnogOakg7mtFvPbAf2TLZbYfDJXGh69Ewpk6tybVFjceSjau/GcAof5w2qW5r tx5pLSgUA3NZVN9QuHLiCx7S+5C5KaeE2UpsReBocQ36OAM8wmy9ueIAeb1s8a3D5hHP911a6ZFg 5vum5zafDRVCNzarFapxpc17FNTorMCL/4TBdxdK42sivK2z89SdnNKxtWaq4fo102FYO4QoK1wU XCpv4yx/bYHBlVauIY2j7DPfQefoE5Z1q+N4eGRnuXqBvBTp9ENz7ZgDGMzHcde1UnsM23XPMPdU +0N3jN9KZoOVs9Taea05mSGoP9FcntUGiKNqPpZ1z+ThsB4jwdK3woKDfsgmEIM2ycKbBgIysRuM BFow5Q3/XXhM9S9PiU1ZCcODpItShwnWKbiyKkv0SVhe6V0Z0ir5CDw+XCQkmCaC9m1hfqVrGNcZ eiNMJX/gnis8zkHd1xvGZZm0WI63tcH4grqB1c+FtZyMATQMI9F22htniACze3JxHh6D12MjVnys cNYA+IKflmEO4y+AJXbE8JvYQIDXtQ/AXQQudjhMuvUwQ8cMKRvlhUNa0rn3vxmaCLuHam1ZQ8FZ GD3BPQEB8oce7s3k2610ISQGeesgSyHHgG1+GRxfYMr42I99AJOUrIeV+0d+7QaHxzyMugJQsnJl y4GQUFGet0sxPiOIS3//COZHyJdRMUMVoTo0aiu+ucGaO3zkf/9W8u9UvmIB71JKNiVRwj3F6Z8S YaG1QS0B2hlfg24w/n61TKkELOU267MerR8p0pdi/NXMGcBEq9i6NJbAqtKGowCcTel/1bOdyr8j e80r8dtPGIS31RoF0Ld6y18ccXmi+HTfVU2A2y6o6k5o7jehdxR9nfbl6hUBWwe5vX7gwJXla6Xg XD30go9dgInHQyUe7S2jKq3EnDweQGuni9gwwJrtQ57LMuXIuXDjywgtcBeuGCWtAug9pdcQ5CSH 1EEAw0xrWd7e0I9+RG+hxFfzupX56zcxeDAc6TdBcLs2qKyH6vaXg7Aj+ekmDWW2GL4nhnEqbhy+ ShuQnW+Bbq/18NwFbYCfbC6ZllhHwVkVM9gzCn+HamTSO4cv9KYUHQ6DpijlFlEhXZEcXLBMCQvt GRpO/3i+Awpxwd6u7I9AxVr707R7U3HdjXlIdCyx2DG/dx0w8pkjIkRTmHOa2vwbaksOqXrvzhMH XXLDD9viR3SkqqgJQKW5qO8C/3oahQyQOjc7HcPOEJo4pJ8aJp2PprHXQEqs8Te1x3RkmoFIPkZC GcgS+wFmRB1DLDUdEF6TZnZ10ii6For9XTMS58mOARVpt7J5/Qv6MfJBy9fyeQXvn5lrGJhqWH8t 25Z5cqk0VzolvpMpmO3AbzfcLOT3LpxOQaAheWCMF+J8HENkI7V16IeWb1WwzGKoLMgav2BO+5Pt oLO+tNwlkrM3IT+OMZnkV/IRgwplG+LOGNnnP/0cWTTVa8pWiZgYF8OImXuhWT6IvUAXHuY6Hf20 7dpst3NjSRqh7f5dFmnpOgEI9FAsnW1+Gk8iABHRqRipcnvLes0qc1VuvMrYOFJXBZAI4fKORunv XDZnAaC+Q24KZhYS7LConzj+RK8BREAiBuA5080QTLaGDqy2mfx+qpy/A+/OmtIzYXJPoztKMZh5 cJACQPd/X0vGTvvShVHha9LkSKjkgMX3GEv3a80f4FlNgvlb6gsohqZTjmnE6HJjWAiu/BCyKF3h NmFQ21ROwKX/yO0P0Y7tHOGVxgSxvVIB7rvafUjah40YABTOUZU/SDX+qpxHFivKLCnxk4bZRb/3 s/PYk9pBzgHHOoiGoI2MQwqamAGSIaMotMePy/5QYA84eUfEkXCwUTXiJQ9MiZEMMWf1NObxBTW5 GD/4N7i/cFwJPCS6hiBUo2mmp2UQ9VDqs2+RLNFGux0nwYZ/tef6IGZl4On/hp2VjCAkmYcno6wq 8E4/Buchi13HVNGHhJ0O5s8dHpH6TX7mZtgPjiiuUKMYXOFludjiRU97q+8dAOdyrtH9yVA/s4y8 Y23TotEOPDZ5VHdC1P6WnCNZoyo2FNdyF6AzVyZlYHyuQIu8s5kX/2BIPSlRtLTW+c5Ij3jHQgie 6XZMdY/turlNeIAJTjs13zZt88GFF8WXDxg3rV55UvvpYf79Nu8P3y+DpIwG4BamI48Xc/4senmV A9EOO9qJjoh2cZ5iKYrH+vqoNP5O3EiOrd5uYz6YvaGNiFmfHsJx7/0hStBnU5lScYghyD7p2tML t+cbXmfBw0jSZUfcbxfwmTJ8PYUIbU/XCIAGkqxQd+1GExVYoNAYVo1qgELN+4dVsy22O/ggwL9G Hl1YrmS/PpzEYZMmjww53BQqhhVw6QEvnFM4Uq8LTsoXQpd9kRT+4gotmDNy1gnJtyoUOixBuy5U oMBiqCRWtARQRiIrbf8Grf2jzCOVT8FwGtfNQStYeKjNY4QD8IZcoAp8wqAeUA5lbveWGRaen7Jd FoQFrVEpWw/xKBbKd5hL896ozp3HNiQ6cGjj43QmdPB0eaQh17VQt1B+jSGNDS/PmtY/4F5TEjHi zSWpPxKzQ6fMi5wnnMpeEXxCreEkeyWanU6tzs9HYk/jqAfOFWxrDlUge4Z97XqMjBfyAeYjsyZN vGqwyQTCtikGm00hE4eNWW9XqTgO1J7OwGvt9uwDc+QtkhCKOevSGJS5dsxEhkG/MbwQX6nL9uu7 3jyDYsXbfxk/dNUKA4yjSqnuk3efDdpF5vVSo7+oi7nRCiXW1g2oc4YlfUozR8U6uPLdfaq6kSAg YObiJfAX/U0bxz4OYXTzTbyfeESvvsbK+wDjfHMRQq2lwd4mq5d/Fx9x6Afv2QuczfCanQzM6uD3 2ybmR/ZUIwI1CdWz/GAyox8cr3vT7KX9yJXDBxJ+fvKNJGsLTZmq7my531yglfB0clQF04wFZqUa q8D5z9LNcryqEH/HtbyPrjVR43uOwca3+XzSurEm8rPQUYSxzextQvj8Sfm3rh+PzUPr8l3b1QSh uLqxYU0l5qLKoVQ6TceGQtAj3VJ8yCIiSk+if82QDAZJXMFTSoSjaIuf0dN+VeZfvh/QQKJm6jHA H4sTTrF/dr5+TxFeCdHZAaVwFHH127vsAzHjZoidbjAxMOWugNZHqMo6hR92HivyGaL1cQkm6jga T2LOKZVAwmWX9/3UdG97Upvwh/+ccTZiWGz+crTGOlEV1TgshNhV12o3amJq9a6sjB6SI7D7Rr2y lTcVr5jWzcLUPI26vVLgA/oeE9ZEuJ2GCQLwwjonGhbrYTgRaNPfBWHvDfY1knWT8JPP39FAbPl9 f7Wa8Xizxd5bw/TIm2ZB7draLlPntDqUOUZaGxBXdq7G7uY9mRB2PcTA/hVc+wyUssmHK6vbQr4w GE17EnmEhBoPyxmWkiKlDMTi2mceSitYbOlgkt9YaJRco1SMT78/6JDFfnPRCqnLiCuuSQ2RvzVQ fBjMERwhtAcf+1pqg9sc/8sfFga/EYGlaqWnBaMMm1G+maEC5GtQMIUuiCrNiO2VEc2t13TSFSb6 z3gw5IlUpvq+PcV+uHv2UUAxuVg5TzQg0iUZaR/uU2oWQgwR4zegUIUIDSKJOjVbM1MB4zSrOWzE tt6W1WVYOnwkSrQw5wYVXza8zxSjJZ2Qo/Yp/SgqZ+UQUhONVexlmOzRlDvE25hevul+eeeUq942 +TSD7kznST5L1tqGwaYVM8H4i/spL3pDHjw7hE10qZBw9zZ1qIfJEQyNJJH1MNW3XRXv8Pi0gece io3DWMHeD88lgP1rcXc6K6sohfkVro+hSNVYXx07bh9wNjoV/9bpRd4gIYKGkmKYCys2ttv1Ihbr 5GSWFLmmD6DZs5/21m+gsPiCrv3uJXijuAbK40wyPyuOwm/hA43PE0Mos721vWBKFDmYwcvUDLZq IHfs//2eZ2rBKIIe3qqAIetUYeM0na/HEAsG7/xTIfdnHGQ0c/0hDjjzreCQMLAIcdZZhl98ZMn9 xxkD5QVvvKj0wN9S/29sdFfJXcDB/l07Vnl5+AuE7eFX7fDJ1uUX2ZN8KsDMKDuGHIxTNaoDKRt+ NZKoQXge9zcYpFvJt+3XnN7gQ2KLHbycVIgET8SmNsCcJ6wsKL/cFrb3YIsrld90yu7+IlWeKNEv qSA+chA87ZPvBdPtnXzNg2KhS4AZovZ7whXU+FGhVFYcz6xIlkXRqRinrDaneaOmS0OglEwXpu8A fQQF3ZkJKvxMJ16LYPP2E7monVm3tD0iLzvc5abnzrVFlsjV2O/BAGvywJY0Gx9JG9cWLI1ziDfH bVhnGjIc4K24h70J2hD+jBRm05KdmnBzPukWvR/zterPf0tysA5DLS20NIueXEH8XEStLhE1pNCp YvTTVh6G4/tyfnf1Xq3F1kQubeBjuovsaERoHdoVrBGLqoTjPJSadK46amvs+S7Rc4jkb5iIZYRe oKnFmpgGTYPvCSWO2jB4RgBe6ukLmZmX+Bzj7YUAV+yuuyg2Q1FXJMr5FuCzuWKzSI8Z28wsN3Id XVf38ztrb1nb4okQJiYqGcFi5+RMKi1qUOdDJJ1VQQFXZoFvFgAaXXbQA762Ngaca6PGUQ05he8r i7GNvMvC8FUTvK25gLKOUggyaSHbq0ZPUm816vvrnzvwJz+D3qBG+KPdSwrIUUadvYDoD5xbrpuc jbpuMkjoflTCAqejN26O/1GY6FHDb5egwLeUREhDEcGF4uInrFKyJGpAVcT0fGRIEIjEsNMxi8H7 /n7kZGcfrFP8NKhljY9U6TXhEXACFrq4CxhCh+6VyJGrTpieOjJMacKFv07QCkJ2ql9XFs03cuLz 5DOhDCIIHQpm5mvsHGXcJ4WtAbK8qaHk8tvA0KVtJWTuZPAvIqDWOnbZwYWEf4Eu2hcwVq/q5pHJ 5HGkXsS91sb52aKTgHNDPjc0OEacooQANqbhTKJUKcokUembkZaqcrdQTg1pjn5J4I4bOoaFDrA9 N6Bu5hN5FwCJQ8Y8UV6y4JIN6vgG/w/H+5WypC+hgbxDsEDAlC6TWougwVGoPLBAuH08QH4LPRrb +oD4VKLSJneaMeXZqqJNHjoVQvjg+hlPK9sLSyBBp8OhNEVl6t1mfdVoatAXYV3GakeA68K2L2yL S28Lms7fHW0Qz5/NI+07H0CV8/ShogmETXZwwibnvXVHeC7rkEkuXpUYUTw08lEu8Uc7vx1pAvU1 ENoFQufQd+/pHPJSApI4VmE+60RU9SpecG7Dq/Xn1PcyyrB1JPhRqefqvE7QgtgBCWu70yB9FeRc PJKcqiVmMazJKJqwfnSB4Jej6BgxTKQBEvo5b8ilaLXjBTPaJLlW2LagNW+Vlhb3ZwdapNVGvpu8 UXb50CkiYRb0lGyswbWrWc4WCZ+2MajSNKQxlh4xOVEy89FP9RlhCf5EexGdYIugbjAekwqBmKlG NCVmx7jS9icQtuOMH5IIat+lMD9zk8vpgiS6lu7DwXl9YHlKCHDSPNxCwdEeFzsn5URJaf8cAEZu GzyDzlxyxS4YaZilWlSccfg8smdsjOGSWHKPhhMuzCc1mbHIxj8IE/nOxazK4AIn1pC4QIL0pc6j /Ska4S1GJ6G4iKu/8RYSOTsBhMPjwuGD98n4kp95sCCk48aClxnR95+X+3xPCQO5VQKj3ny+R9jA aOJA2j0sjnQQb5e5wbBv5f6i7ACG9dUMj6YryFtaNSWZyd0pyesZITnjqwxQqA7FMBesc4Vp3Gz8 XvdUwT4AauUTh7Pec/8cmux9nVkLMNwMizxswiRYRV8eN1hZLvzYm+TBq7pJkU/kv0SvLgqGL4ih GmfOlgxP75u3EC0gvMLVMb/T5HPUSeUspLMp7ypnArm8YdEN+nV4u/7k8vywEoTuioyCbjXUJ9Ec vjwQ5yUfhPY8VMZoKDoKGe+wBwV1Upi2jPclVa36Rm+dwDnpZ8XYxMcdJp/DZfhnZkBVmw4q1GoF xfpQKNb9tHALBPdER9OCFuyKs81/rhv266DcEAJ9Dicew/52wDCf0LVFNTKpJccnbMd2P5ApShGw qqmZ5pc7LlsdRhwWG2RSA2Ca7vMlHILaeRPp3Kr1sou6pPggUc/GV/05kihnxcskWLdoBBJHIepN z/5CLQtxs3/fQR/8HmyuMh94TjHv+Mz5T6o04gJt/a9fy7eg4VlJ5qYCTQLoMBpSePXHCgrxs8ms FPpQxb6gp78+gNZjw0HNuYE9O6Yvm1IA/S9ndKQ4SEcdHJMsBFFzxztcx6BfIVqT5249dtugcQ1u C+F9JfN3KH2Sdv5Wo/6vui/ZJQBt2SGPm5GhA2sUkjTwYMKOwMDTgq2RuhyegdA7PkO/nLNAlbzk 789odnNm88QJnJ7vX6sN+JZXEFxPx7AsLtHeDACFtlbqBYjmEfKORm6khViIR2g4Q0CfmV68+WBD XaLGTz7q4MJ+Qs8Kqjv2QI9vxAWK314QyThcXJkQDae8DeutWVm+yjkZRiCZhkMrDH8VS/C18rtm slt/YW9RPvy3E9UiPc1LolFE857Yyi5dCzPlRh8hzGJzv+rfSeZP/K3PNZ/Uy24GtEtFV+X0wDT/ sZRwO7Un4g7fxrf3uQFZCfM/5YVtHI3i3OskAJuOKV1pg6pc8Qv7JH0XbleNY/OWBqAu07XX/kl2 cvqkfiK0XhFlpPZLwabwC7k3sk9qPqPUyDCtuwuxDPY4x8WxTRgoCJtAkJILy87xoGY46HBPzsQL Tq7+07SpL76JzSRsV34/Lm2P/0kBDOfOpx9v3pIY5qgQTZm9qdSVSuumOof2j7hQs0Bcdk6FLpKy +jRARkeSYgLgG8ueb4+NVHn+eozWIUDbDKhmZDjxbVAbbwpkADHa4/4p3zCETGpkvhkoEHWk/sMT E8etttQfyGRsH19JVAPzFfG1BCmec4axZtZsFOekvFfQVbdibKLAVuGpz13F923U01NTEVVoIp9r FsBBnKQdqcPWZBaTMhgKWWEyONOQxuA6bNyAvDf45ALtU+LsMFlZ442QUQzH/jUjITJ8iCWIG8xy XQWmBydKc0SMz0PSJE9rpocHyqYvmaze01Af5NMCf3jXVdFVMYKELF1xBaW8TfrY+mFbT2Issqts w154VvtRe0eVzqvPlA7foTzgfvu/ytDhckj+XTtudJaqvdGu5sDENOY4cvVXUdogo99nNCfymKui HnzdKbP3KMjjwFpuwU6m1MgEgh1Gw09s1zTtlJjiE5GtSsbv7l1expsMUr+aUDPiJ7N0eEzzpQG0 N8ZUu28c28Ou24+LagT60mtHrV9jex3FaFl+qLDQLQ+59o2JTBN0XeQ/LQJ8aYC6mBNbrACc/Mpi 0a1TZGWiXTG2KbB52DGp9H6VvBWiMfC/4GSr5i6o3qSC7MxrwLj/XIjROgOKiYzjTHB9W0+VzAK+ 1V266UQRDK0V2p1ZQIjjp/AYOFypv/dOt50lhKIfOVElUIm2pIMG3ThGng4ztFS2VBAsLsdImXVm jG3X7VJkx51T2JUNPi8QamDgv38lkqOZ7Ih1PoIIuF0ruOgyeIxL7BDAsHQHWM7nE3U8kfKDNp/Q 9y5DlHuMpd+wo5Hz+6fH64IbYK6yhYL6k/niFjRoMhS3xXNxex6v4Iev5/3JRnF9GeSPyUxtKE71 IXluuwSAEYGKuRXWid3JzS8F01WsC0d6+aSkP+THGTeOR1vcnIdBnnaEAa+66jizMx+RF8JA5bAd EkgqlujUyXc4KjB7OezdsXFxgJdglZ+YJ8gDzsCpi5L8+Mz93V+kMU8LthaYwxrXEq3AlVR/L4A6 CkgsT7f5kmmtjHMjpuUyaHeUbXfY8c2Whp6TgeoePOSD+N2pOCZg8p5isgREyLVb0E/GoQSEf/+3 fd4UwP+zWVEa/fG6JnqK72t9UOfSLxuEnbcgYhWNFvQQPhmuT6a83yhX7Ec1FUgFnDfinxmCBM/p GL7xgJnredb0urDwBYtq/S7bTY0kGsRrByvV4WrbvrGH/9jqU+RmewuYmnPKpV4rFjfXbd2iy2Q8 HUiw4P3tbZJ5Qeq25CLr3CS0EOCRCXvjX7RVJZJoC47IAZJg0nXwNHKCX0BFExcEckJ3Ms/OU8CB 27/Mg3UjUpq3verpNK39IBBkBnDVM0Ym4uKfYDfu6F6bikJU2CznNWYjqoA9LQBoIck+jv3XENU7 EmSW2yC/gLnB8S4JDhiPAO46faRPgUR9oA9c8cQ2nbdDIuxmsimtgHfnenJK8dXvASvIiIltdHRI 2BgVCA8fopb3C/pFrKapTDjLZuo+JTk/N7d55cMm6ySIvQs6Kh1qMaUcpKNbYWCvxQ7TYVUX0MD4 Az/89nFn+jsTEwgU57nBGGlhWYBV+j+sPSwT6jPIgC2Si+El3IxfDBb955MvVevlyb2To0hYU3yK Z3NDv2F8pN0B/VTXtahndmIIdZDyVM8rMvj+d/mTSUru8saGwwOwG4vhnAmZXW5mIgDrhGxHDIEY rlLBoSaEbCVZ6S14Q1KzmEX9cJzSXLDcQ4BS1OHn1N17JjvA2fvRB+uSq+z2MU9kj7IfB8cqAGLu S5xjjlb+jtjU7NFJDrJnPGKPuIQ9um0jnTRY8AC7+FN8jm6pFOvr3jkIv78CmlSCw21KVzjREhi8 NPxWLKCfMuhAXnd5eN1EMkkat682fVRZndSwB00ALYqTOXWUdhg6BPkAd+f70nPvPsev2Ogu6gcY dHH2LRuaVN2KVkHI8q7VLsHXe4BfrZLJLqbZxr52I01SYn/tPNjuRLeFSiiABt0mgpzEOpzFJwUy +zAbET2j8agr+F2qeznnrJz+I6wSvv/KxyNR9v/NZYgy7Nl9k7M/Lu+RiRx40WlqmqCIbmQQ0ZLE WkH29LpITXaGmdKne5mdjcpVbL8egQomAKOFpiyKgq17lxVrFFRwubPH4MlDjCp01GlGwiDXJGWL a7RpDYYoaHjICnb9yBtzMLbUDMT0e93FvnFoXRyYZA2ayM5qQWuJzzpWQ3oWqOZT36Saxm6VYYod Ra2iq1DmY02cnMORTXSIbA5dOEnNM4g/HOtA8xqpVtid6lnl7pNCkYJQjz9snY9lB1DsrfySKw70 vNj6P0G79SCPObD7jaAs3cyzoZy+4gsDScdhvqePsISaS0SaU75WnLsbaEZPgJt2wQRk4osrkLxp OeQ8RYLGMeJfK6PSRQlMCenAAOapmeSihmGQ7vXvq8gGtDHEq8uDgmF+LZCm4fhdE9Hq9/rrKTPF trqLpf/QDCEVY0PnPjWYnfF+nx2wq6Q84Dm8ZAFxjPlRX67uf/77UID9MgwEhpIFvWY7oqaFd+Cr 1VFHDSKhsJR+u2OLL+9JdZcThus6JnQwwHlilwPtM8LCj2ZZrNZfaPZ/gWaamXaKkZkszOv7H/w+ bnpd/e6f8jViAcP3AI0Tho6D2X3upohaVqIYPVS/b4mRNfnsWMLMRso8wF+ODAB8ZlVkhWAJ3bF3 +c1roIIbpYuMs1CaGGbXiykCSEV0ic4NB9GOaNsu1OBdY+sbzPVRjlRm3U4HbXEBsIXohoDi2m5+ 5Dy+fbpkqYMfEydTS9VVhOOO0Vsae41xYS5GqnMPcLpQbFYtBU1JmHn2fADC0qyL/pJNzXniqTX3 e1yT6qODziLhICEGqvP4WBIszIykGw1FBtba8PhSCFellgNuNZi7Q0YAk056QGZcvkOKEMhHhQOY C6itEkfTgx/4k1V+VBvPTfm+uE/5MMWGM6CBeiML7LemDTr04KIR/F1wQKu6ue6LORj1quhNid26 /NuTJ5No4QxPYReOPtodYfPzf3MvprM6uLo5qkHVxUSafJmlAbO2RBEIZIvywhhvb/AqvbjrgbsD SXaGLCRv65GnEVy+vqWblJhKEblrqiHFifqKGFT1C6dsPuZyjSHCAqNt9tDrd0lEIsZrNDuzy65q aMRAD2sMmFDbNmm6CgJBlflaHaSUK2PLuz7lQQey3ZJWBVmJ8386Wpx6YBVx3Jz2FJ38LnRryn+m 02rf6T11MMiRlCsUEYk8C3Lgl/xk/UiUkElL5I/NPCSeYcabDQPtPR6//ZcyUWxcJqGhxExglAq2 EIH59V9m5+1l3kD4PUIaoHGMzO022xTVt5Zb511yyi1JGfSJPMcAuiXlOR3vIbi31HyQF/w3y2o6 U90kqVA5E/sRgO9Efvkb+yBuWoozWm5IJ78vYoubRYtM0ekRf6tIjAK5KrpAL2cwpZvdwWYyn72w uniJIv+Hnl8ARz+ZY5QGpg3SwKBDdruNEsIslU8LSbfnZyyB3aA1AcmO39AVeAQRn6TNudFRxhzq 9gUb5IFQ/k7/iiyaJ296ezLtKdzvod9ZaN7XSrJ7RgpNtgcc1eXEyPP2V5YUahhcbVNlN2r72HF8 uQOkNt1sxCpRdbySE2OhB1PrAFqs66xhie0slolhYBRhMKfMBHM/15hVItFawKnAyOQ3VQ8Y/4rJ eaHFhoI9DiU8/hUh4r6BOUWBytzyGSRUWPz3MSYRVM8LgEIY5oHDmUGlrYzqxS6BeyFsf8jF+kPF dJM3uRcw0j8/HOgJ48g+2y6zdhfQLFW9tpgcuAF5bNS+uDotRhpk8856Aa0OEZdCuRGlxaC9Dc89 NzGnrQAz/XnUu8dWSriwzdEQkyxcap7QCYGaGzoIMsY55Y46QTl0E4qrUc73iaeRtDEc8sSRrg9u XiNitHLm5LL3/AgCk7MflwntS+Me+xfhNGF5pMvG4S1cyQOpr5Dmm+F60E1AVjH5s0bvo5lFszh8 4C44wxP6C+NvMqGjYUnxhWNNG6W39aiiMOtUMYVLsTDYCkpaPOMJgVw20hcRpuZh+U7Tu1HTWsl0 T4xZq4X5SZujAU9mrXc+Un5TkX6hJBGZ9+3Xxi7N39NoUxJhOdSA8+44Uw1j5mvlzOMzMOs3m4RS 3F8v30bzCHolxTHa1pRVMX7vkD9/dbu/sTDxQgKnFDqBaWyqaYpZAAjwnvuNBsGQyX+YuLUm0RoB /kn20MT4EdeFsJD5xnvX/6rAjLD5zowmIs8WDnKga76pUkObiBUTqY7j9kL/SABBDobjjDxHiMc6 Lj5tSe4ygV5di68xioQlMwYXN86BSH3T5MhwVf41UfB/NLEbZutKG5tqthUhaHWUt35CSnS9OPIW J2KR0dMQzRh219L9Y47vLgQjwZf3OpgxJmzbym+MkglbDHskTUCY6tG+Mc4ZTdRuMIohzuGajpXR UdaD5QBJpR/C/XTbxU8NCVLONyD/Blcl/enfvyIXpwNN8YWY8c6L6qlr5uEj8oWW7k8lqW/PB4+I IuOXW+FAqjeGi6zykko/L2cJizil3AU0OwTM07KqVFyEtcazvzmvM9tbyOYzumg1x01D/9Fnq1lm ExSlSSsLOQqUWIZ1LUUBB/3ZxroIz5TNgn+wkByowzRHWTCjbcXHoQACSg/o5focaNm9Jwy2i5pz TKG+mm00zXRWDFooj9nMnIdtpRMy/2ZRUzkrhDOidihqvMqY9s/OgWmsLgbD6CEr+w6dD13kmq38 xzZamo4OWOhi5sJ/rPoBFTy7U1vrwRt0/Kznq1BjTu+TTPH7deQ75XDAWGsds6tc+WsspKN5NRnS 2nN6wGHkKNTWqNuEK8rgFYqz6f0PJqF3Cxoy4aZJv3vjmlqGdNAgsq0QL50W5mmxGeSYoO7kIgbo 60RYDD5y0Qpugaaux4bsrtsu6lNZK4rx7JpyqiPovBz547UZ5GIcY2F08uEwA7QiasK8MxdKTtxW VA8EPGmqe3xggrzRx0tz39NxtBlFADGhryZ2STkvEnFXEcAqv8PadgM6vX5AcbTNB9Iq7zhBBVVh iC1lyaSFubnIDdrufxWUHpKqUZ0GUBNieHSmjE1gwlo8z1o7oPasKMZU2ofMVqhfI9jVWqgRlbxM icgdV6KnJ9EthedpS/k0dWurcRN8dVCH8iAZ8fLZsoxmCgO4HuP6JzmcCvgKQWDSXix5RUTODyWY c+dfAb2A5FgqOAaa55N6gHfFACrLBhNRnt9bqEOCaz6ydXustIRHPeX4MzZwEYy+M3niZF9fezma 9mjCRuNtSR/gN/iFQWvOlXD/YcQTy3x43uX4W21keTU1dI9zx9QI8ALyXCDT+L1P78EspCa2xPLU q9ntnBT7qHkT1BS+8YQprGIri8vzIyHlLsCUKwGQ/3lyyhB0XPyUp3SOJbqFjzL8IqO7EveSzYPK 9bdPQ5bnAKrF4sV2XKq7Y9uoVzVkQ4+snVYlopXtddRqKakV9NKtriO/swrMA2kT5jAvT+BZQOaY THaA0raN/eBisgmiQHBs8kmh/Cnw5C2llf9KSwhhbkINBKPJuPOVp9FHAUPSglO81RMlKiw2BF6o R5cokttK7X2KXbzgQKlK/nR94LkOGZV0EUPmFSa8fcCu6AxZ+YnTVjlhUL73a7y1KcNAMvY9oGY1 2kKsMe0vpnP/uIGbbVbhzPgylqZRTDJ0PITDDXR1W+tDWVy1opkEsLY8DjIHH1sW6lEXISjprgMR rkCYm6DPE+QFM8uMFr1oBhiddZO40yzqwdrMpfFcn4cJGNv8+d4nxqW8srdyLfUrO/vyRys7mmFs IsQ4kplQkVusy2Oa8y6rdhP2g6+m8HPGTCb/f4sGkbhGGdEVa+flgKkjPnl95hmqi/WUPLAnikyE H/JJ1Ten9PjYehgJ7GTuzcxuCwHc5zMdflWnLmwi6IMVvaYweyetPxN994F3iyLb0jo2AweagHVQ tkNC0K62NofuX/HvWk6rRs5L2VD6WAMVjbVudXkEg/sQbUBFRo+UFNped75q6yZ9Cu6TqnUW4Pzk Ity6Bo1XOxOsavWmnGT7aTP8244aYV39erTW7L4HVSwNgqCHio3g1oEZag3KrEBzhj5kyV3tCcOP 8UlCJkp9GPUzMuuGzSZt332NoO4KD3ZVaxIjLNsG+M3VK2rpit7GoF7LFNxLjSXfAfB+szy3cYCX /1gX5rUcahWjlxUjNwIOrF4DdIxxCa3oiHa9YMmxfCM8ILXZaulDNf6mQGEDv/wRDtJuFvv3rH8c nA67xZwrKNAfC43BOHw1CRMCTjLFAbPUJRus2GseQs9/PkHR+TdmBLUwNfdbRGTM1U0yZO6lrrFU 22TexEd1HLJQn+FOUy85arZDoaeN3s+WjBM5miXhFlPdQ2NfFLB2sQoGzGo4Xm/5lHSwrb7Qz1PJ +U6x/f2Tn+8KXE1gByTqdlWZYlawxUL3gkFYsXjaywC7vGt8xoJ65heIE4nQATlgbWgpHJS58h63 xA/f9dBG4UDHAN3t2Zpn7tz3wtR+GQucNrYJVHWTMdflirEyFTfsDWrFjzAQHi/FZkge3vyfj8C0 1UesgBSgwUgYSAnMZK8hUA1ooXf5mdNkTv6hVGO7hXfdeL1JmAajdHj0ESuvXVdX5plnNTJLgO4f BPMMnH7MIkApIrYQqBP6Lwown/jbRxMoTNULvZpqGkPUrH0HnGbwn2cNuPwdCoNkp2G5epM5q5Gj GIlZSjU0SAI/KpUsbnTvndjQiISKA/Vx+PO1i6vhR/CM2SJpCCslyjw/doccEQ7kR+A3o3ObD3/G dzUYZbith4WlQ1aUnvmYkLAq2UzLYYc9P3MoA7KjHnNOiE4hqVqsgMLAG0Y7oCMYYJDuegj2/X32 ZiWkyWAoM5/oc5uJ8seEPdUIFYeURFskmotTdC865Xj8Jaxd55XuHw2Zv2xUd8cfwjS7gLg2KjeH uf3ddA+hymsPuuuMuneRzRIAhTH1sEfxWamu1EoCKk0GSa2fWPO9PnPujavkiITYlpjXe5roE05o xRiqS6koBWgKe0mDjA0waNsiMj70YZ5zdhDignxyAbhrWgxpHO3ljLK0iWiJ8Vb6pC7Kq8mEn25X uIaYBH1r7mMIsk8rrBvlMEc1M17s/41iWUlwcp5ey8yaI4nNQJIYMyzXmCHwI0Bxzkv29/JeO/Ic lHKD30/tzUtzJRu+uV/MSiVJqCWt9GjpBkQ2/uU043d/l0u7T5LqQb6l7WpAO9PCcsf2/RW3q645 pJprZqXDXT/ewnXRqUWELnVuKeN4j0frPYPjk4vYE6klhp4kcXXewCbyrsP8XeeclHzxkVuuPrWf 3ZDm1g598kxH75GDO10SOWDhtJC69EFx028s68xklI2HwQoswY+k0iTc6WN85WR1P0AaVSUxgHCi 4/zQe5lSxAtKu6MNjwFyLWU0h+er2DGv599yyN3FyqzkiZh3LYltEo6kTlpufpfQvqxgIuzqYNkt UIRkMv52lkuv0q5qlpPuvGau6uGdmSb0P1LYi8MwkkCeURD9gd1QQ+n3BdGgv/hKvwYvDXiXVEzo 09StYAvIGHzLytbUvlX1g/j9rJ1JBI8+6bXVUC2+LV8UTPr63LrZgK67dTJnD2lCbsi8BFrZyMLF nLFbXb3zUBKi30r+SQsb9SlA7F93NrSoSORL1MuDyqrVpx2K/ZWH9977hUYJAysh7G/3RicBisj7 t8x7kLzMCEOoyXf7MX6+SUaIJYiFkuzsCI1QABt4RAYjAz84BZv0AjelQixeEe0FRgwYITMYMLOt 7/jjxQXYEiB49Pfu6TNpdku9BJza9Jgpzo4IbsjyHEaR5ULnGKTyOKc958BWsfa0grEFmw024q7m zFK9cJykJP2dLf/Cl9Gh1VIrIG98Mqljvj8T6asYdlFZRY27pXs6ZS3s1nf8XohhBCgSeEDzJo70 /iRmS3qHSOYn0TU+W4I47jCRGDSogrY/395LaBGrTR1KeTQsMMCRmkAbpdEf7wgPNVUhGMcfKOzG tsKnJGWcldlgrSwL+SK63L7PRZLV8jBkl+TuH00MenZSXdj9sk6zioHcdbdibHPGOCg4Ne1lrDSx XAyhNIWyxYl7hsTBL2qrOr3/xTpLxqcjvrpPcELaUPcZHfdw/lm/JyLawF505WFJbTGTuCGCnhoV Jjt0AlJiuQH//96Oyll7XFXWMhqXjNLq+rph2KSAkV25eV05OKyNv0bY2AbGlJe76LLW3+Rk8nAA iTxegHEL5pGFB8NAobf+VvlAUXUglTZiNk2XNRhFKxZ/CrZiibOIkjamV7eXSSxo+zukhWoMmFGi z1y3R7CcA9V0Pb4VuQS6NOSLmOs4V6+rOsOXsreIrDk6K3fLtll/LK9qJgbuVS7ulRgJYm5aXst4 +zXEOl9fcUjbIY8egAGvDALhQ/0NuoJ+Czcg0MIOu+WN1S9//glDAnXx8KgOKQdFmulE+RGNGn8l jjJ6yNCSV8PfyBINyqsVYQ49KpN3q0mqDHCWiKltlxElrg5DJSw2l3aOPDlZO/+punfPuEWxi0qa 7GVjhdTnu2aUdYfVY+3fy8qvyyRfS9ovpK3oRJiHyJ5zRW1R/g42LuQXZcuqzt2s75slNlPPR9cz RgY42+idY5ZheNTqZVuB5ACAWO0su8xn5mIbou6XwLldSFAt/RO2VK/k42lvCklo+cNIfQodqWzc /otg41GU+HChIqEAt04n2ui8h45BXVhcjz4l6loA2ln0SCn3Y7Jz4md2xLG3hvgTp10g+5Vr8xuo 44vHbm+IneFnPnNYRQMeOCymUf/70Go0Y4W/9SyD5XAy0F+2/CNOujOR49FJJ/WZXW5gcw3Z9xlX XVO54rIh/NKX2JfDlBaiOIUvxObWprJtfXQwSpROk5aUYDufzXj51u04keP3PRuVHT7rt3gxV8RA qRLXeT87FvisZJJM2iiRQn1fRMwn5ekcDGuY3kUUY5QXScoxtAQUFYmqssiqWJWYSbSGH1qvYVCf qy6AXaVcTlURZPlYB8pfgwmD3Sr7Qtp0fH/BzV6/yr7+oQBmCLVXYiQ25N1tVfKFju0MTYxIkK0s kQQywpP4VbIHdhn/Q5zz8Vx94jpDQ+VHawX9Xu2OUDVIa4AhvPN5AIiWz5jDAM+IUL3T8BvvLlCY vddCgYv9qHNUw2yYSgQxg9CSA6TOdBlyfrkofB2K3Y8a5U5EwOHsoFIsvHdcW+dTv4wPZHvXrNk3 KJhlB0xYJktvDL2IAadZaLVSduupmOijfHoXoVtdWWZDI0VPkCQcg8QbNUS+ijcTVY9ZJNGgPwYM 7FOtx6JRg8OpKpKI06Dv1mmzkWSiIBxgGXeA/5HNy36uqE5sA6CswxAyJv/5EEHwuraPH4hjYo86 Xo2YXiBwhBUl4TepNaBWRL0QFJPxlPRApg5RJsVSNKccA6s/WXFweiRHy/0WHg4oAAbQl9Ghd7pz /d34ImIdJ7V+yixH3WF8CJHT4BfeF21GH31eqrmgZzq/3TYuzfuR9+Y01cb4dpHQXigqMpNcEOZZ wjulLMadipNHu+Llc3nVzTs4wAs8eabpCoD1/2mqcGQqA8NFWCEV7xKIqq/c93jlBF1qDSPNiZ4w KfhIF3K0HDhjw6/A0DYlHTkOUwxZ25djtu5cEvfy6RsZYnhpTIsgoK8fBE2Muv+x47Ao6NVh5e4w qnX5Y6WS8t8yO7Ac/B/3uSg5D9pdfE9iNMfC/qlT0sHbTxFXyuTQBmzaBG1gE7EVv8jH7eaVaqIy TSXcZLDeGHfFmGJ0EmVx9YQcfqP1WsySs6Wb8cLdev5CNXCRPfqU3AYFig2k/FUiwFOTQ7KvpArq /Zfnx5H0yySYxVPwdrNQD90Q3DAiocsJ3kMvQLOx52AfrLJRDSEehS9PzzKg7mchhRF30mHHW/Ru g65zC0UpptFfi7BHHs+edy/77GJJA/k1G+b9xY3toleXoyD0pCLyPEhQeuCnkRNF5iAJIkQcnYvl d7netL3mXThPMem2TY7jwyhRgrNfrcO4u89o8HwbuxN4yxUMpneNw1gFKEUPAvViCW4wkN/xUXpG fWiz3WrLJNKTE8+Oz1tVVZTBKTKVwxhwvSzzb7tX5J8Q43vvnmN4ee+2ChBv0xrkKZ2jWUa911Xz vLQpLo8uplmTElBhM4yoMCTAWTGGMfQ07pBDTs/OeeJBVDI42mbIf7PbQKq8tfhYrQSVGZoYjZrH gNlHXC/EsT+whm61Gpw9+UyhhODugP1X0FwTIeqIqVAxLCYzQcEEFpM+6Y+Tkkr9/I9M/cpiIkDX 1E4BPxIyGAGJgY+QxdIBi9LRnlE9uvF061ra6Sq7FQKuIsX800LC59pDhWi4A/Zq/Q/BYpLrWARt zuLKiHuPofdjuv+kVy+rhUMfrb/9kYs5Z3UyRH/mBNJXEy000CP/IK4Ey2oLJzwAApztEgoqQ9PU I5zystYqmAHJIEquidyvS+MMHUfJLGyWIabs3TGQ4/qjlg2EXXkNOFsWv5rpQs0Bu3ayvbQLTQr/ qcyNhXf9MlhC+xnBRfHEAuNRDB72gBb5jn6ui9vAbvmjP11SRNUTcmWHJ1Mg2LKCXp3/WVuXGOCQ NQLiALpOO5mbqn+SGeiUr19ksGHSX8hYafgUxVDIdx8pK6Spnjt1A2tNKbMqR6YyQyc610Ru17vt M4vek4PyivfPNcciMRY2N4fsOXv/rh/Jy0CCeIdpK7g8YtLFnX2A4STbi2Ti+EMX5VPjJHXPnBUc qMn/JKYV+iHbs2FR5vIBr+Xuj/O6Z/pineG6ZJbbyXjwdL5483y6TLXe1YyLOBg9idLbX7/AFc4A vOa8Mf0/7dhI3OL0hBcX7LLKK27LnK0qY9UdVyXxAFaLaq007fq2gOE9ErwCBZK2kU1IijHrmNX8 HqV/1yUtn7h1OOEGFD+X70ZlvYtH/jL7G5DE3vPViJ9XwmfOgVk5f+9Zz3N/QYBFfIh2EpgaU7sf tzE4GAbkpbkWTNe9mSrXkNxZE2Z8FBjqSYVIDdtLb6oSqyK+PpkmRI8pzgT0KSc2iO1DQKQKOvng fHTiy0Oy3e+rAlHABT9ZwI+ox4XHnWmgV55KLOeCcYD1rc5pK88bkV8ZoAo539LwcUgrxjYEwTTC eW2GbIu+3K866UMh6tyFRs3JrcDm4bDUqN8PSa/uoq/gXqOgkehrOSm8QHzqN6wabtjMI8Bsd2K+ WsKOzthsfM/BvN5pkoy0Q9rOzVgKkhAXsEDuQMksEhxSGi5NepqjzaBycCfxSdHEgfZHLAa0kn1F d7tnGVynQZ9npuBkJJi79afgEM4x4ufzSYPfaqCjz/ZbPl11q8xX/+dPkosmRCj2kBwC1bcyV51Q zZbhN9bNKPhgeiArp4wiJwMLtfCUN3aSnggaVJQk+ev/fpjRGibuxybeYX7Nnmw1SmndyIfw5vER JbBlYsdFZKxwatu/caqiGz2LRFG+kZVtepBnyHu8zDCzx/28U76X/jxaL6VO3WjP1hye3VLcCN+U +dyZ8Esnu6KK64hiJFViS9mhMMbAJmtUR2/tm8FeL60k32tfWYXWiQ74S9i383810z+sDnXAohyV ILYq79kZdvp59Lr297xvehfN0RigktoR7rQ2wwlzNUjD3GEHYOl3bVGEXwvRvIqeBYjnnzez3goA eXpxK1pcO+NeIGdbsRyBz6No73ArsNcKwC/JQ6NyutSHIeOZ2eIm+OssZs7pDvrvz3qz4Lt3FHfm IdU1rzp0QyxdqSGJMsArCPX1a7Om0drp88/yffBv8NMpL/XfRozHAJo9F6IgM3cadEHCNuhm7ain K6V/me2nmthV323RcL7kJWdUxPrVSn/+kEEDYedv+iPZWqWSlnRHcQYS1fmWqujkruSbu0iz5xxC 1w9kijY58wpawpFPlSwL8vbNsIaudx7rnG9NHemm0sstg74t1S5fV58usM2AiEztAARqDS/MtpCQ EIVHCrYCURIJXZl/8MPZVfRnIIIIGlIobiuR5RsnEWXOuih1okBouxEz2ErnlvemE49d1aCqQWoo UcFhTlXqwFQXjpaS5Pc8RBsuSMf0qnTsBXiXFq+CWrzvDHVlCGwVCMaV5rrqLdVEwI72Q49djHEk kP15+YpBn59XxGzEtHQFupR2dF5ElIIO2QRXdeRh0VG/ZlRt5SDSPyDMqXJgOJrcY8WkLrpZmPKv PmrF2zbcZoClmhJX6ycFMAtGD+1o3EVbGhklBfwjQuEp88/DhykCf0EwvBKcumFZHLTZZ0pYeAnb yenPvsE+fTEn3a+gdvdJ4cnKkr8CmcOMvExQPnvGwoVxhSTC2M4FH+a8lqFd6669qRgvOTM29Xw9 Mxz4Xti5bNxI6xlCa/9OiOOog9CNtX96wRIfp022mYNBoixIe79C18bR/Bm2iYfGKlwpkGAmVQ4l ZIvx2h9bPgssPbE62xfZJndIvsiUP6/K4mlcB3LMrcV3XUF7UaVWkueOgJaxKSUrAseCqmX8MDO7 eWbRi7uRHKmQM/zaq2whEY8qagcs4SXeHBcq0TN8iYuNIgPF8KVX8QQhoiycbFHT01HdvG6a8C4/ +7KFE+f7pHIZFILQFpW6UxobjSrM1pimwTFx9L5fFAfLAQ7Ih8yAq7UeYw1ZaKe60FPMXyft6yu3 Yo1JKh1P4q7solSL4eoUs7f5xypCKT4AGZBW7hCNvDlg85NyJYUNL/cJ+ujdWcgaE7uZBoR/hHWK pg/tyrSRvif5GHRQ8KrW9iL8PUtfJZ/kU54Nl/KG81zUNrRqXutXWfXjoK47fyL1ID5emBD2Pt53 LEjzu2eN3djWAs3cdQf40MMpT9+XfVLb6ZMMteMltzdy8Fg1/RBmIykqFDRB+LEBNnd9rzsDQ+cb bvAv9LDb1Y6x/35Lr/OeKfny/CNfnssplPSLfVUCdbHR7qDATsZUK6haIxqky0E10T/aFuOW5b/T H0OV4oTk6XLjB4kao268CVSoRQwEuSFxkeOtSEqjV/MaavxY9R4X4Ys2f7klC7PCQHHy8LUVRBm8 m+8naUNqXRjHJmgGvQNCRiIoEo3eW9hN1tqjHBf/b4vdIjQsuna3ZP0x2WP6/IxMVldJ8C43E/Ds jGwxYeYiJ63G8m+k/QfCoTAQFnwyc4tL2Eg6wL0lK/Mbu5bobjKQLYoHDXUjylxnvIS2nuBf+1aC T2oTT+78l6OT1P+eQ+otlR3Je1dEsVy1eNDH2vUzBkfBUBekLIxudUXsowuDU1DANhiuthjI2ikS KFsAsjXuz83pL3ofZv2FN9+Nt2k5pi5KQXUfgwEDVvW5V74LBQhUjXytwYgl6omvFoT+y7vU968A D9scoGAdRG5G63TzeK1zwnAcNsV4L5R/P8kRDro4uOxWDNs2h8qqtEQjUFiT8rbHs1mq0ul6bqM+ uYLMd4OtT2CREHCT+23OfJvF7M4mCjzYdJBP6YBPVQkHOqERpbiTT7xfPxkTB0Cdj8LesOTAtyDO +fJW7AoTutm3zqsSugsLEXHCg9dZcVdib6tkCh7aXgLuWRFI/fzkaIHt055+RuLtxCl0k/bkG3xh JvyPRC6EsD+cfrlAatJz/6bTQ6Yh00zujNBt27WLA1xHRB/h1ICwgeaZYVxFAAWk1vV6U52V98K1 93b/7R+x/Jb6gftyaHoMxwDwO5nbbeCtNU0k07yv/TcxsiPTo8wz8aXWFnJ4B+9GehLxn3rkhO1h DeebAjRKcexgCJXnyK8+D3pbXSUiZPmlIbZJdCfLQZwOifRECoGWoI/CVdTWDmnt/MTHU/nC4P6S 2E1/uXo3PRsnXOCkMNsibF91zt9BN5rQCnlQALYRtSkeQGT5NeKgnI0OojuYx31YDaiq7iYyhl0j SmNeY4uPy5wA+uhH8jNSUhrKh6mvjgLGIEU/1aJivYBvvSUbCzDFz/PsI1X3srPB0LHrWUxRbg7j Lvj63KlsZHmG5Pr9qHwyM82/vBWfJXQDFsSS4Qp/iXWwSze+d5x8qREwt6hOB3IFOqibhmdXvACw FMsgeLJTZiQZvMSPPd94AU+RZqpcod9b3+eah4m+Uo+RSixY0a5zjp1+DmeZ+LChbCurtO8r31Zf IkjW0Ock4XbZHRxEhj8n+V1QmhNAlc7BKV01Xklf7YHXucVI+Uuh5AjBIm8pIxog91WFKVpA4sHL ONxHEYuEzwJBfYKiTyIESssDLXaohmDaHYNdVnOapPuLxmfsuqbB/EceOpQwbHVOTcyf16Qaj5/O Sfbg3/Yyxv65TUYEwIRCYUyoP/h0ndhMRbUV59/p/30E0iBW77NN/h+uk4x7zQYLQCDHKInUeEwQ KOeSGTuul4rNr9JqXFXytdHr2Ta1ptr1UJrYWToXZvTYEn2IXvkA/SGPp6vDjjXb4mP0xsJSXORi kBkUlZL20NG9x6PRGQS7dlsZZjQKdY+9ETIkLf1BqxjpW0lIxS6VGJgEFFDjvD7o8Hj0A6kPeyAX UnTFcHaJF0AJZXadULSdfb5V4FKrzAQ/npy8Cy3XNIAtdJvdmNmdP1b5xf7M3bqT5WkVgbUQZv8t nv0O2OaFPhpGZLJoveNsViGlNVl7w+0N6hJDRANELRo6K5EHVEcyq6NsHInoHwGZ7cp9YubATF69 m7+lTjLz7GM+O4ZUTLpEfcbybGqYScdpEI0D+9Kf0XSt/DGMfjLC1M8Vh1kK7Bu7jy2bsVBzbW+R YkQRDnvqRfiYVabWhM3Xc9NtBnFcn16ZUTPejD7i6Ro38pxIH1f0ChpZq9ixDhyWi3WsGa1JshcB ab93TCNo/Fo95nTCIQleoDuva5WfyIFOzcek1rZdJXaL6bemzxN/5FOGz0V/bVF49WeDAdR8Kr0p BByvGKOegdlpAsvuzjxD7JFLAI/m+vMDOAh3V/+J5ouIZZS4+TuMbLVwG2mUps1+S1YXfc18kmOl ygWrnXkK/pyc2xXCs0ELvBZyPStP2vcc832/w4FmM2RSGWvxhcC7C117dsM1zqZ8VGoOskKQcs2w cN2Bkl2nTjY6el4bMGTls9oCJDGp8+2DpJDvj/OwMloxNESKgLeZvO2lix2/i20DEVIxjKW2lAIW n4Dz8gWCsmnlEbCJshjIwGIWk27EqzfBtcPmJGYNdDCuvRItuJB4RKNwknjWLwoBTR8KvhuZPipm iVpY5gWt2h0N841/U61NTbhP/L+rZREuhzhzHMD89m4GbS4AVmnkIyCWoz5YAO+IGwd9wX4suWzv 6hvhrbB9eyDi3EXxQDFoIVQYJ+fnPCXKQDpbGYjs+buem1mxuIhyjuJtIvAQONJMNPlbEhZbX5+L FBDxFO/TDqtzZFlzFXHuBV+jL62Oi52QWUpSZU8Ni2hbF96eI8X8l/tyLRdS4rOgZzKDpGU1hkgt x5kBK7XULhVKlG50KWwNbjBEPe02fv6SBvjQwyitukBFD6YA71OskqoGyLcmXjmEW5z2Ld2AAfwQ Oo6iP3Xchj6vcx9VFbxBbA5jL74+oOF5LLBeD/3YbNpaFann0G8ka9yqPLG5ItZ0Gnmu4ffjYpPN Juyn7vi5E70PMZ8SStKVrlZjd5hdt+D0REkJ+fZYYIEaDGSEqNEk5X6TJTzyuKbIgZwebcDyB3pf S2Zo7o6bfzOrkEmOAHAY1c0eazFh45aSEo1FXAgnaRhUeOOliVwNvFWbIpWZMmYf/gQUnOvpMmW8 NU3ZJN6tZ2puU20XQ9/gpKEwLPYvIJ03QxJ79/ah3WbwvjFZg9QyyHBMYtT0F1ITSEMB3U0DW3pg Lc1PVBYBDYg7C5HKrSchF9tdwqHoj5dh3471WTIsas72Dp2ESOtM2M2+wVdYXfLiHAchb5+pjA8t dzUVeiLtfeh2rJRqCygIlyo3XlirRwucL+vNTXOnJ2iAgVU1GFclSQ3bXJZV6jpzycyBtfOq760V 7dZeA8FTcyZr9RmZFaJRsYYLz64EuZj/c9HEulw4wVIvXWjEycrIVYkwMK2mDqR+VqG58/wYqVHX h+FDtZRia7jmXEnRVSDtQrLGlAQvqowtCqy7s/FY4ktSpjgP61qYzRE2bPkboVvsCVeAXYHThYfG wGpJX97RCblsqQMvwuexHjfDIVerSYo/dXJuOFW9PJgQgYrbQvyHN1eBhCyl2x7//mkBWJM4fgcC DIHOK21/No7wmkrj7tp62XYPkWYkMcxPsDNQUw4tBCeOLO8f6FKND9m/jNY7/pjC/Jo8rADRn4YC ifBmtbcrMKerX5FtBs1oDTz9FNJhqnNXPjWk08WR5ZmZ+zvLknSfaPOsC/5Bw5voY56OPESVCVNy ItU1GDF1sDoovf9oxE/6pOFNyu8erhQd5QnHPp0uF5SsEcfj2X8dvMJiwGjxfTiEwfoTyjVCfwYb oe6IU30Ue2DIMhLJ5A4ScAUQZVPTl8O1EpjmHLV19lLZB8SzW53Om6ERUjwHol24YhN8AkoJit74 8itidA+vj/68JSk9pv0jan8ItGTzYqlp+wTLkTBgumgjEN5tOevRX2GT8hH7t0pLt4+Dlv2rp8qS PSS3uLukDSrTvbNVOIera3rYKTO+KHPw2pEVklcs3+vZ47M5kA0GFe5UZnQFPw4IJ81mFDwwrIZy 8AZaiUd2/tDvC6ry3wTyRhXSd2eLR51pdGUYZue2VJdq51et9HiqbIcsaWJcaWHcESyUSOKXb6jd rRz8zx4NyUIA++z9b1ox98jrmK13H2+PaItplkW58HFDWr98Q3a8fow0hvmZ6rn8uB2KVBJ9vDoR CPgIkV2c2c93/R2irTtzmGdxLjffc9iBVYzAyvH8jjuB4/RK4D3+7wwSPQ/I17mQgNRBWtFda97B ZWN+HWUik9lHzUtuRBcNi+6CMZnszOxvuNjMd3+uzfqocvK5NQh2T8IdlhJDIEILqQIbQwXrNe9N FIs0qrJQaqXGlqxAJ+ePtThvbO4gEknYsnVoLggNubKsfFjSk7jKLeQnZor/E1fbz6E4iwkGIbe/ r3aOAF7vcNBKTJl94Re10mfJMPk12zb7tZcQVXcdSpCDz5TwPgIt5T/NtgKSipRCtg4wHekkwF5x P0sQYGNb1mw+86KNqoP9tC3gh6GuPg/pePJ9ox9EYm275lGOZbk8GXi3GQB1HpREqr8pSM1q3OtD pHFq5cDLxgb3U5OcEAHqT4ULq4oDyRFa6w6OnHA4igowrhh2LARx0ndhmHTEEC4mTn8sGoON1ims tenwwlB2+kb0vkBF/GeoYoRay9pG3rpkOEQaUq0iY4Vs4y38YW+GgdI2P2ilaaeZmaloI1pgkUeq tOpWNXukmGbE28q441aqnbIyHRkWCU6T2cRwpQOoW1dvAx6TTAktr8xpl5SV84lKk0SoA8LLna1b /pGLJHZVdLo8TsMqxYsw2ingHFSWRxnmtnpIfD7LVCaB21m1H2ebCQVqZgB7i1vro4B/WUwbmAwj 3gBC8ho1PrTlb7ac6eCk0W4oZoxmjz1XvSB0Tb1iGZcliHWfx/jLYUyiACWgWVg7LPe6FGnf1lFx pNZrO9n7s3VdU3/H/+ZMjwtxF8cwzsNhvb23pID6Npccm+Aub+8OHzKljIha0On4nrI4hGkH0P7m Cr5vphOYr5olg/BrvP71NtFXlGl/aT4EDGPSq+rhQXHH09CkA34f43gh3cj2xMKTbf6xzqlxRk3Y efHJo2zKcFLaZZ3arIC+bIwdaVdb1Z++rP/9UBxOzDJIkIgVkC97rFC9+hEwlNRMzaxs70LYgIv3 bTuBMB+1dmYStHj1RCvQ8VOq6acUvfTOxoKESn3QGcOcDf1l3mS+9XMPKqHe9HR9hPBSswyBIhjh 6BeyBKs4TEyA5IqVenFeZqkE5KoLVigQDkSF++bxHJw67+OiBNGPk2MXzG1RIYLYbfDvbWP50DvT H/JKKOZOBF5KKIaVu3RQeFgI/Jh/VIrBwUrsQEKBuaXbLhL1zCxH/ES6aVCbM9vGCiGV/3F+RclK nfznRbdq/samXkWhv944xh2YhSRNBcXC2OaZLC3x+W/TQBmcF9dh3Yg9z4kaNw0XkSUJ3pTr8+bb NBK3LYX7Q29G7ddExA/YmKSXKODimZ8nu+gcQ8U7GP5kP0LtyiqHshKFeBKlKH/86s4/JosKcgOn CQsy5Hh0B1BoCnlqWi6gE+nvZlGBmNQ5K7YQ2jOv0NPVV6Ip54VQySzhB5YJnRkS2LwW+3JVROhh hJe/EAlWEeBOUxu6pffY0tGt+Ny88u9UYPSnbiuFwZB9JMbIudye8xso3nKr9Vu02NSEEnRr1qNr tFlG+AxQkrS+1MYE0D13vrPL1qVEnCzlXaFUxCNNqQh1T7NcVOgddI1UDH7DlbbYBEo4xMxYBAnL 565MfysnAwmXDASzqaSPiegvpNHC+c2vqvV3PkmVukBdf0OP/mESZ3HeFRo5IpmzAkqeEIg3BvYd KZKtAEeFC+8EAjknS14oj0af5GisucjBAJXk3mow50oL91iBgtrTmXoLGz8TvVEjLwqwpYJEigYq BpF4KYlG8NYjF340hS6OOXlLc85PWnhr2H7vfY5lMAA8tmhXyH+us9kP7XT3Dt49ZvQ294TYXMj2 YROPdam0J+b0H6kd8XmtKDkbijRHUB6u0JoCqi5Rf5Ui6f8Ok26DCye/S8HSMrn/Znd+w/YCw78S tpbJ2SwEv1Kk33egn/cjH3uHk4iQIgOPjwwpLfZucM2nSbIGh0Wx6sLbXd2AH1R6FxV33I3GbGyC xFk8Q1m6kniEjwnBoMZZY61E/B24e/407YYk3W9Wz+Bui20yuOf1bFK1TuVobOFqFY7TswD42YZI posjb9AkFz9kohx3KDTgjKC7FTuRG+xDXsLRY9+ZQ54+U+xVzvpwka0p2RVXP/U/9u2s0NtVVV1j nwk5M9fQJwotRVxn0OcC/AoVAaSkoIB93OtLYhi0JWcyDdLlbwLMsbyG4HSW1x+uU0cNMifkaHXJ rRa8dY8mlG7k4mOcmI7RMtlax8n/dyA/rY2PozJZYUDQFTgGsSd2orr6cynYv6COcRZiffN0D6y2 hnqjxp3Z+x4zdqZMdsQZRBblDhpAOTJK3H/xZRMHk9heMYPirT+ZeTP6Bbcd9rf3F8hqINb0qajx oweQDGiH24wpcUrCrADAGtqZbZmvxenulY5ZIneUuh6sqQUd+dhU99j4GSnmaafI+Qr+wKcExdvX hone+S1M7M8Gz5NNb7OascwH+6LZaIgZpHEUHW8WYrbPjg67TorIcAw8TlfU2cP3n6Lw85hSy26s qVigadtLO1h6U0VN5iM9i3Elgt09PvRFCjGmidMM2MH7DPP2Yjd/UuH4zA/kQCb1QKV6mqOL/LZr EwrIhZj2QGl2huOa8G+4j8OlNEC45XiA65cHptQv7J8aDKMs5Km+uffIZEoFcyej/gGGTv9YiCsM v6IRkK7JN5vyY8WODQG21jRnHxNfj8kELmcuz8DbrAqQbzja0Ml3Q1LxdkL5y9grYyn000c20NHU YmWCrVc2yejPIiIFhNzrtwGr/2t3GTPljDAprBqJua9UHwYHQxwalYB7spwTZb2Bh31uRsFn7EU7 DD3I9AvvmXBjLOYzHBHLNmWzfT2/80vlbYfVSqiHBicFr5zKkpfWz1vz8D0gLcnByRmvqxR8bPeh sKMaujogffd6oAqM5wYnvYqep1U9MoX/qTlvdh6ppsdfFGqGFiyJWjM3FPUT2du8gIOKnkRSjbir oAEvHppXFBW7IaKFNV6Js+1wF1uAsIbmoBkxFpm7A7GZOdQFGf4i1TNCvna35x9FRyYSJeT6+/rH S/ovTEXQWrhQDAcGe/7rKhl7LqXT72lkPJuyolgoTU4zLpK+Kovqws8jrl7KSXJWJpR3Ezdk8Wyq urHJe8S+rlI4FMLFW9sDleWjBTrz7FZAEAOwBUtA+iSGxEqBB1y1yALZRIrWqySPusG1y16uJx2S rHW6r2eZ7EIEHMu1BB2tcPOWEueYvYleVYYePwFKPXik6rH18oO4XSF6hIuREdNZ99xJgFRXxsa2 7IASfoUrdqXlT/g50wqc1cCOkqrreffx93nvUgoe9UF564w/wCR04BqnC84MMhLnVnigMwfIqaTo 7xaPmmTNxY8I1rUI8bY2RzWui3f5TKCaDCqniwtNfC8/5pZQJTR6PjHQ2dipim4qVwHSaa4stGsD 4JbdVRlxqK/JsUvkes4rhNfts/hYZrK9YNIMHtwZR0cuH9Em1gL2pQwQTCTV7hsVwN/KO1uzxb92 TnBoRb8mn0k5IJ0xqo0IbZhcm5N3dBji++SornH64nbWQ6YrkfZ9yu7KDGhlNomc6aEDprTtPmEb 4EeTA9cU0kFRNbCjTNLzmF5dI6Yhx5PwYzCPhWnKWFcCeopc6PsnbqbL9yp87JMGMNE0vBX3iNtx y6zBJ+p5RKt4ykCkjCZmj/wNEYUBLyRNAwGcRHmDxI2cQZip8GTfIUO0VVw+i88L5Pn0I0GR/tnv 7qjccxzmmhCAO2/E5T3wVuxG3geg8DYtlht80iu+kFafakpR5r6aOWxRIrsZF/VMZGs2XIQCmyNO 2uczG3iIgsWHoJy88r4qDetq310TfEke281QEMwdJUZXSkk6zI0oHEJDMfuOuYMOtcCFPowJzdqd iV17rtscFOmq6E1WSLWgSKribgZqctyYY8GaMLLfckJLafyurc6u0YEokcKGTza9QrRA09m6akdj 3KHvg9KuN32WKuaHq8TjfsF03anyjHpTiGtrNuQ9JUuxEEzzHNDnKnMTzw+0NKg8kh60838iLaw3 TISYL3CZz+jIcl+WQb2BrtaNb/6EeciItJyIL8yxnJuQtzwlBlzazCVxyi2woEHIZbe8Aw7snO+Q Ui3OwhQ4GkIDwL//zR0rBu9a7jQdLzzVNObsXNDx8C5WbCG4zSnrXsJADALcKwNw+B+a4cfVOmO2 R1bPRHr2g4JBdAasmhonu24HPdcboGtwA+HSY6Vp1Vcbrsw7xHSqpRnJ5LD3t5BTzGK9KtvsxgdH 0xg3az5HctLTxG6h1RSLXI0x1OLsvMQBGMNYHaeCHHcoX7/2tF4uilH+IR1/vMspsg/AcxC5ykyP /MgCGClSSFHpEasDFNPu5NDIK8mfPFnv9kk4kalvt6tPJTQYtkk3U0RpOaXcIfCrG3DEpqSZEwMG TxCTLlbHqPwKD1VTgcAflgeVSt/rpXWl5SnwAKTuXojbckUojb8oR3vGnnCK86jDCWj2B4qmCe9J 4kpozD7GmNpv/D7xe8nCd7id3oD1sKg4mGusBj8IZ4drpoJKoVxzTGnSPtPcmByA4xxpQz9Co0vu K/l7spH0j7VbVOfE7dcnUHIM7Ds3+C1wjreQFz31HtJSvBVUV0XaA+eSeWtr0ytMh6zkyat4o+Hr ll5riC2cOEfQOBpMu2r+6KZ08w6lfz9kzQRBRHHYNd3bkXMxofotYEsG3KFRlkdMHIJzBrA3FgIk q2vu0vlhy8nhGfBtt+M62CWBdmfya1oNta6SUBmPBKaivmL5NHIR33T4YzCQSe/lGnSgjMVJ9FzV DMnsAxb4HsBjcFdZU7QRJr8eRdJFOh8ow6kAVBFNwz4kJ82h1m0aXGeS7OoysB0/95dJ1EEYk0Je tKzxnPJgVDu5iKZiVsLHuCMUPhYv6I7JDxHC9FUS+uNycUv4Lcs2E+le6ju0bUPgitdDwrX+kI5F bWE49/DMJomQzw2akJhLtomMOQNpJxp2WT8Twb1dfH6/55tdxJ+7vnoSVSvIIWSQnmYxEdRNuPBE kcLROZv6I/UGzyjOfwKP0IRgrhIRX4X9xAnfVfYpbqnTj2GfmdKmQk3kJRRujqkweot+Vs/J00DQ DOuRM2WNME6+HtTMmlUe2B6i4Vx9xmqBnNj5X0NecNaVQzwQv17G8baS8bZWW2QHOOVIUK/i7c3B /FBGluO04YhO8twqNAIh/8tnCvQgOsXrRrQcff2d1Ma2hxGIkEcLHwMt2nDd3oj38zKbG/dQCEIr eOutRsVLZ5XG8QzyJcCJMIZlGdW81x9JIo2UfEHcQ7vhL3eg6scGjXi4JE+mcSs6/fmR8xTQDS6e 8bMov3tlLX2LzBiezK1H0W7L/WKCX575yQ7R1lRUrnG+b35frpMJ3sqKMdrciOFU/fJJZRLIh90L 0s/Mt9AV3O/m8VSIcqqm7ASKv4SvcsG7DehGUtp+fodo3n9N3lg7Bzdec5yuqKcClyE6uF1eyhMD Zglc3MZ6qupUsEZutEjZ9SewUYen5Buv0H/thDGFilyXci/x+2fWXVRHTx+qLN1rS/44UIBeby6E stUlGI1vD6qIetnEjLT3xioxyJGpcjFsXaK3wCu3SJM0DQ3ze59LkgDPP6MATV5eqpWxHTc4yMol Mkj5ag2NDZ3eVuSap47cuuT0W+8/ps/J4WxjERFM91f+AFYzEYWsmbytvjIFatTxxLFRyMqQHYRO cjm0xKybF7hOsOLr06saqHfWXa3cdtHl/N1ipFJp6W7pVwUONhrMx88ZEjiGDB57cvJmqs8MnvUh GzEeCCe7Mrzd6Z0G6ZJ6kcEoruRgj+A82hKSgHwiUn0ogPUouB9DMWoQZWBJJROGHcMKzKKHSVfR 2WOQWWxINOmctOir3XadK0Ez1PG7y6f4df/w8daEk9UMb+1cUAAOf/WnjIRrfGwTOhbmGnpHf0Eb wo9wRMi6rLSx58SCYl8Qgpab43gCC5KU7krZkNCqsFKljjrjGdaGsH34PUxTN6uD4K+gGnnnvRIs tkeCvr+qWbzGmeZVWxPq2kFtJLF7a51LgWMoBbqdhAg1WdvFdOKWTP786bUlktiGdtPrcNTf3jlr r5wTI8U+3Vz0JvHXCKzjQe/yYdLUKsQ0g9XFiyPSAfrUHb7LibmdG0LUBx8bAwP3oH7Q86XUWijw zppM7sLFQN7A3T0TwDWgHzB+GPdr3TWWn1Cqa5rAPLzoKgj71i6npRT9wJ1GVOpbuW1ZWcxIVstM MyyzKJy26ptAtC/+xWfLAeH0YsD/YbYa36FwNKLgFVyLjh+72RB1YvevE/ObRvPOT0TB+ZZsfF78 7kG4bZApwPk50l/5G49ZadbFqtmnjk3/K6nCLOLlRZyuK/208KoomTDREDNVRE8pDm+in3ENI2ES E3gqKLvJZKc5c9dCs1thC4IXDcHmjxOtBJH5E5fYM7fEPudxIbgzfBbxbjlBrY65NxZAnAjjs2gG bi85gb7lRm8R8mSOq/55GNrISVeE2il2J9whFCnhOLg0vtzmQlQYLxs55+CzQIpPukAi51jtxqES zZKQtc9yFIiECiQUNUeNIE9EC04LKqPMlXrUPoojVHdwq+Lwvic63wSwexh1R+P8bZPmZgiFfo3t HPQaVnDEnpa3S8H8NCV+FT7WyKjbYgv1bQPR2KK/9RVViXeXqZooRlq53fLKpJTwJTjHg3HZqIDi N7d2+/TpyPOvBUvw/CkAa4V1ODsIj5Y8AnqtQ/4J4m17iYim5Bp884LtwAs1vwkoGm5RPTgX1XC5 yzRxa3ysBhj5R7X0rlGmdPSk6IM+ByH9LQEIUTJXxXyq9Y3aGbHwWckOtz5RkCGajyvOEZt04uVz F/2fEadDoLs33EyGrl9+xXcjZR9tKxKeB2c+l/2dyxSVIc7FC62cxiDXPB1L1PXlw7HsPDq9nNj9 SnuYgAks4Vh+PlySF0jNaUgKdu1omWLo3fHCw4zVwrO0IUbfRXVft+X61bPEP1H4TshYloyLFngD Uypm639vK70CPSLMmwHxWmV7T1CcUglcKmqmeex4AkP4jmZiI6GrEaY9VSNjoX760Hgs8tnoP5rT SnjtFnzTF3Te1Zth6uCadP31wHoUsz74yoMeNdfEGlUhI2VJsqhu0qArXCORl0V0HmA6RjYE7HOc 97kUkjj5BI5RivzOJd5D1+jMz7FIskVgVhV5fWiDzVH7hg9YXUr8pjFtM0nEWlCkn4u/06Yf+xvJ TqJtm0bItLv5zhZT31wh4BhPUNT4Qi3tJ7oIno0hYgJI0WSexvb6R/J81ZFa1rpMlDTM/aMDut8F D2Ai8QBg4pmpcJ552KQsBUNl/FdEx02wiDZFZnRFaXUkw31jPaVcv6EQbRkFGIMhTwURwgbVKJyz WZ67DKwh/K0o13/BJzb+koYGBsSD/IQHjw4hhbeMuz0L9qUbBACHvxO8riDy/YKOqwUZkRudwZAM 7Gref/JtsEPKkchG+db3Asn2Z2vKT+Z/PrmOfQcUNjOHbMh4gExYOGe3rcJfO8YzVbATHnXDcVeH FPdGk7QGIYA8PPXqPcZkF3i++nvpRq6VsRpW66vn5pqJYraVotQziI6iuqWTxC4ta2foNC5ZFMLp F1SvDq/nD3Z6R/EYuuS+vH+d/cwipnoEMpc0Y863lYERmoNRRcoY5YwVWHjJxx3MKwhjmw+2CHig Fo9y9NiHXZpi+O73cxMqTMvTpTXlt3zZRUj+7JKj+64ym3kpsJsLT/baquQHPaZnHErRQ/iGQaz6 eJQiGo06JpKnsOBicXWAnC1kUmQ3iDjoB/Hgx5TdXQZPd+cy/p2fc47XKDv2uoC3IRRniJHQZGEt jXUQzsToeg46WXYqd12MITRDTy3NbVOVnUiYwFOrQYDL4ZE7m5US3KKtjoUeOjwepFUsdUTjkLMJ fy+WvPCzazNjWON8u+UXXb5nUFKea+w+69Yy/3FUcb4WpNvm3ef6IGrmwG+GNkbzeQd5QnMCzR8i 7/ucrxqvAJKiaX4L+kQFGjuAVTfnQAKw9entBDRYaqVC5lnQI0+xyGo3AGpTIrvRj+daOc03t7Dq At+a3+BHKE90vtGc5dIDrLElRsmI7Ltrfgcz44d3YRnadarEEeJXLD1WXdMQ3jKdW360XVzXrWbE sIWZC2R/PtsKCh1N5iWU6rJjmfrdsquFKbMjPLDrH2IHkN5ePj9PAv3qmd/4TwBYl+LTff6rGLRw C7tjPF3Fwceng/XdfaImpwV2kFUuG1IFxK4IVCU2XCOkfX7g1iWMeM0GjZLyf5I3BPv4Y+XPYR5d sYSSfaPOLi3bjz4b1BLHWfDmARJh3e/wGyGwyFhp2/eEiWICk//PG3+nZAS9vRWmhzerKgcOwt1d K2aR+Z6T/k/8+sccy3UCWIe3e7zGt+rVyIuhTxm84n2O7+ppJw82qn/ZNHRLeywyfypu8AL/ejYJ xEyQnakaGE0TL4Is1twnfqzYC7tBhWlKOgtT0uXCNk5f0fUBFHNxI0a6A1f3qw0euUZlfWsQ1fH7 Xse2ofD4QlkxzliKSc7+VjgU/kqtbNs1FAWRkZGarf6MC5Uj3Tn0CNDfxoY1TXuou0Wq3xwHQbB2 D/aD+sV879HveVRbo4cyrGeRrB48g6EAlybehpI/pinfNcp7z83kEoAYT5jJ2KBJbLGgMyOwuu49 jOgRgmguZrDIwo0R3OXlrx5L0g0D2uWllAIa+uaCBMbrBNvuxS+ZKhZk2elymyItHdJiiD3iNLc6 3liX3m9uSIV9KzBz2lzoVW5FFWC5vPm78hfk6a6szwNJBaSZCHmXvBsE9/eGdTEIoOhzWwCIdmzY 7YFWQiTbCE/t7lj0Mrm7Prvho6wG3aQ7K65LX+mFG2gbu6JzwWqXO+JQk6OEu8b5X1+9caMNlzXX uBWzGRQN80Lh6S2qdsJr+2uMtbu5lgnPPVaeuRTxR3TlzFYzf6ZNqSc5eRmBkq3Z8TW+mphQK3Az Q1Y+bDQWQdTAVp2JbqA4oYhrHVNHR7K/+cRFnjD28cL2oaePBZ0wuw0eaDrx5hcm7T9Wa6LYeI3E /gWUa11TP4n6bPWUjFmFZqWkQ016iw9OZAgb7hWCIg+AcRt1Dtlp6WRakxpgr7gxG2mRrDuDb4qU 52zuuZFlqRKTk9so3V2C629XjUHbLufbO/TuWpC9qO2hhSUWPfbeCkwiIUHEGda9nduDuqWxj1dT 9SuFRwWbXNqAFirqBZ2m/iJ+MFo3G5ZcN1LtiIau8vtwlM8Kt2+7WMeuL0cFJk9W1WHlvacAXY70 qwcAyjMHvqsqJLMatWDykQ+uZf7bofk5pilvo6Q1ohUmrBu5cnLNSfbdNLMYVCjar/NS+5QlO+24 aS7BEDeVYDY2NeWQqGeztzMQedqK2H+p03A+14yYs0PVQ+gKFE0zrCO0EWo9ei9NFmJL/+sUy2hc PVEuQHgkDigxfGyNCRqmEwvDp8eO8Nd5O7ST5JXhcevrZlGIUT337MQu9f/Tcq2yXwc3oGreG68Y l8w7qVuul+gB+HawlDPtB6SqM2x4Y94xmgpYsU/+EINBgvnNlT1pKQyxQ45V1WFi+Q1SnXSqm5k0 rEH3fbIM+2D49DhpkozZUW5snTLO6Vz9Oc5eQfrqDQXdePoe2Cq6WLaNGSz8HHLwiSkxaaEvplRO TNfs+h09yfLhlh8onubd11tWIwRI5C093jF+0ra93rG8JnrjLsMHEdkFKcjGQkXJW4v01DghX42X GPQr7yi23rGS4s/jIcp/1DBZqv6r1ZhcNzaYYl9yTBS2Qw/SMJ6NFtr/iEL6ZE+PKjCmORSsRbm+ 5pSKvTDPMiRXypRBAthpEFp/8CkuAMRCzAALlXsfBrg1hYA55u5MU/RWkpGTbo7o9gUeVZ8b1wH/ vTT6Lm2/zr0ZgRXsciW6eKZHZJZGG19qMnd8Ux/YpijHMr28lcwEJQc6SlH7eoTxrK5fYQUfWutO hlFcPkaT4wUnP4ymkBP/IoHtqIlb0jldrOCN5OTB6Of1ZmOF8NvXgwsV46jqSfJExvq0OV3vybtw owODA1Rk78xeQ/2aaNvlSrILwbYcFNvocFA4uRlpUIT0CMoxZj7zHgE1qUHu6KRM4RVyStnQdH5a 9InFjuy7PGOJjlspbdNASRiFlGyb3gzPxweEgiiyN31OhR5hVoBEBq+kKB118RMoiEJ25U69Z5dN 8WW2yB7+q5Xaz8Ls0IXHCp1WzMMjs1s3WI439LxYci47JXZJ86bdAelDbO7r26dYTuPepfV/zCLN qhv9/3tPI+j205J75Qg3ddnLLfiTcaQGcgseds7Lr8d1mcev610sr0D04Wb2Fr7Lrr+/CohLHSyS NLbWGj6LqcSU8MFt2ZfocmkKaShqMbM8zKFIV7odHcAY8f0koLgOCJLYt+vJ/cElfpxQ2m7dEFAt PrHM9KMY3ozfc/i6c0ZO4BnCJMx5A/OkWkZnt/A3uUezF2b+yMakTNij+1cNIILxhKAYZ1GvUn/d pY7DgDwSpyljOUvT0YgVLzS04ofV+V1+n0f8XSUrR0IkbXZbMCDFaOVuWF7Cpa+05ucrBiwZWYCH 48Ey3TeMpzEPjGaCVi0rS9kMAnCtsdqD+ZfiHCIRQVfVRTWHYz4pmwKgF15n1i3fMs+VX0flbD8x KrZ0oXssKantBr1hg6pcClBggn7UG7JJUdoAYBtXJT4XSQ9HtFiVV4nLcoYURyF3adwq4P+ZfgR8 JEb5XpqXN0D5k9CsKVsrxvlv0R9GzYjvppR8ICrEiQ05c6xPi9A9T53dvvD/vKzsOif4Bms5Tn/T 2zDpxNjrpKVBeFoBgkyutdkdFB7m01erZTFw0iky8LdF78eQD83gSkZth7zbS0dx5E2ja51ToiHU 9wCK4dqyerHGLh0eaIec5HfIABwrMsa8Xb7RA6PzS0mx5hQkEl3D+Zt/K8K9TKTfKmOpfl6TAocd XIeh2sjt4RiztuMb1EzhzIPjlORQaqS1un7YVMMcHHaJrd78LtLX3QDSs1Dro4iU7VAS1l3rdbHQ 9WGWE2UytehbacFWF5gKvWx3IWOO95dGwNRlzwNHzh/1Quml4VNjDPFdcFnnqGz8nD0qT1CK1oKM tN57llGyv4/ovFdSLVnwqSweJEdSNnnqYZNkGGs8RwpBeEwYVPDMAvo5zEGhV0wR+Gz+wo8BpsdG 7SnkUIed8SrcsN0yVGYj+Mmfqjj2emDqxkOkNxv2YuG8xsgxy4fgqAGoEYhZZ7bwUkjGbP7FLGqm pa5BAHXYrvr9EH1y532KmJc5L1Vc8f8t87koW6OLwai09NIoMnsOYslzZ6yZVRIoIlYJI2bbd5Y5 y+6jtw7z+A1gayR+0aJ72LTBLSTjGRi5kBdqnubpvkQ0e+I2LzBuzUgYUaj5roEgZ7yHNatDkmlJ M/h2Cb+74cuMjNNHRLKFwrdwonpSVOhbspW463mgou/jqr8br2NuvDvf9hJlIjP4tKYb9GyhEoTp iTsGPsCuy0JNnOIPA/4FijFhXabeS26t/wDmX1igC75xBqlEKoOPa1K+X13iAnRb2qnZ0LJ8254v RdMf4N6WDGGjCy7nxdZPEfw/qeZp/Jl1XHB7xZigZHUjiffOo5oURkYGN4hXPw0jOkv68PqNBIGd lnKZtD/ITqyFH1wA5yY0ojeBfLRIfbKoC9Qb8pH8SeU3vnUlfyZxBllodZhvvWBpx8IcD9+dm30J I1vx8k/djaD7sTL5kOJO6RRdhA9pLbu1exCuaFaN0n82OiXOPKeH7Nq+xDom1syhyR8exvBBIPMi 0pdfYuVr1adFK7K3K9419kUzZ/0kXM26U0ekL8GSi24tfsLbyXEYydobpIQvXDIPNyqtjnjfqVAU +o2MWI840Vv4uxhIv7Vp3K+vTDahTV2MCy0mU8Hi8ofSUXlwhEWKwGe5wVr7KbBlaISzCktOKDuT qsXHyDQ+ljYVPx4xOk/Qdct6rg/YcLJZKoQk7U3NpiEgpQbz6dILfa2iT/cs2d3QsNe9Cmwem1rc beA40qT+DjR2iXfm9bmOlhw0KpMUE9zgChgjueDWqDft2KRdZEQnw4FJ5XouG6E1RIuDHhI8P07E WOhjN+0Mn/oAWsvAsBsxW92GSLEQLRvUm2FPtCR1QI+cnKFAQ3w84HlFwUP+OnqZtoQ9PJCoWdUk hZ3Bx9BxC9wx4DfpcNMhAKE9UCgIdUrjyy5LIRVwYMxcO2ejROKANKNVoHqraBLgQttaP1tKzJEF WQo1gsleQpCymDxbfDYCIvaORxaYoixsig+M4mBgdpkLK7CTTTv1whVHQtZcRLt00pl1MjW+rP86 c7jyOwLJkzSNyoaGhd6OZeN+LS9xMVyODL5q3xmAdsESC13iakVtkik1ZTbOR8sceQ842b8A00YW Dx9u+esojZiYsCfCbR2MCb7Uc+g0aeI4d7ZjrDmc185OUyMY9fhkQoKxhHFM7KUYV/FZ++wI/nsx 5g0yxIukUKAKpjCkm0/k5vgbQBZy6nxe5vfp0WzeZWflt27ZWkB8H/MuO3kzWhz9JNP7gyvcSq5i fxo0gSA9eY6m22xZQ/z9joErmRWkrGqnTcLkI6t+veX0BCmDCJiAvaj58cjsAbGVc9Bo0B5Mm/kr DRIxbYQr5+Pjdb+JEdC8u5f+pav6WJY0cvBZLLLJeO8lLNmVwRQFU+nkWZTpvFornTLVSeA8L1wl WP8vDT6OcCCf4Roc8NcoqsWjTBSsoWonxgR1hFO4K6Fiiu+k2qVDv5SfRZKUXOlfbQUVl78/W58r klha+T/e/1TU7qum3r7StiQEiQv8Ldi9rcEnBaB/kMiauLEYC42xX8j19sC6Sw0H6Wk2VleLaBeu IR8sM9d3eyGa77rcx3AjuRTrVjDFIwGcL4+fAYnpuVbuzI+VlHlYKV+exJLqIwITXA+7BekJILK8 yqMcdqpoY7A1aF2QNFvxYg75lA2AV+SWD9KwnDtCGEg22+xYSz+gKXvPqnUXFut4+UlMWTUvr5p8 uTwqtI0IZ/XlaaVpgPQ0W4OJGymYMLXoYHkKWxuwkyF3iYE7d4rRnTaqPy9U40DsWPTJVFwiv7nw Bd9H02EKsQmvZYSlfhAegPRm2rzOWnd8suCgUUZ5hcATBEqqJIIkHM3mB/FZqP7YoJqXe7wILJ1q kU1mmtuRAcvDgCUXlGgkbWyOa7f73RdvZdL5ytLtAEpDFzFBdNNUd1iXkStRhQ8SvIajSUGOWFZF Pjh8Ijg6DAsFHSVTJuUQ12q5Y5whBt5bCmv9/Sh0xrJL77ZhO3vYZ7F5I5EYm47bs45MHUfIDb90 vJiHhNsiH/+FIgRA+mgdgFx+7DmtO80H1X3ogjYrDTXxpJNwREW5DcBlPayZvF3bJWqXgL3wubmU tCrPrOnXmEQtP+B/MvIQmYOQFwtEYGJ+kx6m6zcKekhuCewdr4ARV+ERBxBBtMyUZow4SVYfSR6y ZiDVUmw47nhN8wEwPGvIauCDsTt/4Ybr4UWlWxSYlLX5FdcOPXSSfADSvwg/kBjIAgMy8tZ0N6r2 fZykQUDPt3xdfho7OULBVHOCFL2hm8Jw03Yt950osMSbGJfRw4Xga78+0oPdw7usq+CGK0vXzL9+ 93YD8lrJ+beX5KKEw/TXfafTc6eY1Een4eCla2q63SfIuk6ZOPH6dJjASa3xp6lJyjPX7m3FhoV7 U51AHNIQmnbTVSzCCaq+hFhWeC4WTuVPaogywJ/ghsYlKVeazdX3zliWipf3YhlMJW1/yOkrOXXY eMvNcDISiy7/ZY5EQrM07ERyf4qzFAGIHCfQnGwfN47apmaXlxO10pznTQGfpE6cfYJSc3DTv0Zh gHYVwGAxogenVyP45JIIgR6PJDPF4oFNkL9w3lFeaOc8xZUKifKLuzOt4zGpixqW0+vzfZ62T6sY aK8mZTV6tpiWaGCZGFXBDN33IIdn/Bx553DUX9o6g4/PBH7b7SN04JB0Xe3rkfQ0QdlxXvzqc99h 97fouNn+D1/uQbT7HwsnStkysz+Xmc/HMNILU3A/vwvFpGI3U2g2bhbGKrxKEUS/espV2fr/579u MlND2gLad837+hGViqDyJ+AOzLeU9nPHzLHMAKmdcSVrzHCwSiR3uzU2DAp8U3gG0SLZeZK9Oq97 1FnEpbGUYHKMT0rBk1S0MsVWDa/AZHzbQistxmlob6LJQjuNW0K0mLSgGsVvOkO6vVbXtwNqQJIL FksVLzsqvEOYyp7HA1+yVWyOGJN3GrhSPFfROQ1jmgGmF6zzObigHsEuwjDYz73ZS2pIG9DxClpH DJajBh9OjA7exw+QwtSAVSY1P82qkJhgLsvlOZYfBRg8eXd3nOFmv6SObB6gAvlxfnV0xGQsu1Vm TN/N0Ljwri9tQ8jxc04XgZNEUwRkqjFd6WCMLnmxellxEhyWYWGXmLUy1KYl26bt2Wp+dib16AZb U1zROhUv3nC2T4kclsL2GHMWL3z+oW1KUkgvbbSX10pM7yLtJG1mpARUNgEYpiWXcSUc16il8bpf FeNQHAKQxQmWECuLO8ZpxmeVaG/uBNCn5KR/1fi5yGuX43xPy7wb7LuhtBYUM05witCooamK8A2a KtOiamxNksYM5h4Rtp67DghEJIcZLNS+4oY/AkT/UaPYouFHO8N5FSYn4oHcXI/WG4H88eVejuQz /cHal1lcXBgBG5LmYsDLIVfwp/pu4gxag5CPvIZgVNMLw21BWcbYi2CsJA/rt9eHQWbv9Fdd3hDZ eVowPSeKwgZ74cjpMxEjjh7nZQ4hRzzftfp24uQknN/uJxlkO2M16ZlMfBAI9sxoSopZyFK0vHn1 zW0MjsFz0e+UAUE5emRE7JdHbQ66RddrYVT4Mb3lO60uHcj60yGZZoJJJpL+YsCOCwoBu8cqU9TA K2Zm/lmoelZY5DIMDp7M7706qDc19ouoKK3dKmAgmbvIrMYa6p8TXD2ryMwAjAhmlfaR1h81sys/ 1MzAkRYIiDxN7Uh05fCY2CpT3XbN+3tZ/FLX+sSVSfK642xY31THZzYsp+FW8Boy/lC/VK98xeks CPixhfm2oyiLUIGTE2HIJiArSxJXBUpjq1jGH0elsTLjKKiJAdYrsJHIO6ODxzpLDtzN4B0F6JSB ueDxw33jNdqMYU9WDGjSeAqRInb5a1PuTqnKThrMy79FmHvYxTKgT38S63EUSSwNhUX+IHCo7OX/ CbCly/+pHvIZy49lgjYsIR4fNu0KSsFfPJ8QbeuXLS6JG88x64Lc/qV7UjuGysnbF0vHJmae5xYl jaDvS1TUq2YGteQYnARBLc27T4NLf9c+bCaSH2C6Ybj1AgFv9aG+omfbFVOXZ3/HgKbLW1Z5ukF6 Y12xl+9W/67grfJLfBZ/pMzenVl5t7zSs1rz85ywlfVKxvL1TWTWrf7oDy/Atl3w6gZoBGwjTtQk 9YzB7gCPYM6DfTgUQtsXdQxAMjquDWUFK0t2NfUsRNAj5Av4lJhzOFPOR7JLbPcBLHt/t1iDrrby wgwVy5cC1AdjX5T8j/ch4lYl44RcAbOhZhJfTd0dHyYpusAq90LYvG1Q+IgU7YuN0KZTaSOIAzwW 3gOQWIBBe96djOVxNSrbdxG8/lW6NVv7LJDKm8Ka3USKpk/r2jSWdY1FZXvxWXWeFUx34NoIjjGO qWnCjV3g9wezpyladLMktt1l5VZld0LFhFGxCKVmjFHR/g2ZOp0tLDK/LZe1CiRjPp59iXA4duYc XLEPFvPpO29rzyERvMt66Sqcdr4Q2aV0z8mJRE9r953rBZSjvzVCGBT9kT79gtUlOJ3ePNVkaKON zy5+IqWtB1THO/fiauuUrJ++KB5vzJxab8ERoyqVgE7EqnVGs1nRmrrjnX0ReqBPtDnRwrTamJ4F OfTztyy2Q+/azQDAED5ObdUfgWSk7AYVNp0eCPHjXl4qk64k6SZ7t3S9CIFv4xSzOhbxg6DM4UQX n77UMSAhOwbV2imXMUBzMhNmlrXPHVUYe7zvVe34uJfcaQwTsTfGja7pR52kivGvCz3zeKuT+QXv 4bpyMNbxUc14geGHtUtt4mJeAOOV/WL+nyf3PNjpz0B2vXaIa4tigkR11pkOSRZfIWAVQ3hOLOyX TFcS5ozk5f1Hiss8O78+7HXJBLewSneBjkFLromDvC6jAzxcLyX3pwDML6GlMlmfyhudXQKi5hsZ xsECuy3tRrWUajmFsqpH+lEcnLAIXh50d2Ga7KtNnrJFIogw612MJJhN91+I5xTWNd1ewQPOfQYi wHla4UVpyE5ZClpqPQr59pg+sHptrRlJqFWFQMFlAJMuCIzfbc412LknpCRiyot8yqAj1ACpdiYs sMPUxwkH4ghq7HaagjHWHfhQUEIGj/YmEHxXgI3ePmsuvWRGISyjY+nLzcqXchroIgQqARJvkz9J s7RVL5vGK2LjRCQ71QTOgUkEh2y5fWPDJJ8m3HORhhvF7zUEM9MLepvLXX7TBzO54HS5+CS5x3t7 o9KiKPZLiB0uVkmsRHueCHwamvB7yreXC8oF9eEXaO2/Y/LFa9U35YxkKgA06ho3Z7//5I0L2D87 AkJyE+/AwottzZs5zrqYrlOpG4OznhDn2TwzO2VDg1WkxADSM8ngsHgw691p35Bt7tDLU5Zxm+It xIDkP6vNBm0jESlOK1IvHawmhnH5x/S1+Eh778/thfJLN6CTzUW8qwzge4AhVojGmf1q6hQYE2uq zfqcjUuCLY2kNo+Qmac8EIJj/nZN7HBL7WYFCwGMTfEc/okhJ87noN/L8CrfqO04FjFgDX7pSNM+ UCid97wHdM4jeuoTeHB/waEN4lZ9e9gf0DfvHuBQ29GntgxGQiehfzM++MeMIL2sOu5e629vaXc2 t6Zfl48RE7rSiFtPMj2djDPHVefngZQpCrM1Do8U9zwDDLTzPR4OyiAabz5iQlw7iF/yjx3z2pbO IvVsffYGy3VNNmhJtFh7lShs/JSD2aEBYnCGzm4rDjf2tfTMPqnxHTfPs5nNbg4Wq5epLl4O7L15 8Bbp3f0IdRFrH+J/Wimwr5LTTx1L/7mt2lTerofsVAMVix2qYX/CZT3myJuLj94EpuCc/W4pN235 aI80USLWnHF4mkjjpPlxm+a+wM0UBqacHedfv8N5iVRhKw4ro0+QwFQtEsreetb2bRjT16AxJJIO RqvqgCnPNA+9/Qc38RBxHbCBL88X8Azo23XrD+6N++W83Bj81ZEsCeeTaTXwHpz6+pexpIN+yS26 yvMYTm0UuSvHd7l7vAM0njSz53bjUylyQNK6ZPrK5lzf+jD1NHgbS0Djt4LYhVYDKFpeYSmQap5I /94IbwiFwVxJT2cuFKQ6euqxOKgdmgb26qxYSaWgnYkDKO3t84TjnVUo9J8C88OEPDWij5Jry/Y2 OFRv7myAXv1F7LX2iAPQsxXj0MD1o+SUBkQGp4+tPqJpfvG5SL7IxfuFcHDN6eI9LkqyA2p4ioG9 1VKPTzoYa0bLMXFzLzAtLbbtnfy/k508637Ndx4OKWaAUr+ej+G3bJtk8Du5g2JhmPoDEsQJIFVr JIL1h88QHCUy/4TI4LzW9oX1sLYAXfE9vLO1D2IqniH9x8QgjrKSa+8L3UMIta2hStV9DzEQP9Aj Hed0b4UsXQPVq9k/9wRilDni4VtCV8LqNz19yDAEEpI52t96BCtRv3PCrGQlMmIlbYCzmwOaamP0 dVzOo9qEiWx1j0F5KBO9WLUjv/L8vSowNSH06oPBpZeMpxhe+g6a/cuMSnqmBdT+PcmIts//mWc4 WBX1aMCgaCqCdufpIwsXR+uOx41CwEd+EQ8SePF7plc25ZV5OzEj2s07poLmafnj5jgUzIudkC5K 9JZmV2n3Wa7WzsAw/SP9SVhuwEIQkMoE5dkZDlrnXZZYbLGbv9kfdBTHvlJxHJBTGSERuhZehi3S 1Su1XkhlXh3fyKWwzzBJ+EILo1xYIfUmBIIAzzctDrDellioAKtNUj7YqAGFHW0PtUJYHjZGSywi ZON5KKKpPnmLAiSMjL1qBp4bny7Uap9C5HuqUC3GZIZ+u3HW1P8fYTzxxBnvr/lLjWtFln8oE0Ww xVwO01Xhw6mlOMPDLo7mJEDxStELu2M8miXx/m3kRIHpOm69miionnZL0624MkrhaNy5npXwDFui 4FG3ThiRxU2OEptnnDI4oOmgNYlx6nbGOSxeA+pmbIP6YY8tZMPnnDfvPATo2GiWDIS4PV6oKteP 7YL0bupcP8VDhRa43HjvqgV0qWpSgOgEKJz7GkIlWvulfUMiCEcx/wuJ4dPR0J+vHTh0KisDyu8z UNdK9NssoxngKD4xqAd3oqQIXy40254NDGokYdPW24ELjpPj9bpGZilpMKvfuoKzQpNiHGp75WBB s1Kkrr+gAzG+g0hS03EkJdOhwsaK6yUixbK+KPazeJjRwqN5j119HNbc2T468Uh9/pmX6JtbwON3 vpCvc7VjJEcnBhdlc6+JfCqOYrFD8mPaNguvWsn5wHMX9I9kmlueUs5VbKHCr/4NwTJUL0mkaXU5 W0AOTM7G6OKcz06r+ONezdu7W2A5Za9QtFcINpyQ1oGbJPQxH6kvxaLJNybJe6/A+GldiXg+bWn1 zJs9QmtmXsnQJFbH4nXf/Povg2O5M1OGX5qtansKvmswqE1SjcLNj3SVY7hu97qR6Sav+YvaBRjL zC4bVSRm1z4OZlcr6Jj+WbUHGigNm8Hb/oYADltzyCtASCp75n5wGR7Rll8m2MAoOGoiHuHsgHjt 0JQvTWoSY7EgZkCeMfqQ87wtG44evTPj4tB6O7Bck+/bzmLDuBXxc2XibtTygzBQXUh6BjZujKiy eXCK6ZZgPQcyoDagawgg3irKgb+hcErXx09d+1Txtj7LZO+T20jq6V8tSw8XWhHhCO2OezSSGpR1 kDc5jBB25vOndq8IR/Ewuq7bndZjRdSHgT7Zfwo13fIsMnlniuWLPiUwSVY+iGjMKlhjQ3rVzdkd lW+2SHmxi7SfASdDTPh1hbNPS6piK0tG2LJHyJz4i4hi9l6sJ/CX4dQXQiw9blNTM3CTB2QCkQZr n8Er5nbrnZ4WH0m2DSSTUu2QralcY+0i72oJuPlzUDxMzl9GltNb+WfzsL01E0gheUTx4gUJD+do /BoP65xyUIGUvf1NXGiPgbNQ873xd3gbsu4Hmoi8tNgsj8OYjQfyIMSR11Q5rDfalJHaOYM2hmLU YfN84k0+s39OTra3LaqKweIVLqMDmlt+g4AS2g5gbCKVEAZ6stUba4bpCZr2iy9SyeAUNLSpLl11 p1+ferrG5kGA6ucS8ro0wkfG9l7Ml/NSQDjyRAYNtDBU5f4j22VrPv74aFIQY7dn95oNKLh/uXEl Vnn78NCbjoPeqo7jS2nvxxtSDA4CGdGVJPyggGcHyu02d9EUlz6Mx/9vq4o/l+BbGv8GqcOgocQO i02nbG3UUYsgQQr0pfy6VusV3npxAKwQJOlBxkZRnmzg0a4QmGgFGvSi6TlRf7Ffr+Icg1COjgKf 7Ty/z90+wXR4rPDx4HbFjQ1Urxu6cnXw29cL2E6FFdpHaGRGZ6ZswEoFN0xbZTTj4kjF8trRHNyM FAfsxoEsqTtdS2ZMVABdF534w/vxAE/+LyPRIv9DSmIW5anQhyd6+BzbvRynuybRurR3DtOn3FL8 6PAQyw2XKKPWTNc0bJCEwqgqWSGjNgC+Wyiplw/Vk1fMZydg2Ju0jOphBzMbad7Vqn21zbFgI4m3 qSQydHYD1tpfyMusTjNMZg6CP1CEsGB99vakOHk5kOHToytjcaca4kcvY72xfNPdk3K0u3fkwBqq hC7z8SFmDRkfccoeM+XQgsbTobgoU5ben3dOuIKFvNj2fZyH56MjIdhdAbvlgHddqsAuHip509Gm 1lQjx+JwYwJWjD5XZ2fEa4XtR7I/piKjq1/ZAuajMoyydZIkNLtBu+sP8k/umTFxCPk1h6kGOrS5 d3rJw15OWEenRg+nIdAsQ18I818mkAUjdl3GKBN1sA4Hw+Lxt9z8W1KOI7SjmFd8WUxJJSKlONhT aYd4MSycULY9tVU7QnmLPUUOJa2k5pXBklk8FZ6e2wNNUq7hEb2yaQ97CE+4BOZEqipPslXbkJOZ HQUzuYbWv7qubKVp0aEu66HEGH8UGB2So0M5oTf2DK7FC2RkRE+r+a8foMdPERJpz9VjQx3pepT5 LzJagCOW3FSJtgIm9Vq3XIUoE76AOnyfTy4h/4+j2Vjcge2Aw53qMcl93dvf/FE3Psjf/q2OWDI3 E8Helak7ln0IdmQY3oxXq72IIKrSIa78NaXkC7MuKZ4CpCfPacVBEyhkTcWHcNhxUbOL2zmsX4F3 grHdo8LB1huJR/f+/nhnp29Ksp5B4VqW/9igOtvXRcujKwObgJd1JKCW/eoejjz5qsh3QrBfjPgP UDDkiyMdED+w3Xd3TyENk3ULMv+S0FP/7t8H2WilqSm2cibQgwjei/AFibILsz9N7pRCiKtQ0nTM w5ihr3zPyS3S/5kq7ZunHP9W7LlxGbrRz22w+9sOKOFBlNYbUP1PYeCwWlu77XwF1hY2ijeY5H12 J1pFfEpHE9PNICEa3uEcc6LxyOIA3NzgqJpoREElhcjkt93syz1VRLIUZx1HTfy/wDBsGGnhpBQb CJ6gUh3UD4g1+0TiRaqkwWtByQ4Q6Nq7nOTO7qOAkBMVT9x/+8Iau1cvXhwgUFGYgHKmUilYSSIZ yDXjVqBrOTnE0JU0f1NDgHvJVzYRWDdw4tKHZya/zqYpbK99MP6qNPHTt26XxcD0idCJkyMMNSZ/ RlvZPdaaXWa2yw7kxPR+a+gtEUJfbO21E393LGvA/0Qsx7B/2KUpnZ+paTtGJq8UtlyWII4SUtmr TAijq2WHLOb0zv8EXajcL6rhvFJg7D7PZd0twCEDwShSQfTw76e53pf4LxyUefXuil51VmYaWeBu oOr4+0czTMf16NbmTS8S/Obo+HDTwq6xkPiPLBotIqv2uCNjdt7Um4aaByxsV58r6ibBn0Am1lkL QrT3oSKRDNLy4Tjs/SGmql6Rk13+Xd/KIXAGKnZVL8LEOD87x6t/JyL10QLu+3g4JaXDrBbVAxcR FtYLdzSsJCdGSjnaHYLfeVbH706xnvVc2A8ReNqzq8JNKb7Ai6Uw3Nv40Joz7MFGpy7ENdmvMMew lUBwDd4Gtl6Tzh9yWOFefT3TSLfgxMwErZ9IL0/Q2u4Zed415q4Y8GYcBgwo0CHDX2VGCOECMgTZ 0P91UkSXJ0xCPDocBUQDIIRid4GjcC6so5dnpNu2jcysAVOEruqrC2E9ULnCXOIRgUr/t4LZph6A 8SPvstD+jac5K/BDvwjyQ+7f5t5onIAgrP96no9OvG7f34KtInEwUvVHS91ufbi600knYSbzgHaF 6i0JUWTTP6wI7F3gaG+TNl5SHE8VIpf47TRWz22cAq2LhIYkL0cMTC0QLaLKyGO3O8pGyL6f5XLg esO54+QYh2NbsQV4bYILlNApSqkdQ/fNuJKMgHqBnRn6ayrTFmT1ZqDggKII/1snI4Ollu5jt8+T SSE3w+7yqSWBwqF2itsa5AEVWsDeq0Csq+GGxrPBj5NWVzJegjFcj0zpynEX3T6AbyY9/Vb/9Njv xOcDx6fkATLbdazis00hi63OKQ7TcPfwZh3enSDoB6p3AYk3e5FCZNMTtwwMb9fKJSvHc+x23glW wxj68ewhGeBkW/yzyTkmrO1JS/mek25vsW8kNR0JkbJmXq/6+aInrIekr4iqmTQcC02UCbUBHz0P FGc4evj+XMIXQUTE9Gthr+k1EAEoMthlv+fJZAG+BdsbocQ7Wg5U5djCd3WK29cJ4Eaph6w14bU0 wzWPg/NuXA9EjklkzYXZ2ibZqnIXasHb9/auenUoiKHBczKuw9+C/qMtBnjWN34r0+It6IXFHFvJ zFlODKgVCwvOvttlUItttgcn/eHLObQG7wdVD9eX45DMr9OCKqtd8dcJinMUes96k1U0RiZrBqxS uP6HfRPS0wl22gV0KwXuk7FAQvgbKKeDwZFqVS6+NvulhCS5kMpsRfHzGpBF6+2da+jbjbIXklU+ Imt0NiSCESETfoInWXg7Z1oeIvMmBUrkgF3vWe6BQReHeCzgahesodGvH7jd3DvMfFdMteASoLHy S8l6M3Q9DESWIFa7UTzLWFaKSsS0Q593TNADXhYePLA2tmbQR9qIxoxBC1a3Mll9bkthbHwVXAMj 2EqRhvfUs2ha/BqZRcxdMFbIuItpTJnl9PpRMvhcIZc6EK9Vd+wk8iYA4F+IknA9hlzI+EgHKWSr HWpxRGondls8XHLrngsFa6gQWtrDWG11bWZeH9Ql2ydFwo+k4SiAgB1wmlKS7v1Z/lCwtRVbUtAW WNWDfjSX5pwK5sFKqzZhunSn4h74xsoHO+zl3D+6k3FDWCCgckWKnMkQUTgii6t69Wj6w9Y0i8w8 rEv1xu6xvsDoqgLP0Nu9f2XiLsE5ZWbLDxIc3i1UHgnn/HnGoFO61hU+qccnaPpugYChfNI6Fo1a TwQ7fXel+47Lqgk4UhyBhL589jOPYVk7k1itTTIcqIz30HObRZxPRwTwK5M7M9X0XC7kjNx8JT4v 3lhgLjtlRKAYQS2A8JL9wApbPBI/s+JREKRvF8uCHCPj6yCiKoZpXCsGzse1gyViCso+o2hEI+px svN7vhvpTnoXGHj+aVBjR/9pip9zeiQLVrM+QUBsjWJmTHmtZ6U9dSIIKEtHGGNuoKQBWJd8pveq EffEw+RPwrYnGcJZOIwZgPtGz4LilhwzgJFE5eETlgu7gdsrBQW7xLQAiDlyd8iq4IIFEfFC1BsH iB+k2iuSk4F19T6iPw6VXOBGL4ZZp8dgjxOt+/zbdRfVrNLGzV6QjUE4Ocuyefb7zo5VvVr4vgyt b/osSuhPnJ4NyHsLmrVyzt2mwwARfksujJtb+UvjG/skzYoQgvMp910xSFggMKCbjncnXsXlGSpL FMwAp8CXo8lQd8tNkRVAH1dXxiZx+IG5iVNzahtkDvgW30B3Low+XcJoWL32Uo90Di6youb/SQqM hZi1niOJaObq4Odbheyd02voulOKLGfJY0SgGkWqpGVgRfv+JWcoB5Q1WB2T2jHkGCE5yA8cs74O a3/O/pd9HrOpwYAvSo76Ctdh1jsEIHlfTE2wK74VzCgoqyNo1wZ3sLUhYFGWs10m0xZnasXNMXHi zoS61gVfLqAAKilOmZr6Awbx3OrtKGvY2nFW39+fPLln+ho4r99c5z+y3Cd5AE0lJhX468/U/6nM bPlyyfjjgz5yT8JGb1HQyxG/i6FWpA5oqjF7fHLWHkE+N/RzoNmjzveayBFX0uwcd59Ho7DzbmFU Z3DkbVzaRaS6zMMx3po7GpejH8chc3zsun8HwftIvMW6kwt2ThYYzl3T2EWToVuzDohLzhsiPv6d py+RenlAScOKLT+pxGxoR3CC7/1A2EjlfJIXWWSUtlm7goA8/UHtsflNZFWypWHk1RldHjpOXH5F uq+wOs0cs1gS9V9g8mCYirg9sOC87ZTSU1Ny+DU/38DRQFh+2shkFFA9h1DDpxIAMmIo28xw3RTb PGHDQCngQy8nOlyJ7CpmxIr9Fc5gJINVGRJixAWIJTnQ2IEjATzYMg8lhTHO96HMtI5hvQmKjlaS 16d3zZisc3JnnthEiKjsHZb/j9nE4JIYQ3Ezeab52i0vJbXDF5+aPniuJXNliYbHa9P4XaPfKSj4 DV7Uo/v5TWLEnRWzCikTNt3Iibpxx98gPWzV20DNFJlwgGXJeqxbhpxY/BQmLP4UiGciHWncqyDA SHR+HZpzm5aQYCANlrIQ8Wz6p0nZqAFG0qQZRm0UFKpwrTX7LU58/yfxxJi4aPQp+CMp+tbYvjtP 06ck5jxtgHj1tPqmukXtcxn8egcPu6gh7lP6Nu3xcLocz0wCm2ptAuWwTXCjN4RGqhsFDH6nMOgR hbiaoNq7X1xWH2tdpt8h6vsGYVJyLIs8S0QipClWGYVgG/9guhrAwmNiUq98EAkNDKzKkkLYh98v COSGS5N/InKFfATB5Nm6H/53muzVcWGAMC1D3tTYDcp6Yw1RqkGtwXmWANkVoLn6zgP/YQjMCveF eONeuKux7WXstRrlRdXJf+QiHLq1fWJk5rH3tAsk2TiV/uYYQwsDFEhiLg7bxc50gjvqBXSBiX9U ow+e9URWNZSpRJY7grfoqvub7qAykKzvK8VsXKEfar60/xtJNshZADku4/A/ViUJuxsypR8CJtWd TJelX0QSCcFSp+eS0N+LVVBXDRVjREIhomyZLjezmEPbHRS2XE5RegJwcDiexzSSJiO3Kyxcx1C1 wI4rjRmB/JjZuab2J10USxFxW2P73IPv83D+tQpjhJ5iYldKTDhwZ3m8X9Bz2enLtY9bRTdu/1vV ejWNxjDlZjNThOt5oIWv47MB1uctDprwFxpETjsiKtXbgmmI3uizTxDcx410Y26Kl2pfK1099eU3 DMxWayiM7R77QtAJLNjZz1ngGy0rLWr16Dpjzd2GF4UpK9HPHUIdeFxXSitmoqzpfP07WsYK/2B3 o53EUiNEQa5AG3r0heNh86dPat26RqfTTko9r9Q18007sxmMmhIoKAqZ6klV4mySNtxH+FtJJTft xeygGZ5a8ec8QqpgZI4H9saMXDGYGdPmrqrA3f4cPHedEvdMKz05zkhTmMIkXzRlOdPZZY0rDLa+ 8LqUnEOey4vcrQW5PgLQ+816eM1kNqcKX+bs1Twfyr5eoX7Bj+3qrjJLX0jIk00Vq96/fa8gmzE1 KRaCltCvK7sqYLg4sEcS0Kd7JslqEU5qkfO6FkhhiEXKslbbnue66JVDq597VhAMeF6E14ST9JCU bfbrzf2acj14WCCDeERqRFVT7/VMiBGWVC71iKF8jYs5ZRlRRVVUrGDw8LOoxHJiMom17QuIZQ1e Dy8FwTPkL88BFJcmndxpeTk26f8jhFt4vTmOUO+52i9RytViW7/AXf0E9SMZvydjVLKYf67B41f1 cWbDAuU4cXnRZaCEnfIgjKdSbuBt+4DEV47QaTF8fjnrTgn6BT9ECR7xZWobQYVXHSBZgoIyYJTu ySplut1ut/oSX6yxf1ncxpOWzIZUE5wsM6DfkHxb9Jc+4KaEZpKiFCSoU6tG0HXeZ1NWpRaumklS UKD7LaDHo+/u+zai3eWPiQ/QVcUBFvWmYB4Xg0nY5g8JwmwZkfm1dsKoejE+fMEYdUQcKvRsdFlr v28ECoxzc4MAtnskqqDNgGOauVtC3qHAIi5OIlzBdY4XzegYz5ugWwT5V985Ixmv+Co9sTBidJp8 HMongnnBQBt7xCl8NkUcATiXK5g9px8wtkhm01Q71DaNPjsQA8D//M5EvfmpUGJTVdH3mFCXxPIw kIjHdlDH2VdZ8IqPBAAwmL9GdSmoCZFhwObUUuEr1EFJ4LTo+znBIvPeBnnkKtdiUPTNkyBIBJyq x/Nef55z/AAD/KcFEsIhOlcuHqK+9CgzzN3/h9SzYEitKZUFyZMJjXkG8XgBRzcEHTs6y1jIJ4i9 c9pMgHO+oLPoVJlOJpUEQp7sSvL3YZaTQOnmpxip2+ZiSgYZP122SVB9vKV/RKJvYJ6alJs5PWlU oR8UOir9gDhBIBpwEHv4P9tHa7tF+Eexl6+MZpKcD4XzHRlx4R2y9zZXjjj9qdo4XPBWwbYi6Ffc GrqrRxTRS6DcEuScXAFnwCiob3xziExVnDdFaqYKB/SpirMg9cOG5b8WcgIxUye3AzXht2yHkPlu ImuW0zpCr9uaOqNYFVvDrd0bUH8bTRN2yDm+I8GFxViB0xO2DuL7a7oC2OC0sR+MM1QweTlY2S4A JHO8x6k/0Q62D+GNCppy0lkVz2AZ9TaLjWO5n4blSBzjTp/Fc/Mi9p/NoXhbrV7SfbTeOTO7qX5E HsHYRkScU0NRDeQ7Ff+gmxN3hQi3+Fn36exrPtXjypsjrGHGWPKyd5GT/VmFOwKxjAWcvhj5nZ27 p4dVcyxz6mS6Fx4VnPuogDb2Gvg2QXv2hs2F+9Tioqh1OQAV8bA7l16uxQGTFq6fqlCydSLNqCrN 0RafZ+022h+7PeoHAHqfXcU3VdE3FdAMfNhlwa+7sK1WcLDtSUjEvn5Ch6QAvHPD/qXcSvGqaAJx QIeWpAaUa8dv9/ZMzS1Du+BHtZhd8z0oPLQ3EmdoXHIVk+1uGs89G18zgsVVdTC8zmx9JvK7c0sp MB2M8alqHuIHW9uiyklyt073+eUi9j1JrZxs1xiWdQbBoloUfFdIR5Lw0BUA1PK4kP23SRDYUw3u jXSU5S2Z3K8Wbak0Xf9TQ3LuMK0lIbNSEVZQWYa9BkbiV5NDsAgRpKdZbzvRtm7Bvpw+S4EnnZaN 8N8isghToRfQpAtRvpiUn6mBklVfx3g2koRLeffkyOr5osrvPETdedyHlI+/jYEXug3M7yFzEGqo RkaFy+DB8wFeU1meF18u6whMROlJNCxCHEEMOC/mUazx/kx44oQeaazQKRfcmLiSCksNGSUtin+N 1b9yOzUJHO1Dxst7Yt75LKe3aNIS7vZirfdimATJDXr8Res5TnhWFsn7xYGWmM1bxXDIool58YEA 1PnI/kGvUXs2ouSl+/fL8i/c4ZX81fdLxje4qACAptRz9MXFD3WdVDsl1D0Nnf5ckD9ybmJLfDt2 GKVUa5CMNW2cv5t5UfGod7YkWzsvIisSYWJsurrrc0smWI3XrN2Ss4aYkKMJr+y64ShFF8V6HeZY zSzsAcKleN+yxyJ2/z8e8NvnLCHoDfBF7YFxc+1dhmxtT/LqzEW0r7VnqoAYpHaSuoaYiH033tyI jxwR2qp9tIAoloGuf6f1iB2Yyvh0BKWFAxlXoba0jsNmN3I3qy38ivF3jiFsSDrAxAYAZ0ZBSf81 io/MtYJzjqZtKQwfe8utb93y6/QFAKnkCh3yHgSO32bRn3XKCbrrxgdbvkoTfKPg/p2eoUFz+oPd Zyq8+Egm4NWUrR98BT9ELVnNxZpHMyC829700vf+s80unyU5MGq+EajhWNbRf9zi1Dm+nzKCaoYa PIK50G1MgZou2iCejn4AvOpvLVlj+bD9Re+HuGUYbKeCJhhNnhjuo9ymQshUEbHDyEtH6w8fBr6x 6uPDaOzIB7IiNJ/O7zljZ8O2LOuCiPI50WJejMot8r504/vbfrmDhTjYNzhla57nw+Lk880dYt3q BUSQ6Qfqd2imNQqvkeT5FnecTrAmloFUJRKZLh/tpbbPONaDvzA2ltTPvI924TtwAvtRCCobMHuu RS7+/j1R/O/IBg5oRDGKGUnyO1LlI+GJ2ljkFrjmH6BcG7KKl0zjvnUPRcYTBCjssA8dqUranqy/ XUffHWCchEPUPGLUuo7fIrAHGTNl55cdmnjlpDqPaSa0kYuFh0jzQPb8NTfJ8rSYpm6kvAH0g9dJ 5B41kHrM3YcRBVRI7ej87S0Bhci8hXCqnm7nEH19q3hXBN+UDM0Kex0FU85qROlydxQrqrxDwiWo p81giH1Ap1seryUWCQIDocT2KhymyrMR44vSwAFlWgPDsVJK4h92TzotWqs3wEA5e2LgRUXO0SW0 IB7cBahaEj8gVvqyvht3IVgMq+VtaS1M+mBLVVlWspFm73XYjOZcA7rL8Z9knRgCkOLu9Ec+SCC4 0gmaVpykmyxEfkGh2aP4+fb/TErc03cPLCb7s/7XDhA9tyWZNOD/1xFW1wUwTlJBThomxeswmZQx kNSATDjB/POPVbz7QfB1Evcyv7h2eXER6lAxEsjeNZ5IOdkwVg4ZGSphw1nkYJhnyoS3NUqff8HM E401up4ePPARIQON15J2d/o5hRCWMKxFAYkYdAnfK5IXeuNvF/69bS7rkDFiPapJ3RAcvsJzcbor PG1uW1ys/GpZ91sD36F7z6pjlhXIz1j/k1Ndt/MzV7RBQeyzvkwgVooixO/4drljBJcvvFQ6uEnM UyBAN/SUyyGHQmXDBW8B8q0bhZsHuUS6FEO0/obvrMWI790VLSorNUiGfjn7chymbXV+ZF6rqwan hfz2INBW0CRQDugvSE1PhXgYe71/QnwVW36s8sNKnQhHHuZPTaLUK+uXX0k1N0vzTik4qFlLGOrs k6srlYyNFOwH6mToEimoGoP1oR3pD2+P1D6qiWCnwdT2NH90YMckQo1fL/87NoAfTye1Z/tFodEX f/LabiwrV2rhq3YpqOVZ1VwdM3mqWxJ2O4XBj9ecg9UOUIpmgscDzRf04n7MV8freGm7xvVg+eKO q7lBvnGf1/TB/dcyeYSmm9TvVTrDOLA0IfOlM5D9t1du9YqiTlXNFUFMSQle0MDqTnnvXCKY14I9 tyoglZZvyQllk9BGq6zvKzCPoPNXQJh4SwceWCiBibzZRfChzkVRMhQns4I+N378C2UtbTuCm4o9 jV84176S3Hyx1eVgaG81fID0nmCMqIFxfVV/3HYxCr4D2e5Pl2U0kpFZ8oq6KXfI8k83P8LW57ng QFKGHUzPYpFPtPgqSXc3wKvF+FaeG//N6ezzvBAPnZDv292jdy5CWRYU7Nblicg7QFC/vtufFgwE eqmXi6y9HVx8ix4nZ/pH2vyYhaMkE5NPX+J0UO8xZJ8HN/rjRIIX6V8tYAzL4k9JIKQC6w7kryTT tSVY6Fj77yUJgg3Q+h2+XDaACLCluhnJ1VQIKOqTnV6ehdr2NBxix0qruDUZukNYIlp5rgF9/mRD f/SzXDONeL+DdAeTNEXbCQ5x03caE9Qa5qMr9yInSceJqib0CyYYPHpA/TGWapIVXeTyqODb8xPO 0kmRMR6FzsmxrYZAWGRH8z13GwtXVnRfTSVLudaizcJn7wNHT9puXqqNx+CuVbop0E9vO07qYfEj uGei9qwv+vC2h1+QTiAU5RJEF/iX3XrNVlJTecOMEp4yVMwAW/fecA2jSm5gmc6S0LCd3iAMWO6T FZYc54uI89Q2AC/aJ7gQ12o2nwoxfEQ+UNhbduA2NE9Eoiu6hF+k9bt1cKbEuJd07U5T7A2qgzzG qGd+IVeMlrJ1G3NnQAHvKcMzqxKqIHXYPgmg91KJQO6uI1cYPLipwrE2Y+Z1BzUNW+lU8LIkbK++ x9tSDUdAyOyb1Ippr/Sn4OmbOoSzcqg1vaLAS4Chfy87ocd9WlvKRZU97Hb/HmXcYDM9YBPCGqDb I4zbVziZo84YDeP+mDORN4mXYzL7m46eBXcIlt/bEw/mYktNe3mnBBLobw0lEMX7kXI0iULs7MNC BOKmvUA2k38IrAdiVXQTYM1RuVvWQOfM1G+L8Aj119iQcKiZaC/Meh3qwBZczJKq9MJ13ONsYPAF QX6CuPQ0ZlHD0p6Yy4zoJFj94KGVONP/GRauSNpom3/L0yLu3fSnJLzmeUvy02FtdsgFCQTFfaaD BGdZtVmxamtI1Z4NeTz0uOXCg7IHcFN4O7HpKeZriYKeJCRo75a+RKHQzDcsOgQ7+enMey87jFCc TSto7RIlfC1EpGNauKMH6sP3jHr6JaLPyTdcaN8fGl53k/dhmcuwE8Ur+bv5slFS2V0ISwsj0hW+ dm2MkEO/A4VuDcHnF5dTNoufbymBo+hTpJjuRgizayeKRafLStTk2LNDYboPaSO5HGBBHyF+w+Yi 9HxwCpfwj5ElZimxfojh3stEr7BV9LoukhgK+CViWYy6zCVp4C7OD/XjXQCf/cOKi0TNLcovmWKP BgABxmhth7RT54y9j3VzJvyAFxmv/UT9H/7yfz7BfyljL+kWjiprwTWVCtJsT/lBzpK8OXxolVae c7j4/xzlFPGVFThxRIo7B55hG/0FVGtAieSLDZAt+/u8HoBSlASePJxHNm3tB2ndiy47nMrNjCoZ raZ9WylTXAXiSgp/3COxKINTCs8xJEIOfEnfuoCW+j19rjuSuhpD1iryZmt+TyYlPxKICV9KVYnB 7SNebBHqbbE8kKw+9/2ZIjdMzOgHo6Y7TbLV16aIJ/tnwNDTdt316Nab6P1UchZoVdN+J8uvU0hu nsFFvGlFt8TKRBqmdKeRvvzcf2jsOOlBoElqvaXSVOZaIGo5ZPypY8wIDfK4ceKyZXzZrRJcFaBS ozDuVEG/JK+qsrTIIxMykWvJB92LkPp5dtwG2Zu51FBRuSKGgOCGTVcCrqah0MkiguEQPffrW16/ uqmPtYb9uXX+lujz1awtimeBdiCV+GpcSAL2NxvtKfKWulpFLGpkP2vof7EtbraG0rODrHxsHlYu ZM75qhOxXlncKGquKlbdQBm1kEvxbShwLT+cXTczvEwJhFTEbsgPPkduB2r1EytfPKjXsr9OxSL3 jLYe0go7izP77QmjgiFrwP8GWbSAEaH1VEm/fzXkNAZOn6zL7nCKpEZ9p+hRz1Psut42ejBBGEVv pE6Q9ve6jtIToxXmD7CXi0TxOBxpd2lBEke+1vEOVa5L4YMnim+4ZCaxEgA1Gj200PXYTVNM4v9J jsWJ8BETKqTiRQS5r7siVbBZCnHc1h+lGURoh4hgWSRENuy8mQe4LTceajyxUWW0CqekwkTCVin3 8SKOURnnURDztyiVCU3WwrAD5P8G9Zot/CJIWRmYE9BtoGA5tdwy1PpuPfW0EGgXoQAicUWqjHKh LISDe0r2hT0amM4wCxXS4al+nm9uP5FVhHHYFVnVDC5lVBq2Jo86HxwrXR052eLA9MY50Or1b149 Mj9flRBGdEdFA7OJQ/y19sN5++euoe8QUKdBduDHqVur3EAAXObo/jysdqsZXsh7EqLZ9Vz3pwqH CcU2rz+iByBG3doBrZA3f3PUQd9jA2uLXTsvYB4JlM89ua01qZce7kUxzN3L8QiiE5VfaFXU4ZZu e0P04AZccsBz/ZW+R1bZ2AzMM3cCLkwfildovvTyUAt+x9+/a8ZXZxWX5G8BUuA+11A3iD9ZnRcU eaPFeY3NLJ92S1n2bNR4eBb6OYJ2x4JbX06FPaTr9rGlLOJOJyBRkTLKbQYis/a/5zSZ6tay7tGm dXv0pGesDeczFsX/Kd6zaNZ25I0728beF/JD96YdOWlvr0qVefuLQjzTL5Jju9dVo0jektFSyGRn I8WT3pUkbu6B1Gzhl//WhzEu9MbFlkiu8z8Wx3kJTCyQ4h29FWlNQ+dxp5xHCyYwGySQhhjWYdZ3 cn+k8WILu8wIPezlFJs84qT4b4a960mDl6oCYumYFgT6zmuwOYUl9QMEgWfhCJkKRd2XruDRJN7y m0yV19uCVP8Sc5Wq/onPPRdder2fnvpy24PH0UBydLZzyf7NTUjavjA6LbN7sGHk4YHdWvnuwZ+m CaYLWJfRwZoAYQxiIwXo+98dVVb8XtnUxINuSyXQoKbSCMt3dsFdLhky09ytiyc0LJcfpbHuEQ08 +/oYGCyUsBReYH8P59Bl07ynxHEYUs121lR5gvNTWJW5boT4xnjMfBDCrLOaqX8Us2Egzn67hTaR ujMHE+Hfl6U/RirHCdKWBlmNNl9/phwE74xt8xJirUPB2dsJT0uVny35mijvQy84QPBmZLf5Z/vN V0KzoLRCVJKIrBKKZWgJzKRCkVFGvszsQRDnVFEN9cXedlNowQFD7qEn23Df8tnbj94ezc9GO/N6 9jYBKIkbSfz+Z7GB/mGvXr1zR2K8+TODMQW4TIgwaBcdcbTQVR4+qamXBuW3TKohO+g8XNqhzc23 asWNVtM1rkdMwUS/K1WneMI7qzhuOeo8J0gHiYAaKrNWpiKWcjsT56LgwInlEQqRVvNFXWO2DIBk enw82+lYAhK8QqtvFwBXTFPCtWm9GKMMO7nb8jaLd0oOGljONv1wzfVy3fjWp+5JYR3r4V6tXxxD T7W8fuiufX55hWbyRGwsmzsPU/oxtzOJEpEaPhff1b5INhsP99SOMhmZ+5QObCH5W5VqarrliZo+ MQ4nltFxeQfOAOqDq8HDcYKjMsouJa8c6p2WTH+kr56BgS6BDiWhPWjPiS7UqqEL5/3fpC5jwwSv 6eD1o4SkE+QzQLMeMSP9RNx7g2mkYvk5Oac2ivPBf7KCTYKMc87vr2STG9c+wt2HlHuIxkQ1lmuP mnP4sswQTd9p7IiwJN26shH/6zpVQiDMGnyLOKoHIVIpD5/6g6jr16Eoc97CimUm104lQ0G9oXaB jh7iS9NrMGh80OmZNF2VOiOk/YBf6eCUYoU06Ol4Ysvjma7vNAR4hJTqb8wLjHX1mmsuAS0G7eQl Bkzaiu8RbNKFjf9UaZfx9OXrIsGIv9pG8v2ZCAqvY3kzHic5gGN+FL1sO3mCzfVMcoHt+Ys5dTgO a3S5eTLsJvIjPtxzD1X2F/l1px6cZ1LmwV8iTDMdrEXRtcomrtQKtSZD316TKWIOG4O46fh87Sm+ SVZD+t5VqwWJH+Xrk5VAOOyt5qGg9sKv+WtUt2Dl6ON/7vHzt12o4ggXGjAEt6DuXdGQY3Ji3L1o YdEhsmH/WcLpMUgxEpopa0VfLFMhCC6riKNKGirl4Jiz6FvUUZ1GWVrblt+718OXSLD4SkHOc74X AOpJ7liolosnVmaJAncuBhdTCcgI0bkQ2lE2rzJkDDkqPAGY7RVpwPXFnoFxlZvx7YcvC8x5THqO vb6EkkoI4Q0HVxb2lTZ/+zzzpMzugh/8itL70TsIAPfPpabCqOXdggmm0IViQhiilGgPsUSvoXHj iznPJYd6fWLdigvALv14l2C1z1mHRW2CTwjxPfh35bBsYm8MDKd79U/vlh4KABGo3rtkyJYX38Da UZI9eE32Dvz8/Mm/0jqZfE8+2cCtSFcvoNnj9uKBkXaW3P5hiLe9Oe8YUcVSK9cypFPo6SSjx2jR y51sue8c7CYxmqft45AWY83yjSL5bR5wmD3pmZkIPueDT6Yc/Pz35LGAVkjsU3nGlft4XUof+lTy WY1P8Tk0GqpURqLoxJymvryKR5/KpMehysNf0jVveS2xL2tn0e9DrYDrIkPtP9U62WDy0+UzY46T oFtZsSraQvwC5K9t5KXVyaMalN+cJ83Qs2yKFh2VKl49yUKIYXBXFf9+EAZRjiX5rNGewiR72FTo MstoURKgmSElxfe8Ls0dz3sUbLveXCqxa7/1lAOBPN5dKUx5CuBmIAeE5beZvIqCmUG+TTShDlKT cPEN9mJjujXuooeSs8h1Gd4N64k7MBYv84p81CEQgNeou4nqYq0iC0/kZ9fPfKmK30c/k4KTnWPa D1NGcTAqyugxiGnqfvzJh7Q+8DAUp5M9bgFrfrz9PXEgKcDnQ2Er8V8qwayg88/oDl9tQ7jBpaCQ 4aODj/6WeW4ULm9drmyySC+d6z+7VP/coWdH9EoampFTXOvSEb/wcvw96EVsVWTKQ7VD9ADMiV3n 8gckbee5VdZsxQnHAwfQw8zYz4qpSUItJnrDJjQayKzrRjBtqaDqrW7F6ec8oJ/q1IMVqA3POvmg kcIIF+dWUbQ00YI4k9OZAOsBC6hkDB3jZ+n3wWv0AxJreowcLR8zPODOAi3169PhdkkSdYS/aKR2 4MukE1kWfoZ6EQ4kg/vAvhxLnyKPjcD+PdFHqfTeQYRW3oUfJuz7QWzQJA7cr0em1R3D29C3cnO8 d0XfB4+4qGliqdQc7ukkTRcLE+2aVMfU1sOYYR8K1oyEassMRbP6rsOpDgdLBo2n1LuVLGsB9Ui5 M0djnSQR8LTfcqvNk16gew/SvDnh16xFpJSQJ8ZNZHxG46oJLQfIvR1TPuN7Vw1OkyGwD3NXRxM8 jcZpi2ueGB86UNxILVnWj1ZNiBUOy6QC2BTk7pdwPQ9Wcz1rroXwWGgwTEeH6BR/UJYOgo0VjYRl cnkbxio3tlmLa0eLEP+J4FlsyyJmFqzs//XTFBLyQEEZxCIcr/7l4/CESvN9hKInEdE2zrBushzi AfPUt2cF8M2dhH5Bd96VGNIykArq0wqLrI33DkFlPbaoxwVQCHQm9f1ufFakgau2X3wgtrir/u4/ 4eepILUL4Jp6TbKVfqV1KUoIgT+R81fwpISOWIDdsobwv4sq3YxorTBtaQMi1W4NXCTbAg3t4P4a vu27NlIxzffYdt620raOmwKWsAj2bZlysaILWcTVAd4+8ijWaCz7qzyRpKneENM7OIdM8ZuJrc88 4pmzwrL8+McAMdeAD9MychMOzVuDgVNYHAh8ga8uk7GSEvLk4JpycJHojL5fTWMbz60IFWbXDz94 uM6d+d01GYUKMenKwFpsoTRSzArhaKaozi3o2VsHYAzqcBrplxir0pUCt8ZQTHEBMB3gGdwtv8jy Asl3bcd9Stxh6JTfjAW0qwTqBfaz2dOrwMyzm/VbBFyX6PIEImKvorgn3jeGqWPEyuu8BP1zkVHF A6d84rcKwN83FoY3h1USDmboy17vhZwcxNujT+10D00EUOnGA2EiVo9ZzcNfooLTBLQuF8rWFNd0 nXJabjbMjIFWp0nI9CDCKZwX/YDOrcNWMi2FX21DB3H4fcoN0g6eGThnNMI1CaZCCelcPjVNTDA6 bI+72e73bD41ThINTH1eziQZ/u5TJzBE6n9I9MzVAsdJaYxDX3Q4hlv+sw5x/1nuFYxTYIl62sLU UAXCdJc6kvlV8QEgTa/TScuZ5AQsAYgFKmIOc3HInOalQUeRouUSoHuPB6jawuaN/SxS4W5sCMxT GA1KrWNlTlkEK8UAVkiNpK+pOeCfiXUyDNRGPmDpJ/3KuGGfqI4uc2pbTD9du5VJ+fDba+sRdSYV A6fHWtZxcAE4XhpT2WDfxLB7l7jd0r0uyJzpqPWTHCR/ertHDvukh15PRasvTiikSkzZ1lxKBhH2 Tpmc297ZtPsWZCtMCZ5s4hV7MVO5Th0ighB7WekiD6XpzWQzoyimZ6Hn23661ezPJgPF40PszWPw HhNIyt6Sq0zxWwTEE3Sd0AZVX9UnRwxDU7d6GfCtXhtWi5WRaWXEZ5KWh3/7bXfiZQHdFg7vluGY K64QyQe2idoY/P6txI5oj58fgJfxPwmCANS7nma2h73bwWEmnFpqLhLRE5woi7lVCehU2xSFjghD UDWp7xUPzUqDsKmt77jN29qEy/LRFQDnPEajA4EXav7HQ5p2Qvdk1FYsi64j6QYN+raSs6z8+EMQ iKLKoQx8giflTBXjAYwbGtv3whyrTZ0CS5VCs1Fe+0TAwsW6M72MCj/0cWD1i6N8MCKiDFDYUlj1 /LnZM5PzY6tFqw1lDTnk/NG1AqxWZjTUn3SX9W/EmRxqV04byk8rn0YaProMS1Cp09lD4i0U+TIe N4p22vpMolDma9oSHYBdAlR8lPqLcjEBv6mGZX1S21yKwRvOeSTSAbdI0gMwvbFXdFLZO6iRO82i KLAUkMIWg/GL/5dGxnjX1uN0qhAXhZGStuilCUgM0/7cynx/vqzn8tBhpJXJkfP3bvWajjQGlGsV VLbLpBDiDXTogMg+qLss3bNfxwISzZlJaCnT+8qjZThXaG5/oa5cPu+Uoy7zKbXO7M9AxObCZ4KD P2evkJEcDtfGVzkayu19CdHKMYEsk7BZJKv7IQMwUnz9Da6hYn4kZoizbzYn+BXtS14C3OFC2+6Q 6PoEj4u4V3T35nDhcV+v2Jv4eFcO8Un6VqHdOh1UHAVBUK0D1H2RhGbtKXZOOcFJN+NkyUAp1W08 qP61l81W5knstrSVLK9M3Geu8z7DNYidUNQ0DudH2YPeoczm+JE7Tvvl5G5dlYi+JNJ+uioAeuex IA8vpP/pMoqmpBKX0RTzuXQDP89VKrHWAvkfwukK5DlnDLvWH5ZpgwPEyfdC+274nPW0Q8M2yhfk p3SKe1hqP8nNXG5EnWF8Y4AcZMalV3NyJP72+RC/grdIsqOmwh5GLIGOOv4XeyNnJFLeh3+qhEF7 jrIWDYxgtUl2WCB8K2biLvkCwENLon8jKXlkoqyCiNW2fsAMpgnEn6P5tc277e/6BTA1q5spT/YY fM5ygQfB86XgCLgvlfw7TrLB+hzn4LF8cbzjRj9GeyrSbSDWtj6ul9RGCIo+OlL4rIWjRvlnMt3B 5HKUDYuGaUCcyWMrU9WXd4B7L7rlo/N4spSNsM9Y+wiODXTFmgWxpu0iRQRnEEBMZQrM29H6NmEj qAhTIelkPrpNepdBCVt5A08oSrlJzPGHVRZYDKUb+FyT2DUpG6yC/GDNu1mTv8ddpDj1+CsoLMEg KebkqB6bFiAjxHiKtrg+ClCC4CiBvFrp+rvHLGE2zrrrKnjoPhHNqxnYmte4n5ZqWKq8IIC2isb2 RfBtDcSnyslvZM5UQY2EZ9EQBrwfVYCBcgWB9/y4/wsqGJleWRHp7goJaFofKTi3Xgtf7ld+zbEn Ow3Qwf8J9GleQG8FSxOydFZu61nyYt89cR6C4mkt18UqlzRb2GHA4z8AFZO25alkOp1zncO8Q3Ti sHEkHjOpdu34LGRU97uwF5pNAdnu/3XF8WouHOyoIKcroxIYatR3b0IzI+CEyleRcOfiiicrHcdt slJx2wEqLshMZv27CNEl9yzdFF/xqO4j9VRzHwFrD33OWzObUOXYqL4tsIwkd65meszRAjh+dfZ/ I/AQXOSrGQJPz1XogBS0oPSMBgKP2+P7Cvakj3WMZ39r6xEM3KQkPKaThB8vCO4Cj/7pcsw+836S wJT6IUEyHP4PFCpbDd4fTa6f0d07v5e6UYl1jekNrxCR1s15Xew1udFzIKgsfCF+tF+cCs6/Q6Vi KKlvUlwA2WMenOwhqM3BkKdCczxGCh1XtufSsAs5/VJcQ5Sp/hnpJt490IlbrW6DpWfOkwYvO+c8 VOy20x+gXxzeCZhkU7LBTDrOsrxDLmwk+kJb3jF/+bIddrfarYWZ8e4SKA0qX8VvHDCUwyJPxM35 gAooUGxoO7uEoKfA9EWkBWy1t43M5+XkkbiW3HPXH72tiwc4n8jSL/ekGGyHWqAfGSLG7247RKWg fouJ5/PpbH6D+ZAdYXTYTjUNydhv77JdPPDlyoU4CfMbReLt0JGYSnZkzdLd5hHUPlACfE6BBxZW Hag45FdncMfd+BDHWKZftdn74BzIYgMyBXPjNT1N+jEkW+yB60mNvBvJBIuDKS93bPaEE2DSc99d 8dKNpywg3zg0MK7WolXWDqfcsJNcbDGSRS+lZwaeO/3J9clMUPxbURDv3VEjf+480WqJ0vqnuIGb 5uI/cexze1PQApZT0sSkTMkXcwf7SIMr9pBEyhwr4Iu4/bqI/wlVf5swb7BsdfxNxxwO4h7L1Uud h9uOSxZixGCf7HKgXFFug94wHM53XKppV2Osf5o9aXL37O2tJTxRCTo6ydzZDJEP/lXMeZaZ/Qsn ywRUeQHPpSU6Xr5vlrPRQxLRpDUj44x8hmDSRiOPLeMSvd4wSu5h2nKn4bgQ7sNDH7zKJgj71FKz 9LpjRVqfYP2AAgMvWIkhqXSmxc7XN5LEavCY5WIRnMj4CevAqmE55NbOE7IAKMZNlY7wahmhXrz+ ixer0/G0ohSBfq43uo2owZnOIUffKz9SHlRGFoYlxUy50+p9y3qHolouaocxVestipwOoTx4nQj2 uJHpGwaKPhv1SksNs9+QnPk1LEPH4Rn0RVvSCDzstyXiBTf1B1CYzOvATEVR13U90RXZIOZ3C1qY xR0zU2DpV/zmaycyIaeI4zk+Qy+fRRM8ppgxey2jCAFY9eFeYIvTwSD1RWkCbgNTs2xI/Nbgu5rH lmu1qs1QtLaR227+6kS9SyhcsQENKGYzpQlLpnuiSttkUY22UsQhDDWk7ik3RQgO4hzCGHoNobji N4BaZlRlAIYq3nQqaboOaBzfDxlyDe0ynuMQApDxbWFDUxrjnG6OOYN3i1771mPUawpB554zGpkA LJcHegij0agSsHW1yGh5GN092974CGj91BFc7RTuKHxyTIa3laCVeBBbzead4rmRWYg/GW35k7wD Rhx1hFuxkfmyC8L2mTBQ7kWj/T4/2SxyBl6hkg1ZyUAUOQfmT3EWoZ9r1GxJHTonoWNIAqLPQL7/ tu62iIfmCHwutKZKCCwg7xq5EE96kG/H44qsjlB5+06jCkuMGXFwMshSRHEbdAVvll51VX6JiEd7 wtdT3ZYChLlMZ8fyMK2HdHspGi3JgrqHhJPWbYDHHjF8+RrrjuNm5wv+pRjKiyeIl+pejYDd1TIF 6B2HAz7+UwsRYqj2RyfSqMzm1jsd0ca2TtumgeZayc6qRW+ptuj1Q92ENEdbztGfvavctpKzLoXU KaC9Br7QSNhBWDcPLf/s4WPIAkm9mfGlIJKwYv1uFGvJ5gkT947pJLsHOKh1SolgSoC4KIVkxDUu vp7otyuDUKwdnm0wWbN4xKjdQXldTBqDmmR7Qz91BW85lieERj5jz1rRoJc2Tw+gRvoMxrEkuq93 AkdRtiRH6QeqfqwOFBMtJIvqm+rt9RXT0dkHvQi3MVinN3wrJYfUgk/b4gAOvRMKbtBxXbjlEXDs G1nLcVNxKR8TlvX2EJFWyeQ/2al7wIxmsiieiJHsoyU4L+Q2Egd+DCFDg72Cy1v1JTLB41M7nTdd RWU157GIDIdTqBZdlu9+4g2s8oqSZeWq64A5mtDaxWRVQIfwKagUC9pia12OGirjDrX3diTnIAp6 QyQ5e86mA4m4zg0sFsVH8qguLQ+wPbiUpJd0hT4f9k+FBs44v4fxtGYWUYsGaiM4rkpxjyfyeE1N aC1RFVdx/J6AygMwdXdYC88zDh6c2yjqeTb9GxdlVzKCi/Zg652qsX2CyuUE0oUKtzS3aCtg6brs GoiiDq1WyPvkdqrc7UoExWnPK8hKqeebEcuGhZ/WrvLBKhfMjUYBsqVmnyexAqKsJNV3XXY8zsfO 1evOiRHiFs76pfOAvxpxcUp14Hi8fOCuSN5WtiD51aFXBcEo2oO1YtUdteQI/YfyemU9zwgZTbS2 gyJGfedmvCxsePQ6lqy8Qo173RF7R+b175SSfMgIsvklh9uGUUxexTt/5R32wJMK5jOZXswFXqAW VEuIOiDRGbmc9/k/KWPXDuyiIdUmKlZyoy4airWiGHJofaWSkacJDdfNtd6Jo/V8CRhJVvK3pBUD 6mLktRWy/D72MiFh1PGxbuZifIg1ngIZUllPbeygO3meYMwGLb4HDkrIkgfiCvYeLIHc0qlDG/Qm ZHBIg/nGsduf4Ppp8yOpR5WyE5P+v9jfw5g+8hj/4O901JjVfVK5zKh9327pOiOLN6nN/ubDQFnX 9XpcaUBlTF1QiHPTgo1DAAWJRWJhl56MyplNRPYPuUCXdJdxWwCjVs8VagKTL2C/x/k1PuHkmDwA AcVkCGD6WNktOMXmzStJ0OB4lBaMG0cyUMCFJ6bgX9Rx36k7R6tIJuPNw4gke1DOadK653K2c6Rf Te0ziHLFqCEls5U+vO6X/RSvHfxo7L0GYwSyVYZBA1lqtDGlzHnh6ZvOJMyI6lfFq5l+d3ppi4wr +8Ngj9CsnO2P1MNG2avVwVgyUzWRzgMiRgQmqqQfAgdYViWS/0cW1fKlmqMW8ZPEecLBVtbCWVB4 QEhD1Ha3Ph3AGLGyX5lJsm8d8/bS5zv4VHjpwxfMdtNv3o6URB3HSD5UMOaAkBRCth8KVDY9ur59 z1y083zUD0x60JkQkRoTJDVcubochbEjDEp72n2w0N7bJi0iFyj8M3yzjy9K4zxh4tsItUwtuw79 D83djrlsjV03lAaaJMKcIeIKptEjYvLD67Hnrp+wF6wjpNgznjP5gLKRNCQjI+nc4BgGgXRLNAJN RRGN1pVDQezDvuCNA3cBsCi87OG11EUBsjbCb/9r8mxFFVH38zaD+d24qMtN4EKv6bFw/rHZO+iQ ZjOnrfvFaC0HLF8zScOku10sSMuMr6l55R2wF2iMHY4nxD91CO0PqsPubbuNiCHohagTsorH84VY 7CHJ+MOeL77stFUeYNfComOoPKq1rfQnveSBDvI4GCAjFAQ/+NiSIqM6FApJ6xlRfN8DMhV7NDkI M7IQ2617c/8UgdOQ5ohsy5XC0EwxMpFtYo2RQx0L6zlxa1TrqBV1gZ1/oN1ElQdwQoge+gTHyMhH ckEJqiLpfHcljn6SFkST8C2LB5xaqjr2ywQJOVvt3Bw61MDm9tQnRIT9XMrXHeSLJNoDT8UEOw19 XiOEshXZAHwoeqj7j2AoONNCg3fA/7U8oOQfbvIcAWz7Mj9Hgm4MytTSnOdUp1+yBfqjPD7vaMNf JXF3OK7ZCaHZjxokjX6CKVVAeiIsFzW2jOR+CVveeSoJgstHz5Wu+1CpXXxywJzili33AgXw2PIT e3LemcLsbaOEVnhDPFY1/A2HNlyCpTqe4kdrbfjqXXSCU5KyHVwjoh5tft+Ip+9tjba7lzzdtK4v 9NzH/yzadirJpUu2qpTNqVIyjRqjT1lV6Z/dhSHJccAkDxQYJHjbjRLWMRACw39AoXdCVw4F/cVb dpIWEFfNTlcuXL5VY2xEEA4zlkV2FiPMdAQu4qrJabq5MKIaL/N6f3BsS5k1UsP0P5VSdwlr7UUa lEfLrKzvCqCjvM3BZJfEMy+E5Cch2WFpSroiImbDg+vLmOzG3rH+LSBVYmoRH6OrO2AHgqdB4zMk 3qnelF2niTDd/T2OOpmZZDLyVHsAmcKVW71s/GFNvHfSjMoqQlWR6buHX8Ct3MqLuIGllUB51D2d /XeH2E5IQUO8kSGG9wVA0HLspdQVSIkmQI6NO9T+Eb2kWUJVxIwl0OfJbZc1jTNSYTVePI2fDrV5 +b/eEGT3qCqTStRl5F/faLwIldgRWsju6HZTfdScR6noyqXMSQU07lWgTuuI3HONowOlcOYkK+Nn 80ZjWMVw2huSzPwyqEji6TB9hepEQg7YLdN0o249805IDahMfbTnhb/8WKSykx2h3HTLQ//untLb igLLGPRzsPbSd6i9ucsfMVx8otEmJjLcUieQaNLdv68aUbNIGmXPOZlxtamuZhPvJ/Y1vRVhQ6Vi NCULv+TEqhh03xwfPMZFMPqa3ollf/PzBLdjUcC7ohSMD8T7t/3LK9k5+aTFUYpH1tokkDjnlbC7 bch034LLV0cGk8y7P4J083PDqOUhpU25WKifemVlu66ZBVhc3QVSgKszvnJ8dsezVNUBmNZbDIhW n4Nh/6UBFlz0rodAqISl0w9ujIPaDZu8PaNqx4fccbqKSG8eUcMzNeK+uWa4oOtSw1paXAYBzOXb QMg7Ju0WJ2MNJvsuWHtOX0xR2VcJ1Q8k6175f+VCHw2789VjdJXHljEdqTIIckawNZIjDbup2fHf +iFqIc5ojMPqHgqtRjt0I/5GoxSjr/KpA7333FTSXaCe6oo1fA0AovT7XWrpppHD1tA2WUpJ/p+z 9yiESZqjS7adRxAOok5OxHw66AXuiabgaFqQZujV2psCQe/4fBHQDt26DBiXqtcuBmw6TKAxTZjl 7Y97S25ZKYTsccw+hv3eyjJPBCvHD7ovVIkFhVVwXW38PeT6ML/3OLhL3LUwY/5YdGUADYh/d2Bi mXeGmyJjH1A8EMml4yTnMQchVsgZg96050udYovDSFXIPRMff6W0giqd6GantaGTgQBdvg5D82hO feq1Mxyk2MHxSkpm4FRRrMz9OAOu/6CRcbrJMVin/ghclpL+O18xlc8wTNFMRMBH+8y2VIvZND0l AYlwW6AgW5ZQ5mTScvL5B4CUSAuprj6H2wqT1FknjQwvL/tD3ADdKFAH6Oa3BXBJEIjnw+rj3nEi GMs1aGPotY6+x36y5fq0t7W2u2vqfIY1seRKdeUq9mzZE/A1VNwzXESDrBMaAz29vqQg9733L8hk fhFmR3sCjJJPkj0ocuiljXZhHxOA7KCZ4pVfeRXGTsWRS5aHpmY0sNIwKmA8oItiH4EH/lA51/2L YArNhZnw75WvFzOS+g3r0x2TKJ0zaAgI+sQJky1Xoy/QhXVbfjIcmXABkb3LrPkTBva537QDr1ku w0FuV9lOaQfQctzudI7n3q542fuklUpfDJqJI0TO02zDuuEYXAK5/DsDWy6BnWxruPOwJvvLtbow 3J3XW3OLING2syj9ka29DKUDSYnLDR/LJ4K5lt3mpk34WJYYgaH1WDGd2psJMQyJ/qTFbD6bFURH eX373ErITxsZVdQ2ap9TbzQBP/s9uwkzqLMmvSCTLFkF+plVCRMPhRs1jJVMZaZIruGKnj/1UTlX Uzmdv5a8HCb37IXDIvZrV8QC5nMA6Xa8RLYZV+Yt64iMjrweL+3tFqXy07uhKzEX2g7olkaGYKBD PV4URGMIQU7cZkfNmz6o1R8HeX/C5UZvsvjeMUZIo0Uzg5wtQ70IqMl7EMRDQL9Yx9mc9WnW9AqK Ki3mz/K9KjqUkNxT9MuBjhxKIORe0rpyQ5WhHkH50J1A73j3+UMtnBo+mOnTdcSD5uEfhxe6Ds++ XXmc6KBgMQxUsm9yVKYN8Ysm6CmOkdyrkzXWYbKR7c8R6QweRkf0maf9mVCgvkQmlAc8dvEV8gT8 bKlov9zf+3/7AT6fF6fEiL7rKCJE1VMbNT/L84wetKyO49g7/sPFtNnMfwvbp3sZaKO5w3sUud78 8lqNa4AdxXzQxGNCvQIyjIy6wUJkxBzoE/b52dox+VvQhmLK5TStrC/Kh6NyI4GN51ywzNJPD1Jf pKCCzpcSiLkQMt4GJNb228ILWggAAOAwdOl3Oe2q0af2eRhEs8NHnGcqsNcHaiYY1fvVYBfMMaJP Kqt4EY2SfrhHs52lYfRtKJgha38i4nrmJhZehHIFPm42youBX4iF0QLQx14UMyRa2vR06XnNhGeX /vmvLDAsPJEy1X6cPhSJ52bMgr2KG9O6O6qAX69TD6cBk8LwTtkFMqTLh5i+DNSFHf4smbEhEkUd EoFkztntv4Fcs5ff9rgxCf0XaFsDgPGw/QOWN7RSL8/3MyL4QDVci746eqXlTXBAhneQFslF3Ixy iUYZaJAHr2v3UW7hGfWquYEqtza+/2BrvQQHC9g3/MjsHvMKDup8vPNVjDz75kJngjLx/Na14kWL 8SbsEEw+OJJ44QpIUc1F50GNiO2SACnHjTWUiAcnfR9Dco+WTutPh6SEv3HsR695MqyF/FrvQkwQ elIvSaf4ROZRu9RWQhvLyIdzZnIijeJy05uGTvdaVxYB4umm8PEwzS+dIJbdXY6MwWUxXKVVgywQ AisGGaDytfusk/31xx4sG4uhhqP+oQgdgxz+4LE5IAzCEHZZotD3kLXqA6pmx0e/FQmW/p706uGE HLED3Nv9R7VW8e8Tl9ZDXSaS8oN+7Zdp09eNd0hU6T8kXlqfFDPuvWLj6bzAPLknYs5QJCWvgNQF sQ/4XA+QRLhSDlSXu0H0XdMysilnWQBXb6ve/FhPC31wqNcKYhoGwHCzrEPRm9culCedWAnpwEsy vHDq26nAlcY3YIF40PXYlHgiM5mhMqzi4u9Xk/BZSiSVK5bycM6/miGFHRREhsM1RjqjJp6dfpjf 0TvQKfIS6qHp5uDMX2qXPuZ8Xir7BqNHqtAODghU+0lG54SmTWr7GzQPVW5KP7qAEAjFO45sJEr2 rlEf2ln1ggy4RUXrtCyQio28Fb0FbzqL/E7l3ktoJ9ulKBIocf5zQaIWqZVJsXGbzykop/5u+DS7 F+73Yh9PQLjMhyyZXOTK0s5P0Vh6xpHenGlbdUEB14k9kcte9X3uCx3tBv4P9ehC14sl9VNQA18t aNtd/6Ov2buc/OKUnMVM7QbVZqxGyRmpmBrJcuTqQWboMYRLbAMkW9mFVeMaXqnWkfiBN3Mz9fM2 yuJ/m501qsdby0lMCOSS8SnyoOtKHv85YYWOOTpXB6DHUitaLBTsZVB1Xv0wZkyyHIwae6jE5kGH bDVf4EjS1rv+eOxw+kLfuQ20n1vHs5TmCP0ql4Kdd7CgOLig2oWuAlaH9+oZbaMRWn8jxKcyUC5u q8AkbhzrBxiKZTIarDZUV4l4k+rmfVF1oqqc8fCku8jB7c81P9MIq0BStUwLzhUer8PzUM+vYtMa ls/8I+lSzGzKhkXYeZe0yLBSYFg7mIAkuRE/fVS5aNUlLpGS3zL/DzOif8JUbPS74iGdyIJt7LwB YHAn4eDeuqx4zfh6JdCxZYM2yDWKRoEAQULCZJK2UAzPFX0gZ721Lpy839nBYWjNZCASrhiQuoEi oppCJVGtNIPgHODN/Cgdk77N/gkDdFBNz2S/2OY4IA1PB/9qAeKXHFHhU1mvxbkLT0G9wi51rMuZ XEg5I5KhYA3m09OmgHnoYstwmfdeoNP+BLPq6ui2jbpYF/Ph+uI1qLTnz/1TIcJBTsbbyViVeRT3 7Y4en/F/+Pv3Ceq8t6wtcK3KrQic8NVrk/aVt3FrQkBQGTm5iw5eyhyf7qPZ/H44Lo85gsNhM0Aa EpHFfT8m2qQhFXb8k0eVuwKyDWuhm9tTY55vv6axMlS31XicIK1BXOZi15VXziJ67xeNktqxIBFn 4NiS7Mz7uItUkGPqwm6S8Ee9Z4luNL/5oTqZJgH4AlJoAGE2R8o27qbQAV5TpeGErDyuFdDZGoX3 Cg/0uZ/OjZR36uvdZF/mq5sQ9eB+n9RTaZoQcM6usK7nTWYP/xKVko19st9m2DwF3b2hTeuzeV9U HtQjjkbuqh22AhXvt5S1+VVa2r6hz3S0+Y9lY3A6qkixY9guI6Qx2K/dJTMWtF7NhPto+QOaKRVY EhLR3DJPAub+UsUPdk2UwJs6MwsKds53S+tffTsandmuQmSYXCuLR2BKMA68QH+2ZjHP5rKpX599 XelPNIzrrUVck7qH68GSkLKJzCMlf/fx8cAj3v5oeQzRJAV9qe+LZWmWMVoA/zpTJ9uGV/8UwsRd xp1FFrX47L7FqUWNmn4kLtO5hRY3ihk70tvucmQrF7JrlNCxdA13q14vcdqIpOZ94yEMV/O8yetw UIL3HlaJHJ8/Z9rpSy4vayLuusb6Bmc6a50K659Vp90Eg6Yvq32BvPQHaHOgUnAyJ2r3/68wHQ94 /ysA3Y1RwN6y9xM45tmmppWjWDc2Xfl6T5xt8dAGDUjN/I6LXOXkddPMvywcEltm2i1m9w5HqW/M dmeZJq49T2KqzxzefV1yGbzNGxtTSluulmEd+UlMrHXSsgCvE/bBXSdnjAbflcsCVZLZLtaMO0jJ J1NqUZQSsPE+RPypTDPgd7rcGlMbSDR7hfufiK0zzHCboD00MK2bbrmvalh7dKAPvDkWcouU05MY LhgMIzYdhyrZnHaMaOI4Mn672FI4BVoQwO0jcx2Jv3fU2BNl7cRTlJL2g0DsyzMv1n1qNsgs2s0e V7lCoSahC8PZJi1AorLEcKU9qkoCVIAbz8ibeP7vMEQ+6Y1VuWodJO2l53bqBfobYlH5yrFZVZfr Wfm9Go9zIghoxq/TSoYeVza8bSyLsOSF80x7TAjnCJAQwlb8KmsUy809jlIOSlKK4ByIgGqCw3fP 6vHN+MuSfwg511/vTO7ZwePVz2h6yPp2Wrt652zFqTonOX6DFW3LvERq2kgDMxhYcX4ZJWCIxYRE fxoAs43y3IT1pMcCCgwwv1oTKUAfEXtZEjiMqJNF3Rl/zINdzzhucPClcMCXHnKpBNS//AHO5jrQ xEMjEDzr0BGma0i+zyeTGS1y2sqwguCy2L7F8NnVA0vl0LwMgOu6RQDQnIARML8PL6TZVoRJEurB RyZyBYhuqMXoBflOx7eHhj2bnFnxY40dX9JBkdPaxaE/id6Xa8dKkKX33/5kbI4A5dcnC8VxSQ2V 05GNHMH7SRXPEP11U2zTW2dcu+cmoLWVJuXxpXXAMs8pehPxhFqdqxVzDJADX9DpkIaPpEKp8ua7 SCBIZ8ZlrKMd7g6xIGFLI8R0jkwf5UXp/tRr/BLDN9Jrzy9riVOt9RqyKxYbEa8l8eFmR58QrP3O pEskOT4qTlNLE8HSHU8/UAqpD0NsxKr1mDhdn4RwQwsYRzvh5lZji8FqCryqGvXZYXwceUEzv6XN 7sKp6iI7THafb39BTcc/4KX9fOJGzxHrAfTYLlIYTIkrOVZyz7msQU2dUEDl7/RiRm7uLPI4fncu cHJTRhqAThl8LJgqLkDKtLtOH+xyIg3GuJhnZxHCVotuRh/KLCSESJSj0dDN8NULHW6poAWTIcg2 pJyfLKhNLUJnaxJkEmW5a8Y9aSAB1HvzWvcyTX98jnDrpcM8w4VQEL9mcz9yYNdHxbbTnBohAwcT asK8Vc1u9I/74tIInJgbBLMyIDYDTah/OanBYCfbsPJBevsT3ybpcP+hRm35GCOwA3S8xaTDctjP JCbZhSBj5cluXI9AUYyPvIaiIpWRTCEXVz1hgKhbNTFeCKAsMjTz0WkH5TL3LTAcXBZeH+RPXRLT EGDaeiMBdoDgs+kJSy5ivST9J87EJG4mdVrszfrvtIGPjdFN1ehPkrTUx6q6+4qRamtk9F/nF5xV C/oBNAnSmb9PcAx8oi+/cjrcqR68twGP0nAjWcD6Es7NmjtpLWmwHpbNOYXnGlrqHy4v/Yl1S2pU VsFROHut/ojPUvsCi5zzAAPwvUWHQVx/FPkP/ydiyRjGIMaRXv1ShkWSronfDN+xmzV/olFXOVLS 6VNZmnzlePPY0+RMwVuDhGmYQmSX5nSzj2XRq3bdqavqfJabSTkeaAmQ5v41BJ0JLtELkoVA5Yzf De+0yHFBVg++7TAeTLx0iCFqnyBCQPcynJVHdPFvxinnVdClZZ5qK2yOrdHUhA+fDif0iXeLXOVa GzudPOwVP8GqJyfp9hbgEY+YDRzVAJM/CvZoeRku3x1hR+aJj4JVuNbv/fPcik09dcblceVFO9Rh szjW49YZ8OpRBQ3lvEZf55eP7WdRJkkNa+2RT5Fjla/TY81NXLNrH0xtpu/ZSym6zcgtpycdBHhz AmqDqVvm3OaYbIVXzAepbq6P6DR5pYnls1ZipxOfhZf7FVe2OaTmdB2xt1aOm2B4nh1p1ImOGb+3 P7CKkobJTP52IVBi7c8JhhX6ldD9XjJQtP8WPcL9RavxFD+x3zaup/fseg4UOx5hdNQ9r5+pEVfp 6Kuz5jpJsiJw6TRK8yvSwURQLX3VUkZX5HXRQSx8eOcircWrxCMJjEDFJNiWv3CGvZ1482Up8Sfp TgPZT6p8BDFGGY1IS2n+bv/x+wRcdGMNWqFv5vqLblP9y5FBgJEWGwO7fTl4C5pcodD3SsPoUFxq b22noG0Ghfr2csgy99z0QqJIIpmxbe7ItJDJ7heuRapinB3NvWz49sZ1VTdymAFT6xu3VbFMD3Qs eShmHXMIHJVhJVMTpmcR40tGYX1SkiGQdjn/4MPG8LYpxV34crsRwP/HS9OgEi4X7DMtpmVajct7 ajJQBO9sMw9eCg4NEJi/IW28N2vaZVQiL+9b7Bak8v09p6sjgnqR1OlmruuBJKM6+Ne4PTP42uHP 3QvYV8HcB4fY/+A37Cgj+88E/HPMXdhJ1hU5MXJd8S/a1q20aD1iyexllS4RqweY6cil4Vfy6tnR srDNbEsdMK1TOhIYr4ROExs4qhbaAITDvzEJhamrN3MEaLijKf+r2PmLfR+1xS8nX38oVeTQVfY5 EPRrJM3geXpNcrhkq107IqZXHpWCjh/V219xybIJPPn0zdRUQKsZh0Toc8/i9yE68m9zEWM7RtzK aFhdggBD/cSpj/xui4uLuzQ0B+ekfBJqIIZuPjWuTWbwuvfLAJDCCFl+N5+x+udmESROf42ckkt4 cHLH0Or/JvT/TRXAxFmwPF9+61QelLo2W4UTAc9pEnPq+8q23akRrpntM/D5ZgeEGdnJf/PGs4o2 clFqPX6GfBOSbKnOyBpJVyGwdz3ScnNZBRlWKF8b4dQXztNzU5xQAGgTF/VYdJN/OiMSGs/cdJ4K xUOntCXrfJV3KVbdYfGWechCv+TAuSoh89WOVLtDWJ55R38rUTVB6LaJCurIGcs3RBeyoUZnJam9 EBKTObGly4e08MlKXZHAp8hRYLMxLaTwMhmOHuyBFf0zZ8+U8V0k73JhBLwpmo1VmWmTVhHqAPP1 QETrSxxoHW+Wpscg01D9TZaODv+WtOPn8lOrew6pgrV3g6PA6229u9XQXmZ63sDCgYQ9x3ehPI2N evd1wvFOGu8QNUaZUY6UDTyW6pBloKKp3dlxjLx1EFMRigVrrnv0cRV6MZ//Q1CBz5GLYv5KpQLm 5u0bscRnAMSMBwFKauiI8nQUI2rfHUW+h28alTi1UBZWXC2tb42Wlmq5kwxcxcOPe8KzcAnCck2C 1ol83YlUXEns9YZ6mi9IA8eo5WWjA9ILB0vfpm6LvrDp6nHhzXExvBBY77xh06Pahs4LPAgi0ZQZ 3Z8QX/UDFCfH3+xPX7r33OqsFf56Qfgj7L1W0OScbOVDo6DNv6yfgTm2pbqOWSrSbTar3Fx4mkb1 ACBWEmyJF2DD3GjkloHQqKFeUXKy6VGnSX869sffWiprkUcgI5k3d/dRLW74rGWK211Vv5LVXgkJ rAFqY7Nl43B5Y0NYcREXorIV5kqqv2LA4HM4YzqLqCRZQ8e53of5GZA1dcfOZdIUIJmCBD1wAlF/ Cid0xm9YKwt8yaHM6rauafCdTUl7klCsQJ7PjM38qaiObAeyoSzabRD2sQA+rWuBuO8O/aGWVLc/ zEhJyfXf5ZyJOpjIWX6WaS5x4vR/BwpJO/ntPeS4FHbEexyCm1yOMPxUoovl7uyWaf+bYaWgJxkO rVepqNM5g6kmyQm0sunlyLNbPsKr76gZ/cRN6Oq3JQZyuE2+w+6vgLopVt0mctCAIcu1pKSiNRZf 4alSY1fWaLJtafH++ZvRwYglY/Itfy5vGuk7yxggt/kZqyikA46KUSyhE/NQGGhnk2efZZrh9ihW setJ0AbgfH+QKPLqgp8rVZspSL8IZh7h03gOyFsZfFBa+U59CVl+Tk2/1r4AIY4ZqVsIGVMdMnJU FjPVmO94w9xve/CweJh8Vihxnp8M0xnjmmuJkfr+9BmR+4j8r7VRVWoYwxgyE5ytlIgholfwH9sd hUNHnqhii93sUbrXe9ymsmXr+//M9aguikpxH0GN70VIJPG16Eu5rT0hjTXjHTGwxJmoUpQCJ+5m NwaviwT188djJsCUmatkokFHjR6O0/Z5La9sU1HdEdDryka1otiCdG3JdDlNjZtg1gDNTJsGMz50 +5d6KdutFUDPym4dIHWM61dzbWxQ6CRXXtj8DkdM9uY/dU+aR+d/bU9iVhxwohW69aRM3mSGVbAW SBe0vN3xq5J6pcU5xGYwpFDClRnMfbxkBd1iHfmyCsGXd5nZ2TtVBqjssprJriFn6guVzkHBSBwh M3ErU8SwjyA6SjXjHQJevwIWRo0AKPTwKmIJwkVwkptiOowc+f824Ox5fDLzIBz2AYYfLMYqE6SK iZIH80LbChPeytWqSNR6RzJPIqu5rfJrVWM2Fq4TsE1osmwOQ78f1ddT9h8JzvpwB3kcJ10P9ItG LOKdrxKFfyqxvd7qinCGjejchi2Uj02jnm4sYP1aQDPCHzD9JOgDZg21GC/TSIdx62+YejqK42Ig tZBQVnviBv9b+YOEImc4wwvOh6Ynb4GbAAdwQaO9IKp9uJIyrHKj1IzXhlWULZtJH5nxBfzynKD/ ZDR8HlpWM0QnpF5wWW+oQ07dADcMd41uGvo0CPl2jlSJRgJvJLUyQOiMELq35MyqGYbZiilvwtHT B7ZnXiA60YJ/kiyiEEjJCCx+ODIB1pSqYXjLjYq2/hg1aaYre6sglmH63T1Mpx9/Awf6npZqsyTb tKsyf836BPP3QH/NmNDySQaps9UwyBUQCa3tY99XZ5ZE8OOHqGLW92ATdh6wj1UjlWoEl7qd5Zcr F7rTSku5XJAsdluXL59EyqTen70MUi63IPXl++ZBJODK6osjXLmRJbHd9IO9aZEjBsHLtq5+g4EW zDQXRuIJNt7QenbJErvdpWC4A4vVT3RWHUyOU3vG0UWen2s4RQzdf4UKMHXPamnoXwCeMhOL1EQt RjCCttbuTN9QRIe7Yzc57NYv874d2rrLKWNjA+7mfneUDiOCgBNAYcOKoY14/H99/yWp43lgdIRp MGRVuz4/2oy4ix8aW0bh75RrgcXne1V8S5vWD5QzC0yYfOeQj0BNZWyzyHAMhscfhL07N2TduY03 Q4CejFjB2PW9SK/rf9nDetA67iiXL7bJqY8Tj9XDo5evcRQ4DvKSknQVxWaGtGsFFCmXptpSJV/D YhyIqoW/KmcfuovjsiP7ODQfyJ7EpUraAWOEAzb9I3bqq2HZ4nMe7/anoEdNy8a8Y4KV36S4E39f U2zbkzlBaR9IIFauSfptoSIMt1rRS2E+vmLMdPx2BvAtC8IkRMkdZjdnV2b+iYHs6EnELkdnB/c7 helHUHGVbG4dWeBfQAU+XXZlDXZcVAU6FZBNNVzuXPCrFkUho66nTyXfeZbuRjhnHMu0ah044vp9 ugsQL4PekngB8PCtF93sorfUT4c/C2Q4h4wHcIGv/66Hsg3e4izlogzBiWJa35LpkMYgCr4FnP+0 8x/knXjNi1w4kGd6b8MYk0qO/gsy6pnO0zZ6QRFXOz0bfAnDlMWilsag355FVLvJ3e9TXsEXiVju bCx2O+DEa4D6/VZuc3V/Zi4cBwtiC3fRMVVMfmMFFsJsCvVnwTAyofJyHU21gPLaiLUqYDeVgazf 8ERcvo7yWCZiLXqrKmU8ZvD5ExEJhXOYAsEF4yOCwTeAT2M0MAHqik4fsQkzOwrwSKVax5brgRSV jAmcYcmLJcooCOfHGuRJK9wOs8VUtVWRKZJROeWexH8Nzbyr8wK2xIYod8XiwZlIbgTg3sr66cZB GbrQS0x7+znSSulfz1CgogEPGKEcOfYyHzQ1KI2zTpCt02mTA8XqecRI/mSWZw1MLstEYzg27Z9+ MRNm8xG0LK/ty1ue82eF8Yuu6J/htTCu7KHJ3sKKFJkCAL1dqYc12dupvhYPhJPbLj2E0cb1qnRA gOl6IGkcGxCvCNtn2Am/178e5Xr2OVyS9LYIPBbSIzCwY2ikiDWRSPTaLfdQdlj0HZuHUQdE7A+y o2pkHK2/jbEskSzOyEbOclC8kvV6DeqPmItvH0FnnzX/IyeZyUFV4527T/bMXkCWX+rB4WD8iHwf jdRNPwbZ7ZhnxZRBG9L1gqTN+2hcs4EVudhWC5Jn2LFbPWqPnMurE5Gu/LVGVJ0CwUKSAAriM8qe odWdP0yVPRZeMXPQJex870G9sXJ8MgukBRKTrf/nOGCMuCEg72zrGC5TYzQ8xLdSmfgfuKW7w9ok ag9MP7oXdh7zCGu5XpjQ/ErgobacmojnC/V4JC7I+D41eeADsxi2fFvfEz5I0mSxt2vhYX5rgpYt YoisugJMZ7oMi5kk24Hb8RDgxU1FAMkyAOBsasJZl+A/fUcfFutkXYHgHMCuTytp8R0GSs6EMB2b 5JweWKA+keW2dr69ar1f7tL7Kt+YkntWWtx52HO9ZJPzKqQZYj5Z6RaID3dFEx3JdoB3LOhebEnQ xg3Qj1pGqeOE1DO6fiu9Orl2aZlLO2swhYd8Pb+azDer2xaArAb7pHQrn8+w9QrGRqDDdp6CiSPQ MgVN9J4YIkCxZdKr8ngQ/AeBgPJ26h9ofKl0OC/5TCImFMucJLtbIlQaE7u79VUXI8we7Ixwls+B FOozs3VZCxWMDgMyVKokmjwMbNgd2xFso/2n3GmcIOHXe+/OBogOgPfxNP9itvAxGpB0JHpfr+s7 6Yo8m7uGrRJ+3/egrJrtxka0rkBKy/ACtz0FenX9LjPhpOnVupF0gtGRDH60DwtezxlKkjAZS5nB OiF+nqS4NNMeIWZPn8d3AMISwojflJEdYBLNLDgDQgVEFnrP//mSnj7Ri6m2J99QiVOy+uVnMkcd qJJH1dwBSDzqFnf8YKrjCEM+rALLTxn2DnaR8/+HrE+ztbLzUM/Fc64rJP6pk1LHTKMIy7T+D+I7 PJJ+8HGKo4Jblr5a5DW09Y/eiFImISd9pvj0s87Qo4+clfIcEyJepObyDLjm341LovJjSFp+W33B gxGfzvV9fTArIVvI7dzUYtncm61KREBJpXN9l7v8q5dOOm2oEfr8+wlZccDqarDpItgvXhUOUFui lZDWvPUOwvq8GY7ropyfcEnvqWcIiFVDC+MnyBqqipSn3ZRBT2KgtYX7ayXdW2V0BujNsfs5/2eC 3tipZ0HvpjA/Jdf6tnLPv1a+1r5emyprZpUHFt2pHTukbmaYO8l54RDU8Ebxkv6BzYzlh5af+kyA UT96UKa12byKL2TMo2j/euTzHbwxFsHsNZyAFEdPUNPCH8J5Q2Feq0D0IeJ0YSMuuLt9vrSkuDMC tJpWWzYPGmHVqU+esKubgPBeSf267p+uRkDVZQxIHlATx/igh37/K/mrNMMdt4BnlvF83xTKqkxQ EUOGpiAVWTbHTiK2UtC6fOZKrSWfuNC4B3PGKU2T0pgWiE4ppXMxfJ7DBM/2023u3QiMPlp/NTJb sk04NRaL7cerNFPP2uKC0LhtoJ8a0A0YvxKI360+DjoI2MagK4VdrR8AOnF5OkMj8i5ZUO2oB/42 9Fb6VhNIhxPw43twPrQPjTVryNoKTpGmHnrnHyEfH9wl1q8zSkD5umq3oxAQqH65qcQCymJ0FNoc 7dYGjgmmg30AdH8XH5PXrlRPp90BMB2YCmwSY/m2B4pv5S0D7dSjw+WS8I31isikBMeI85irIk0b 5rLUbFabKG8F/T9GBmEt6mMK4/e/KNcAGaR9jkxLD1zL43QfXfXyHSgLxvfhz1EU/OM79lhK4YQE MNkC3UZDbC/v1ZduMFtYeOJrIrYKqC9ZGe+fD1I84E0yWeM13as640k4KuoceFLjBmATKtbW05Nv 8qNKSQg8gjXuMWMd6r7oQSrtBQ6Fer+hEzOazN0RAaKRerlpmXvYRZM9mURNKoC/PquninmwOymy EHTGx5dK/tjOkCoUOmS9j6zotBsmZC+fvGJhGhgDc2LiGsGMHykzc96DYwF51tn6iA+9alEeZKtU KXFFOR77b4zm43KXNID1TNgu5nIaPWFzXwBS780m2VSAxI3Ntef/T+zYR+Q+GWMBbGfZpMtNBMaT Mk3jtDYmi6eIt1YKr00ZXCW5pc/A39JM4DQhXPLx/9RkX1fzJhcpcUq84ecz74uMJGhbjrNcgm6B 5xWWkMcmuwbSfQ0fbJRhLFhqL8jXcy1IllpFM7HlGMrJQopQbjJtBYUKtfkttEPsj/L5SCSsNWdC tcZZWcqcNOm0kdUS+CB23KcpvQ1Eivvz9vSTJuf9wRpHw/7Ve6hg9kHb8ail1Zydo0yPTppq5NRV c4XO94dZtQMTfE4stR/IMH6mAHSwnlKjPblnImfcNTayEytNOdtwJbb6LayMRBcyPXVZfvKgbdyf c0zbF6ni0mYtFHPZMwwi752uyR+uzD8tKBJIyz/MdD9gV2aKCd3pRAVvUZCZpKugNiwseNYP+JdF WUY1t2Ih6inAE93/CUtV3Zs6dsRvz9cttvBfDrZBElzJTPZeAAeSCDDoiGnH2r8NF36IFsH7ZZSq RXnqn+SEsSw/ZmXI4Hc783G1yVC5/I9TjYkHiO+QG0yp+EC0dIIo/qDDRoJzN3PA9mTlvIdoeafx QJNAGoHuv2oYSwm7IwsD7KRMzCd3Evb9smkryj/LJ1DTLXYLQcgFQN6gIv/lqVYGOHT/i/sq8Ws7 KTp/vXTafdhIiFVoxlzUar0YMn9YaJcp+7OVDWAMPrls9zFAy6NXwQzJWcjfgd2OhVXGuVPNOQIn Ua7TGEWgIHt/YK0+OI3S1qOFttT3gjR0KbAgtuljGMIUQ/ChMYTX7uiH+8iWTzcd3ui1ZL5Jath8 JtcadcRxUYl+8UD/elAOueiu53aN3Iqkba/yxd1uS844G4TYQfFOBRluvYmqh705oQBQdCVrcmz2 Byx9RzI9QXQl251IwYHm1R2Z0QSQdnGkOcghWW6UMXimV1iU2ilq6NaWl3sJDquSYwULtoD8hWvB 2asT6+Vu4x3xDOs4nr03ZbjplNP8Hu9afnX7mBU5CR8O8Ptm0J+K6o9+ZgwcONXEVj2DFAaHBrWG zdlXBRudEBokUgF1BsYCU3qzEUmCkpaiEH6YGxXTimCzjB41o3jpYlYPiupOdrPgZvk9suIkiRwb ZHJeSY6rN4RtnQu10gtMKKIjDd7cREwsLppd+XFg5oPRkZYCe2tRxuV7ERx28xrEhG6vlMrqx71E yIpAIBerzHtPbeWhgpa7+URIsY2vFzL9tGwFk+92VB+4bNKFUoZZUYVtbCJmSem1e0I+iUiJmG+S QL+yUrgKokNozvqI3/zEEmdNetFolDq2G74O71WvcO6cXDUOvgQKWAvgQtk9rRWpn/zWW6u7WoQ3 o3rf1caOc5oWF+WJHTsHYPvQUBqtwWIygdNs3gDaiGyrRZeYEwdHr8MjLFYYjjX4qd24JGnGdaAX Io+xGuxuTdOlNLYQke0IMYRa3ziTqFTGxM3ybWB35nRokxqFJq0rXC3Mqd11mifY2bcaVw2X0pnw l88eRRVuqsuw/coME3A1f5sO8/acNRjttPR4lJs/m4dZmy9COHwHBWFzrKXHXdhqyrQDAdPffWv5 06SFBF86lfVr3f8WEWjKS4/nz95HyUNSJn1sD8g4YCzvJvPJFghKbZZjeKLCQumMBIf4yRyS3XLC dpjAEM6qew9VKu19A7kXKY6Lmb096bCc4WFHTlAJ7rkGYewAbtd+qTtb4JWeBY9hChG1VxDYDgbi n4SVSRbdWHHMBzgQ7YsfawGpWMQMW5GP3mTDPn7ganmRMTyAWPv4qh8/zfBOgiO1hibOAN4UecFU iq95Sr5NjjTHtC5GCg0fkd+6lp3uhBJCGWhJijp5RA/RUesMU+6oV+WKMLAVnIi9TmN8jcbSwvFA JPEBZL55MtILPZkysgU5KPrziQvos9wpRU1zSz66EMFL/BvWrMyjDlhQHyJuhtMpd+4suoe5yslO U6Jrl5PGcVMcyazcEZYLJjRLExBGi+x46ON93U8aWDldc+WXyDi/s2HH/p1K/OSgb0RMrHmDGAHi TCQ9/50DqMJGbnYFZ+EfBNbUeIDYaZH9LBwaBktAUHyBts9iFJpyNcP2yqCGDeDozJhvFWBDuGV8 p3sKOjj5aJqnX4XC1GK6fsTe4vCNO+i13QXFNseGUifg+805SG+jHmS6ZW6fIMWqrctkNDHfcJTK 0NcLAmUTZ65YmY+imOhCo984Tbz8twvhpNKJM3fV4QkalEVdaFSofKNGbCth7CPOovwxDYcxFkZi 3uWAki2A511PPvA+RdVFILXuqVE0EB6wsY6D+eedl/VFOvs2fEIyGT8hNhOXHwmPN5MGRR6OvZF0 LkiEFYwlBjOUDB652x1iy9rvytL4yhJ4R9izhtt2UeGpRsanxRIrnA/HxT9IIFd+YyKJeQXfIp9Q YNMjsAsFSMrzwdmsMrkRDiLxLMCbBtjqS1XfktDPMAVPELpFyVt6hOWV6bOrDox/h8z09MYQMB+/ xik5yDOj6jauH3FN8W6WyeobZ8fIH9M2H8b+U1WXsmBToO/Gt6fjWI3xiePp/Nf9+PpcOs4/229S TIrsqFH/ex50drX96nI57OFMNhEumBqoh3aucztXkkQ4y4HxV4iSKi1P+nE2d4i44DDF0Z60GDt1 7e5XbPnqt0jYkUsQKJivbMsZJPG2p5u3q/eydQObI05xWLLJW9/gTE7fwqHxRuIQVCrnFxHmXQXn piNQ8fY6dbJpNbwfRlGzprJTPuKfZ64hyvUOaRaB39im/k2ev+ThLI3/HdxiAwTuQtI9aeqzOWoL FuhsxnsYt/+9IvcEEaGpjYyrVi09gSOZGUCbMffO0hfGZfkix56oAIKyuSpV4Jg6N3emS7kpy+xX 0e4bjE93MkEI/TNDxqTUV/HnLtehfEdXuaCAiAwOL5b8XuypKAJTIRIcsH7Z0GkjFNd6lhPObVNy XPoaaHnBB0o9AjV/Xb+G1MZdiBVjyYQBse3EHk6Ldd7KhJyZu9+LVtq5V4DxxgGgkfS5s7BF3JzG paMXZvp6VtcF8DXIyipzsYjh/AfxBwrdJY+wa38liBZbifCeu87Ny1kDwkEw+nZloliwo+RwAiRa 8aLFwOxOExZfxrs4NIBkVXmfDrjFWawCaFVaC8ae+HvXlghEIIHHvRpRvimnMQA73CAm70K9Z0dU EdYgIyrARxewl7lsm9AFyz+KHoQ1bdl0e6N+kQ+gFI4SZqRnKiz86qyCpHq98bgRhs+Kd5wPVuQ0 oTV1LzGRdYSXweTfjsHdrOJc5uTgcftkg3s6Mn6EM4dmKUCiUnk7gntGwePc8IP4hZjEBi03GpNa NF887AlCa6Qq3NSr8Fu+Jmql18UlMrMGuoTLsAkfx5ztdg8IPZ1ne1YUS/DSf7M1cs7qmy8EY9fn 185FGcppYJExn70HILMvNYQayf324dDn2NZouTdJMTQiTsxY807VTgWqGJDaZU7vcGk0E7HVhxkp gVvQwjWGPsmdzP0f+481IDNk6JTn7QYd6Z3dRdksHTi39PHYdEHm8uVWSOjDDe9oAkS6j5UzjIof Z2JYSB3yGkKbpHAGJ16W6TqpSYiEeGJX31/Q+tgh0vAdoTsdWHatLX0iZuIh41MVtpiqHCKRHkse 4m7QdzwMHAPRU9o8h28a/aWdrkbAAb9D3Hcid7ee59qK+scVkgtPU6J/4RemFnRNdo7ymyRksTHd H5rPC/sG4ArX9Ami3mmwbNdgZZhJhbPVv4vqeU6755XwrNKYTn5mqrFV5mrIc3YYFxO+IrqiZfnH TTDjxH85tfZIYqKPLF1yohvVjKl7jdAQ+qSPLyDkq2ySobDTkt3ue+o6c30kQ5MTW3gYeWyPvZYI 9NJ0C00zcy2GXX8dMViu4551TbCDm2ow67OETCLHaZm9YQUus+Z1CDnd5on7qi86RDe+V3Tl9HSI jGPpz2ayUemThaxD4HFHMhvaikdcQMWaRMJZX6c6ftVkjGViYeqaOsFVO2y+G2gtXwvD5K2PIcEg lGWJyfC0egc+bKrxsltW1WQO7jIXZ2kJkKFMABHwVXy2YbXxcYORhMglEzIWaEq71GTTQiiKr/5k Kv8WussRE12lwc91AjKotf6FDo6fX22Gn3mzeEOF/3BL2OdcdtWcRfLB2idSQPC+3pyVj2u3efKp LNbr2rsVtOFMHYOZq7xN2WNlzmiZc2Rxt1gpBask65F86K7RQqfkq4zsmNtwo1jhtm21+FJdGNDB SJsV+nmygVXxIv2OpvHdTRrCtXVkhsrwL4F9vEE7FadBLVMcj2LFtQyPLau4uqbCvPLu9dXyZ1ah PGF5knh4FWn2/DeThDrnKyJ6jwEclfcF9+23nnLrmaxO11oGNgEfpk5RzRp5L1+u6YyeV1d61SL4 lExeIe+fVJ39DtUwTwLLYG+OWGJTmh7HxJjIPFVlqXW/36qKD+QFVEZdBRkU9jQ1+J5+NTim/udY QEj4GggCuT83Yzd7JkrGpCqN1czwBseY5DfumSg4SnLScysJu2KdLQa2TkJCsejnRPhTsxTv67o1 FmjoCLUKDhqrfkJj7fdUgEAscC50uX5iNgjYPORx4RHOPT2p1jaCMGEagSIumyJXwSIGhzqiNVqd 5RnCddszptRBuqGFE4bsgOrEKl2RkGm0ROpHyBoRwY98DxICJ4jVWSQmpQFq6BzBQaO73dsLpDrU ETFx4TZaJCsYfvslWq0cmEB3ujHyALdt7wJm21MnNouZyg+oG1ImoXWFelsh3T9ORjXRH1r9FGsy i9HC7is0VncHvP4IoehngeNxXW1AnVEIW5qi+MwkxtKhQh2k+TnSVGychPVIVPJRWaMjtvxQ0iSi zFXdzC6YbJfM8JXJY2UC6JiKr4Tb3Rk6uDm2zi/22o2V//TzyRvljQXqiyjZ8gMXsfKSqogbEIgW qDkKCDIpWMjUExbnfZtDIdnjv1ixWLWcRSPj1P4cTH58zpKJQhMnBlCYzXVwV7YTlqlOJ1nhCo9j elanKncLlrSvsshfkybAyKT79K3fauQFwTzNj9dwmBAqNjvWU7EH6Ib17ibekLFA0sHL/8eS27LO OyWL0DE1yol8B5hHMuvI028C0uD4pi5OoYUP6sWi1BG2HGnhahoDcqJ0/WJ828JXoIjBK5RTsg72 j+BQQYLCxDRViVX+KLsPaO7b46hgeItDXuM8M/Ua1JLJP9xVJameXIHbx5HPYwJIHoR+S6eF/dOQ qovnSeJro7hfCZUlE72MDmaIZMswdlsIx1HEVHPA2CZRPPM/rk4HsL44onG5zqrbWOSKhRm7pmMQ BNiBpbGtvfisjvM3tcjHA3ssCwv6l4pF94SMrNUXCY9S8EuG+5GUjTAh/E+k1MFM7/CmMfzZ461J 4XX8ifhYvRGPgyYbmcpTe3doZWYHN64+khnOhaK+usDIykDL0rymr8ka4AeyhFNwo1eWiaXxEQFr KfH6ZTbxAqj6/6gS8reKMccUKpu4g8vZp+OQS4S0j3WS6xYSpbH5/oh8iJbq8gpCYfmdSI/SPtzW 0d9KD0WemxlIXC9sdblY6h653mwvZ7oFcFy+EWtnJAu34u3jcDlLXKyyWrT16aFexe0TjxpThw8V 4SEGIDxnvoJB4Kt5RrBfZ7Ggdeh8xFhlMIDPXcux8GnE10xKI7uyVtDK10CwsG9yFvKPCBn4e6Hk QTx3zD+CAJS8+B28lM7vzm9K9q8VJs7KrSsVijNm+6/vLO46yFbYYSiyXRROU7cbLb6pbbmu7EYa e3zg1vzK13xzc+nV/FBjxB9JHXvXYUYU1jhPmkzZj8wFfIKHDOT+PcxBey8db7tOYeDvb6yQ+XX7 U9rWPYeTSnp/CQiNmmb5xU1puJ5wKnIUAVFx6dFheDY2OKA1fRRWo0lBeRB8Eqcxwlc4pi8YTuu8 W/u29BmJIM875JmpT+Z0Z24I90rAf972UD9H9dGzAqiCKL5m5yia5ZEvPC0C+2STd7uVK3uMZksb AzY0XKdwobGLRusboPwiWDywJ9v+erMgR7VoMBJYFq6TVIBCKu5skykdqYCmiMyV1BpxIUAsxsMS tN3bWBR2zJFpB/TqA8iI+F0N3EuLuDGMwmcHjd1NOpVJ1VnL99uxqRqzfvlC6nqJKT1Y92fZnkFf aV8hS5+TrEg17fBPMF/xQyNwc0AW09zwa5h9kYim21M3WB1sIGmQVKyd4ZkvHt5PQqTuQOFsHQXy bEcdhq5IsttJoyt3BTmmur4bmwYCjRUgUGOiS9KOQaRhHbLoa7dMpCSofYGwP7DqB0JzVBNfgmNN p7Gp9FN4+QSOzLlvh/8fe47XVdmJeOeUzAc4oP7kPDFRLd67DPYSeLMZUKy86qiImmyzT1iE/jTd Mi6disFqkzDkZnCS0M/gOefJEfnIVxVMQxuv07zH5hOMnYE7rX6skDnexONeNnKULK8Sh+9BVPDf lqbrnSz0zLtFAefKyHkrpBr7nDsgvpupm8gIXx2h+Nj2YsgDDL0ffUBtcBI+WY56GKnMxfzkp4/O 4/7WL6RZcsJa/CfkmGz1A2QQkS+jy24ZZ2alzwPqM9vI1E3XU+bbaQWGRnYW0ArjlZLI6bhXMzEu ns9pm7x21MY9uiS9DOocHl6S1/W4cSnkA7GOCFnhKG5ThI8AA3QwIcaH3iZZ3YoQZ+mytAzcnkYM nW8GopzPPllrNRZTc+EHiVcBqETRWFVpbgIXImGqgQWIU9U58NUuRHYxXLnvTYiI8oTqwsn2H++F leyhzzTak4Dh2tl8F1MhRY97KllqsaQ1512H3AV0p+PmCXeLQAX9egDXaVqA6UNxJxuJxJ9u63Mf 1dGFTQ2BrZL9V/RuHN/r9cEbkoFQlSWuyDw0h3AKLIdUsIHZYLJOiY/f5pI5a8MoHoo6D33CRX6Y HjYmto0MulPvrwJUdKVVNt+/LLrlZBRvoq7ve8neHEmI7OU+TBvxF1oDZKj1w0ggAVYWOoE8ZfnA 3bYSSj+ldOLmwsuBpGe9fzGec2rakEnwhaUMQ8JMu9d25Alk9bDfMxhCSM4gVvgqeDbvxHvenZ8z u7+s81/m7Z1FJjSFl6QUP8EfSgnjOoL7o3CGwrjhoma+YVD/nbr0n83WHBXSrV4N2zT8Ryd6Z4bM I4fa00mf0mnZwMhrhZwn/udmE5ne8GgNP6rNw6XphzqIp9pyAJQoABsctUoMPUe+t0Ze7VwWsf0i dWDi6Qc0ybFf8nbRvXPi9o9JoC5OIUdZVXASZj5majAqoKV2fJ4bJlKAUUkMt1Tt9QqA3G8JJxO3 UBJb01e8uKalsSvhOR1WhUov9au001N8B1NkR3nbFIU5uYxBG4bpkzHhN/ZUUgE5wA1kYHbsbGQt gjPhrcuBjstBKOxo4BU+JJylJI7wjcjz7+CHw1X3HQx7A0JYvPrbBATpIa0xG5/+Rl7cN2W+KsWF bh8pQR/TqWuEZEiWvAjMJvM7UgcVUzeoWWQmcqAYEcssvQw6DxyngkiG/vJWGFD05YqeGQwO5PXv wwOhmSw3w6kX2pidaejtcz8TtVaNIO+MSg4GPUParFbLWbfFgqRXicSBKvFnwtLKtil0SgIK/iOZ FIIZ32yU7vD1wdS2Qr9JaARrYnGjIBPbdY9WrnznFKBUzpoVP9zZWtHvyOtQLb0SwNJV7eVKeUf+ dSxizPn2GRjx13/zHMp3itZ6CqXLYHmT39Q96tJH/nkDONZOvx8fv6dpwWDUN/Lo3MiXnyjYx1Cy ZB0r8iQxWpfSWKHrkTWf2Zecz3mG3s1DoiTkYcqFq50Gdvq6DAN7t4ZJi7dDxvHHhirMCsv2lYPK 0s42QsWsEfhJVzUGqoHuG6zwCv0P8zDrKBj8br1tFXchA4XzNWicX5FO6oeA2RepfkVsp1oLeGKv GRnDdXncGhea7k9jTRoTHB5DwoiixkTjiXk6viwHT3gdtFyowvAKjdBCse2/IE8vonHwpEmN7U4s iyXmKGH08o9+AlRLMOl2M6xOJk18GKkZ59o2nDCNOUXpMmfuNFtiABJuecX6rnfpWJVOydwdXvPx Qh6jPnroTwXLJBIu3ixfO69qaXpmTmXEjhEIcspR/yX3/XcBAAfDnig7Sq6gtaDSAYHwnFmnUlEZ FwdmhoPr9M0i8gckzvlUbEg7iugRZQc3CWin8hKQurzSN4Ct+p1/EHIT1BK8QRwjFvbj6KiyF2rN Oi9K3pks+KwNVM54Z99WN+svjlUDpmrRfK7oU8Uy7pKb0BHy01zo0GuMc58Wz661JUy9MNrU+04j lcAfQopzL2Q/YifaIMhNo9vpnGADEYmpoxRic4UaHH1/T9i96YKz/4TcfiIoZUqQssDnlhsbCEP5 VM1DMxjglkDKoa4XsCUe7yVogA+ORqbMREPo3FK14MVRtiV7LXXVJEy1taAeWd3bBJ/6I1ZyMIyg pTkO4C8nT+rhPo8w0bdWXlOlE1NL0LkCKjO3Q5H0NJHlkRJt0m/YsqSjjxP56Z2FduowpzH2IuQr Tv/laLV2JdgEFaqed1UF9++WyUBa45TAxCvt4KmEiKLBojhmgPbW9IXsiq0LqeHUYGwYW0S96duT ivsZhQVCaYpI1ZCMQJifYjR4E8Z60b04aKybFJS+DDfjb+tWGswS3fO48z11QJwFYYdissMDlocV JAVE+rLD5J+XKgsYTmbOVgec31L+In3CslBQgCbgSF+hNiLIqtCFe8shVIV+HzZerNqCj022ChqB J8mN8pQq4jKqN8QYVOGPBMehZTJWgCch1izTgk/mfMEfR9vWuZuInS6QHr7QSYIQguz8CYfeNU7m TuOGbsIzabLCa+afy0/Yqh7CE7hRVfzePqBTK/i+I9eFbTg08jNZNWqiDgoyChPwr8D56ofqEYYU P1IeiCcX8O7Pe5zpVhV1wTXbyXHjX0A5BOsIX/0iAsipC8/TXKqX0ddHU1mDyEIaNWksPgh+Vfut e/Q/GY4Fb4Ac/49fVB/mRhLzykOu0ZhZBxS4XEg050fmjNt+H7dG0pY+WpmjENjesTCXIp+/mBwe PVIVo9y5M4RG0Wehs0gUX1ibABPncOrNIira+WaMdDZ31S+dJPVtOB3T1DjHwjClJgQeK5BaGfp4 xSOtr4LeKtW3QLxYOIfFi44ASfJurRV/tfijsyVTo080JLtFik49oqKEdZuPrBANjGMZG4nHQLaH 8lKgkTtPP+R+F3uRtmMd/8jEPrFY49jpPt/RNCsHT+uJo6VSKy1bcCIZbKx2LLdDKtfJ1A3rh6gO mahOC6xML2ddJ9t95PC0GsUvCHDCp87chaCvmU8x/L82sJRQxcJheiOOtVRvrgLTHrbUGW8zc/hn 32vM30rGMYtDJCKRMmZnbU+yBtTOxw5NArK1dAKTWRDVEPenm75UdH5RL86NKYZxISFsMFPb+lB8 /WrW5E0abdLcEZ54jH8Hxky30Q+k8xsRqBQMDy048OAsbxOpZ8Zqz1PgvpCUuZqhMnbPGL3Z+ZWK sckeKjCx2nsuySkVNJBzXf6QvM3AErXNAvvKyCiQP9ji+daXhBQRmNAuSL/SPEkM411ZcCvYf6ur 9Cq2a7Dl9WnRXKEJEwxM+ELHfa2eyPVkfnFoXdjgrhnyZNW/FzmgzPLjOgzryRBa5ghyDWSf9b1i JcmDi6alRlVpzoIdl3bauPaXweCEIddKkD+XgI7jQJTL40JOIwTEsewo8k7FAVw647e8BsGPdg6t v7dqF+mXav+03pkV+gxI62LelMgQCx27uFYLuBNpwmA9pOqFuaWEJe0+mcUbbqdt8285sTS0VkFN FV4fkT/539913kl6amF9cZPuI8fg8b7S+EFy0/40r14c8JMJnmv8u+Vz68wSDOXLAM1TNa+5+Wtw Mds+K13JanKrgOBY51AM3Pp1ZUblyn/wAyL1lAlCxEv6kaFgBFPBKmB+JobHgK9ccCAFzoHGzrzV 50tDy5hKgGHpf5ouz4xkV1WDPUNDJcw7sRaQe2boWol14vyD1CuhuGKc7tRpstyJ6xDzrQ5SOiV2 nz8I06eC9/kzQTkwoxYxxYRYXm8DILmbGXLpwGMDApbxw1N0jPIMZISAQ0ImjQq0uUJLoTS2WJNS 3ToOlQsO3a6lgpnE+jQTe4hPSamHeakmSQqnEunn+0Rn1NRCX69sR8SS9gwSs4yEiROrlDcw2UW1 lNM+nVb0apW0WPnG489DVaL5seZryLlnoxYuSSoM0Y0GfNdWZcFaFM9VYzPQWQjZ7YJa0ljBHbZO uK53/e8y8jyNiQ1n4tkqo3Aw5vLSaWxNy7AOUxdTaM7Jz3S7DcW4lBZ9GVHXNIOwv5/oQE+cS+nP gC34Lsv8/IAwoRyAKVnEMgaCN3n5OvuqG6bwAe8R9lgiHq+ybWkefDrgSG/j0cMhgYijTRaunJsg DkbfV3dcO4Y6BcKJhebrdrszKbV3VdQUSYEzLFNuw/47W0bA1HE7QdRvrHKh4uce3kfMV1K9V+pz LS98zmn8GiWM/0yn8aVSat0ZeU8AtjlQc0bWo3GEeaZiVdd2WzVM6OUP8nMhMY66DDQRH64n8mTi JdwCUbbYgM4nmiZTaUEZ4JGaJNTQL0Da2tIubuC+YmR9T7jcNRVfkUafDffV7i62Zh3HParHtumK ShylcfQUADkxW7p8qsDGo1lAIeNhIdjrwoV1g8Z1gqiW/oToCbkjwHlNEHdAk2D3ZS/4BUV3HrOh ICz+tMxTLy9opctnVqG4MmpM0xltj2FpdrTAO6iCij5MwuJRzIqdNfD8HSgP6zHNtCjk/kLIE3rC 9l0kqYbRRJcvg4XC9T8fK+Nyy/e1t4QMMV3vwc+nzVcrOd8+kI622e4vVN/Y+khiKn9v0zO/d5/E EtLzfapYTm0A0KBmYlGDTGZ0o6XdO39NwnlodzWkaq7SapDwq7VaoA/B1wru9X6sPVa2D4Xjgkw3 hJII/1aLJlTcC2ed3iXx5DFlkpr/n19+zpvDC/p46dgNYgnvtT6a7vTgEoHilese+0+a9JyyOV2O B9GsqyRj8zHKHNoi2czvRJyT5f2IaPtmC/cAxZTn7j6qQg36yRvUDPxn2OML+DtEhHGnAG+gNWyv ZqKr5Krs5tqyaM/1r3UhvgbSO744ONdHK7e7V1kw5fVULMxNITowIM7a4FD0pO7sYo/Ba9yPMk22 /jo3I7plGfBf3H8F4xCS8I0TgX5ZHKlQUVYvpcRjVl31toJe76cfFnLX+1Xoq4r1beq/3pkwIlxi RxONWIRTL8Fyks51y/qQeyhaWKVfW56Uq+iP0PNhU4N9BtX73D2iC/DxgE7Z3qTBvWbOvLoS3ahJ w02UFg0j2CtixWzzxD7iww8GUM9rh3nNPLtaUj7+YSHCnpNFdnrzqJbxKzBStTm2BFiEGd75vOKe xh14pzuk6A/FX1Is+j3/7hSXhj6KsRvyK4Od7Ux3XrgnwWzlZmBkjVB9pAMlUmI5EyJDwH39A2+o 4boR2OHDRChK4dUbR/XOh72U4VkFJEDSEapmqB0Mb55EzMGQeJ5dI+vmQ2dPCLqaFneenfWA0d9z 39sl0DtXuxxngu82U2TEtP1Fu4GLyXN/B5ZjTPkCxkAHu1qxkp1wQW3+HcOLXAHy2md8MqBpo9hj 7REmhI24HwTidSMowuNBNuOPwpfCXe+iPJX9usqk2GHSmubKu+G1P0i3An+t5ArDayoi4RCy1Una lhcx0zKPz6fs3F7jZFZQTDh35cVrZGLNQF8MtW+DLX3mV9FykWOn66dJTKx0e8G1aLs5Cj6AJR96 D/pM93smHjQACJfvtCNpCFMsh2zhhInp1VuAGADLeumQSuCboSucqGGbG2Eo7bSdhXUjfIl7tHc1 140N1vldPnH6Q+/g0cePvXg/8Jmuj2D+7KvRVPH8U2O0bcrs+MMBfGmWO1JKJzomDs/uc3+pkRke ugLSZs/juZaaY2qk+28mmH62Fzo1sdhFKNhQtNPI50lAktefOCjhwZvaEEXp0ZC5wSAzYCTir4e1 GOteT+6MGy2NcSJ7GZLSnbsRBVDVDXnUmyEQMPfYPqU/9ltdY3OA7sFosa8UgMJW+J2dTrOZv2xV S6HWAEQns0ZYPUZGNiuWArlwfqnbcPsn1FQqsEGM/Uslmd69wMNt8SCHDq4wA0gVzpQVfaJf5HAU wsVwooGBBieVahyxqfwzmFPlaUb3jljBnB6FJRAknjtodOgzVCY0aW3A2+sSZMNegfIS92B6ZBBX 1zjROuPBzAo+3jcrz+T8cPOwwbs9dRDk4UFo/GbPTZd4Xu+eSCmLn3slQT/rCCU9ModS9MqkiUJS HjgZSOVbRO9vgYLTedoEO3woXWWpnUgdAMTbuA4JPtPGXhRMFykS3jdediQVKIbwy5dGf79mEMJN Rkf9szoZoQzlXuYAjEKAFIq8xg6wi4VFRGX5rGddBxIMUj0DmLu2+4gyxDVFaCkPEFcZBMT2DN77 V1MJoP4IpYc61URu3fVDSOz4xwk/0ybReXOxjCt9XFqWwUIxqr1NJkATF2MOe5gVD/kxilMNxlOg BHGcGzkte9HRV3V9dkR9mdWeuUJlTwcwvuHnTpj3jhaYCwSzKCsM9O+yBGbm6e57yDrjz+2SbLX2 mia2gfjqxUSxFWPkRUyLDAhlubpuINLr8iW9rfPPpJ5kUa1hsmMNSzyanFY59Z2L5aYuDJrM8Rab GE2dXSn64DlF0kgjAF89oiTQP2idtAF7Ij17GX2EQABIPHayQwlTBer4Goa9X2TmDU8na+YS6kv6 BKUpNrhOUosurKDMbg++VLwV/f7VFazQX6MByWbfKnyOIa1sn5CdtIo9U8nDclqoc+BUvLq1TzGS YnY1/9zBA7CFISGekTCQE7XR0XYrXx0IVcs8EWQLvpVhJgiPES12rHlWPtteXIgM0mzFG03R834X khHCYZS0W1sfiE+uZ/gm2B0HkkOpYDZPMgAuqlg0/ga0qXwdF1E80DjeMJNQnIC18cQq5xYx5TiA HE09e4ujrnRiC8vjiwMSFSkUry3xqTkgE0AIrnWZkHvpK7kXuAFBdj72Q5Ltk3s77yaowIQJkN7X 3JZ2wdO2xdh8wgnA7TxDMkwS/BpT6LUO3OGxjgOiGuTbogIBqno6E24h09LGqAZGhNr2yzVQSVar f2pQaXOIjDFGnoarOhjivhw+KUj+mt7Nr4bPLNDtMr2Ft3LcKvtPj9a8Is1eONKDUz7SchrkLmCx NCEa5dUX9VD9Z1Az3T4l2zS2tbdoUsZcnFhTbNCqnHmopj7cDB+43vUI74aMMHlQjse3tU2CjJXK QKO4Hb97RMqzDLBUOKAo7X+Xy4MeFeYxjHFs2OsH5cB7Yf6u74wfFTFE+hCwUk3wXNuTMgdhidD7 nOIeRQeIpjHO3ClPfcEynabjzqvudsVQKUdJuLxZwz5hdeorRD6r/vl35oElgW8DSvymvNwGcyQ/ K8461PoTA/sceGyUD8srZbiWq44JzvGPz8+WQcTgxlIKvGj6khuTOtgQXG9xtXVz6E+F/A/dk++W BM8Bbsy6XClA59dvQO1Xr6ZYQaIQ9LJSuAjraKYKnpOZVk7qiYsh5Hr60U6AQgO7GAQSBbOB79py BYVbjTk6Tv+ap5xTfc8LtwexJgEXIJj9NqCiEvX0Ggf3ZT0eo/LvT+iYMVFq7oATfEqSuXMox1eJ Eh50gGNI7NPv+Tsa7RWboqtrcPGVltkbwkETIEHM7awbH4I3JVC5J1yqQsjJLbG29sd0KLdgyqn0 WHad/kfqHOISaMNffMhHiTHpDsFhdp7a1vEcpo/qVu8FLwECoOt+wrXmnfyalo0wmGZYcodAWSLG 5VKducJFzGN8UJFITZB2WfunX5eK/G5facGmCLEFVYv+0OUznUJxUz4jgQlGvBFGeymjkX1u6Mnu T3Hhb9dNFsQzVprGmZVkGba+QWxvClJopLFnUWyM1aIJHAoApXUwDmxKoNc7irJLzxwhdr1kB6zm RgOFpb5T5MihpmH3NUT2h1ri8EZD00mRMEm1ITuIEa2emmDQwWrAr08P3Vtoz6Tj8h2+TwCPWjXg ZcvYgfLBmvaxtGEkoOId8Lb5ZGPGTRXQDXom8hc1s9bRUsMuyGCmCNDHlTuvK84KOa24EPkaRP9z onEqxUocsUSlyNmX/YC7VIk3yjSvrbxFNW3AEDI1jpTWBTMFDR7ljYENY31mYeFsTjxvQlleFANx MzplWfLjZFi43SB0Fw7Xviw7hV8Vk4eLXamsW6tMCC/XdkwPlzRZOm6RQPt7wXcgK+O8lcY/54o9 rSFyyNyCSTOtDDIEJX8HGi4z8i47uNVapipeE8bfRZUbyfYcQ90KQY2WGQr9wythh2YBbo2RaTEO yetxBVIyBlCQN58mMKYmg/0fhCnVK9R4G1tExO6os87FDF5RGKBzyAXbSA1plO9aIXnrwdi9YTr+ BqZEVZBrC1/Xw5R3barF6BGdxwOflDRhc8ng0sIvUEswCiP5HaRHYvwueciV679U37LI2oGNnXxe VQ9c4KmKeS5wFmgXYSxznkUMVy3vqPua6i1z+AjpoQS5glda8vn/pWqF+QEyA92g1K9AVgbWz7oi oWMNnD6GqPHkzs9L1JzcVKC8K0epqItFhszbpf1q43WVLtoPaLC+1GnwsXExJbb791TMrcivTwyr e6Z1LKQkN2J/dbyt9WcQ9Q0aqUVEURwolB/pytsVrvV6X6dhklCTIX2/ZwZBAyBAC8kTrA4oAmzg m1xGlpF8RtyreYr0npPJXn1Hpw/jTZDlYkQyNNdgJKQE8VXTQ+9AZv8mnr/WF+DmkSvTr6D3mPGP Sp2ewJbB6l11IdWOw1YyY8QNPtqbhCU46qXbX3nyHgzLHVZ0sb1gQfEBTigIpvN7AFfLrThhJyT4 mAjSMRNgjWwjTqjVP7dO85qhUMPerIDcHZYE+RomUDegLkcWQKC74a3U5Ds7qO0CFPGmGwzhfsbp pt5HtcijqajFV+6J79M/S2wlp2rjKhkLk7ZFBJxm+nodrLEOuZaEUDoOKDNjMEmu+akno07nsIeU uDOZopvJDhKDmZRbnrA/vqqVE0ZvSichjf6nhwpSTB57m9t1L17Pphie9lZR9c0kLp648sgz5gXw SIW+WoeVWUrAYxMaaiV1fo3XQ6pFFq0ale7w8hBkyq/AF0Sg2CQPyJTaGYZoSW+n4n9q6ffDO3Gi o012fvMaKU05k7+ERau5B1GsIYdmjJS+gtIQL8d21GHe1pI6pA9ImzTpCyP5f7Dq3fong7gsUI0p 72pV3HtY/67gvpRVzgl1OoFXBvtR1xsWm8U/JjIIUR1FNFt6fbKLja1iUCvLo5dWoyH5WIpsilGs YC4W2/RY5p3iEtCi2sftzkABBVL9WbDQe+ugxL7UPLoa/wf/pmAZqmTL2+BNuT8EP8tBv79HCUaT pOXeel6nlbP+wSeHTtbcw78t+AmzDcPyWSmBFJGW9T4L5zU3xV9ywEOaAvaN9dSw442xMPAcwGoT hm7BnoKgNDRUlhWeDANSiMGr11+pPuSYKHK+bUy6W0Jkkx3UZh8mV/gOsWPPS6GjMjbAf27h1tQI d9m332yhiflGv6tpaU7qyQTJ/Q00XIXf74GzVhuU0fX0AmkXD2C98cLN7uni0z/9+PnRpc3WqsXb Y4EeqVbd+whmm5njVfYNuz6Rh2RJKnW5NXaWLNFoH9H6QPQGNzL5x8mSPZcIQTdXasEoH1TGTJf5 NBvlpvIh+/bJ4ffuPFd9mSKwl3la3/WF7H71PU4ApVEzXq0gzdlP69rlMwbqjwR2hgnFFqPbrrrG 1qCmHE+N90JAdiBvuM/B9bqRNJoOWnXBGGlt+8wB5bTUPFQd7akfdMLJRY+cTqcZIgAgXuMBfucZ nSAm4tGOAA0EcAmXPA4H+lnaKyhkaMoQJOuIqFLJTIwhuxDToXaIHYLBDvEQkCEeSjoGVIRXQfy4 GJLGdI/RyyybanTpdj8Zt6dNeuvoh6pCtE6GcpmzAEtAn9E3ArtRvfBOurEW6Xeh+2xKSXYbLBIM ZEJzyqEhItFMKP2YZLvtuQ/qI5DAn7q2jEAcwVzEpkaIFy7MS1UU8CDzea5P+oVkThHinx0jYYKd JFitBP3B2+dKupC5kF3F5s4SAZtJfYoLb0uAqi07HSJBSdFxpm/8gfvOeixMv4YAWDQ2xnd9XzNC sC7V3tXMn9NZ09LGj+hvnHDuh3UlZZe/JQoTu4j/9FKW1bjdsOzC5HW9Y/IO3kifEBabU4R8l1GK XelrtuahE25KGzYeRtHmJTBS8CGtRnhkjKoycCSCchkWC9qgQR7Ie3A5W2sIOC3mEM79ToTkJE1W heSOwQf1g8LeQnSzHFZqL/tZ7Liq6C2G6fjRvFivNc0vTWMopSz0KvgD5g+HoSNKPM3o6Gwbe1G1 AwnkQg1omnHGBZP/mFZT5chSibvk9PUzlTQIbKlkgMxPUB1iyVIG74LlE6th7UfW994WktIXvekh 48BsYWqh2W7VgGEtc04j+K/3757DTXoPoHCM5VMImlf8m+T/vXkmYs3ecLDJgW8I80gDC11Z7wHL QGCaDGyA0KVRhcKVbDG/qSmexQE/UZG21TajO+aZ4E5jvvA8yfkwxNXTAiqAvA/ce4NSLECJ0eUn YBalitv6L6mq7eVejJ/tzCKyGejcaNfhx7ZODUlswhcIBZ+XYl29CVFde0T5KzeyMZrbijNqPulb MLWKPmwJerkeosL9iKIY3jfQPoB1kUb3bNNbswxY4i26pt2rwPbfMGZtdK0DRm0MDN07/Ep39h9U Xhile91Mzwa9IalVR8+u1edE+8ziwp7LDMs6Ezr8yjyr9ILim5VtDIVLKEyAOXUODxDuFm7eJKTX UN+Y1y0xKWBYRS1S1y5YxzQBTcuUqCyZYXyO5dEsJRLB8ZFWaBfAujWlbrs4qKfGlI8q30zEX2lS cGhH/OlX0lOdN35U3wJrMe+RYWQqb6w54R+uIsqbrfpvzCkW1P4f0bb6SOA3WCkY1kI8PvR5H4vK WtPiosopNsx7MyMJJErkpnFXqSwPYnNcyNo405ZfDHLNAsjm95k62shmN6NVHt11hvhSK8CLs5Fm rbr2f0EuxQe7KUAsP8iLaqAnrjBJ3ea7J/Pkxva7gcY5UtrK9sts1K74Md2TF+CATTDyBEGDstOp m8awiNDEpfgoVKRyUBN/RlmnMXllIqYQr5gCl0FxHvvBf1zugKWn5mBTjE9L0qxnWxirOKnRQQcU G1VAu5OvgnzPUNLnXMSfqGlSs6JaRF5itv/kfxPrcN2jv3Py0WpNjKAEpa+2CGCf8DiqGYIxLyA8 p0s3BSrcY+uidDBxdG55Eo3JU1liAYKecqXUbteYLH6e+poKzh6lSuKMx5Z1xM25wNw20vqIDwTx vG6PdT+qfHeUTT85xukgSuIVTrhMBnxieAsK3BFwj5uYZnBvL7dSA/GdG7+23HLoODxVhY6fMvo2 41TRLIg0eeEuaAIIx0I1lG2BMIpq0avShRyos5zGywbkAqKsdL+XoWoGdR898f8nEr9SvD50hQyI e5SlbVdTqW2awQq7ccLHMfliLvjRWfxMRNvaa05uQiTcrQXr1NFqKJMSofV7yFA6S9hA3U6F1GHX YbkzmD+vMPAD7OLSkc8FxJ68rc033rHyxgLshZTLQztjGcqv/s8mbBe4fLBJp+d0BTsepHyUqNIm +J0lRVbb0O3wRJQQNyTGMvrgOVQGnnOiAc3ZAeJ5MgqwuHhNEYlATLolR0wAtHqBgvg7Rmz+31x5 YFVAWDhx+H31UotagIreZPEqMsKLREO+amGeJzWoMKXNo8b0kkkuAkFMLNq/UJpQOq8tYgKvZfDU aFG89tTm2J8nnd0tLOrQgA4nf2f1yHpYQq6ezpzt52OtIblIUPkRXoU2xyAp2HrtEboqJ0UlJY/K HqBnKyuc8wbK5txfSU27jO6cMp+p/5S9WqzWNlvt4RVV2J8r2CEPHMDNvoCocxoxiouYKSM1kweR PCswXU+7VKhHX8oy4sxIFvJftAMjselLMSB7pkcf5oE0Y7WoHnsll6Pr1s8rLVG/6FI7a3urLU9P u+IGUw46lLRTHycUETZVIIKY76oRPCQVkyf+LFdDjuEmgz1659qqbp0eHAm7lwBfUa84zskUMLIp F0hl5nc+Bj1VGsUcfM8APuuvklTxF2PfIYFY6NcnQjulENA8MieM2OaC6w3HVDNTBTOVPVT/e/MW o46xh+xX2E0LlH38gWwfeu1dSARHrnc2H7+pPejEJB4r82bpghV7Luhcn4FeBEo92OIAzWLli7KA 7W5QDxQ7MpvJIy/JRW4AWD0jGPLY931H5e+eSxf4Tq2l++rdv11RhA5qoNrnoys1tCigPOIQnu6y 7mex+hq1ub1sSUpm6VBvdM2C81L8F24t/GdfEh6pwg777Qj+jrxcmsKsEwl8dU5Yxt69rsRRyUV0 7ON2bbBvnlkRSTBC3/50A29HxRY0QPH7CFvVFkaAMflje23ZsNihQs+yX02jtOaoA5W1PPTydIEe dAoYDliy6eZ9o3+OCtmv9ag9M2VUx+lX/R6nisP/wUrE7sEG9WZ7oz6sh+p7PSv+j7ICStATmDyQ H7DVxl/hSkIBSAUTihtWZ9Cv8Yn4DBtUPu9GM+ntSsxXqHL1kPwPlwxp2DyJcWFBjuy4KtlmzYx5 NN+nMIcjJYgI0YhC6RsPIjkqBP0EDTGElcznGPIY1rF6Ijt6RaGFZ10YaIPvBaNsA0fSBmwTfQS3 BUfedyoeira9riIjysVSj9VR0KQ3L6OvPUHByJvEY96gKewRucePtJyx8Oh+SF3kdm9fKYQ3vFis zsKtexPFVxVP/aTaKPague1pNNEHNuri4JrSV8ks0pqOvfgSqwssyQEBn2f7dplwskebcg5rtnzE 1Qji8461/6NRjljZSuxhXMQrkAZX1J19uv8k2rmas0SFdYNeLA409ZhMMCGV2FqaUupxibUCAvd8 dxFV0DcgbVpqJlVCl3QqNsziKgcjoTGicB6alRMxlj5o+t8ttCvOmpnZnHAHq4s6B0FUyX2Dz2ZE eH/8ReMbrotwYa0fWvBbtr3/Q/UVePKHF9j3QVeTJoIdsjcWgSXVBANfsgmwOQMUSHWm6+Ojr/uV 6TGRr2rVaMYeVK1nzlWn9XE4mcFnnsOYVgKJqfUuRL51I3ZvMMF5ZxFyOKj/Gc3hhj2u3Lof6inK kx9px06c0nZM9AW3S2xve4PzoE98yYbHDi9Rgu1QsQ4oHn5pm/RS7aSAySLoTd9bWKl8msOQTVkK B2rmiLgKBidR//KEHuIOsPUpLjVHCMjtgOeUtNuxQ26udzjToB0C9aFH4j/uD+gJAH64m0z5kKcK vfkd9oafkuqyTh9NpQ36rOiMr/jyrErLDJAIRIuG/Bo9jxjDH869LjxdSceyO6X8XdKJSg74kJ3j ij+f80S4tVwHQt+j3lRgzCQBzt46iN6dWONCoP+VhSs9/xNUDhIsp2h3fLgKsDvnpJwo8FH7q1tM Izxk8cslAIuAsSvMbTSxyHF+ijNal//fUX834eFAW32KtFMSsxBHJfeWQJs4iL1XUKIWH9Kdv9bF Cea3kue8XwqYlEOfDySiYIGXgkM0KrX1AgfgA1GZzFaRDIFxSpqQkAt5ByuB5PrKIia1TCerZjeE vVhDh8rSMStGxXfN0qWjvvlq/4a+GwLRzr3CiF4jeRt5UX7jiCqjRsbm6T/HiFbN8gcxRx+ng817 p2ieug5UrrpyQWN4g+Zg7ulfWrCYgpXuDstb+/jic0ypR8LsAaSY+91vcCm3B80fQgzOZe9lCOBc swxSzN1t13nroQyBD+M6u3rgywXestIgrkqNLHeLn1RFfGE1LIkHI6kdw+kVbPIqmFeTKaimQah7 enLuh726DGeKuSz6mRJ0VjgrAIKVPeYTiOmzxV+RdZoeGBOmuE3YVWaDkA4XCKeTfpA9GMpYaBMf wjODTj8V5QJ1kYbz0ZsA7mSEA7yCd8ANiqBip2nJLbBWLmxcOUs57qwJlR0rBPdo7cWQb7CxoVwl a8wa5EsC4Qr0/MJMZ+6mCnBaG9zCvYTCm9c7zdZ54NjmyC4qBFaGsHGnotDnlWwigoW17S091Q7I 0G4QdMa7bVeEUtzcdrIO80OwaBzGNEYZxBxiKEGaYbeoz5UoR5R2IEL0KEltNjdn1pKwLhBHHpJT sOqxsCOwBOjBtLEPzSLgBaLGuExPBylVplJOynZYG3yIiZOhskTStrcoI6EiNXLSaacKewUBPeuF KrVxaHrxFvsSGnL8OZC4UsNilwJKOUtFJ3dcarKZCL6FxKA1sjEK6nQsOPUUEtWHYW/UpIWlhtPf X/hbOqZvcRzVWHf3hvADZX8jeYX+S/88u+SnwGZoxNUfLb94mTMNkDCZU9TX1PfGMm1zh8D5/uOw ubTX4V+Lvq89LldJPWqutdgSXfl1ccpOzDYVcZ8OnjSrbGTlpIRgH13YDVPyu6Quz8GJaJc83JTD j3O6hAOan/tASzPVe/JXIrLD1FKvP8U5QP8UUBPNUr7V5ElXxZBKxg7vjGWfJdQl8Lzn/z1MGVjs DZ793ThF6vJ+bIPE9VR4RPxiT1p4fFtVpjMwP4Uby6xMW65h1TkUwtIwl9frbVkx6d38vdjDlkfC dUXgBzoc724sA+dp6UCYtTx8Fi5POxpq/C+YJ41WqhT7pYTSbdAncVWvTmNNGnzJbcw/m9P5Hp9K pI1d/gVTbWv6E/ACMnd4ax8XyVHB6l7LbC1Bh7CQcUNrYZPJxax/UCFFXtPBd3d+r1tYqf9mv3P8 +ChktZvTlmdi7+xQnfNr7hr4O30tAfMd2epJu0UV3jUhnKTmiHfe9bMBYKWZHOMuWQHu6yacvdFQ vDJVSXPAQbtgmWYqGG0TfgKW09flON/zWVLdBG3EauLsYXx0kcjfjp4H+kaEC+QpyJCU6Z6YV8n2 37WcY7QA4KkdJMoHJnyxvkqDQ+DVfJhKkl8/3J5z7aqlskUqrQNR3dtbcRHat7yMIl/XPCIn6K9a kBBxFISa/zEtO4Y6WyMb7AZuPr0LRtv3GCPviiVAegAtsqy+y0pn8DmpBh6IEK4S1x1m53pf0cFx cEeO1uviZPu55r/ruwT8taqSx7nr/NOOvWORgP9ZecvP0T1H8LrbkIrg6whkiCVzSHAmT79H28++ Pj/WDBInBc1v2wL5zgg3MRJDzvZq3IFL/hRoGRW0jlQHtNkb1Gd+kLAs5nxXRnwDnVA17oOIq+ey +Cwdn36eyqTOCsUJshazkUtpSKnDloVoPGxs1TewGQsmiPpv1qNpLIWYjmel3oVWF0GzcgB8CHbE t6cm4a9Rk74Yar9f1pwF2Jj1AGv6RUbRaJCwJ9qCZ4rXFYdHhMnVOedMPujwZIlmwHRJbSwiIrs0 t4M/j+Qutd2B2FOykT1p3zeZcn8xK5i6cXk5cL1jheLAno9ZtgG3cLfuF1+SttpiJzIFaZwv8Xb4 w/Cs7tyrgZeSB24yorx3OFEc3v8V9OrHOHzA5UyM3U+pBh0i7h7CbvAx5SwyT2oADreptG1Jf7Ro YRBuXyNKZWiGUNXg7ecwemIP/+wTdJIb6eGSAAJcOJLdMQZdu1z526DiUlrkegclPwM63a7LpfBv LROaxwH31eW2X9G1+/nbiOOCF/lWYdLZIdCMBofRPFjdLsV4QPzxB2UgMEfOi7WYWEqLsWJV474I odAslVSKnug0FnZWOBQjGxIdvb4twvsLwmRfcpsG8QjjMYmAXqvH124oAn+sbFJt2/fNcPTRNxxw q3b2PROH3P5C5EHmNcyPW4uodbhRMd7Kwkno6HkHSH6BBXDhDYauM4x4AGZtnOSVrz5aBfenEK6W prGku6Gsb0qEKg+ioIh15aB1iqGxSs/IT2Ewc9V0Zny2fZjVCBu7uqa3vl5fMVHrf+zA5GGojGSz i4hvfpj5koGvExLad7/BewqjaBXi2WuRjMXa2Tvx01oCCck+WRHJSTlvd7xKXtEV2Swbjyw4umq8 y2AciN1CCFzLTWAc4aCKLA2Y/euHqg1Qa9rJdxQYp7iZ+O9L7UZXhRX3Y2kzeSPp5V4Z8NmMqch5 BCtDs/eg2IQnwI8ORF6pPVdMRk8k0IYtfCuyVehfHDMV5mmMeeSaEyl9u3QxaCFHYlhLstw+0u0d uBk+gXKXD4pnpV8dNFYw7ev0IFO0BI3PsUC0FVMfBiAGmc9C6cBUy6+NLC5FLHSG5Qxu6CI3QzmZ 7gOrJgoeUmTbqli+fk0glzVW+Rf35CDLKNKVyy6ddGSpx+QWzcKY51i0laeSblX+uO4FaiQuDPRY 3goqY+tZYl/swTVSvAYoWKKxSUMnF6EFgJuDvCQiofpRxsqQQxGiuP6w93atmdCk3BZP9BiyLwFl vVg+KLQpAes+rywbKXQBWLwcGNiK8c0fRtqpQFuEblkbrxmOedb+PdLnwV0PDr7w0+4STKX1wtPm Fmvs0V403xrYVVJD6QfFfXooj6I8toHkNOU8jgmF03I5/Hcn/Ll4ykXtp0nH9T5S+FJ9rn2l24DQ gs1mrgZn46LfBjYD/pLDA/0ZQjcAHPmSv4MxBD4yJH97yLrxdVP92P3ZJ7vtQ0SK5mbkZHVHje2A Ic+L314J5YYnBVfGpEvRSXyTyVzLQuo1Bu+pUQZfSwzLzaImKaiDvcpPZNQCMENh8cyGg5qdkMsu Qg9gaMJvxlCAdS4pXN3eAF18TxiKseFXD3i2sQ/h5/vdu6MqRU+xaJNy0T9QJEzWUMhNLz+jCqcl P15WSgS1vz4+e0InHLOr4XL2ckd5hQl9LWO/dMI+AW3zwUE6djaXTuWku6a+ktL8LKKsKBB7nxHp wPyC7OhYZbJyHbhaN4zDpyqFLJOEhKPA2zIe0T81atdYT0KFjcOH43J0uiWlgVLc6e8Hs4siLRdf p/HI9wnaLGfQQ6+1H7PukfOxl5catK+esp7IWJl5jGfWS41jVWnTM1aJI6/zN8MmsyywLxQatEcZ 6JJhb6WP927ExtpyKlkTk0E2dAeUmu1vPR/pRnTxL0q/cw/Wylo4eZ0NOEqdx9O+AHkvt3N2t3q1 IA+6AzAmqB1xubnUG6YCDHk7u7Sp2qkSHFzOgyN8ea4Znjvv3LY10Mx8otRKOKsY1RKbvHb5Z7Jl fyaLJrDYr7RUNFwis9gheosOvKsd8hlCCJrUUvbUOg3Rghtb+ZiV1VNxSg3waGI0RALPXjWnGik0 cvCUv+7P0nrOgIHzdfV7k9eI+hx+VxOcAQmOKxvIYOAkmuAzOZaLQzkcNeRO2Vyjv0ovbJG1zL+6 ChuWr8CS0BbZd4kJPmtD8CqodHV74EbMdJNK3M5WAzFJHVzYyQgAQpDcKkMwMaSy0o6UZqpOcVVI ZRSDGeRlFlQSwjSPRzVPr1CA+/0J5/0G9LaJzBoKi8csbcD+Tams/oS5UWDYyVMA7BcKB7yk3VP5 GYwwOph5euyGmTjMdSctArCdlOOd6hgbV5i8lGVEKkQLVB4cEiLao8H86dVuKo0YjWOCmRTxdVkH csSVUdHpv3hqnqTx12sVjoSzDjNFagtEUjQn6pAps+pSQQIcLKRb63icQah0FfOpsqvEJ1iWn7q7 v+Xr8/rrKJxU4GkOYp6QrZLRMiddXAxRrTsY4b3mhGsos54v7vaRd/uDh3fFb/XcLBWfHmnwy9V2 cnepipYHn1QF1BMh+XU0N54xnAlUouOiY6W91wu6LFbNerhYKg0/aD2iCDgZokMejEh+rJs8wgCA JxpgMc/sknTDmtP0+cW13xWOg+qYGxSvNNpJRZ41aZfDnotPKZFHK59T38eQRnFEr44lzixZ1fqc gmqJtwIAIORFFgreFHkCpMdWZ5UFYCX0lyBC1wckFcDI0v1KV1EbDoRyFOp/91oZpnVBSHGgw2aI 2fyLYfAZNbHdFCgVhDkDn7oPXhaSQK3KNzqcEWqApeB4lY8P46HMNruBQ/misbEVoyNtVP/4B30K xxH+wUmz/jXEis5liGODO51sD3/+9fUOnlT/Z2XbUthcMqcR79M7ET6gswHKkXdrrknUw8vqEgiD 6621XnRq9OoqUOxsYkjQopL2WUcg681TunHXQwFnkEgVVExPfAtrxJf591y/swHYePxMlsfNEgmp G9Hb2vsazN6xRqa8KSKU4heFllJQwyUJnTscelP5eySUvq9x0NOf1G19VFEdROH9M7A4woUw0xtS 7fCdHpCgpQE8YKZMQKHjT49ZIaL5AZ2rk2cbOBWouQgdNYyjIH1iXTCkHbC0b8hJQBnYW4ByuPUj DBaAhChkQtgH+mVbuPeOBJR4WDdlen3ItRYismB/5em/1832kUj0Wlxsv9YMmX6U4Ij8Vru5/LDI p5g4EI+JXyAB4v+4GFYIWc7GqaoHfolKsweLBffqc1wcerKY9kwRtxWSmLP0c3ka1mxtX58DrQHo u0iuorz+/syry2Rjto7wMTOuP2PGI/709ztDdXMXZglbatM/ykhpvjbJiJ6VV2kgU5cn3joox0HF loEWIm5Ml5PTfy5yCRgpHstoql+aG41iZpA1/1sNUZQVbCu+598ECqY7YLYh+I/3a8BDAgi1w7FC lEcXUeHxz0k+cpzyVZQ+2zZKCVduviQT81vfoF/OfU7EftkLqgeWbK7To3jfdCZHg3qAIGik3EpH 4vXTslhgdh/fjdoF4X7qo4nFXN8sXeTD4eDulWiD4vWHeK3ZKBkH92FQF15LYNMlGJnfQpwQc0t4 QTnxz0jwk5IgwlDyH34VSptkcgyXWYwI4ItxWGpFBwDbs3hP/ySViC/5vRWA5uMdyOeZVtJeIQRb HWZ1FDxJSVHZsD9LkBnf5Vom8AH80Fx7IKsR6EcQa3jEWqv7IfEYMqHVSu2c1Ti6XCf7wXaBhw/N uO18HeDhPIRfRSeP7svU2gW0bfnFUs+KJ7zPkl5JARUUZvt3Q3MROsbf9jxTihW9Ys79pqG4h6NJ Kh6l3B84hBAUkMJOh4R3a2XybyN6rg7aEAtVWYAigWGx7FF6wo9YzG+V8RKUD0IiomTQTvQ6UXTY HJ4/M/SNypTYfUQ+pcir8p1+w2zwfNINDEogXV8WLE6iqcCy/ZcU9KwAEbK49oSuxFwInKAHsJpA A9bp0uPr2yMtUvpzluH8LeVBNo4tW2lGXGM8GLOCgEHiQ+YZGdWJ65+dExfvdDO/VBY95syJFpNz RCwpG0o9ft/vsen/JI1OMJlHeU1h8V60Z13uewTSrUEQF1X8DJ4xNPQtbYgxOgi/oMDEFs4Kv+9Z rfx296HZZw89V/9kf4HD1jKWuCJZmZlJxZfYb0wOj9mVcuTk9UwwMaODkiXrK2OPaH1LfDiexk01 /AQIeypz1avXo/Bsbk1Z0P7AiDRZqfmHr8noco6kMGjqxRafQ+RO1lFP70kfF7mYRFZJv7Ts9yI3 woMU4o0ZbJ7vJsnP3E7TwJF9Od20mTwF1EsRWs2hUgXvlquhIJfCpI0sf9Nr5I79/3djhjH2m4W1 wp8CbCEIlk5D8o8jDy86FrgiOhn5BTO/UOwyV7fG0chnuftsc/fgw+/TNAeNAg9LpUga9jQ4RCrP zayFYq5jVr6CQrV3uJ3VqGvBbfg/0js0sr6C9W2ohuoEOznYr6YfuruEgaNZ+69Hv1hby2W0yJrk RHfPSo2ppUzImSUF4yM4io/I8iQPVQz9GmwxtppT/Ggovy21FgzJ4j8xM9FWI07asyoXrcgQEa81 ZCNb8ejsn7WlvRN8f1aNaMty489UTd0L6kgBeT/k4GC4OEvynkQFPa+bZm830kfRq6OFGgjJAghX M4+/6m91PdxEGctSf4byOEjmgJUnMwQ77CuXwBZPLDirdTe5CHuM7AiS2gvtIauFc41474JtWoMr 32ywYhkAZC9LZPpgYXinKjtVqQsPBPFst6FECQRGRk68FHqPpH8xuAWCASkv/e7193tz2AY5/YVF dm/waNAacPWLL8nuAWakPd1uxfA57hZmE30mlJkT9Rf3C2sJOlANzVvq1O29KBTVdHTOGzjdbR3d /VJxZ5texGyTTYmPYoAGU22prXpTMbteOsBe7zbvhDA0VX9Beo0sJ1INxK1hrt474B1Mud1wa6+m 521qpT4b7jjlJ63Kyojri91euDCf4tKKDYkyhDFiaCKxRIwJlbRwkceR8EQWdozqYPLlKPgBgBE9 uAwgBOt9KdIaqATv6FAZkxDPvd86Ic8bUSKFSQdCU4D1z2fkxpyNPPhP2Niwk91Fc5y2dobgZluW OgXGIPMKs2VoBvN/R1sGCiTvnN3Q9jAwqiWHPD+D4XRJexU72aV087HYY9d2aVWZXJtlrvQjwZuD mTR2trntY+3ihxb/YReet0ekPexZ/mu1Pdq8UFwtDDVNsBQGhiHztbl3atkwdY/CAQPI4Fs/Vufw yjFNNAzrEV5Bb2e9bYdttn9v8jcoWD/YYVEQbJkdcD8+M20YlzjToQn1G+rCSaBD5AjxgDPYX0ML MbA+ByMHh6660/lQmRo1GkxN1uuCrWBQ5nyAxqcxlByPdaJit4OEG7AsCXmRaiwBcvgNGCi31XZU hE3lri5RBHKProGUILTr/cT9hrjlebUuWsG5SLHkyr09YVGcNS+jdxe4yJ+pPVuLUgjhO39tVEdJ 40efsHOjj72yHFJ6k57NF+5CWsdzsy7zZmNCzrUwMltx8q8j/ObVNLaIBv/uxivrKvBX6BomPJ4a izbrkDc6sftB258gTUtHBcpg3CBfyEodfl0JOgJDgYbcX25Sc6B82kXnwzsYLPiWmQqozV+jgS6t bAYC34mV7uUonGtBPA0ocWIr/dDjytSMmloPaud+P9Sa0clypA8Pkeq12hb21cNU3VKYv3LsCbYX Ay79zRohqXUfk6uta5M81Lk5JxyXIStTCp11mh1qma70VeYpt5ThtIXJjlhfCWriAuDZnZCuJFnt pSKoGXwS2YERM8ZcFaiux3IYUeyxxiAHcwbqCgTE4/p7tiRg+XhH1B6N0f/u4FJ3QK4j/UVS1Bxw IRIvQ3TTvnrRba3HV1fBDF2/smoi/GWBeM+SrHDLtiKrKAtU4dQpqTup5bXHMfjRgnV/dWNGVj8I sZFDdwBlTnSMyMQPBXz2Wy0o8pZ29dQYj6YGepKSP0IxMaHpssPA0d+2geYOvkZjjmxXrxzjgytI LEFPV+/eV/HZB+8TWGdPm2jJDcRTs1lo6bnwNSxrje4I7iX/GsXBuzWkhbRTDMXQo3vO9PgBtdzX AyIB9xjOuKV9epqh1IDKJYl3l6koGieYEPg+6YwzK/frX/AqrnZAeGl2FGI7KFlTL6RgOG1Bab/6 CYDir/EladdcViK/CT3zpfzghi73NtBVcljdBNfhTcj6GFK97PPWs+AnX8np5VPy6nPj7bnlgAVh KmYHCNpy6TJOg6mwKRwIkGjh+JaAsi5Lj802mnIu5cKBC1OzIjOJahFSa5MTEHcQFgaJtM0wQToD jb1sCp98JMBWzY8Fin2axDqpx9G01P7egsFxnb6HNMo/lUpwzU47Z7BySipxT+wWaIS1BjGrwCm8 gBoZ+Am59GNhL/iE8GNxyoCmzhPRJGkt6oEHwAdBOyXRRiecEvHTI8z1pR34SGNpzQGT6JrY1OhL C+7NMn5Ttmg0s5X/tNGUhplOUmcYaDKXyn4mzcqdHD5+/hW6XUxfCuXLUSWZP6RIil6OuNNlPyny PAMmCqxmVR241Tg49RbRwuOswDjDJPHYTtzKlnXH5gEVBM6VJSQRBNK0YKbCWF7BbuEGejuIaIv8 LAWJYkTOk0QTmHC2skpTP603mp1dkQ4onggfG47fghG7pHuQN7Cj5eeuuiqSC9LWsse3Yaq2OLJ2 XZxdA2SNMmEUY1WgJL77A1m88+IJhU2dWh06BwFUvCLJOqVzC+CXePYGybd1awB2PN5eV3X1ClUs GblyynUYnywOW5+L2aMOyd9xAvtP0TFhuEfEr+7zWGE7Rw+pQSKbxFLIaljqwkW6pV/FrCLm6Rys lX3QOAWq92H/Fv5VWzTGJRatZx3MxcWrcRAAIIfb60/nJrjWgYOkqWXLtyHXEkYDNstYuD/O5gJy LMXdDxhFJBOc44KEATWXDOZ5rdDVYV5OHwzqY5fSk6J87FAUhmAJm9xKaUYS1c2WLcFVR6ZpJt5F +yeV598iMeOmDLIS8RSVM4nutNKPC6o4s7Siaqt3oAZPnSGy231zXB+wbviaqmJoo5iVZzy14LHH fPGsz5GcvBaxpmeimdzNIeO/jYxGiEDPcRtIy9qPYpR45okdKwH+dDKx5MNXzMamWNGEpk6YMEbK SORdtikwx68mTh97JZ9X9kxFt3whlYgCjS+r/iEyTvSSxpPVRDJMx4bD0boh2ggDiV/0p+U30eEI M9qgxS3SIOJPMTOxXiKfZ0l9Rh9mPZ9GC/9fDIM1h0uIFMcMqtGtzZQBD5WA6V9BTGci7Y/WePID KxhlLxVxj1BzzhJfTbMw7ZEtgob/7lsK4+irFz168n9tUjRCh63sH3cothIdxR4WcJmuEoCBWPps rDKYoyz7Pr/A8RfOF0V2jGhcdKV8JeOGMp4iV+NQkHt+0NMgEqLnqb2Yl2Qkkc6327NyddOiS1f0 XS/I/a25r0z1v+L0z73V0+t/f0CAdXoI+mm0QU2JMrQoitfMHRSgGMABxVtcMuPNDzPRkH9kmyLa pGES64nXuPjni0e/zsnkSmG0vW7dASm2UFIZoCakNLxpu/bLODesDv8yg6fagVbzLz6FDU/m08kK zUxipvX3+77N+G3FUtE+VrJJ3PilmIrBeKA0sWnMgF7Su5Mt9XhzM+lIN69Aj7gHff9IE5AANuqP BQM7vfgQEXG9VLioUSYt2GLsOdIQecCqS+ewCqQFxROh/5Ee3bvFEfZJsLI2P9yI7zb23vmPM9uG GayuzLqdU1uErokVvilLVIW38whwdmSV35Owy1ko+B0WKbNJ+emsMbzkPbAQDnzEvpvO3f3aAcvr VWcDaU4wIR7BVzy7eDruvCNoRHaczwn+uukPJWRvxICZrSp2nm8KeE5P0+PTxkcvXSOLg6QmXJAj 2DfSN6HjGy3oJkOt9mWCdZzbhGpC1HjMrgQtg4M5UQ+u7FWy7orPCp8PK9Fx2y3n3KY8JFlBNbp4 IWwhc9Up3fo1QmH8SpZNweR5GTfnPxd51U+xx6XqbaXtVBYfk95h1zPQrYzN6VNQq+O4uTH/AS+7 Pgf4Yy2+KbRlleok9KitmDA/xUtYiEDjLvfzQZ3QF1qc0nJ408Atu0iP1xBg2RAyaFFC43ZZ4v8H OKR0P9ndS1716Un3FoC9f1gVkNPoYY+BFzVClUgjdNWjsar9v8aZeLaultC4vS1bje4gMoWJMGMm zYTU0F3ER2XfzLmHD4tvR2/PL4XmK9b9BzbwAzoLR2RGfntTZQPPumhhNqh0dZKhUOytKQXMi+hr W+3j+bW2nrgtPmJrCuq8yUaS6YqKBwSTLdj3yBparynJop7qCmEn9yxfPnvkDN5n6c7ad+55hv13 RX/IQ3qDIOk3DPIkbOSIg659Y6w+gCG0URsfqLeYaokjItA9aMKNJEWLSIW+5nWcuWZwPnHM6WVl CWi7YWI5vEYh+SQKWUO52h+GIFnPWb/7+NHEWeqyKeq5zvVMLU9gggKFFsjZKMs7ic6slqj98/ik DCQhr9XERPwY0YflXeH49TVKX81Pdx0NNg7hQLIxwErgqBtOqQaqw53TXWkx13jMeG6W4pos8vD6 vNXYUmuFtaOLHRwA+iSFWGDPfcASuuDAaPcfnbpwak7uhuXxgwcUO17IuQSs3hr57JhPz0T/GrkN tUVIlu2P1Kz4WPsNLQZ4uH/Oh0lOQvrdDrr+HHY5NX4+rbVg//vCjgrHUbxli1l9XV92LHgk4c55 E4KsvTinBb4JLLEDpfKeRMosP8ojCzCwKUkTeFf3lauYp3CrJP+95W3UCM56MEPr6AzGzclnOPIn tOMRnzIzt4ykzB6McTReAg9dEE6YgKJEYxGCRigIlMO9vsSoKRXvPyqiwJ3pC8W1O2p2D3wt6F4f yywqyl5p/HUPiXNbxaDfxGW4CNBXtbDfjwGR3VVmwxmIx6MCdiWjvbbd4PRBvLd1FsnAfDOTtPF4 XuLiZjhFyDVmtug2I/m3TgFBGOS+Ox8kXlCnlhqz8FvPZRpM9QHtxgw/jIoZn/4/85rvzSPoZ9Zh NP4BAbQRfVic95ylVVTIWQumsdeBPIECZwt0FZm5lvfIbOMYOB4VjU/uvY/d4pdbrAhSATYyUWnO YvrVw1sV/j0g/U5pdCatkDL9wcvRK2/Zxv7Y4y3zINgz4JMcU/pihVUGEihm7h/Blk3w2LmQpxSX ZFwHNKlPKIjMAK02FmqVpL9XW29Pvu5AnUbAhWIJ6TUL2rbvW+ToUkcjsoo13KaTITuBgpsP5+iW o+iKTEgSZaby/H/Yny6LIr69cHCIDLpKOBxRR5DnDeyGRvcQkBcZmlyKEn0jV0SS56kCH+eZs+6Y Mt5pgGhNPmRzryUcOrWAmlVo9ASNvgD7KM8kcpmo/Kmmt4z3MWsCggFEC8uCm0rz4d53ob8xqLt/ h4fJS/AZK0gu23zsBvWhmFtjbfddqIpATlYNdb1hmUR1DxjjuDAJ8eYBXMgRAm8nfhHFSosM1ch2 KYAitz8fZNPmWPlqRDVZF0X3ZupkA43uK716YVuo2KLF+9lzTsH8RCDW8cxxz8mHZXAbyCZBYeH9 jgvAvnSmTFn6lUQM9xe2HIkNoptHwbAOBiz/U8QQ8GyLgcvDdreAnws/Bko6PJFPMmDg9CzeCkoN 0dbWg8zSQoJ5HfxlTfaJG9foUxs2Va14O2G6rJsznr6CZGlFm7fCbY5K1hWyje0sIFnHmH2lkM0x IUuXIXKxZBxNZzTd1x4SZkboLxxdN8tD9ZiNn668cQOGdRGjZ02pK/5onjzFw2kWaHlCADPWxomu uusi19m4NEytQbIC1iWm8ulqJwQ4UujQa0P+r8z6FPyWdl13cO/SLz5tfmfwnUPeo1iMBcNZJbkb U9qRSQCVt4DkFnbmuSRSVztuBLaO+CCS9IT/tma8Kj1ib7z22LLwZJIp81Di15ZHDqF/2hE4Ynuy /bWFNU+pmau8ZV6GoWCnVGj4Vq6jRrc4cZ83iH3aDHNQ1dxm7DdwZUkNegADj0aXOH2v3ZeZzdTo 0HGfpQ3obFMpQb8lsNP+Lu/h03Bk1jomcmOEniZVdZz20Gb/xE2ISK+QtZyBszUW0mJAAH30q8Ic xb5SN8bhlnXph3ZTcEfKsISmNXUe5YlFGFYuMji4br8TKWEWyDMmHg2A97sEb6QYjHrF3XHIF4dq kP0J1IpozFf1D0+bXufl2HDn2H36HZSkUusD0WVykeBl4AqMQg+sWXTcr2QaXUbfiaxPPF8tg4wd GIw3n2c3qS0rAa1a2wWfhhHMoMbXl/xoH8sE3n/V7dBhjPQk78LRIII4jE9vPksNzZ7gZKcYMDKZ uaPOP8JxTwHJ7RAc5TLVsj0CUJBbPrYDkxyt3+j7BPV9pLpSeDR3jFIv0B1sBVmxgxDi7f1fmceG c/syJpsZJXgUQSr07TT3htSBHR6EhhKab6+UxklJR2A+qrpg8IROckQ+++p0EzdbF6xUwSil6hNj A4nYAfxgVdPrDWw6HFc363PqtuQjtqzJY5Pk3MeO+WogfKfIKxeUB07brBYHkAPZv0+d2yuKwcx7 WAE08bzrV/3mdLl3bEamre0UmtiBUuZwFsMfHA56M5XdkF1I7+twWnEtxGDYvYbcqem6M6+VfBip 22QUhDjvmV76e9ZCixbY3Vd0ZXgBajkP4vuzHB0Ydeu06I83U+P1QBC7fbBWebE8jYTovMDxV2Fs VudT6GA6yMRwmS9hO1JkdzTlyCCAwwgHpYB9/xuJGSKdt2BGh0O7mWQNI8Y7sRGgnFTfB95ozRs1 37vyYSadUrClkTaWUYxH39jCZqg+t30J5bdZH+r4Aa3Hudo5ND1cVK8CrrwBRK5gkX6+Tu1iwtww w3iBlaH2Jt9L+7oUqYTd+BAG46Vp2FKudthF/tmzIOHSkF505VXJ/tt7Or9vCchOkycKMvUBDpC7 kOBJ0ioXQaKaSr2iO0jntj0VbTJUmgdcoJavxdr2rIYeIHoBQUxZWg4LxxKF4rY0MYCzKKq5B4SZ lQrFfRPWrxjA643TZE355+B/mQ0Fy7bk45v8XfCkI1YzKdJ62DK7782tXtAgFhVrjcYwqHiGSbvF dAc8bubW451Vgmgkode/49GqudYqwebuul9KGvddhuzNmUIhLsAlOaJAuBVJzy8lpzD5LFynyuHq 1aQIFoL9d5PCC3DSEU8o+vEPmoG1K2/nDCbe3oHsm9N/VxME6giHsuaao3XHwbzfTFP1Y1YCegMK zrmNiFiQzfFBdypzSmvT/LwKJpj5qmA+/bKFmjaT6Bi+Z9qANuKhj7cWpvP9zw6727Of1eojohdL cDMWKzqJDfu+kzyf0YOq+xqwsIU/6v1GVQ/TS9dv+a3mgL30e/V/k9fkkBW9cm3Z7aQK/lJ47zh2 oVEOX/YMF4MuHsp52IpwZQiKgwTeEDhrxOxhanxOuFTdMoM9aCicwG5n/kcZ+3O/M/92J/KwjOqk RGsGYYyP1afTaa7ZpBxOaar+MnYNP/Z6bDkkdt2J8jE/WUJBBHp5N5NscsvQ/ziX8XnGoQPhm/hf TloMjKHbbUoSrEZDgE7sj9GJMsLYMroco4Fty+0bC6NzpfluE591uqbTmVzpC1OXSm2nldSvv8l9 5A3vdIjf26fHvy7Y4UzMmCkJbJU5CX7vOl745BGaY86bkd0vQZt8vstQTgpBOeJ3m2PHY5FtIDKY nt5CApIQhVdvszg9LAszM0+9GMbVkKWHG5fHQFraTnGsZFPGHMCd7EVO1bJCkxM+eTz83ebKlUeJ /p5mr6ho3ElvUpVmckzXTMyLPs2dsdnFJV6A1/fgoLCZkDV3TvQGMmguYqWr6ptbWeePeiJ3RD/K huUI+7f8nv1bajl3I/wwnUY1sryWmHX5Xx8EV9Pox47QHVZFZ31GSJA6vo/ISbEG62eo+mIpDTuA wBhIjg1ipdMFeFRoyZzLKj8LWRVwei9bSvBxhB12SKL9nxLEfwTF3cYkFElylts+El2wyCCNb3u2 689Z2xobNf0fNkyV81m016EMwWVE+YkwjGIIkqt0kN5FEOytB351+TMEm/+Kd0LbUyuIMNKvKqNQ hzgzafCPSEDDbY9YKldK1+Ytt7Zqemj25EQf8+s5KRdzN+CvAwzrs3EICgVahzqvHHjfbuFEKqan 9pF5f3SMEZLLUQPCoPKI4zC+H41V5aSEU+VJHfcuiHRwdFy7R53V0tMV8zRY9R4BAn1mc88dxTcW Y718kChAhfjVhdH+c4O54FS6DBbkZD9E6XmVm0BVFaiQyOqbpKuYG0giCfrVTS2jb91Jry/XeEh1 EtEPgr1Ezuc9o8QCBEYVE6R7AsmVvZzdt3PFcuu2GJfqlsWYI25kbJ49V8xK1cGdLTAClS7SE/i8 zm58MwmLLH/S/KDo/YcjRj8bnrqgv34cp6uAfxA5gDYb9pOtS5E4dME6c8EUqNTZiMk7sL5NQ4e2 Sy1v3ZtOF465jcl0T2B6t9u9HVSVNDgC+yyi/hBn3J8CafVXXwm1wf9csnCCBgQk6TOx0zQPfd7A WPHxrE7GnC5UQVw1kYgSsBSbhgbjRNPF/LeOYn/nDRmR+L1gzJyT0t5UOC3Ibe7vn9yMF5mwarFA Sz0CLbJvbof77ay6yhkYJHOoZyQDYN0bJ38tXDXRI/0V6EdoohEYqMhXAxw95dQG/sACaqcx48PZ BlWc6iaPFyW217ylzSrGtKxNKMjCFg/VvA0emegSxM3cbUVdNm6fp7VhA3Jdl8iA5pg6U/LnqU9C HKqWTsS90ePOXri7TNc1lXXgLC4ssSnWLFV+nJ/zGDJJLwwyKShvqz7HbA/pilp35EjX5jw9B2g4 GLK9O3ZAAerZk1Qi7IqhX7PKQAMzoVEyljnZRg+oTAVFbDScj7vu6cqVEXzXUExDVkqY+ImVMWZ3 0JskemwE4ZRJE8kA9ezBYcDBWENjbPT0Cw3oFF9hifp7qHJvQnSnKoppEcCOsxzExLlBifQDGb12 3dXZ6pmUe+eWq9srLwVf+Oa0WtpNaXQ9w4ttfC1zJ+DQ0yQJEIGEe6EREGjN1f2Qz2+7IURXihzr sdNQPJixmHtGlrjrqAp2chIyLPqFguwOFQmI2qKgF//VGjRp6ZpF7Ur6Tr4T/Tm2STvotlRAorxQ l7DyFteJyVWpgL41sVNEoYnREfMkveqBRTQwomMQ85TcLPbF+klDCH5ge/GnMShWhtWdvw9XlSJS m5Gjc6VPYSkikqPldkhYnjNPRgpjr7ZCLKTQ95+mxL4+3JlbjZbsPPtx5mGXPrtmH8yRxMmzmley IBnxc5lX6621+MPoFofy28YLw+Jm9pfWsn0l/oP25Ij1FEW038wVJH18xDJfuPw9NBoZwlAffcOu wqPsupPCkjUwq1yCNKcHT3lWwZWiF8a/6Ab4myqsFQZPjODCCBhxLDgMrXA1bZWWaFPasSa0oUMx Sfgkpf7Z/gAcM3FrCTyieiDfjs5Rz8XH7+j6R8wfJLs0h1R4UtJlhFjjcpHOX8M3Da2UdyFjMF4d m80AuN/38AmxzuIeP+D/1tgtesYf1GO2cWxLQiNM/HbNAxiMNvuARr2vWlbDuQT55Z6cKEyjLrYi ItB26OqPvj2IEGEQsVn0WXkfnOvSYQ/B0EAtJ+2vPjZ1t8YTr2imkqev++4Q/1c9fdjoQKByUHE0 J2/cN1bg2QwpqGODTUSIFokIjEfcHcnHv4d5PNcwKZKykW1TtBrMz7j8I5IohIGv1I77Y/bJktks PzIpcVwBKucNXj0pw0p5TH1mELpP4vHu6SGuVdmXWOsjiHqADx97BjoDYizHNxx4N61zUOXVkowi V/TJ/yCzP7ojnRE5kil4aewF2q88MttG35GfarkqKNk33Lva92M2poB0CjlyWCZDNPkz5+MX53Gc YI3TtSGOIcoQJHiI/tFz1daxOS68UrEny3IElsmacG/Trb4CfC+VuyioEEmBjRASrBtusNp6bxFw mxsq00Uqx5z/F5+aRATyxuHrLYpyj4x5p3D4CioXPUQyeuDQa34pgW0QyXatg509pCWoyt9Zva/b NJCfSrrXR3DpFV71If5hhQ3YcxpeSa8zsE8p7yucyiF3GUrfW1TgM8p7iJw88iLl5xCrUYGXAVzt fjm4YDmfmd+2yy4Yhd/T1xDGyaGZuBQHUSN1PCz3wkyulrls7g6din46BbK84YiFEIKZE+E8VdCn Q8vZzzxgJIf5f432IZ+vP2szTR+VoqAcoPp2oqEUlZvIjTKENhaxrOvCzikbQ1qMemMTggcuFaqd 22Lxus3WV1IYJ3XwbbwUDxONST6fWAzOMNix/cYncLw2WzKSlsvX3F2P3odSQgVi+Hq5OpCj/Hfi 2Otx641SxgVxTJv789AM/jinknEfk6qkKPEdeQZ0+/ktfg/4U+v2yLbkdbvlaGwHUSWDkbHnwGF7 Odf5ookiSOcsMiqX5AW4CgYVM0bU64y9YxCky0DGtwmuVkqP4vpSzKOEm7t4nPijFXWV3+hxaVnq lpqwCyNPGvxTW7b2IOptzXG+3waTF41Q61wkZTEpbiUBkINB+uXEAM49dDZ8wUu+gNo3mEylr5Lh qeILGwqfiYy3gTIgtL5FVzfO8SCQ+VUrJ09dFrkHoExVCaPjARfMmpukMlA3M9ECZC0h68P2IWtE fijdeGQHVMWAqrDyVY2K2xsAe3wso4HtfPbcK8ZZro7XnGRtfdpG+/Ui86/QPdqKAbJe22tT7c6x fahy21QoJPOeDrveRDOprRxLCcoZNFVuW2PwGRtF7jkZvVN8WiOBsBnJQlUICp6DaOKFLB+zMKqN UvYvIudV0fF79VgYEjk9/1T6UP64lZMKK09jswqNBvXSCAzg0tCE1zqrD5MV1CR3iFl/Mtoh5hfb gIJlmyZTlea0csiYXkJwY7j7GR4VfHSpEaHJc5wSFVoQCAqkVPQnLUSeot6FGT30G/VTjNdgsUit bbtD9HtVLKfvuktiB0SWLt2QwWkx/EtlrqrF+uR6yPIvxdk1PthDZxYo8OYxnW3QPhtUBRusD7lQ PWGXp/6X6h6MIcRO/I8O8fLsB+t/my6q/zka97pDBzg+ldNdwCuA6QB13Lorrb6ppDQgRJpzM02Y fhoXIX0EzKSFRvHn6FXLapHg47n0rzlf2axvPaP/DwM95DUW8c2w4ITJGEg03TDzNay7B4YGXDs/ AmpIGmegq6ArSl8ppjaTOmXD9t3bJhuhR3Kqg5jx7vArBZiyj6i02l2xv7ciOJX/54bswBFGD5O9 uICzZ6QObupIQXS5GRH6/GwrdBQWXr/Qc+SUcqdvQenEYFPOJmO7GV2GwHrzUTUQHTwOqJf14L2i dbhAjnoWW7pgdD0Fw4AQrnYa3Ylq7bObbbA2lHP3n82fpCQkAvIPE9fa1j9EICULmh9k+5Lku2iL qBq9YLsUn6mz2Mo5MSYQaxwDiLl+ChM7Y2IguxRopyj7yHgG0hZ/XMu6dEFi/IKmKNm62HEna6vj NgxpCmLihDhDZRYe1AUfgEWvnsRNRJ1ZaCJnhTJ7/dx5s/69M7Jh/OIYnJ2j3WAl378QqIE6WQIB CL9y6L4l4Kp5QY6xEjyv0gD0xgidoTpEj8xtANXFUCYjhcLPYo3MLC2DpyzhJRHCYyEGnbeYnnVy 6QTJlA/ObZSd5AdYg6JDROs7xI265AIUvZX56e6pG+n+t9sRoGFJ4NN9jDEGu1lw6USR289w5UaI gES2FXmkfeJ9mhpRLq46Daqk3hLvjJR7ERaqG43nAcaNan9nqhg8V8ctmBy28TIjQ5UhkHj+UfYS +2VYKVV4Mh1EWX7ruZ3NsZk1s4a1Rw/kvrPNAfd7+RnEJCS1E26WcKms31xUWFm76UNtOT+ndP2g k1T5chvZ3PcDqY0r0p+0Soqu9wgp+7dlbe+om7fKbwT4IiIkPcdyv8CQdmmljAEZuov1tkBdBe7x JlZ7RpZMe/8ix2rKrWoQ3nZCcawPHW7HzVh0f3vCojL4dK+aYJ+0JGgZ8RzPU6Pou2WSO5ZR9h0B S552LwKiWiOx5SpdStXx+Lfe/28IjfoCd7eYDSqcHGaR64+KHTeMSpYrS5L6SB0v5LRNvafWOpAU r1GHd+BnVVsTG+mvqoQkQq38u1rAttxIi9BrmTl6aCBH10d9as5p5OzRL2VDTWI7XQA6whgBIH2i qH0p/DuzvgRhLrlG6ceRilX+bcp+cqBGz2YXLubvytaxOCCcWRI0sq6Ex4QHK5utjNr5dUIn9xkE fzXXyAXc5jI4g758/JTq6gu3dHuheBW6/bAXgCmz0hv7ihivCgAIvXj1JBA557ONlBB86YMWA+di auU9k8IdCfc9n5HyBYzlbEJvSJQZ+xaFyVDO04fcnstMxfs7yXBcrG/TZ+oAVArlBMzvya1/eGJ6 EUVtFUDSKnRafLPR0ulP8Fc7MFabogzWZycoMevJ4VP8WEm2kMWAE6p6ZkWsKf9HsFhga3aC2oAE rjHb0eolVUbMmQk8IRmRah/zJzcnOiWRJvRmuJgCARpBF4uAz1aV03jgZB+2Q/ZQuP9poXoUtD1n 4z0+tU1dhlqN2sFrENfhw/xPjoZvZSbax7eaXrmviiKW1rR7LIgJxgpix1cPAa3TCQzQJ/IFSx21 0fGH1DSU3bZxQ70jxyMROaCtECCEnjnxcUMa2jszMYf1QDsEU6gEx8L/ZCO3PhYClJjrzM7O6WgP 3dicrF5zUW7MBh4vM6EvHKBNyJ3Jm2sFEB8rDXoXb+jcy4mS2MbZ7NSnj79cQB/7x/0MkAWP9Qi5 zzs4UG4exjZr2pknfA7A2QsvDnmwajQn8qpJmO8Dfj6m6gnyWGfXdT6/kZCkmkeA41Vx1QPABQ9i GeWqgwr1cRmMWdd64LzjMUr07/p6AFiAAtnNjmi3Rogtl8cLvBZnAgJbXcfFg5Gd2alPK8Wq3/0g IErJiupi4UVXk09iu/mIrbftcGKQMXlOzYme6For7a1ItP2Q4zkro8bgygbO3aA8J87eUjyJN3H7 kdAgw35QZvUiYweKup2y/yrisOElBfLVqFaIP08z+vwc4n5Gj1sIztF1xu2gFb3n6VmwUfJ8e8Kp W8EZeVKAfOxF3ZCbPYUEynT3xX5yxqzllRI2pijhb94PfUlst1cUTSh8yiUMupA9/TQ3DtDlWYKM 3iF0tAd560MZBJ5wpWnUZ0GOoA2kXQ1a8l4hV1qQwSzzixi/r2dqA+cepjVjKQDA3Ie0iIfOb/qZ 4iHu2Jc2Xq4c4eWWJ+VicwYS+X+slUfS3uoAXeDWC3XO7iUzjLCp493Pbt0C7oTZspTaVlXOu6dZ YJU5Ooem3KdjqdDBzbE1ZvEykGVsl1CV+o8TXXak5j7/KrpyCCIbqP6DrtXXuKW1r9D5HJWNih4N h7LIWwubJ/iHP4QINSl1sPV/WwVzMBmVzy8No40FFNnd6TUuU1mxrAnuKLHazLsNKgTj7+6lXsym Tm0OFcOe6EoCz41n0GT5tvQgz+2gEofoA/7bGrD84APgHyBHkEfrw9vi2TUiPPxs2LotoubMbK87 BtUFJTntT22EpCmP+6fmDKX5PqfNm4Wujz5KxHqAep0l5GCqh90WROsHxNZe1UBAvCuEV01JuIM6 mmxTToS+v6s+GEAV0JLPpOIk84pHVALhEnQGiC0geXrWMWV2lFaO67O42GCdAdJHtKRT9DdU+cEn 2mBHydr96yCdsi4kH2qqIJfvVVNajsh31QlnwgT+TRAwk6WeXe8k/JBOIl2ZFfHW1ARBVFSrb9J0 sddT1BvZfglsyZ3ofnhtPOotd7N8W9m24QttEkwySvGrjZocR5+/tuHvtOSR2YZ9uJpxyry1CKcL 771j1u4mn+1deswx4g4XXdk1VyPhnEqQcH4GHVcfz1jFe7w0JJl+5VZ7urVP7UFylxBr8d8igiIi oB7gQoNE+On7WlTHp6jjVDGAIlziijWPDRepP46lQhapGt8v/4cFQSsOdj1gB8pE8EuxCFiwVrCw tzbWzT1wrshaS+bQYe0UG/UFj0QDUhwtF3x9YEHVZHQzpQtKI1LlEpofNCStKWtol7AvzTunwJsd 5XmSHEIyThJiQ5MfxJP5Yy/33UeAVXiZCdjfY5LclolW/33tFrWfSModOSVm2AQUtjC2RJn3fx3D yOB84u30i9t+8Y1eOypEWNoLBDQ2v7GaXZRh/0DLDKTzs1UzjyJgP5lqPS5Ac9fq7V/tp/mbED0L JjlsbhboSbRWD3CV2OaMJdAK1VEwtQqzX437TnUV4yukEsOdni3M/zhF/uSmZTrBZF3o+T6aUf9y To2kmxqbL7fPbCo7Cc7ZsFI2fuuqPechB3gerYH/C6vd2qkYDqCvBiI5TGJsL/MVBjOZzRipvuMb 9ulLG6PGjqzpzkFT/ClVNYl6u/H85n1AqexT7lm8ET9DOQpLRq15XKAMG92tbUmXCVxb4bOoNIN3 YX8LTv1tpTvj7v5fKCaFglg/5IYmfDYA7iYWzxoeLWuR6AWhf4nWDHab7l6e9WdqxqYgtBw0ugk8 5DmbL7EkAE6Ro903d3uQHTDCuPs8ZPCrGr+2O8U4gNRF27x8b/Mn4gNq7QYwbSyTZ+KeWlaLTUAS HQjA9k73QJ0aYBxRtFwcxGiDmJyyDiVmFyDkSZL7VK0Q1VMyHeOK3KhKAshcbc1IVSJRt9eCKUJl BCepZj2gYgqE9QPXqWbuSlNY1mdl+k63X9MK1mCD4tOd9e+pTdCd8zYxJda24F0WToKNXsWmEqkI 8YJAqwWTs5UjUVOk5boAh0IBwRRlc8dw2jJvZk86L3DKyiXN0jj+WdxKWiVEPaVt3KdHSX16WWQj Vb5SivA9V9pVHuQ8IhAgKb71rafpvtLbBl0ff6hnLRxPYIJuY0U77OrDL+D4+eItFge7CMw7pCQj yjg/cmQCNa9r4IO0MoZ7lIhBHQ1wayLVz+TwxQ01tR9YjFBnBOm5kdxRunD4bneChzdroWuJT4+a HoS99hlGqO6FK8AkCZ1HW/DhV6EFhNeDpstofNIExXYXcQgDH9UgTeonM2ummXDHMGdx41PxOuGw Gj17wxYudRFu+iqlFiEanaxPv3r6KIGXeJSPkjput9OoZ1ZgUKzGY/0dnwTCwtskQimDDgMszX02 xV1FTN1+xWoRs0VzVCM21wyE9zISasw1uAUg58z6bbshVxPKZka9nXJmEed0vlcWINHQElGxR8bT D4UK8L6PoH5Ku1satmnjugioddumFuXExigWHbILQjKkcHAVWVDVV8mTc3h2l9T/evERTg8kyzPE ZBtdDiMiTl1DGx+R/+ccAfJylrNwDxCCCvNl5HZEK8tHbt9PR8vooocbJApP5qO/ljNIF7wLq5Rq 5fGGuLfDKBFHqnFIwra2cIrbek1cRtwk79jwg4TZtCLKv805Lhky38wGYQ89wCsCT2eqo1w3Qurs XVxRso+ryi2qLzUzOXGU+ro9frwetlL7q93yNB5ueH4EzQZoMByYYE/KGMje1/O7JcX1WUY65BXi k2QSyDWjyMJYPsdtmPOSZ+LMKq3zEZoLYAvGdnSt6Dx5BfAbqmDlnf/V+SMUKpzo5AAwRbv04xkh w2ceZIdyveYuT7B7Q1TqOH1QtTS+cMPGpolK80qbysOkDeYaHuFywdIxNIzlThAE/m4mKooQ2Iw6 sM0ykS46YQnlskkxZREqk88vYIoYuryjLCI0J/sO2fPa2h0NpURtJFt02uoQikKJHMJG9ysoEGb4 h7O5ULC3kI7SQYQZFmQrFxSPWz6F8+D8IzidxIxXWlOn9BoFf03LpmKoqFZ4vrk8oZjmIZ5eHY12 d+zO0WvzwH0vrRi6Dlsiv0DBrP+myoibxwyz+G9dojAP6I64+O9rR5NLBTIG8xWKvRF+ecNS9FUi O3JsOIRJFFeiE+8ZhSboTDgWMWqRmAogrKn2QyrJ44V+Rg/7YqmHbV9eFoEu4CP61mM7ojoYS57X P0mkLXEPYJVoYAe2q84ENjR5ip28zs8oqQDZUFddQcESDqCpcx3QcpKDap5RMJ3pIZbB4lO4blC9 YL4EE+MadztaZl3mtYl4SzDImOlH/piPz1JFl2QRswJesnBlF9FEwu6O1E4pi2lQSjR+zzB2XLba eDaQA5WMAa56RinFDV/7vha7kzXF3UJUBqN07vrI0JoNeuQiQkz8CWpFQ2J8Zg96dT1o/J9/7lwU hLX1QuFmyK/tCmShPK/6j6PxCatA5NchrBHhlW2Ev94W2kXj55J0erM9Qonq5/ONUJ7+wAA7Mbmx YzEFTIGC5kfJSKm349qUYZLWV/RiEOHqXOzGfMt1ASPuzf9HRZWAfJbNUC5129cbuOC2mIzsk0E9 zNZsb8YMYayCkLvhX/Uu2xsCp4xMNClxs2S8VQZ9FWHEFo2fHkqU0657DiODfARhdc6IyE4HOM8Q rGAa7axeDMn9EiPCgbMaZQznEBb4mkuBZTwFAZSbh7TGTrgWlEdjzuODA0m0eCu53n01F5LzOt/U 4xPzM+yemb/7syvCOd1TIlcJIKFbMRDhXS0kpC7T7qlFGUUKHm3qg3B0wN44rq00z58V1hPw0YQv qgbT9qoz5BuHae5QVJFY7L1YCZt5qPKGOlBb7CsTYkTceTmyqvgDqfdtVkgXwID0CzloTn7BD0D0 uHqdYmMePNyLsfwfvBipCr2bK0Ctx+YiCUcTunijFu3LeQjn668Un9CnPk6Iea6mxxv6lnG73amf 4Wcq23WgremARaz2pLMWqOhapJBqf9HZP08d0yNkByNsDm6uFB+YQKLa9V/7k/BeJ1iBa8UMCX/b 5bFjzwmEFMuDZu2aYsD0lpHCSLrc2y/ApVmVacDBebub1v4UIQSpUQzSzQPnWvRoYaxeU9WBAmDB +wttyOnszGq4kBB/v6vQVXOp5r1jW2w9nytLi8orW5E1cHGyCy59JQ+2qJUI4mTULOhi/RHL0bfx V4rvtRtrK5qWXqEXKfjR+Ax+viJAx3Wq8+PQ9Fu36G6n12/b1uQ9RXQ38cwoxMQ11glaIfpnvOSe 8OKViES59m7U0ZQOXrc4oVtAzrCNWe0yI+3IUSxi7XfKW01oH0RL66EMikf9Q5XfoUn0g6M6BYAV tR3cuOxYh2Kvg1pKOf0zKhkM52zxqbUyMyq/nWKDt1PbqXzw1ycPMBXBF2Jn7wwJlrHALRLiiA2+ JtrBAE8zpULewo288Vt2Go0E3VdePUk/7HPkzMtnvb7+AdRNUrJKWOOKbUT+BNa0/wQA8PST/45l 6VAPDbudaV+tWHKmZI1tkQnc57ZxStGyjaFKFVxkr9QfJ6urxkhB5OoPkpnqUUkWIo5tYvq7RnM1 dLHZRHyqPsowiKEEtaJnsP5pe/rhsQLGZoPI4pl59OWb6Xw3vqmPwrPzGRzWr96tblVNbuwlhuIC Vb+wKtkrRSkjZrxJXJAu7b68Bm9hf2nlTv1XqWl/71/Z7vJyHuGtNILI8OyVx7+yccZFOIjC37zY 41ocfD/di/seeJQd/wn3UbSC0d3+3+poLh5cy6yf0yZl1qiUHku9D1pkv944IAqcehDgTD6pihin CqvjzyOHmZiI7dTZ9tq1PllWMsOjBH9SqsrOKUTL4ClNjlqRLiWc5wLpGhoDy/Wmbwk/6I0RvaYs z0vJheew0EQyx6J0VHvSzltsvjh3WMAgY0xR14JDzwS4e1zElBghXyOyLSaDgOpg3tOejCpQZcVl AMrvtkOEYKP6/83SR41VNQ5rAjQoNHsGmWBe8qnaDZFvagqLb4ZcYy7eZCD4tj607Elha5hrLbEo FyR8V9+GrKP9Z4L1EENmYYfXC80lcInfIURznjF1kLQksGZX6+HZYXw4yRyjitwzukSRfUF5587h LMgZm5EyiSdzf2owIbKp0HqIt5y5cUZ75sN3ahqCVkIr4ZuGbGRKBF1KHZM39VySo2GmYGVkFy+Q jbfFgcdRwfd4Wb+xCLaEVdm1mSJ+5gWf3isHsWD5zs5/FJa3a/rzWg6c/ADUDrkPHtBMBRZZQG9F 7iCnUvw6XMFPHSZaPX3L6+zM789ELu6ZJjUmAuvdK/c18vcyx9d7iwKzAGCpCWeSvvfTH0S8bSym 2E1AUgdnhf2UZ8FbOP+/zKEiAAyruuxV2xbcryHBSIexQz86nvR896CImSBDUz/wwPGE2jOZvSae mtbCxzCwz0a2QJNFvAymnoorsMbnnyzyTZX5YCG72ar2WjBmVInp+H39CoHDxloZjQR8tCVDDs0t XdSO7IWHcW26Cvvjb+j2QOSAnXhNS2v7OT6UNJW/cE5X5gA4lbYsqSaENgPT0iUNKSDCmwrevkYy UD8D4oMfInN/JPnH1uIbVz1+EIg3l0smYwrpEZKs9L913o+w+QjjlaIPJsf+7GHtyYiZhvpOUYDD ibAh0dACxPwYFjcsxDzdCjpXqfV5BzdN6sFAqy7zLPAUQak/jQsnNGqITp76gjir30KT2v3ewSKF Y6ydp48342LocLcxbo0LE3qCvmdLnt7VIaUsobOaa2l4e2nJzX6hg8D31lFl5DuA2p3Y9kBOUIlS gOGbsn5TeCqKETZR6Rg2I5zkGx2rhSX5sZfrdnJBNFouLB1FRk5FctK07Xg6JwpCXlWfxeEEAeod Nqgs3InSusmAgBYHszJV2fwx5MCMuAfMIJTmnSBTgo+Et2g6tfyWKi3G+4LOZ5jzSR74CRhLRDKD SJ/SZCz6lknvaatLKRaS6I7phYzQzDgkMrpUSYXdHjTZTZS4inZY7RekzYpGlh7VDom5G3veESy8 1z3TICntQHuNsEeH/b8ejBXlgHhwhAV0VnPm+4CxQbnKZ/lxjkurKbK5n0JvzhVEQL4pBDvT7c9u Dak1JZvIZSaVBM81G5cqCh8QsqHqf4D8ZvcamDlCLx6YoejJph1T+bS/aXZ3GglYVcVmc+th6CwC E1G0/xXwlmQegiq6rvVnUuPwBDHNoXNXuk3kTfV05FpYyblDFZ7Paqrit7BzGDq+P92p0X+cthiT a0rEyDFblcshvVPVIKIIokX+gIFfoUYVjbtL7T6Tuo1xFgTEqNqQGn36DFYU4ekwyeHzcEmdznOi QNEDyXDvx/wlUGulgjnZ7xPTa9OEljyvS5sqkUfHR42RMYgQizM/85g9tJ8IA6jUsDRqBPrGHa9i L6cLRK6c9Fkxw+qO7kw2Bpqxx/SP9uigDTLXKEFDTn+o5erhasMpcSRW5zs/0ZLmbIqC3QtSSdX8 NeeGtKFFGcUuu2E0xDQjyF9xGFXNCs/4Ge9h4zCceAB2y3TFlmcwvv9cxCrq6LnOyu0+XDQkO5cK JDL7tBzIVPgzTh/0/+FR5K6bMxe5C1omjQkzFHfXxEBerpSv2yOCcfBULN9yFh5IrmI4y1tQx3jY W/zda2Q5jGYWaqhn1/ahiP0yv7V0nwHe4KmpWGnEx8eg7BldpYJLyxOoONcUOzLV8nJUhewM3MEZ BNb2HK1VSRoICai8bIQcLpyYOCi5p/Pr1/x8a0M4/uJx5k+IBBwhN6AMwM2x1gntkCelhwpi6FXa UtWsdtdgeQ7MTVZXdUMRjGM8RiFcvEfczytnPoDa52JaQB532MHHzZzpBqGPshSQszsOa4qZYhcf /TsU8kpjBgia8BHMiH7k3PRnktz6oPGVwve/jsLBbHYF12EHCZrpVc4HI9D9HyPgQ7lo1iSAZiIa WINBR8cFNMYmYUt/9ahd1X0F0J0rO2/ZhtkJfvvNpYlZtrpnMeq4RE3bck5BkWghyg5ADOxtbgRS di58/7la31TjQl9dawAnQGaXCuvjyYbVoneLgXKq93OHiE+6JL/uPXwk9x7rHnRayEjIV/GAzdro GZH2hnIM+03dJyjNsIh63Th0lm91Ss3P1UHZhrr7iF/AiRuK1ZNqxfftC85CRZ7xohrscbjWH/bi FodEEvZqfSsUom7jSgv4lmL+TaFIIZv9jjOLTrGNPH5BLi+7EMJztmhPpYONkwlNHs1ahXcA+wPF R3xW/oOYVCK97vvyPKpFv5+kZKDn5Uvt8mnv54gtlXVJY2VnEOmaAML9ndcB+2/d5uQhKQz0jDCD 0qELvXpnL0iSqN2mWoU+SImOQPePOICL4qGSI8KlljzkAYiUIXKYCZK7t8JF6RV6Nb4kwkpCDt7R A//t+CdZJDJ6eFZID4vKDWNzEyPKegVdjiuPTDv8wKkPp0wF0uMwlRbYZkliqOY+ei6p6d8X+aa7 ux0EPssi9IGIRLJ3lm++PgHxWPm1lY97wjWe+sY89hi7O4d/h3BWUbTWfE0S+BHYdAUA6wg2HZUG /RxgnLWh9fKU//WF1pPKEigIw1y184FDc1XhrdKlIjTcriFOItDx9jR/SL4uJFm+wCE6Cs1amu6J es4ae88J2PeltmDJlQGnNqTVJ3KbxvyA0PcABN5g12eiqSRNMWHKr8gaM3SCMC7U+5S61uDeffTn YE2UNNOK2na+JKy6tCEWMJrWhccu5qKWb3lPdaXYYKkz4MqnBpt8zCb54UlAweA3eAEheUcTOYW8 8XkWk73IvPd/28lsMjoeHtNBDZvko10kwYvJIcTJMFhMriw6dxRPX8oFPP/Qn1on3t1UqitunMD+ 9irAUCcChgfYFe+QZVOK/bFr1WvhVecZDsXrnzTAlRQJM6hbsggSf1zNs1aeoxuRmXWiCJ1QxxyS FClw2B2YnZFtma4e4bvNijvpe2Ma9UtrG09ZKdIrKpncvxcx4whF+gnjCTK109YCMXGSQtQkeZRt 0b36wLOVvPhV+6tf8GMjK6neYPfraf+eov4Ls40m4Ty4KQZ++mkwVjgnqhs4SslWM8M3OSv172jh hgPmAgUwriISfeRX/GKTJ5oTZlyTv4f5+jEJwwMkoezhzTpqaXP7tBdOG6SEtlvFG+KhNpzSYRmX Ld1tlXHTLWichwRuEHaE4lhoFeHBf6IQYrOwD8iMsiRNcHLe6eyFT9O7405TB/BXjABLo8Tm1TId 3ErJa9LimH3j0JRW98sD44N5FbMkqIwDCY/qD6kCi9b0UFNWC3ae3z2wcQe4FPzrM6FhkPGEr4wF op7SuoamXDAGacA2h9IMlcXlmnvRFXE+C/PmMNnAPgb8o65+PQmcAXLj/DEwnkGWfCe2uSBidRxU Z0fUM1vAn95fn8nVlg6IiP1GaPzMPvYLs1mfVE++r7Pi7+A6/JMAcRNLrOBXYZWX597x+Gcg8/CA FbtcrVmSADaSNs7vguerHimaD4y3O9ESQM3TZgCE0KICn4JFczvh9jjk0JScZ7vuahirHJX3iQ9o /h83l/5nhEQOxfsTqoWhMwIHJOcP3awHA2We12tCqeKuHTbKS32P/Q1DMnGi8hs9oGdblbj76/Fr ueO2jCFn2tfkkc00FTF1WQ9RhbHM+mAhg2CIvV0GIQEMBAXzj/8hBETTMREoHZrCQuTXrm9FXLRx FiFFcfuItwqmH1AyNqlFKM5oaGle56EobLEm8AOhW/dpRHFR5PI20rvmUf8SrQogh7qyUBSRsRAh Wm01DkkEcft7TiBoLXCFXdbcwk0+Llq/LoD1Eu1C5ZKz5Js24Wfv4Xda25hNmtUJ/ppofSYRY1Nu UBV0eW5TtEXomYlKd1eHcJOapirgMrUpp/kI91Hl07G6W8CB1k8FbStrS7FfRH9qqwia5rpw7JOz A7Yt7nBdVMA17jF370tPHhgxcN/KCpzcXg0RqE4JbwtXni1HeFYmENWhCpGlaadAntkN9sIeSIPN V2d8HzHN44lfR3Glvu4E+VrZJdTpA+oE4YBrY0KadBZ21rH2lKrZMCX0cJRPyfKalwUxnH4iCOhZ yjufFV1DlcorpeeW388iJwKnvDiCRDOwl81N0NX+gCf50TsxhVzgwh+l02e/VXMmDNMG9yf25rK7 kQjkyywg8XI574Exmz4WSMSol61g/ZJrnI9C0WanbQua9ml46GPcgnQEsdjCgZ0U1vtz2Ggg3jYu H13ffeZzLHJTkR7tAJz91ymrtUs36g9eDdgnicUJUPrJkwrc88X1v1QLiKNjxdI+LLlGfFD7k96o Plkr12cpP6cAiS0fQBxBUBRMoRsaGsxegBaGl6qiSLX9HGXQPI1fvj9ZTJmOb6TC7rjb1Kzu0lMQ O+EBINQwWQexbHIt73VHB1Ysy0pQ14JwJpy/c5ndfdxWxtzc5amKVRrwYbwLYyYRQFocuOpazSdg /MzNwT49qT0sshKUxkCnwTyRgPMuoeZuXhYfQy8UTi7fmzhD8j50Wk1QffTb88rbI95nNIY3t4YZ lj2ND0Y9Uv4ekM/e7ruoQTlnGFchljsNw7SgYcSUSU1144B4D9+qnX89l6qtWgL4ptUhN4A5xHUw WaeXh8FfIsdgTNKCUhEIr7gdaFx9zGugbBBkxQE3+PP/Yr6JfaX/q9ywyWTHmoxbbkmbkIG2zL0a AYITDX69L3touNUCGwzOVbDnWv1KIdn7YSOPfrMr9fVid0KpdYm4JB/7gbwOziYlrkAlbnTxn7xS 5X0ToN2ET1icRhZ7Wv5LicCFDav4XU1L/Lco51NZ01kkywp2VFAWcCw5Su2CFQt8xcKXW9MsTA3t CUEmd5off5i0AgElzzdC+to+0VPr/wgBjMRXB+BhulSOyXtrWL6xyvuO2ozpBto+CQjvgwhIw81J nVJaP6UM9dsoPd9LJJWgkFS1rM9c+GHjWqZ1SiQSoiaeSBMuVaGNcmCZDfs88pjCy7F8gehCGlQq nciJ9K6ELbdJqt5hdQ0LcfeyowW/sKbNE/Gpe3XJyd49q3So9p6l7o26Du+8YGHYEXhhw5b+zLg8 GBv422uliEZ00TyI0GawR5gwva01SVVgNQ5+VbIr+h4N91qzET0BgimFiRYq9wX3LWhqYWCL9NZF ApJLZSNc3yRgciAtaod7/gA25oyMybngBtzEGwYt9vbeZgUoFWs58ET4wk77l3nnXPyJGJMOU2xd DYMRD6iv2PhE2Es1fik4OPcwO9QkiZq4Vuf40u+BbbnSA/VHntjYeWi06B7Vb5jp30oLz819JT5x tB3cT9UNiFLKrEVoUVeT0Djs5r1fJs+kyd4RgN423r/oEl9bceaj5OleMagTolrJsF846d4ht6v7 DIzhL4PGyHkPCldOwGQHmjCrf3A4fuUZxiB4+MKCGF0LhaJOQAtBMYyD9+3glTlCd/e3zLQ6WJJT MFNXwKvUfNu0Y7x0vV4w9p1SbtY5OezMvOvHSFrBNWjnN54lbNe8IoVOkEaVlxHlDrSIPJ9I4HMt oAdTT0Fk15/lHSqpTI7TkfUREY0uh1mm+tXLVNBKZ60U5h7fwTO9fNtXUqFoldOMhHbQ6kprJ5ew QwZKpoUB6u4UtjZ2ZeVg66TnIpMGU/dRnNmOxROfcQaM4Zb0lcL5ZYMs5erWwotajbhuUl0QTaVB R9LJKXmQkqczOPNWxdUqDRigFPhIf2/BXmm4h9zNNEn2G/BTvnLeSWIbEHTqjLyWS0+4QH6HMUuZ 9JAo/Q/OTnEJBcH9025HilmS4c3ixYdycOiQqDYF8g0HKdcXx85e90mRJKu79y9YZpp1kDFNYYTX Wr1yNkPhaykaav9tRlnxkNHx4Te7wg+b5v4TYDlvjE0MhlH97BS0CDW7VuPR+S/NmhLBMjgpf4IT egd51sHjBryjDRBhcLvm9OdbRP/ENsiqf5u/XbfAoLwA8kQa8yptLvis95cYzGcdTjPmVmbtAfLv 3XjDYr3E8/luIISngPr7w/u06Ozj+4fXLJMrDvkwRnn5LH0uUIX+KU89Yu72NKBAJhQ2qAM0xIYk n0vMtS5abqzZfYM/MMjQfy4kftmVcuPnA/Ug6ub3EuMPBIC2cBbkWDFHOZhtjE0tGOadSB5K4jmJ Cqdb45KGq+jG/XIg6++Gx0tkV3bTJxQmOZLk7i48ZGGXNHFC2m0mm/ThGYgn+gD4dMToIIon9dmr bdAO2ScdB6+2AzUXkLd0NdjGDCy6g/qJjZkeG8hVbX/hD1QeiYP4ENPCsVijaBMjE7TwRDNHW26K QnnZeMo0lnlDKAmYBCsUAY7V4yHYjchZzSmw3iNYlkvS5hrtwe2IX1ryMq+622IPJ/8CcpZ8NarB avJ2sl8s/W3esloM0+XL93++uy5z2QGF9mCcSqiIto7yG+6WMDy3yAS4S62d+3F88lji0M+AUxRP 2Nn3bnjRqlG+vI2LtmwR7TS4FQ0Wv/RnH/hPzaVFGIvbF8W4QmgYQooBN04de4HVp1G5IABP9dNv +PkOm+OuLplOmVK5LwiQcVD4MKQvnQpfE1tpWU4ctHTws71bN+Y+2OFxJ4vGveqpALqYacFNV4Ty dDnnrSfSpitqJZ2GMLHCRtjL/yVkzWIxbg8r9vByRrlBXNd15eAd3Rm4ozZfkngBtYzpSUO2iIYC uSGURLkiR8TXPWheod2gTm1j4ZttlvsPz1h3Gq0PVHH4ST/+xPnkOzrH4ccQ3hevXbuW5dA3HUqB TQa8wp3gIslaJxOAiSpZEnXRajNsCXjLqhoEMe8oDUSTUIvuMJ0z+zh1szrqb9wS0qpzq3DhVcs2 2ZpD0xCuxt1N+PKcHvGqiHM1DYsQOyjADK6PUoJAHAJgftLKav4mpSV1tuPpOxzYfc9BlShLBeuI f1sV+81n9UAFqOtU7sYLeOghA2dGrj+fbt6fd4nIRKm9CwamKphqdgGGhJ2AKYcgXsMFVP7eeFhT LCzzWH5wRP4T7qQiLqvtIXzQ9O7KYvU1wqf7QT8OlmTwhKq7JQ483yM6verl1bSAWdad3BpxRan6 3QPALzz+ZuWB7Krxc/8QxH+lnNBsXwyLyEFM+kCcbXAjfbmNEeyP4XvanAhQxagn2bA+mpNo8D8A Rz7PS4LGYxajIwSE2QIGquviPkDPo6KaXB2DXpAuEpehejksAEgyoY2Q3g5kRXU9LBX7WuVPMMt+ uS3j76oGbYboT5ap8U1eXMNE7apCSFP7uLg4h1B+pkmLRuE1SLIP4SbokZjoSpXlOWAXbsE31T4U 5fL5seNKGsTF7Xqvic19AYYPK/vaGCf2gB/XMlWA0EV7y2V0gtRNPOgWZBE+CgjfUD+RBXKjTxXV 6I0on1yranLkPOGVnbtKt8G0hqEyeHxizWj3MSzMuKtH9pTU9es+FJ+4XBR3/nlm3ic0Dc8eiCjy NzwtxETSgo/fR7KHC1WeyG3Lfj8iotMxwNAwVPwVf293aGbampPsTN3vXtlhVWhLDHBGyTFMCZof hDRskrI60UPu9cLk88L+d81j+Zs/G6bCw9x4WbHFIhq9TYjUIqwRKAJMmWgel7TUBkriLuw7i2Rg VqzGOP7Lc9SJuXkmyqybaZei4sdg4x+LDn+RpVT+ul/HC2Z5ZSICW0t9FLz1TtOuz5TcMyxx4GqU +Pc4w2ixDwVoTQ4K4q3KNqeMQ2/U8Knyjk1kQ3f7528807Wy7KgFBI91VuAOFO7cIriGuCi88aXK D4kh2asXgoEfPgB+OFo4W1FOpj+y9pdaoEAiet/XG+3kK5+nT9o52aL3jnBTU2gG82GcNTYL9/qa k5FSnJFmai578EgV+9kmRZiJrUlgs3LqlG5DzYiAPORlWLiPI58ZsIuwBGKuRO/xWEoVvaMqOpmx SmyOYbD79qVceiun0WCFnkZwB+OJWWCdvVZumMHUSN81QRYOkb9pB6TeWPSYbamLUwqG5vBUfVMy jv9rPEwr+KZqlNpXikDarPrYZTog1l1b7y/U8hJ2XQsYPCfQiXjb74eHyHevtm5jHJp2vsjWVO3Z 7c2SSdcNoZAEPj8LdE0NOvTstuTBlL3czgsFIddXicy19XwAfF2oNEeAUZvcXejg0az9kXX54rb3 TiIza96hCGuTjm6uK8hMoiQ3plMxnTHCmkWXg6f7VyTC7oIWMddm9eILggvpvvxDaPWy3Cc5KCGz 86qck58Y+pWj6td9losuFiY+uf7AeNfvXQ1ZJA3agi9ssqsGjB++n0ABkSOvrTVZMh/K92xdAVyh IQmYXDXPYo3JiCUX8/LuFOvryBdGh31696MKbzWKDD/6OgmM50SW7iIedxBzxbEzjI12dLcapIL+ 0Cv0X6MBGe9GRfU3a9sBjMw+haIhN8OY6xbDrUorPzv2w1HrhH8EzSTzKyENti4/JWnWN3yp/tCc vaZK2EuYuJpCYOf2T8HUttA67pv7sfgiAjLOIxeXclcHwN00wfLcLUu5yrsKdrHiPOGaWH1iykaL ZeTkJifWa3c98tTjzefuE/fThp3T840t9G+RoyR2eoeECxxrKXSXI6OS1JO3J+JEkn0cgl7ctKni F/bLj/duosftqe9mbLmu7D9jtVA4rXEpEPHHod8D+D/wswB9FWF9kYLb6kz/hx5ZTdcu9eSn+mfL OsZTHYrBnemL64TIyv6Y/gtJxEdi8ZS1NJODcwboC/mlZ5MaT0PskEu/Wad2KlvFMspM87t8drDE dtge9UItJomgLYs+UFzx3j9Wq9SxEzILogGCd/Xjb6vfnazw4gRhEWc25brIIHiKGaZsb2Wdzucd AvMbGgt24HXyjwnUkX/xOHWMKTPpXWisldlQ+jxxEKEDISr7wVlDBVf34cFYVnybbUv2UpB2LxNl KchRVjsG4M9P9Lq3fvQzRTBiAs+SKjF5LeqGgGla/n9Mz3b3lGtxKo1MotejYK7VQU6BX/CvZBcW 5BxWr7nYMLN39R7C9K9JLVtbfKnqn13CcKh2lix7JrTGlq4dFsCQMzIkRXD5MCw0PrtSnYQefYOG o3KgyO0zJw65qxfmgKGYtpb9ozOLK6Xmwprbp5rm7UFbnnTsHsshSuFVHK00wbwwJtlFdtf0or5u XBt/vjB3X2U0WDVkAFyA+8NqOQ6dR01ErXYc8/EifJO6w7mrCMdQprLYPrJfCaJM/AUrKM35IsR7 JB9NRMDYzePuKwX9RYLGew9y9QcQ55A8QqT0m2PCVVLpVTYtWXQE//lSipkdbGVIWvoHe0EbVtCJ lwfmX58Iz1p0Ew1DMFurOfjudncpkMMPngpeEzCteGWzp/EQe9SLLJ26NnzjjPl+vp51qCqBvR6I +S5bMe3U1on7G5NZ2vK7n66Q5Aqv2ikMyAJ/D7pYhnDmae53yrp/IuENU381gtlPxa4qP2T5+Gqp Spy94zxGSnh5yvSeIehTO7kEMU0d18/zGDB524ZC7Nvk/fUbg9/dUa5MNwTp1rnZxMKkJ8jkTLX1 uk2l48u4SxxtXxYcO9SqrpUSxcZjsQL31jtc1vEYlu+Id7UkgokjEbN2KY99Z8haDr1NeVydEmPO 0i4JGY0aSGNOCI5NPZmMPkg+yCO1dRCzTXUnQgRqr1W3xZEbHz0lZi57XciwMG83UL3Hjytehd6D mMSpLjFkAs2FF+/0O3pd8wTTyaU3nm3XKgo0dAXlHlFq9pMrYifbMDJyWsQhGsITsOU56b0Mi86C 0v+fKJlVU03y7vx7JePxMlfwYzxfvsPNpyaxOEyed7nmsn8LSxe2Zm2JJOAY+HhfYEGanTAwghpp 6blaxcZr33CjdrOL+AIPiHMF8gdAZTjCdZwdqkHJKRzWOHPmQ55ZcQ1TbNpiYsDYK7/+UITOrQ6A 51AmBpUyRFmRFbcKV5wZqrYL2HQ5QB0u6CQ1syQYpBcvUrILYguDNNCqyDI+awGq7GRe1y8im+Qn QR501k04/Emq0/X+IKyQTo294ug36/rfM6OECmzvVFJjExOTuCOd7AmLF2sbR7TAa/OoBee1XRiD /u5EPZABVJsUB5HVfy2tSizMwG78Z0qYgFBUMf3aTKsoztSZPf8vAIjGSXkQYTWfFC2v+v0gWZgP nAROliAg+92k+WeqkTsWyy65qOlrL6o3xOFapr1XxRgt6msMg35SjUNDiOgU8UWjCy2m+C6y1bZl g7ipaJ7HHAYvESxw/qmFmYNF/GL7jvgC/SeIHX1e19lWIjlyVPWkJSjzD0uyJjAoB4pQx1SWGtDA 2V1jBtpAE+/ALWzi/rPqPcRVY3V/1VxMZlqE+Y8XdWXazFXgKEUgFLhGb955+zcmpnEIWPq57v7s p4tCsuEyhe3KQ9+MGotRjRZULseQHLVTRzFZusNcWrV6pSlG6C/Z+W6jCCbNp0McVTwgAh5BZPjX ikugTvwRWcgbx64XcGn2JBz6MS6lnW/sCQqheuNTs4E/4DErpThx7RKu9jjB8Q2cg1RKyXa2s1Jr OE+iKe/wKNm35rCSIcxzsbrSU3UpN58WxChoftZidWlFn4H3wneGBbkhk4aNdMp3162bAaVB2DeS CKmLYUfF3URQHehBqrurdMMApfMCU9gNrd+fBp74/0elFydITf3NZVzm0Ur/nPRfOciL+RIL++Za MOGGcgUMcVQTZ8q+21z5zeNf7WLgYLPlXzP6vQPMfr/3Ih3PJkjpoQf8r65X1DMk/E8xSFelf0sC 5B6Q/klwzc1MJ5suo3GcaKJAE0ZJmmbUYI1mWpp/kkBer8eRD8oBFdKAZs7UWpaf+D3qjFWSAMdh sFZk7Ui9BmySwRIosV6kDgoMrlgaLiMzTTFiAJZEg8ZkIr1S+6GnUMHsnuLXpGAzF1+IWyeOtTRV XkCrgT4tQ3/xzpkh0m22knAALLjHWYGhLl25RJfW/+0GJMCt7v3hhQi5lopmMkdhg3MJDkmNxhqx 1qwpm+hxVgwrVW5v1ntgJDIvygzZzFjJytj41ZtxnikdKr1p0WTGu3+yu0cIRi+GwbqnXDOpiQ+o U6xht4IAUHLwlCnpNuogk35v02FvMrX7iHttyrElJRRLCmHnEi5Xjx6LzsNh4v+MARQcjV1aB3Px gEu7lU2kJYTwoTDcy1T6RURpao/DNKPFiaw5UkWTtP/Os5NwJJas53XHhLF+Ms5o9fEfcmjmaxjw 0y9IxVl1wuEfHCBvO5+8kHrj7Zh2wTZBm6YtbtYnexjJ8DRVraN2Vo9oFFAvEZmBnjdioe8r2h9s b68ksm5FNESzrzfCkTyS32addcdyLN/l6jWuTzdbyP/nosTb7Q/pY855dng6jSVd2tuyqq6YmXNi je0t+lNbE2t+l2fUiA008bziy+h1Kk+70ftZ7OgT5L+NrfvAnscC1FhrPf5uraq3AtbuaWNsY3Zd FrsCwPfIR4lqRfdNdUkn0+jScif3EPqAbXgAOKWj6ZOkd4s1dFEZ+wyZYfwqMCCxXDopfXwajUw3 U8pEXTxFCcNI4jWOT/nXoqildZasVmJtymO/fP+7wDYaxRqzjnTxjRUJx8bOBsfapw0qZUG018F/ tjVmnygJjEiQSZOpkcuWG3HtLZKaTYS1zRv5TynJEbFGwjps5ydS3KWg7Dn0hrqWNiobM6bR+efY C4tXLCAKIUHWdFUbsvXM4qEugTU/4j8KBUmIgWB0ffcQO+RV57kngMHXl7vGuyA9cCxIx9l45ocU 0+1A9wL7m6oRY15Q+huffEZ49+GPF60MfC6K2H6NsQDYHDkrbPv9G5CNx3Tqg2LlirxdqtwgUaSG npEIHzp91LTO7aoSddjz+0GB1RfWCprawWrWe4vi/ycPrIZLlT+bKHTlhShCBzBnB49p1KcqV0Qs xfjk8ssPrpf9cd5+t70pSML5PQpAX3XJJVO/zZ7a337/PQkLJgmrFoOmQsIqWzp1TqPlV9PV2Bjg VhvvqAxct8XzwJjP6die2Bt68jtcrLEvSPK5ALQ1Li+frzpplCEdPoR5XXKjZ14EYIRJIcW0KH6U mUEub4yugX0r5yPVvJ9kSBXgLkQaTDMHR8EtB/T5M6UTsTe3otULzyd5w7AMeUaPlOyXWXv8b+4j J8yNkL3wjuYmwpGEZGdDMr5d9WfUhpcVRKLmhgqltR9P+i2+tZ+IderUMPTmreQ37or/LfuLvYuc kSxs5iQsyIRbjghFHTvRdmloGtvalCShYbrXue1ui2yfhHlf7ORODcf4HGCc7ZGZga0NAcSqjkc4 CmQCoBTj5BOuu72lGuU88xDBtnodcoRxqyUK155qIOgNCgAhEoxQZAQ68kYG0wpPAd4sxtyszRjE ioRWmpi0gU7TfKhJge7BLhHsO+Gw7K3jDe/2I+KWLdfeeGNlthHfyep/dP2a25y8J3YVlX23sz1b PCTuhis82SMUKLVvhOM2ccyEKqEkuXbmLLr4EaEVQCwIaBYYyy8EUv+Q5eIGxrBl1S6fQHtznrpm wDwar1HGYnnLjaVoC6qko7P5VEsiy4BvXf5tmpeNBuD2ynP79v5ZgVt4eeuQjYnX61LGMVYoEFSR bUG1zyuMbvZC9CAMoC+CnScm54G0jF4c8nc9XFOSkEnV54LtmuP6hWE65XNTy9YGll06DW49EsTW q3MAKakmGda4lG/YZ8xkpiGHpmTi76NSWSm/3WoqLOMBUiH8F5e/N6N4iO0DlJYeam28EM6pmjlR fCwOPe2JP63d9lSzpLXcEId9Vjjemcd4Soo8+L/mlzo0Ak6D9AyaSpNw94g92ZFX8ux7XV7zFGFF Wd+f4jl/9DPa+A1NE9n4+d6fpukrfwrKWa9dnsFxcNFdskBp1l6NcYjBjbP6ZbS1/z1dPc1r6OuK vWa55mY2sqYZp+FlVGOiqR2jZr+sODoZy6fjbah4V6WUWpFDMgn2+DFs/6EQ2C7Qx+ZxDz7sWMkw V+a9q3MUH/oPrumODfDY+wCU1mzXNNBnZ5fUb45k0DyNjRP1jTCuLyzDwRh8MSwaIebM3QfwDKio 8PEEU/jaLxWhelm7lLmcWVT52ODAlK88BwOTRbljEeUu3Ow2XTSNrGcSeXlEPefGtW2bicV6bvoO CxLq/WJnmHSvQ+H9cpD7mAVKqnEnR81gfAt/VSkLCB8AoZ9TzY/xLtYY/4xKuiHhUXYafUDoPRfv FVxuW62fUVfsS/Ern2KwM1ENnsiLdlWBrR+YnT/yCUJycF297r6zoBVv7cMkEXFFMd0mxTMen5bw Jisfd/DUF0OGn51Pja5uYEGD8PdvsY9YgDHoKVW/IWDLISBWMsYXmONoHD+WuVaHxXhNrtbYm+tW gURhhJJ2ZFoDfPvhUiEcVIS2yUw1+9IJF04uzCxXMALSyyNUvKj6G8rhsstYWVT9Z4mvWCFJdXqg pCD5bfM9AHop3tyBw2E/S0+q21zhenpD5dAZZpkZFcZl6qVsMlAFJZ1srtldRCVp8M/PRHdEaFG5 fW3ImEVEWGHcL+hGW+YZ1MxX/a6ZZ1fEhoAsGR1iihNzCj/mFllh5l7MLc9lwQ11thExJZrBuqVN hLC/6cYdlPidUIeGsIKeJ71KcNt+Ve6tf6V3UO0dccOOkgnn677UQ8gVkDz/PDvWaMxblpSTDHaj CcG+CAZrdpaIKvGXlr1lVzztwQPbCiWIHYrn4c1GiiqpJ/voQY4EJ7dx6Ql15W0jwsNFROSnBWL1 thpwvp5mzgLqRQt0F7SymKKtEWLgnrISfvQItZuX225MeQEXasP+j9FkJE9bYfbw8p7/YQU1/wQR L9cfFMfIC1kmprAix7I/JsX8pOi4VpHhjSXWEqPeB8qKLuDv7XWFS1Y6K9xREG55TqKhRtrw77v7 PaR3b0nwUObKx4zzWjKadsq+PJ4gcj/2eyySGwR4M3l7F5M8zUAwInFvHBfw0+6D75ZO3VDU+SMm g76r+hwXDc3ectSF2lApYBex/PxZ9Krd3SvWzzTGmrFZYKc+vhIltQ4deRmmAUZDiyu3DeE90mRP zeW5H6KGCG4sqjYoO99WxhVsoPxhO7Btcov5kO3rQyCRgAUFW2Fb0tnWCjUt4EbJxG8S28AnMWzN MBWWJGxWePdXHUj7iYtwDYejwzG5bAWgjxKNEzSBbBKQGI5jr8CciVrz825CNRv4J3oudhcAQ07T /PAldHhb090DGIurf1KZ/Z5VoXEQQJymOArelhaXWzgGWtFHVgpYMTxXiHjW1hkyzdZyrc82AwDf CNZI6JJH83KCQmVBEk266vHEr8JOSsN7Jl4MYsd65DKKErq2UyvpfquYom7+i6yezS7R3r/pHJMK LB8BymMsh1YIyq51NUcRwmuyr34OiqTwtjOkMwu5x5BEo0SEV8VnIAHg5iESFMcy+UcPRuln4rNk 1S50fKdnvYSsCXI7Zd9J1/iT4GPPWniHQC/nCMZw9NGP3arVrY/ZsQ+DZU0A9DQLBmR3ZsHnfbtF BdhZAU/HU1Vf0klNDuWnItme6EGlaOZ18zu4ttlUz1taC1SwvRilrSqcxFN/nbmlKCItP6Sx4Jz6 2/k0hEHIFzULrfI70AbFJi55DzM6WPYfDARG8B5b/8mBHvla2Ca7WFmI4VwlGcXApAqxInZvnVkq sYT3XY3yLc7kjCHp8C1Y/Yb4ZWmmHCIJScnijpcelMn7+ma2RigfKmw/4buBVCs7aJzhnuMEaRiQ oBLD2hSPU9TuzraybHhE4McyzoY61HZ4ZMEJ0Zam9/i3XDRXsKUXcAkmv9BuSCvh/8ceDdKaX5+h ka83bU3Z9hQWJzSgHQSjjMbrOZjkfZECPzoKtdLOOIfVg192/gWhepj6KmxrweR0zfHyxiE68/qX TBzDVwb0UC6nKIb8f4UM2hrG3fZMG4BmlMI189upioGFqFLNvXoSMEBzeCJR5XrwC+qvq5gYN2CS pIyyLeeQyC11+PyeyF6Mb8wpbVHJjSI1U5EKlZAKanr/4MvTPSGnXm3dtwLh4EZuYI2l8OoWUXvx mOcAgupb8GewKQpeD0RUkABeX1WCPYk2zhcsLSeLN0nITMUg43Bl1POGK9kzNuU7+LLpKoErbGQH ilJBL/FU8RrAASyIxKrdt91oFyqa+4roQp7HZZGsVKjAOXnXY+abIzX3Jg+lpScKM2iiTwJMhNsO Nv8PsMHG0aBSVe4vOPhEMgwTQhD2uT0EtuiUfd0qKcFTDdquO4YwwE45mm2XScqR/F7QPMgf00fD HKORXOHEelNM4vuqfKBlU2ag3+reHn5X4GY90PZkgfZ6rJeST1Wm88H95toZE/D17v3Qg8fMZ+WI 7tetVjTUGnVd/mh8z5v7932EPAMQc2c/c2uHl278b9HUEBtHyFfEEjsW4YniPZYJqU6oeW1cWGZU LgShWtaGP93rcDyZRfwouzGbNM92lb0u/p+yKqRYWgPe2gidIFNHPvhqxMCE+iL//bGUwdlaaWcI cntJirJb6xeNiwJUXf49200sIeT3ioylVKTiGbCZVeMqfl5ybrv5lOsnMztu0h4eHtI5X5RgfdF6 slfS1aLhRo7w1sAplN36Jz4sXIlrJSS1kfY4V9nng0Ok6g8ExX2ImhhcW7nG+15Z1C9fKFXTzf4d p9BacOU9TZ+qlZDsOoZlN13D5W0tgv8HAik4ujdJdqTgit/MV6T72f4mXObP7DUUYdFUudWR/zLd vkEKPFUqbr5ZyfTZ0mdxsjJnUvY9nh0PKs2B+5P30AzFcRj1aWMqwcJat2gZZg5F5eD1jl/sQgW6 HkWakXh6c/6Hx0nAY7cdOrc9g1O3/Qj0lEKbFWMdgCyLulI7xtBdMyUq/WRCMXfQE8muedhMB/0F LAjylgcFeKn1L5r6ZgdkwCOqHw6XmhfRZRdPMO+WJXQIk6AR9qdiFARlAY4M/zyCjGrJD1UL8fZv 51G5AzWhhoGenbQ746eTbbs18acSB89GfXjt5/jS0+J1Qa8a7nj//4BfeFd6EfMvxXlNOby1RwWd wWZu0XUeq4tzMJEdfNqp2WV+RmT1XmknMuoXfTkKUV68RNWjUgvQtSZeypHBiwIXE9M/vWOFFZ12 UL3R3WZqL6v3/BzkvCxpqxr+VmGz9eq+UEfxpQUQJXWysv4l7NIxNJ6oXQmV5KO0L49AUWqWd8Dm yVOYUJ3dgXroSpXyow2G7dZhqSIy6FajFNod3DhqyrrFPWYtVVJrx8yimAaqHbDalpwPKPEHE7MY B4qF8KFj2ZkSWlLF0qmvH4XTsAW9DDteeJQIkv56NLD6Vqee2I5WlKbgd9+ZWy3XDq24TwOHFjeU r7pc9fU8T6uKfZ1WHdPjuzTvG/sccOsvq+JUEz2KkTs/b25YYLT98GA63IvY20l1S+IQ31hFDTEn r7rfmcL1v+ggbj1ROw4P/l1eR3/S7N5PQWeWLAT9Fmi73xACqqntk6JnF7ozhcDquZlR5+M+dt+/ wKOWEqKqsaYnPqGCZkFBuTHSNNCZWFf8zXzpsqfQJJpImGhbviNsa/W1MY5EtonmdJTq/BTnjT+4 XQg0CWi06cAJX2CvsDHuLdIzlOdK7nz8QWJbUsu61ci7cd4tAsyk64aza4K4SpJI3hq+3y6KPiPd LE+BEhDkF0VLVFXxtVk/JiwK+PXJ6H0SSYCaC2RWrxl0feKovQDDdiEgMGsKtqiOIG93WftuLhdk 8rq7XHOTWft1SqTzvj/K7hUktf7gCX0Fu8MzXutsqwI58OfVtROuBd+MIUfklDH5H2FoKWx2eLqx rzh1QChvt1DRVBCirF2mQOgI5gmYQjgC0+Eb6S92dB3EbOwLR4nKMZZ1z0B5yhLFCexbnR6MJ5bP eLJRwjXfoILZR1xJVV86aBSJHbWz7EBwhfxH0o2jAKgrShHb7DHBfKce5TXLLOI9g8dv9Sh6rpAV YBr74i3/E4CZFvx/0hFVPwYaZ+cEcLJO/h64kYnAHuXLVq1CMiev7izwkznBgXXir1Hjp3I9XDxN 3VFHxdYE8BrYSnVyFgi8lAJgWP9dSFgYpfDVeUopTXfelqKbqbpxWcUe84MzJTlR7sXZROy4fNDH bF0hoUfzDsOqKnqVC6rqdPT3T8QxOFpCUaRpoUYj+AKQ9CqT7g6c/mBZlsvbdkez9yQi/rSvSVjk hDe2ROv+NoLEG0Ezs05PVGJitjh+O6qcUVlr+ehHdnkJxekCbUozNPyAHKsghwGNEK0ukE5veUrb ybpDQc47XzZTDMU0aecdfYKBa1ndJapeS7TpK6GJmpEMHet5I25/j7hKjgmF+B1X/A0e70pmuog0 nrkWPJdrxe91NLYjX5ivjkEbd3d5MmAaxl7o0n0S6Jp23mLXhAfX34nhnnafFO0GFCyXfVCaojO4 5BgebEO1sVmrg+c5yT+RWGOrSmW2RKboLb5Udrq7RQ57V6O92Pee9qEuxXMtylk1m1/RwC3HG37p /hwhB6YuvptABJ03TG1aNOb+Vd+1dnVzpBgeR/GWV31lsri09m61o1g2Il/tLIcIuV7nBoeVIYtw Hrq1zh54x7D1a2UGa7MyR8WqYYgDUkhaYrAJqO0cJ1J8a6ve8hVMkP/Hs12iCiphNyoVrhRbFfW7 T5/2rAOM0WbwDxIfuk8bB+NDphM41D869W+HBER0AIFSbLePW4Bu10skHP4lRrvUPc+rXurIsmlU dlCWfXd2lmuRZGW2w4iJAjQrst0MdSoCaZae5MAiSJJQ/ajrvpG7vuB3oI+lAIEGJWa0dTM2+5DA RcgNbyVA3t9jItNcG5TxS29Ir3CB7YvlaKT8Ft5SEhoogZqsAyEY2YA0YoM7SRDKSbt7UOuN6kKj PSprAa8/pIuqk2nHsMMHCIihDx8M0JRXWXzB8UKql47GULdx14XCQt5cO9MOuZNSsMoXyqB8hvH+ oeyIRrlkFJPFzXU4BWFzI4vI4gR6G6lCVDGwveWoLD6SuXJ6PsFZDVE2cVzplFkvp2WFO3kRGNPb tXG5KtQEYajHsLYHPlS2uvYUmhwZ9vbT6AiWOwiEHMyeO8CsEQMGLIbAn+PNQ/BygxORh5lvGbfh RYXAkFqWRKRYouYYwJ/KE4zVolYAwL6+MOXgDfiLwybYbhFefI+lklXVKZa9mqvK/PbtyWQNWl95 uSrVlO1XBysIosWHOdpXW1GHZuKlTNSgYYOuoFr4qU05O8EifnaNgHI0wXIDHwy7oIfMC46c1LAA BOSocjINzf/gdTAwzE3C1ZBSODzt2EEXtHH7LhEuqENe+Cdx3cak442iwaj5ikqq5WVH/lAiw0Me HiBTQM3/44CDj2xeZ2yDQg7GI8YIWh4V+Eyop1qbDuK7Ql/v9aO5zH4FZ3zInuBfvl+kK6iA6hTM 3ka5wQyYl2GE48Y9X6JM7uXVLdFSv3HeHtVXBmBAoclmEDYuJcfYD0AngWWJ17mZp40c2cdlBzos qrMltBDQyrauebFN8+NxRw4tIlQKcdTKedwIlU/bnJFLunf0hYvqdB12JGWITJ/nymsNB/NcThUp etIenSmb2z4XxEDl1fgND5DolCoBKTEKIFhcLQ4tfnNECVIZP1/BUzAKrWeLWHswXdpzeKucBx2O 9nCJNoaGO35pxIZDVVQ7qRyqP3dPsDkKfQ7qHFi6SrWEEDac3qlNY2U2SIwo5AJnadH4RxIGu+Ne H1HRdnafWdYRfAa6uiopiXMtXP0Hs8DBTMskK6CLsC/rwneGyx+SkBZSTGMlsLhSs24tbhXhD+dU cqc0KLhYA0z0G8T1aiuN4OXvsP3saE+YTaiKUBOj6gwUJeoNm4A50chAf8+rxsDmgMPwzvMk0Lti mgmdSKaCsc1Bwj9AykJBGLfE/l2dA9mJWHKgMyzaiClpqzDp6BERyjYZwa2PqEz43S0zbvuYneZm r94/RP8VC6vIqjLyL/x2G/EFElJ8T04/iBpsf32pVvDmF0tr78hjs+GLjZf5N3Jq+J23SjYLmS3R 8FSATknUL3SAd6KSdLUgEhBsukcPA9IHIOCOyhDpLxiLMfhnhHku6tUWH8aN7Oe5P7Z/oojVnqC3 Q19utUo2g29FAAJ6Z/leOA4zt7fRFLOdNza1+AGpfhkBp5Vx3JEiVMXENWlqHR8BoVhB83NVsPGX g1wv6LI1dTRvDLmqVBBkE3M1ZB4qxq/XsrEdhdCfsyhoauvRNQe0Gu5wqloAK1Qy3/e+5w72wQxj MatfrlS5W9v23u43/RqUDRCkAZ7Z1YLqUo2Xx3eCo+VFpLeeFL3vcgHITzGVmuVGI1tCTOBFGxYt +6vTRoGgRq5QkXUXWo8tqIGaSx4c0LYkO6FUPjF9ZLSv9vbCPWD/bFj/PSktPaL3qx3e7nwhq8ec jfnGIdvJW1O0H4UhtizI+3Hr7ODL/R9FoWJ4YQydC0vH3ZRrWf9A0Zi9xvL+c/WEcSvF5zPIo55P TY6Yk3rwW4jwwzNUeVF2ty9VGaE17M3AbGkugQS8awLV1WQ72T4fr6/Rm2Eco+8mfwTdDUxZ4TOt HBHElmKLIFu0C6HwoDtt9+hkDHpj58JZGeDJXw0tzYDZhXBMrE3RDiCbNeBnYo3G0b2IknCZYlgX Yl38FptT82EGfRZcNpqvmloxocpat3GWYPFOHr/9zcNAurzwrOtOdfX5jZZnW/Hnagn8CpFc4U2E 2qQDI1w3wxTabG+L1lUfoNhIYUbYscm8qaPvlrtjYRqaJ10wlMeT7hAu7YGNgDpVec4HrPEVCL6D xoRgRzjNCI2p9OWkeR/nkkWVrEJkm9zWcICg/b3OnEt+U5zTjZqoss27WoJfh7sPXViT0C1tOhgQ sA+GfkyQKYP/atg/nw4mbu0qXl8UerUUE+TrlM57DzBb8vW7lWbLj0NSBprV88DwZ4qxyeQA1XRn aqVCF8OdpCM0UxtRaWrAx2B6sZKXrUkB5N8DPAUCJ2sGS2Uxry5DA/IGkXTAlMG4G7xy34WCLZf+ QZIQTiQqz/tAo3MojUXlJ7XkB4k+jT/HzfZ+q6potlm3mrSmkZ2LNS59o8Wo6Ak4eAB2Q8FmkjEZ q+cb6xlEgg9W8x63SlZRPKzRinUWudaSttZcHzOxgSsyT6m84BpC+uXSAvl6irfbW68YaoaB8pHO GAW/88bJ4KBlvPy6JQO4FuB4fdz4f4jZ1CN5jFFkhsfkK0Domt+vHp8LtIVeudx19BvD9A83Jk+d O2g1YGb1U7LNWmHfOC+Q+WQfYzm3Xp65YeqZHD1zH2OEsTCDXasn0T0NBupgdpPGnEuVP2nRhwxT DgG6FDJ9CD8sYCfrRhxqRB44uWCX04JNXYfdLflOX3D+pAB72Tyw9EX9dtyQdSc1ikXFIp02zNj6 Wj64QWc9gc7J9T42AYpb3YZn+/hj/quQbStJeaiHGUyIe5BnldpWgW8qcIHA+Hyw/lPcE7EAUM3T HhHjEiJOD0f6+6s3ld77xGx1yHu+tUFtnMSzTLJv/UHT7d6mEudkKXj+3WtFrl13eplrTZTXG/8q MRat/x4tRfAdgA0zAxhfCykrzZt9dJbz4vK9Rn88TfaiEmBAPBi80xYsl8cwCv+h68OAwbR46sPv ATHOXZnklB3mYtC1fcbNyTd2RSQZQq9GB4NRZS000TPMSc06RFW2/omTApFi7DMiV+kVlL6SgaHz Brj6kKX4B7lMc6CNI1ZSG7r5eP7O7Grf2tYwRge6bvp2+ZPoYQ11Kda9m7er2m2Ye39MbbBnnzUq 2yYCMRr/n4WqhNe4sg7tn5H8H0hbOc0uG/35uX9ON4wzehv/BkXKgbQsrnio94zhQv3c2BqlJtCu j9DOGG9EciQCp2XRmOrpR+I/qQPha0FTnQvb5i9QBQWqljZKhx8EHr0zA7I22B972lWe8l0tVJ64 bpobubi3TGIusvcTwNGBnnJu4VhmMuhQVoy0y+8EhfwTIhY7G4PN6Hd9ERfg7WZIcVbNlFTDQpZ2 8WMliz2no9Unemf8KDrrHBy3Oot8zqicqI/eTLbkM09x7+OboPkty/LsqS4LcejKtrQrpA12DDZC DZX9z4oDY3GdQQF1ylvaJnHy6o9v+1bHeDicbtUn9MfYd7gLVQ6pGpwwvhhANCh8FqFNG7Aunsb4 vyX+uA+rdtFEme/PqKqemCV3eEeQPe2YdV9ZOAhBdhRvMDUK8sj1ag57nVGNgK4enS+3ytHqWRa5 jrMAY6X8/o9jZ4yTJGaev7Y2JX43Dpva4Lpttpd3CE+iN+yyetP1e+MMjR1jQAwxaAZuPoe8uh9m a+9u9NFmNUxan0r0DO9jTC2GBMylisCrdp2fn/OTFNQzP70oyGUpKhAGar6KfNvdc+IJgnaLqe0U 82vIfkQuujzShBCKc6WkH4VVN9Hp893nt6/Ha5dAEGW7UYrMMkNV7wWBuPRd04MIqtB66jT+LLPL oOCDP5koULHGiI47Pul6YOD+2SlLJVjR5u7cUfU8xTwhLuyHuHxOmofX5uYetrsb6+Kbc5fL8Zl8 uvYMLCQNx8nsKtXhsHyd+okjS/O5Rn28VQiciNbROsYrOT0pIXz1v1WQPF7FADOvUhm33ST0Vmh3 jp2F1svnbkr/u+n3qR/xFj8kqXzg0h3sNOZ8K+1BDazzAuNzwxsbdSMbV1p7RTze5i+HiG75a7Qa UhV0lFXYulY/LY4LrTIPC7c8U7IoK5HXdbaYC7Yar4mAdwYOq56RpELGzmLBzoH0JIT47CXACoLr YrKvyjwWMEyGtQOB5owEKFCP07g+BQ45OUkP7pAqWgbhIP5P55rOlJ+QvZETuAZh+nZSAgWFBU2s 627IP25FDEQjq3ypM27pc2Kiq9CJkH7nix9HpzsUVe3sUYWinQRE5dAaRdo4VEMwpr21ceqGFdN6 TNaLDW7ihBvbFbAzM4Roz/5DjatlUoFX6sfFeRTVmypoC9TwraPzRY9fdtJuxGgH1pQ2/ozeT9aM LUGxDYQZw34g3v1j26/Plhd+E0jWEpx4mKLLroJ7G0D/S71HC0uLw3M/G3VoTEkdp0j91crmb2pk YaIx6u3FzdIx6Hiz2ku7ud2X/CIbxo4Av/0iHs1qe9SfCJ7LZlxdp+OH3u0Xm2CIp8p4Od+FJ0XP S/RfuYiVwLoyuE6Z4wlN3XPN3nPJzj6JlJzTtCl1lJ3WIKt3HfgBD6CVIgEU+YG2vfa9kAvwvjn8 xwbnEKFkLsqHPN+p103E0gUeviUzg0Dqb03fnDw6+twgld6RO/U9HE7QC4nf4V8VyjSLiGEipUUs r8x9HRQhNWQej/1sK5if0RrAzg2ZVt5RDDxn6t5qtD/nj9GhmVb9Y6oMGRJprFde6SDOElmGTbns dUITHpTNC+ZO14GcRMgcK3SOFtQczYJNQmSwz0jTD11zoos4TCpPjoBILzf/EvKV8bKO428lY+1H wqrWNe2Gq5JhQoEegpngtrtksMwC6Ww54GyZYeYTG4xz6DPzZGW9wdowYwAFwA6/N9Pwp2uo9xjC Rg3OqUEDFTkkFfl7JCtbpx4++gcQuJttOuEk6mpzDpblQoEozxWcAmdmq7u7IY3/3KucAQxWVr32 cdVfgUaYjP9je4fNNL3guUNCzv9C2UO0U2ohHALiNEwn/cKihqmm8/1gz6cSPvXjsmhe3Y4gQ/Z7 QPwo1ytHZpjXcWQGgcWB6KZZTkPrVvyXRYdRE+Xy7UItnLpge9LHhHTYr7j92tiGD1UNoXvxTbV5 lveQjkFREZyyKEnXYBc79o3BmwoS9Hf5gu9fKgDUKfNFJXpUQFrX/sR0N5youcILAfEZBDDJ8154 KOKUFuDbUI8BP2FNtQcJev4rnCF9eidwzlxKunv0hVPiBRP1HDbZiNFnDNqCiPKbIyG3RbiuULkK gMIXendETPgzgNO33o8/gSLViTF4IUUCZExRaeqrOrSmGivQshsiRUlbqHM2javkKb93lBzAd6uL DNBD9BpNdHhVn/x6Eh9G01cWhjWJ6K1e2olz2UOuEyh9nnUSblTdoEQf561SWPnT9mfVRK44Bxcg OOtzRuSagY3KfeK8uI1IrhIxsz4HUlU8HIyIWxGiWiOhnW3dpDDcht7COrrXyECDOtF7xtvhVu6Z NTl8fH59eGElY2j16gonWi+y6kZFcREAl+WrHY9TkPexRLGosUXaXtB5/pSYfeiFi7KlS57PnaGe 0PE8F2LyqDbhqjP994OczeZlWJIru0fu6YlbM/kim0PM3cmYb5n64p0ZW/1D+l70Oj+k2D2+ha9T 3aEETACoiV1mFwnLMXflOBb+EQdq6i7I+ySuI7e2f+aAW6gr4Igv93V50mcb1T8krMAScqBDUbYL 8PaZEgHKsHpBw8ZSHSzne6pNVQ7bislB9gVZg5UU0etkFipPcE1RBA2FCkhP9PXAOc2i9sx0LUtk 6cizK7bu5juCTieVZ3Oktaluzufv7NjyZCtppSxeuAixqyv/xPnZxhpD8nn9usX7G8pFp+Ds6jX2 0LfAvxvIQvKq8oht6B4SdwbawSCmWtZhk/uIjOWj5qGmW1N/edZnHkvDR0Ac0RQWnp50Ri+OFIdZ w+sydye+7m/hS9oywQEJJQ5uKQ1bihhIJodfK3TaWxA3/q9YUVq0dCq5YRaDqMWUbVwooHvCDO7E KerAJHo3ZxAe/mJxg7Xii7GeWfmlZ2xsWhekdhweHNqvGGaoHHhYtnIk+O5wI/tAAzc9nx82S/0r bD12XsUSPAC5Hmc35SJWW9H1gt+dulizvy4IhPHJKnyOMr95HR5nlCA/pY0B4te1o+ekIjl52XpS TajSPzCnY9AbSji/8GI/HdlaQvZuP/+0Yj+SLtmfVsdC5mgwdMwdH2qVSdYdt+lz+mufZkpijbcq hNylZzvV7oQyVlDz20giIYQi0yYOvZJKx0S7KmeSoQe1ac8/QnjiZurCJ2go61Y3F17Zq9ax8tAg 5/WDTxCQNm8UBlHT3Le66QE7Pvow9AdYA4QZJ8O41xKc086JY6nCRI+ViVprhs9P1eZxCHMEl9bF hcuycErnxnNpgQCAiH8wZpKs/IAlhvqVgHUa6uVGS8VD8Rkt3CppoRsq0IN36kxLQfKYSzimpUDy XdEwbFOfdy0NrUFNoy4qiMYhn1Zhg4zbrBTGQhy3wKy6Tn0qNcFZsfS+4IpfQxlcQWyqTAwSt/Hl 8Lv+oGRTV1RYwZ1V6Zy41vE8HP2KgvwF985Ws1+FJA936ojVMpxnxNepYHp1ntkLNiWp4vCv48Y/ ZVqT/tMcpnBUB1XernrAp8YCkBvzqr/l72yVfhGS2jDw61uaQQK/XDR1jTZ7HblaTNP4qwgJleJ4 CB4qXtGmzKaGQGguRteESK5ynm10Gy2frnnfYY8KD+u8fgPlmo7/LuHtfKtzZIuKM7KlLHGEsbH2 4VPGUlJLujmUeQGr4oq0qmXNx78cvl5sDccEDpCo8xYJieqmQ4WrOZ5I9srX1NUEvhVkmGmoSJnj XEWOovEnmIDEx3FqJIi6TSNDczQHT9vTOOnwaYHX6db+ITqwW0KBpxzZk7R6SjcWJ2Fo3XU6D/d6 iiJI9w8Dix3q2/9qcsx8jy+tk/ycnqDDh38R0Y4pS78ZxWXCbn9iWIY/6bPVZtz+hTc7qolaXvmk wpdPVJv/u636ZVe60+XSnP5WiXWoCKc23FOLrbuxLefrGGLmvZ+HDHU7bKapozNR52MBz8EA8nAt 09iS/XoZy1TT/LkyAsfo8+ZE+7nEuqwDBPuXWPA2peHOkKlZMAfF10zVknza/8eCw3VUcco0O8rH dZJW2jKAsD3Gkx41MEBOhBFjaM7+g0U7cKjMCN2qMKUvTKUYXxsnV9159GRSXGsbsDJxllLl1/zC B9JdFrWKMHNOkFIErIYoCQqI/4/OArv5YNdo22vc56hIRtmj5VR/f7AlH089MqQb85xAofba2MJL EGYbkFyn9Cw52vS2PhMtFiaHRTotBnd+dRr5ZlqFs8sqo3a1JoYsM8TBi1bEGt+c1DX+1JCV76gL NJ2Dk209hYTR8DvKmSqKlub+P2NGB1NE6ftRILLlXkLgSzV+dlrFjCXgiySzJpuVMLMeDnuffKIh rD2mQqwh6OIa5VHc83GK0yueG+EPj0TY4ej1T4ZsnmTuOFavUOw/wRsMP3BKRc1UZ+OtsF3END8W 4+JaWyp1iT7yDoV1DLPa6g9QZ3olC/09ENplYU9MQ7ws3Xp9CHBlyZ88OdxKKS5nYVCEdKSZlg5G W0pI6Z4txTlONxbicqUthQfl/H4dz132m57+/xwVYosAU4IPaNR/7a+ogrt0g5tXGJ8tkPkYqo+z 7YxIvZ03F4MMn5qMyPwnAJhH6I8d2YaOwTk8Li0TFs7lTX/x0HMyhQROXSELiYAu2YZUQ0HHbNw0 6diLkfJzxH9r/Tx0ao99HpwWFFWGsvqvGyYRco9TdeP/ZOd8E34tdjaqedA+VAkMUpp9+PrhY/VZ 7gldzTfc2SuNbi2AQGbDnAiS2lfv/O7EULIS7JWaH9a3iAIBPozM6MrgbQTmAqOrqg7HWGroVGIv odBCL777RFHOwIhfos8Ak5gHv5/C45CofCw7H44FySxgfVsjNIbj/pmlImk2x9p/usVQp6mXZfPZ obOTR4NCZdhJsHNg+ZBI4LAgem8ezzfXBq2tKXmx4pt4ZxoDfQ146pVAGySSiswwn4bx6AfqJcB2 ITkeCPivFOJw2acr0TNuA3qPdEwUxeCD3TPbAu7RqsdYdG4jpP5ZVY8mc/wjji7LEMDNNwpUj04d kEKYHxLw9IGjKtrrdbiquHOjJkxpdR8hIfgYQLep64YBGmJ1/duo4QfN5yGs8acrcDM8Wem/cAQW ORfCAEX0R+7XRgY+u6tnC8UxcN7VInQJ2jiXa29RHnkpo21N+QK7M98efwZT7X+Qtxcn+YAosZ2V CqNaqvQeV8cnwb0Dwjyz4sdXKQEgj8MLAmipSPGC6/AjvzRBFJwiSgHvNjBPDLt4i6vLmsYYlDb4 uvqmsscn1PGB0D/TJHHpPqMQv4gETGD2xgEgROkVWODCLEoSA4KBKKZucCAFk0ZjeppipZ/wuWV3 2njKL9cC8V0dvLHj9xzCTxMHdTwlSWfq9gOFeGDh+P/AwpKi9BmBGNJ+BeU6GfKRzdbE8ZvLUD5l RRRdeFjv00p9wR435Oq2QV+pb/OPh3hnhWtGjXN5bm/hrQV35xDMBvJYFaPUo0YS+0MQCgDSzR9K 1XgiUg/GPzP1oHo+2GQqt1OnlTe7IH+ELOSmvCjTG6h7BBE0faj4UTX/Bja1J0RlYnMNcLglEPUj RA39DKV2t3Qjv2RcNrEXqmmcQwmg/SNewHFY+/3LMZJnKufxr5OJSSExkpwUn1W6nerItjAVLVem dsrDQ/idB+IDbZzrQu2WZfSOGrV+XbyU05VjPZ/xYQAg7Q6F5ItyUCXUKNQgDW1VTOBEShmm76w6 4ibLDguj+pKm/zXzzP5v+Q7UA8lGKsjVt/mw3ad8gum+32hGKqXAAWAiMF4yRk3DoiBq+Ck63K23 NH8IujnbsS/Viq5NU8tA79C1yxYKO1ubiYAZVIvvjWpetJe/Qh6ndIsqIOpoe8m/znfVqi2ltjC/ Aivse9cdssvwfIUbY8/m+vCxg5Uvqll/AKOF0nWscAwt8mGxFwS+mbqj0+P+hGXaU37YrfZ+dw9Y XVqg5Aib4zt90MIACbTwCgn5A4FAYPQYuHYexOPiQQ9jOjg+gcGY2/qjNYXD+2y06rSGNdqjbZBt 8m4k8MHLmsAH0gyRYejer910l/mIH6l9ccTroxINEZ12KSfMrsDFJFu4G/QR3ImFgeYEvSP1lQlc cQWf9DgGoaiAPFPb48fw4jRYTCi1ERT+OlMVa6KE3AUfiasAWsPzVR71B/bJwF3H8iPOvjxND4rg ge4mDuU5Uy0G0q63bjqEdVk5LaYVN9hR4vdqIC2lqJg60PIDghQIbu4L9/RNiCf4jPOcEBTMqSb2 MS7ivkEEXPVdc6iPe3u/yNdcQcF+KDP+9hf9uGY9YNPkJJ3B95/isy5mEIb/goWon2UO71ki5xJ7 uwZR1DZAW3GJbywvmqqbhs/X9E5xzFmsNTswOq2u+LexJ42shVqf8XlyE6M0S6Gng0bk+5KI6HUa wYLthp/ZCtHQkD5jMT+wCxXcqgxx6Vb7DHSNU76qO8zXHhrEXH27L6KLcLM0+g00kzL8TalXXS2S aDAETNASjnTZbn/jpP3PfU8Jm3Pso3k261gwH0tzpW8SXhandMrFOMT+vV/cylO/ogBPJ/FJWOxP Mt91rb/ySedSRVUdN31E7lRLeO6ijWaS72dlcWmrvI00HtZMcs2rDE8CiYCJsDhpaJ3i8EE6M1OS QS4wxOcz5w8P1RBhGD+x+HTd0OIT/CcFI+TCQQQZAmLJ2HeMj0LvnWGuwiXxhUnEKmagHET+OjrX D7EApaB9Wqh+XCiYBzfmGWUT4T0CS5O607nnPbINDNoQNwDKXth/a/dH7MqDpwV9WRgtigM48V7M hr0EsFFVZPycr2jNUbmlu6uRVmUkCRdwazxLNM9I0IsOXoHdj54CTA+VLPoT7GVnvNG9a20P62Yk yCS/u7oJxNE5Rs9gQTMNsLWIvQJyfI+GGnAb5lJ46e4RgNGNKNea6JoGpM11QNM0NHQDtXdSiTzA qv2usaSF/nr+JYE4c4hhuIOUK1k2mWqOQmJcoZ3AjjdoelKk/HXXwX9EDqiPwhuXVVw/PyUQaxQY 7OQHfVEdVny8UdOcOX1RzfFfjR8HeMmerOSEOPjm9PsD5S94TJsdxuvAIHLAuboUp89n5bojQMK2 nYoh+LQzwIoFFJ1P0fTQtbsAwy9YoccUiQH+wkeYw530NSIVuCj/0T3B9k3+o4T84dkKMcyz6tTP zLhG744IjARqKJCehK8Wlhoe9312p7Bg/ld/vtjKIiQXyTsx0HdsDO7JrDiFRZWpIAmfu4MyOa/N m2p617OoHAO/96I6y2KyOpMPi2wpd92hyT7wskcLpcXc/3MAH6Xf+LYUuMFQVhQ6y9hN+y7HEuMp B95oUPvWowdOeK8T24mXkhOyHN3GIl70jgNVNPjdIsz55ZwbRUnE1D8ioQ4v6noBnhih5d8kFL7k QGxK14kf35BCUsCsGN3uAPN3sZLhb9ijxkjnWC0jU56ozNzcJOFIEGbShH6415CqPHWvtssqCFiv PJ2i3Hz4DqBzczZiixxdofSHcbVFnnN6gBJ+9qbudWfR1p8JTPS4jwURHp1/C2ZXbdhLPGQzEmCu 5OSmSo5Frz5Ulam1MA3ePrZp3y5+y3rEaVmHNsXEPVqTKtxXI+6rWMmUANCtMzMXyRJqF/+qUvQU dZVQWfn2nkZyKBw3UPmVHs7oVbwmqeKJLmWVXX5J0ztwdSNv1aCp5ANjZWRBlhqhH1gVJ2+88/zx bPKkMWoFueVlv+nCGJx6+qmuTkdH1yxfG1wNZzRd1aszTloXdThGTkE/txaPsdQzsPXqskqqgJAq qVB8Z27ivXGk8idziZrKHWe1271By3sbWUVfuMGviXYhgCuz2BOoh1ymdM6vh61LT9HZwBmP2Yzd g9GpLWte9MzBdRr9kX8uM5RyMaxa2uX6AR5/4Ze1Qw9xmJwxBTbCJMN+C+Bv1iWtOYII/lEsjJNx mrB85jJEGtUCut8lQlvVKNNwHpNdDKXOvOLeShdAM3Bd8xVh8RNzQhU5q1RKPCtQHLH1OtVS/Qbb BaO8OsNod7kYN0LSxUiOMjzoLM2RkuinfNNrO2LJfc21XUvYOWg9UqFs01R1WdR1/N705nK5x/Wm I9FTfb9NrMbUH21znycY03dwoqbRJIGPLZwyg1j2qOcGf71P32bNu305yv3shwrDyinBKI8ddce4 /lI4HFf45KR9vSQ/CQjtW0au799LdYh087j7z7Z3SuXi5UJhNJntYFzj54z5ecJfxv+1/2vebn/z 79ht8COZ8QcLAFRrwxLt+h2Q+Rziw4js/8YzDgVM2BEpdgNl7rNQF+tLHPizF4f5Aba8B0xqMpjq ewr/dQorXisP9+waQSV5mU1jgtq4G5Qa0rW1F0v7OXtH2jF/W8Q0LX8ukxi99TZGcLtD1JkIHAqv Sv4K0puuLKmJQiDuRmfW4x2vCcHVNF/ffwiJ1RDh+mYkbI//xoTgdFc+nO3y83CD670uA59G91ld vNtGWv+Ee4N1U3Qn9HHV7jFsWjV3buLssPN7AL9g9iSnWkQ2m/AI8bzwv+rVwF90/3KhjoMwtzoj O+gkzRcE+Pd5piJF+U3rKXrGt6L4nxcoP9ZW0uulbbjCC7jq0zNWsU8wxIJl62OWt6YLdrmLX/Se oIb29EF4wO0cphPc8BcSRsQPYlCqpsH9e84MQu+frOL+Cv7miKa35pxOF3t3lfnwrNhEwkONplxW A8zt6wgHQxzqWdS0AYHCX1dwE8hlpWBbkQJs3Jm1A0KYBWeSCpbYOooiBloup00aeakl9zkMUCK/ MV5PCBAL5Q2YewxWva0e/PvblmayPwmQo7uYa3FO+WUCmAg441q+Z3opQEI96G4BuWz2gfPaR5n5 oc3LpQtPBJZ1/NKwAZ0IZPi9p6bqYwvebpXsdmnXr5pUaEvUl7lsZFvlK8LpjBVpl9qOq9AkA9Yb YSeYKt0FAw/Q5Yw0sTPEDCUedNYbbvxPYcP3VRbior/GLU38gwH0rYvh+uKWQ7hZEfPfT8QG7ZW0 MzqMsX3Ito1Ik4BhJyt/+emxy1bAV00hT9lF8CeZI2aY7ve76PqmvigdcrZMUANw5zaqdjIeEG+r YBNRj/Frfej44mUmpd5CmbVj4YXTrTiwzLs0HLGhPs54fe5IYaGlO5FjHBly/PsIJNOI2JhV3Z8b vwsqHjpGOlOigo1EYwFfIVVaqC1ImHGYuauY4WLM5VJDI+Ce3rybOezHSKlciz6OBw8z2T5ZFAuJ 3714ShGur+ZszPLIwwxlg5MHTZn+jFNHLrDnUrdjStDZvVX0LXnw8CjcuUIfY6rPxAmKEXZTRBe9 B2xgodW9wSsKjtPtZm8IBz8Cj4/R+tAXI4/f46a1pyKFkll7pv3zw9lb04OlMoCC2UvGJs9TysWD LHr/Av2m1uTDtHKqAH5qH0RbrnrWLjryoucha2A2yo0N/GgeVsEvjQz29aMn76QL1AhiVy0qfdk5 8iKSRAoNsTw/nIAa+DJkZrJKbvdyMXolVt68Ziiw17RF/IK8G+gROa+JtPbx+dnR7U3yNYdpTd8f hYWB3FFmGqI/VbES4eSziVcXAFOGTKhugbILcGkfzXkDEOtwokMtLxSLnOlHD/4aTqD9r+hI32XN 7BN4uTuS6609MTwAos/hNjaepWg9KBkpV+yc1INTidngS/zmQm1h4HynAl/TlglvVs9bh6t+HdPM +nNt5SFtK/IVrBCiMPlaJRXWyZIT/oT8sUab+bVg0Z0tKnffty2mTpYsmyhe5mmby0tD7KfboMIG veeR6eew1RH6KffsAFcKn27CD0K9P24gDbML6ow0QOLsXLhr0zFc5ZRNbcLyU2w2rOkp5p2+zAuX 0l1UPRA7iXIizM5hmdcnxLfEKvIjd9a/elhd5nGXgoW9Cnq9/qHaXkjjQLzXjqhgu92NGJxidwfK ii+o5Mz8zgFeyicNIydXoyHUVgjz9HTjwL3IacYnxcRymk882R0iW8DgAVpUJZuhzZxQ/pYWqYBF I2HKhbm4M+p9G6dbT+PWWDPj4eYOq8OtlfMrgFugPniaoG7IweZEbVI1HbCRSOb/i4ImHjUi7gyY 5bqMPLRpwwG9SSyUbnh9wUFwzw4UTFoIjQYjQWX6IEPwe3P+nFk0Fa5jyMr9o4Mi5Zp9r+WiPjRN mUvmQAbI/m6mN5FFtFLr0gHxtNCLuDBV8aoVAGSzGFiH871z4SJs0LQbkrjr++8wyMwBSAZwSbb4 n0zqbWZ8R6od2p2BYDHCO/JT3ae0OW9LSHt+F4eVA6tOC3tvxFlTLe/dB8oUZJ7p2fwBnORGUk4l QCkNaAiwgHo1EP1EbT6giIGtg/3i53PMetnluOv6Bl1v/089ZwTJ7sE5BaSm1uSbef4M9qO8lJol iDUW+hmp1O4M4T+ZuZv2pS/hHbQ7rolkMW3cTmIBnNiBpZdVzVzWI6O/+4+t03KlJOlaL0//dx5e 7nD74ap+U8YFRkFH0Q7SI3A9wRFIkjYiUrxykqSK2VqHNA6Dn4iHVKvFuqK0mpG6oDbUEkDCwCIM sTuSIDyX6cSwYvIbzzL5PCz7f+UedbScxQ5/FNn52KCQDb8sxVxqQjr27x1ltVABrn2vzYpuwt3G qOQO3lm06m/dkFunSR2/WTeeoXmeMBZuMQqsIT0+SrFjB9v42B8sitK6jmLRBqiuUh22o/K72obg DzctWOPnwF/6ys4z0FjQ9+so/RvxsWBud5eBfAjyfdxkRFAa9nd1uSvKUjtiKMomfPHiBH5Y9pz1 UXD+KzxK2ZvVNWCVjTcgU0qaDQLYslYINa7Mhy9U6bOzuDkd2aS8/yEoVzfepKtY1U96s29XhxC0 j7kwh7TFlZFlcbeeFZY0gVkQdpTHMpvdP/R5Q3EGu7cuFJlth+j9VrazUU3OfS4HIZ7vmy1cpd51 BiJuS0pO6dYmdmFiL6IaVlM5I2eQ1JNWu0OAr7Gzku0WgOMpRSLK0K9A84ed3h7MRt737NVhBe3X 3LVHCoYUDToTNuPh0nSTrX9cHdBeYepEWiA6l+DdraPz8z99dwBgwQIRN5zh/9hH8ZtzHc00x2Al MUG1G1D7hFb4mAxVSyryJzTF9vl7VQzJCZeOQES13nSchXgoppfw2/rTABMO/imu5ds2ZD1XTFjg zGNX/amB3b9Q5dBB8w/XTVSBJT3IYkVUiIFFjMqmVfH8ybCZ0EKmF+vJmE+IQlWELkAYDz7MU1Th dQbiQJPVz6Lf2tWleNhfjgq/cjPGJEfN0neL+AYGOxNJ6Z1YlNbvjXF4pGcjVr4LPCYyxnGTfr83 m3DOYk5dWux5XgNws213V/yca9uaKbMXepJ07e2S8J4CdCN9NHpm4/Ys56Bl15pHIN+APlsI6cqF W2R/73qSCAThaF8ndx/kOM/n/UngDFvghoh3u2dENtoTC2eKPi94mRMKDKs/9/HeqI/9tjJ7mn8Z jiM9+WIADhQCuCmwwmltNuk2DBTWqbIHZpzTHplgLsp8SuvYnW42JO4mmATcVFAmuz6lPrdaTrT/ uNxIUsp5NlEtMWWaOIIDgrBi3aU1BHwTzgvRJRkwtaQjSL9XeaqzY8tptLk1pw1h7wLCH48U7JmA GeC5xuFwKuILUOkONhmboty4YgZu0e9wXk4lbWKh2RqkN1h1TxhsfrAAjMqmHQtXu+X2NeYUEyEV hOKzQoB6Fq8JRcAxLaqOwE9Ft2WptSGCb+XEMSgZSrh7V33Rh5PI7BouR/zvYhJBAxTvOB8+jvr7 OnIZrXZe6tfU0QzJSKrOBd5sDXUWdfmdoRzmtk9jHgzO+1VIrU+RE7G7jjDJIPznZYI5i+1RVJi2 7p2EihRoZjlsRp+SWiB98H1j+FSifIPocL7cruMAkBdAZxRKiInFga/Uh6E2dZiHsqKTmlMi3xR7 wlZYfMUaTFshUf6PPIonyZBFSBauW0daUCqmx/6zI7VUz6pNZvrqlJMiijmsMH8zaigb4wdSTPIL 3MmIk9Ce/jtMBAbCzG0jaqRJzR9ZVqq3T0qVRuXe57zD0LChIgS3bOv4XD9Q500w/k8DRLhM3GWV FS5yvMiFY+z794Q+F70bOKOU/mDNuuWUrOAED6WnO0qCoCVuu/CQwzjUF2OYEvIj4A0Gow0lqYQ2 6KFIC1Ty4rel/ryM4jXj1ZUUye/YIZWO9QctXX24smyZ/kK1nu8cgxL7+qZsEuoBNTeygAsJJrtV ZSnedD3g2zp1ApPw37oCX3MKTM5nsm0/HqDtfW5IP6AH0ggpfccyvROW86m7lQ9FRwEnedhmkbz4 nDx0NsyO0veiD2F4p87viIr2K/84oAtgyqpTcYTJ1iadjVsPC95hw7q0rPgjEHt27VUVbKwIPjps WqTYaUfBcSvq7U8Nd6TFqKbXny+h+6XH8bjhUsdmidrcSlzDWVy+BXsoXI+U/acAX9C57N6a0HOZ wAsouNcOI1B7kROL4tlvyeZXityXxbv49xE9ZJPwsUUuQ0FA8qpA7H48SZaM8de/GVqTdmKdIELg dazE8gZBhpA6LUmeEbdIPh45DbipQrK2gHVZrm2vIoWPtAaODa2spTa//SemFuPI8oScYQHdZWn9 zXN+rpphthJeSAZbNMOpAwbSCvEvsHHu6wpaDsCrYGzXODDCRcCqarzq2w5PTYakoJDTOdeZaT5v ig8VWcSgdjYOCM4IZf5yDsXyJkY5j5VLzQRsFLMcmIN4NQfFGDf2v/wWDgezlYf4K9CF+ctOXXmq ssZMNrIzpi5ICD+vGIvdbar2AIDWCz6OcyO11eV/sz9c1CPCji2WidJoGz4RQB173R6GPkgofvCl VYOXWjuTpcKwOjBl1uwH9KV7T+u8DBhXw+yEcS5JrzSopATt837zWIDK5N2o5zPaMkyLDgp0Tsq1 IfpN5smYZHmQ0RfAw4K1Vpb1oy5o+4iWeITMWdB6lU8FvWnxKOmJXP/DSbAHxiY8IQHjZP2CIueM DL6iOdOX8TdJXFZKCORJrI4A5gkpBdO8BT8H3TjMmtfazucSRD9j1sdXSa3Hb9run0SY+AyxPfO/ ykz/TOcEDLtt1644sW6U4vZ01TxJwcd1EOQHEzjRyO8IqzYLxe3J+wutr2v1EJkohFhDBw7Vf1Mx 1RTl7pejP+e2HDcL4YIx0l4jPM7ujoO9T/+hUnEjDsDfov4MTST2XctSffKJKmZ/qZww4zVESchR tzLFgcM50bfucYMXMKLdsW44P2TsE2HWHymlQt61+5WNZpaW105sd00xnCWqsjcHiP3I1mfTftJA fRIdU8Q8i0iPOM5sks6f61tEkUiO5M+ZWyrUgbpH27aDTccH91mfI/YKy+OjSXC2rENnAihrsz1s o/31J244GY0X7Uie9BTRaPcP/DtfC1cNYh+XSnJ0eZxB6Yfe+MN7uU4R44W7Q0ofZmFPtFhfDU+/ b8qMUGYbUSAVx6GlSN5dwl2beOjamVOmi9UI4yl2ednXr3qM3wYvLcRZpLSICKBc69JziXEayCDv VZpB74Ls82WjD/ZQleV0nY1wzMdx2H+prlcaEMcjUnPtmwUyYURXifrJJXGTBCZAlKYinQT4BPLw QxmXHxq6iHP6O/TrPXB3bBRU+PCUj8j+NaF/xhf2kWYlEUv99ve2PdWQCYsV1N1cn1xRZc7DLgyO ulWUouV7IxYaZla2ECjW9h4m8PgsytWDBlEd2pY/hOXF4UcQLOdJxRrG9IVy9D13XeQZD/1BFuzr z/UpjMYJEOt6KNBRFRFv0cC3VkyIvqID/fi3yY6nCrTZyQIzs6pOOtOHbdd5sVWh6rgzlKVsLVX7 kgwmXgXevcthxdrxJuMjCkpsVS/cXNfGuBd8kl2aBP0A8KDardZCnF3XRy9CADyUQz38afKQienx twERCJyDtuDGBLnr880i6PJdaRNkRrp1JFEhWDpr7Yd1n+Hl3+UX+5by7SdNiKrkSwWfDggJWarM qaskSRwWzoqiojo4YUTrM3qpx+CgzBGmNxVxgZn/rmhUbVTgaYaDiMvg9Kak1R05yhRtNBK9vNdU zZoj5vpL1ZweXD+jFW4y5f6SigV3ZhjlNSVxwl4WqNsi8XCNnIvZ3JC1K689xq2+SDjN1VBeZQj+ RD95ZefOTqmz3lD4rIfVnB/HOIaRvcI6JjSEymcj+uvFVCaYJ1ip8XRGKNciklFuksGCE5iXY3QA r4LpP4lVtgnkD4TuuZBoIOEpsc+U0aDZ84bAEUh4QG729MF5w09USO9Y6SmlWaQK5A2n9Pg+BoVG UX0F2Eik9f8n30bVj5VOXvdn8ggHq0AVCk1z7RUN0KTog55LGSifFWFzAyl5z9jZPGqvOULFdYjh J1+NxBfrl3uEK7Cbuqu37iHKMjbicoDI44iho9NFAEqFMHY2vi8mB6j4M8XWVMLSEsO9FJof9pf+ ZY3pM0dLz4lD/5dgrsz3UaiTdK5GrczWXoS9n6MZ+pRNFTEUMTw1DtDa4X4wK1zJPzG1uUcTgMVa HcaeVhfkxP4d8PDaIn//x81KrawdKIsV4MBZrcOqjZl6+diCQ19f+PerCVjE9e4WgOXMba35IgAO qwQS+fQXil+N5VNdjrE/qvdsxt/riPO0vaGjMoYwZkL6Vt3dSGMOGDWqoygrfM57t+7lnucirqhu BHltBLFJ5mRiuwL4JjMt1x1LSnX2xaW04y/+IpnogU0jM4RxOu1tVzIudKSNz6mnw1xxVAE/kP/M jIR/Y4nJxC7lWljl6zhUhWagTvzmpp80ioCfwMfdBKUwhA6v29N6/QELUAstzFU/hz/1qiDqZZvf OcsON8ZqHFmfsYVP5Yoph9BmDap0baMoBg9Xo2g57kfG/p/BRw/3qPKvUYlAKezu0T9wIPLUU9Te wgxh0GQ8dFTceR7bA6wK4BLsN1/gkeYr+jjcfaUdIezPGM8QhKy+X5/WE1b+NQSVEdbcHN10Yd0x A/mzGJRid/8y1nvsSvfc7/X/oVGFflkw0JLzby3R06Q11wPkXrZY9nzJdfy+sZBtLOhkHzvSKTYJ WxbyGzvPhAVDQVMHUZ9Ndl4l5Izdhs/XG44xNxIQ6jAzSG0uVGhTOok4T3pTGDvHD+w9UtjObrQ0 TEvA9HMig5sBQGXTIRYrSfWIcTZ5dRC5OQvSTt/1iVVeKp9dqY1pZiCO5PUvz/kOEWzY42aiGUu6 feSuNs+km7tCBZqbrnyV/Qeq+XSInhSJBiiRBo4c8VaBDYIfQJBltjD7we2ZvLwqJXt9wbevPbOV fUNzP+hpOHeDDnaFV/QtDge1yf6lA5N1ssRdp48Z/2kICOtvIPfBbb5vR6N9f83v9i2Q776N1HCZ bB9gwz+a8lkNJn2kN7tG97+E7QDoXmct++vFmHu19QbZODE+Ic1nCbO46JGEG+OegGwX8ZUd6y41 wfll9Lq4J0mrFOrxlrD6Pg8aRRyqc3Xuy8i8A4Bey/Vd8T5J0I4EtdJ4cT3XzW2miq84wpqTum6j kwY+xhibdYLLwrjG2M29kmzHWzSQ3WwDHpuYOMMSty9Gl5bBlKiE+GHlCxLA3odVmfti8Nl/43jf 7khpwMNoK26GZh0V7CcjovFgg92qFCmSqRmz38RUQCnPVzgUtaQbrVraqyOlLf0lrCL/gCHn/CiL j0tbPxKdybcrIUu64qFoGiC2rZEt+5Z0EM0rnQz2g6Y9DRKexwgzF62tA/3RsyjTWOJr7v6S8FwC by2TFixkj17teroM4CwLdrv/iujGXlJy7v1mZ+iy/WtI1s4s3vH/DbUcoTZA1Q8JEuRBFFYhF7YY LSR3fkDSaJSnOhTfbCtP5q7GiyeoNTqEynC3NeGwLXePZ9rztIePxiMK6rtu3HmBWGVpHxbRLLEo fGtbjS4b1vojtO6lzvQgn8HRkqc7TxjoEmRAczfe1OT8mpRv75IPFqjeL3hQBGQ6z1jWhbUt57nv zyqHFaaR2lkUhLPmGMdH8W8elNpk7MVkAWcxyXR7tRPzWmztjfS7du/lBJPnVpLG3hbrSLCjAYDa mtKfoiXWd5gN2XYfMpNRB/20ubtNj6FdlpQh/iVfbtVTt95hkfhFWoYBnJzugnDNZ+vO39mi0BaX MO9TqLZidNdobajcp7liAbYekf/Ev6O3Y8jlPBe8xtnJVWdsY+WaPd3apH/EVL+BvZMVAW5ujWkd idwo5oc6a4nqIXUduOz5hUGb1t9KrCJoN4Rva80Ag6tp4AxNZ8Qs9HJAVOpiGYaj446QjUQEeZ4c uIHesWL0Khy2hQtqDokgWJYcLNZ3gwmDM0OVDgIa1l0ZfBAXU17Cn4X17n2bOxQnGNnUYEcqZKqP 2k+pwAq/78FZlcCJOr0c4NfP2OuQeSyFvoMR99mTv8S3S1rVyMDSzS6ZemDnZzMiEcP4ZELIiRN/ DSHmub3MLeDcjrHrjSmYfH86XE74tMBrn/6OkxZAy/0NYmT+8Tq/ma2ofEpS0n6xuqlEWYedw++A dy/JWn6v+iSvtPslXYrqpi9o4pOQWIF+PRUL6mp6AP/2O8owo9JQnmhOGfgnKX1a7XIn5jETUp0v pgSJ3HhNU/SrBCsKCPXI94ZynGN1n45/MPq+kskTa+fEdWJCAo/RFKsZyOiDvRMTanqeS14L2gZk aZuatFUjzaCZLtBnPj6wL1Zq54tu2/4aQnKYIxdMsDzv80auK4kK+EvE5aQy3hPfR2NGxTS1kdou YoziysZXVHwpvppKLUX7tCLDT4mgdx8IhS2rB1l+pQFOAT3NQ9PlS9cG1w7twLp++NEPW5hwo9mv mbql2AcUiymfEdIk1gKpepgRWhY6KM3zEezcKAHLsx/EOMAtP+lkO9SjlWbUt8GU2NdHWXjkNmM7 8jyOyGmrFy3oW0bYJvoBAPo3Km0evAK2Rw0WKvtbEqyR+KXRaMioDEP64XhqS2BvLElcoedAWyyL WiQZMJ2sqwhVju/fL+8wEao3xupt/xgby+pF2FVWh12mWQ2UwSJTLVuY1N7rEyr7mEVddGqw8o9z DjUKhxfh4ZjNwpwyiV5H6IgIYbLlDPKF3Gt6khdyME0nQKvkCAu4Q2D4ZJ/MuI4+CbPEWbepLF7W MFwbjoJICNZWlE7Dfskgd9Gcdl66M9XMhyjCeEg6/ZXvytdyRfR38MoIWm85P0/lDYMcfgUILSbo YvE9SfRxvqQOh8LlzMa/+TSPyEsgUHkzGxHdUtW3hr2W9/Xrb969SZn7rYa5li2vq4TwOdq0Vc1b Oct6vGELNb20XICw5UxFl+f0YnFokCaFe+WojxP3h7YKB8LTlwTCqQhSwA2GqpS4mxzbiPDcp71V onAtoLCbeSnXguwgwP/BXDWRo+bLnpKSd83tLYIf1hTk2itUcjHHDVIumPJ0r2hyKp3eyWUaFzLy KJMhhFwFz961iXJ7S8VThhxmrCby8SuFkuh0GBUV9PvE8NPdtK1dkF/gV2ngoHioopjJ3KfhlBhz tLzfSmaiLdi10jvoAj3tR5Rf1WFik7gtfhkpnLQBVlsIY6WsNW08rp7TBLO/TrTXNHN5oBRASKeq IcwGVd+B622r10JGGe5V+03qOE9NSLlwmJbeVNFnFZvGHk6O3MJXok1yyzrSppwmhoS6t7D0VHeB DHJQiOsmy8vc4QfHioTCsE7p8p7XiHeFrH/bQecvihGHRsNjJq1LXe8T2E9U5GvKe220X+GRaJ36 mlqipYDYdMYNpEfqDNQ3lLr/2k1UswCASEDSIo+9pKykl1GeYiX6vXxHhh3fzbplY/Dk8Io2d4tQ T0Efwr93JO3GWTRVW3GSL5LGkSFQX6avralcwicFMVGdFJlQ9mKVJmMYce4kakfUK2miUxT7YQQ5 9sEUF1YbiVlVB865hhcEjA2dZ/Ix/Rprw/nOALtEVRPUhw889ixWyYWMGPvNPi70tZshweUC9rSO Tn4rLxFNSWldBERUt9thrg2V9Jc6aJOVw1YrZS0R0f5ObndWrgkDUB4UyTwEBjzvzPgSYAIoKR/v nSFpciTeZrSGLBlZObDOjQlwtAic83ptCmiH/7Sgy2A7TgAPnsCXEGxrcHxs2xuPeamD9Vfm0wrC Zx18s95kTnRcblCfXfd8b+AlRVvZlO9khk6vjtVKeb94VWASk4Oss2CFT3kxXAsJHgnupC2TfFX+ AoOmcHlXxsquiu+7RxERDMo7A0RUDy906qwZoePf3dE5myVRxAtFDCNU0kTncnlA79h4fVbyQRFc UL5WpEenoa3aRCyfpPl6yJXJAyxnhU3oKPlWHq+gjzPbhPRI1O5RgestLuv2Mugy8D085mbwjO4R 5tC/mly4ZCdmUts8jhVpvCd2BdFkh0B9qZUthiZBtrQ/eEnQzp0+gdauit9gS3fEMxC8o2s/oV1m tiD3YFodnaUPwzJWZtlaMTVlzos9KYryS1nbN6pbcnC8yh1jgle/nBKBUddw12h0SNm+T/sMjHAE Fa5BOaSDH4B745h7RWFEL8iKlrDT1lbqcU47taOqcbECgogL9h5Dp1JvZdrLqSQNnvy1SWt4a45H 9bFnG64PFtdXFKRYnyfi9po46F6LMPhNYB0N0tAddXzrGQF561NTO+ou3fUv8hTs+abL0RECjKM5 oO8yIl9nG9uczNew00exc8l/016jEqcZ/33GywATxmXx8OULZpt/P4Ica8lBjPrXAU8ZikFF6B40 aAwCP9U3DzqGKheZWuVDNLFQPQZnV0c9TZb1beeECdvL+9xBfuHy6MeRk59+aGk7RFvJdE6EugmP HcchAbx9EgZrRfovv0/0N4xwO+86vCcC5Httnm1rUg7MP1/o9rQhTPmUb3W8cUNNzOmvTAU3yN1b S0WVCMo1khBLzQ2Mw9sYOhHj/lpKaA5q9OEI4QY5z/qtTWcgaMBLpj/EamN+hipY/5RgcerS0ZIp +tiMw1hjPwUftSAMmbn22hZNGYUZCMFdyyytR9nUI23NyKEbAJvKVtXMAGY5TjzktLMGY75cVJiX EtC1+F+P7VUchS7pWKzF7BvXpTXcJJBoFEkJQmaTQDn/2wPlB8UhB0E54rsf0vOAoCnHjh5B74Ft LNUEVCMDSOMKqQgvb5n2MYWQzYDR2dv/TkksIdLP8wTpi/AnFErj2FpV6WocUqm8UQndxCEqwSCR slB7JW2jJV0vGCU4jMtffA4cPJUvfq/7HzVkQ3nP/cEXfmkhK889UIsIGDPHs2pUD1OZ0rL1qIH3 LYJaDs7W75x1OhMa3pyIG5kRpoR5267Wa01JucOkiC6udqGdlL6imKUG22MJYCzG3iEZY1CNnnNX P3zJkPzE2BYtv7D0iT4OHOZ+DVQ3/DRUQ/eUFW9yg2noyEE0KuISnqrdrgu3GeDm6FXE2xEfNYps 8bWUhXYmPtqmaPRXCKt+X1BdYgWMp1hQ62u6TjVy2OsQeE5SiL702EnLgoztRuz3vZ/NFcm8qEkW XUw+dZAfXxM5B0v0IwvqcuG+vmNd08hNd4EREBuk0lT+oMn0d3rzydVplA1x58KTiLEDWJs84IdR Tl+vtgvqdafRSf58SzAn6cQ0RyfhGyB5xCfYDgJ+XH2GXgwjw+/rMe8awi4/Ne4fkkPTaHlYQlQD Zv7TSoaPWR7WjOPYshUJeE1saowzcKZc0knv2LK1/h+x63dn8D8P7pr/oxCu6jWVHgz4UwWKKFFL biQ2cwYF9YHirqmxxmiWFxFhUaK2Bg7fJmz8LfZpRVK0Tdh541wrWac1hpHiZT6bJlcCaHTjJQsz kMkvDW+TKqj2tW/U+PierE0HVJjw+ogHK/84Y9wCvRCxSTl3dD0lnoDRvLN6L6G98OGhMlOOpZ3j a00rq8klKtlEh8wBAINA+TmHoLUhQwW5apP21cj2a8svtYKOPP058h8kkrmlxztBMiy1sO+4Sqd7 7B5S5RovlaDd+/ybCzSv6Lc54xhd2CN++ylGrc/CyJgPqjOx0aLBWMkQICDq0Z2hiMrFhOk4RKyd T63MWcQAs7PUBqaBX+ORzgAwNBQgA7ONdLtWHdY3xltRrxPew9g+iYZVlI4P8kTbvIkBKH7n53wA gRUmKxlxz7f/IDmUEf65oBAnFgc0CmfeeqfxKr+Pcxdkzwo89Wgy/9CKAmccIYV0bUprMrBiDQbt WeOF60/75rVXBIQvP6nOn+SjE7jxfZ5XQGjoRj7jy8nNsJfmzvgq4VV5uQ3QU2ITX6zci13gMgQa 50z1SB6pV2CLy2AD6rXDnyy65UWFSRU+9decFt+3lklAWTUew0sdClLH57DytwUCeL9smHt3x35s FuQ/9n2XvhrV6THEF8Th3a6+D7Np5i6ZqDqHPmuSre/q3jdsYnLKx/Lq3mLxqN1kPOhuIeqjamzz j141Itl52wLVgwe+ouzhMvw2jCTQUqhL+xnpJPetE0IXquEBjcdR1gYbiwn+hOOKrlDf675O33K9 HybFIHThCc5I2tlKJ9q+DEloghsPiSwS3Z2Zcy6R1uuvQO+DOFPHUyxUJOzuchHsAtcYouFRvwed 6lFDyXlr9+Ya/lnSG9uj5Qd8RXEa7gkRDZP1wqql77nP+HD6GGdWT8PVACz/XVWfWiYZG2WZ1uFm OO7tV2kxac/5ehtntvqLebMSlsM2d/n9UmijimMfEgbFe2JOYB+/ILu5iESJXFakAmtk2kyHkxgk NAK36VA+jdp/xN2/v4JDEjBkSJaDags3jMeam4nnb4p4KlXGxy1TDMgISFBJm7QHxbfMaLnSDlnD sgT6APABsr4M5vkrnsJW8G1huiD7s257LjWkRqnWr4tdfBmZWk5PJkdPVys7Xo3Yv6gM4LysL+ip CZ0biI9kZ0KBsj2jM7LduXEvE7BcAnTDmBA2A1DZYMaOrwg4R22u1NsJbcg6A3ch0TD45aiVfZW9 ecN10D6ONIC8I5WXVv1x2NpbX9XV8/SK25eH/B4PJ1FnpQs6JPWr5W4tNwbdsUemiCHFDaJ24hDq CJn3HvVO/a+NEG9OE+cBS758EUpThIG8BPJRSdKEoI27Ot/3sVonLdfgPw7wJnWf6YDLEbVrvoVU NB+VaojGYHcCssOqAmiTwyWCWmUJ0uHYfH9LFTT9Yx09Fixqyf3jZN5y4BchjMZUC/7mMAOUMvXs DztUX37DMf+kJIPSQagXcj3quxquJwlUZi2XslTmyh8hhdPIBIDhLoMhpWPh9WsbiUsgVcDTuNW9 w1c8NqAspZRQx86rOoafe9Bk1EAtx557P3JtY7n39zx6vxM+8U5LfMmNkzuF8ocdXw5jCKHCzxkW vCTiCJNMkQFrTVh+EO1jxmR/hawoKdnrQCOhGGiqkwsXYGba7bVYLqwLPRjmjeNSpZmYybITVVqj PWJpB9jlVk6CkFe+OgfDbTauWTtyr5rlXXwMtASUCz43dkRKTC5jVm5b5hfQnvXNIXu8fNQvZjJt azc/zoSphEO0IovHwk+ICwbDRHQLMGdPu5a/DTudBeklE22ETMUGhTOKK5YdWMtsOWr1vS/zmXZd WUXputq+23v3Pc6jQPES2j5IEtzbUQvH9afdW1De989rrB920P78m8omRRqjbpjfISFpdVptcrcX aLet7h55QJTDB0OIcXAOg1IhVjQTTIZwwbD5so4EgyNqKuDO5WqfybnfOi8vobmIT6SsKIUrqVQC oWPm4zGoXy8b6lSW4rFZ91PLwiZEL6ysF9goHO3AuSGt1OTfA1g5nCUhDy3L+m9nLyGs+F8sZ3JX LZK7LsTSYZQbLadB57U/AxeGtbhVHxvt7LIer35kSk8MU6RAFRzG2OO6ytvfsG5CEEIJiDAjqLEq 2IVMWCs24UEzdlKEaXUM261qjv8qGrOTuYqDTzXrjENONStAWwiLPpZ35qR/KENnP2Zg97YXDhao cUK+UJGcnNAss7vyOwiCB+w8uZfG2i27I2Nb+exDRkIYYXSGvHk0nG6d6NpbAkXr2j0zlzKlKWIZ ExiXJHduoUJDSuMFCKMWV6nEhTDYxIYwrna8VDsF4PD6NZ2ZWp+8kVEzcZM0aUsqLm8jx3BqolHH Q8t+hjb50RjJMk7BgYWaPKmL+PbAqNIjA1HWANW6gDLEjOSw3a5+76BaTWahsFXApi8KZ++jUsbl 2u+KsC1tJDJ4CMWxv3s6hjbeN/WvIgdO/rIWbl/mdevOJtSvI9jnHlWikR4QhJreaHx62s4l+3PI B2E9+BrPEsnpbcKBV7qKpI1gbBUqQTVNn+F/33roWCR214TR0P+s8LABj/DDD2ZH06fYrcgBSJMY ypyC+BvcsRKziwi6nNBpUkMxoUnvgiZvURpxFJKgrcgtfoZRtHlVOLCdCa0bMDRaTGa8Yi6DdB/B 48OgFXcoi13i0j/nEmEbxULxQxW1y46aKsEqEc1WBpsAMDxHBoP+LihYQCo4j+MQY6qX3dUrn+Aq 0Vkv85cteMiX5aTj/ihx6HDoAGw9JPcjrmbUonBrBvclWm0A4y8NHcT+UwZUoMh8TDNkodADEf9q sPs5vT6D2GgDNguxwPDx5MqHae5ALBQgz1fYL/o1lVKwk0kwEIthszEbIpXbhKYYNXyM4C1JZ1Et DSiCQtjX+Hck74nbHfVsGkZMTZcyZpFbJZREZ3UcbxzJqEsKt1wUcGWx8lwvxdqqiDHk81pXlaob z27FTaMujBIaK+/zrAvcLBZoYQ13awY/UGD2gPBadfN/hymmY/+VVgAtwI2UY8tI8AKa/068ZjT+ EHKT8StC3yQql89sVvEXZW7wYIKTmr+jD8hh2Fn0VEDztSTP9wqcd5fZuPmPcPakS49s/6TSJjPW 1ZZiiQivjlahlrzoDSsHK6G0giavgyUhE9dc8T3Bk8cQwVlOSdltNh3o9xmyTFbV6xI2ECMfVKJI qmYVg0KETc/TFnOZbl4uAe/bGnXThShcWEVxiilqgRyDLYSFB6XQkV3gxcYkBKcqno83+Ytp/Fnl 34/ZGEQTuQWIF5eefdXzjQpXOtN8kOaBZJ2E8LOjExfCOtzRVyuWTgh3b+eYwDzFxWAHTaLZI9FT R3HOjxY6EMGJQaIPHeVBLI7tJsFTDOvvbToD2zxNlNn5/90qnBhW82xeDgmiDhjxVwjCAVdA2VjF FBLCrpTi7M9/wq63/Rl/yYDBUzwmkX+OSIvLDC6cMmpSU3KyqXuplEvDiABnV8/4JxvSnBMpiGMq 4EFrGejRyxiXoO8+NqiSr6eXbMInncS/mHyi7didZ4pg+gF9gfqVxfeQhf9oW9a6UN6Anm/1GV3t QDaFhkhB5mpqKmbsvpEuZuIag103un3B12WIEKK6p0e73vbuMSY3/iLinsiDddoj6s7Qte9hDc5b vv1PIY3Gw7FYGG2z5WLr+dmnpAFBVp6dxEwujpsbSxBGx13PgSxl8NsYOpoghg4E6ZTgJBZe888A 8uR/qztM3K3mr9x1/BapvcsNTAvSYPSpkHOvuOMFjiOdAOFz9tgjD/+9KStT3MP8f0jD5o37Sfxs WBChKAdqk1JGWRyziD4wATWwvHNHqhZFACGCoMJLyI5NA4HX8lUnkIK8bGomIKBLpIXJ/aqMW2gC N3rueXKvAU3wtMEriM3a807Uau8qkjT34fAEGAdow39615jRiSOLiWZkWPYYdVnyQmdXtZj2WRiV HMnTaHETZxaGmvY7v0Fg6wL8YpPk1kNCuWHLobuRKtGx8Pl/gJtttK2FUyMgBKbjRx3gxYHiX245 PudRswMFh/9MJssgqtXhZ9JbYe5ba4zmrZ3I68jODu/cAe/zL3qsM+Nwcd/i5goaEocOP3M78erS gPQrQimw1Qa/D6eHlSfO5mASlR5Jt30rnBQ2ZyO0lcTDSf3JoeYNkROSZInWGlpvQoeoCzCgZ3g2 eCAsYGmJH/NHiA4qLmLnOYVUoi9gUBixIH/sW/pzGkonqlOG0UJ0ZLlzadTUTJEvrH0vngmDwSKW w3he23WhxBuzCUa+Se6HSeB2uXQLLZtZYajuCrJyGhWZ73ERilZogpps2wUiO2wMXllk2iMQuXCD 0/c1wfnu2BrzUIsBn/a2aJ02zkm6CXKaWluHTRLEKKf5KQH5R/Z7UY2/7Q3t8S/VzwNmEsULbQGq VF/85WCGiB3ww8buHb844tYjEutNjHgecJoIBMqHwb46tp1ewFAZ9A/BLXvlKo/iKQ0np5DSB4Jy 1r0m8ZFp/mho4zwrkla0DXyU5i5lBFO82RXpOgu2X5hU7/yOyVfcviUAownFkYGrzuts/ZoaFv3l akBYabvWoG8QqGmkQKGKVKlP1Cxwt+oDkjo8YSoBf/Cq2fzqJFEbPcd+TuLFI30EDB0hWeTGLgPj ALQTZCLgdw8+dY3OmcIkO3uKfbtdrG3YQJZqlBNswLSrGmsjgxJIDs5fuXk6T6o1MUxIda3+VUmp ajyNhXibR0JBeo0fI6aptKWgRSlikQ0NBpK68OuqZJdDxlhkGApoaImqkApWEVlnpqmMAFSVbRoR c12G9SRBj3jTnLvFndJl0RTaqA8UlM5kLtHjtab/FVfX8oIgk/CsKCNomRe0PyU9aoUjbzPw3Tfs ZcvRdtAa+XMSWTBVvPWMOIBTsO2sKZZhxSknev9wzKPUpZab+OnAjDnE3TWMhnZXm05CGNPiyUEh V1DtdMGaS49ecyxKx9jzgDk1osY7NMgtPO6IaFMMRiVqTISr+QZFi1uIaaSTbyfhO3lZQRVMbznz bgnBmGG8D4hUTHlVDuGEjbazrstUaCFLn1Bwo8V3SWWQYRZn+LJZGXOpEEtkPLaFWCwV39KHatgk pDQE5pNWWwogPm8kr10F8ONt/TtjdS/tNOf18vblLOu5EIMkQWUnP7d0k+0Rs4Hb/InXb6EEhZKB s7ylsVWkYH6zPv3oWxigC5YBe/LehPAWgQ7xn6gEm9yIhl7wyek0ikE1GttQ1cx8t6DpFX2sJ7Mt X1+ixz3AMDLLNYVCpLBdgPUXEqPWzpjoWLt1GsQRvQJzxGra8Q2UC5Ph7dXra+aP5VkkKhi5u1TJ GmBsdxSbomznASr4Oti5aDJR0pOCF3Jph3suEYdch3Y0z8/p5Z670j/noQthw16xE6LqMsvt4kdo gXJMEPfZb3UaqrHuAoUtPuVejZ1adZdKlu+nKrOyUyGpsrFbs13tY7ob/o0ZYbQxu7WPVPCCfaTm Y+xi0d86RJhGtjEWUhyF09lypIbP0VwupguaHcNoVM1NVmaRgcRfDWjPxKUJS0Nle8iazXbLF76y NpC4JNDW7Qho0/i4zZ2lO6nc6etik+nApEWIiBD/cnEdTGlcIMD9RKsHb60SBQKExkX04W5RgdeB dXzh/1cQFPflW2Pf6jaZ9xCyQqds1oX92MAdghF9wsHn8DL8N7XtQyh6gaYKojtCNq5Ft2biw3hZ R04YeWloHoDHRLnUgnF2rn/dpReZYAl6EbXWGAz3c2zRXlX7FVBPTTWbvWfJDjbPA/E89d/ztKKj /0qj/kEVmdZjrmAUpmmEqFfx/HQDMwq43F2KuDQNBVgEaaKJUNeBm6jfWx5YSotWAKW+u75gl/iv DI0xFXg2feHIJGOnyOrGCKcTVvqt36EojmArv0LFqvoIOeR5Gkxa1GLik7BrkMB2n0R4gDogH4Bf P7Ue+vBf8aqws1Eu7I3j264n40ayu0IkqV+2RE8uInR9dBeffD49BPSGuopcQ8pW+0R0UmOqKuQb kYnn6+rgIHpb9YW+k/kPxwfMMRipz+pMo6+hGRkVPdLJ/Gz+5mdfZsO6k1IgDJQgajPBNB7+wJpd ifuDee+BiczhWAqbLYnwpGdUkBOQ+fvWydLY7ZShXeOAo03t6DWEheHrYJE5kzkbuhlm71LbbK14 p9rQZjqvTOG1n4oo5jGBbxB2RZ+KXERvo+st14nT1E9JOn4txKPzLG3fSpWC2XoMPGatkL+rRxCY aqz4HDsKs6k2PB4IK13EY5rM2HUDtmqfi+nc8dfvmqT/7+dVhnG9aBKZbylJbw1vIN6AbGqKprIV Dce5BGxsVVYwpibxgBP27kRGerh7/pCv1eUZW+Gbu4mr3+Fh8IO112NmY4G12vP6TERxRcveOPQ3 TEaQijX21g0e8r9DE2nNW5pp+Ga2TwwuBItxZlijCXfIl04r9idbp7aILbRBGsich8/YGJHe8f4Q QSsCtjXkGCTSWeR8G9rcPcippMiyYtI3/FuevNs2+yvaZAfGe3CLIPr9tRE9auzPjCG5LZtlF4Fo ATcpVUtPbTVF/e8aAj/ltViqC0V3RtvRsS5u18yRg0sCw/+F0o8OUv5cAxmXNbIC5JNEZvt4+Ssm kHov2k0oG/phAfxUPb/+Evc4JeOA7xPhVF1fm54FMQN1gJsGetWzZSHhcDIRY35rT67RZnSbkBrX Q3c+xwJguJsfWUtYNpS2vKotUqD4/sOySDgMFdT0V+XwpEk4zaH02vwOaXyEcW6o+rW5J8v6ylCc RMVKzzKeQ2SmL/LiE6NsS7yVwUMl8bE2mVkbJATrJgoRl3ps59IsFXEI5+xdUmJAYt2qC13Gu4A9 GShNDrqTmu6rf8+ltJ439on06DtKm4zJTjaIdEZDkc5Aw9ylFiUkWTe/bbEksAAIPx8WagkCU6GN XaYwqZd/7hwVwMsfP4OafUg45/GIOA6XXfhg8yh2Lfs9s6IKSvPijeno3h+PSfA/yOFPjn4r0fiC Fk74GqYUYD5gpeTkh6DIB3QcJpvbQl5WXFoB6naYcOKquXxq29Zpl0o+3qEfIMFfFkcV0rhWyrYL R/Lrbnmx5sOW4XHqezG3EBGuRIBZSNIg/m89WecEtVpoBlKjJ1Oap7WaE9dlE1MGOz6knlSfD7bC qFjXtPhQu0WNLq6j7DhK5Qlpp5ZaaGuVDtyiHRlNO8y/SDsfX1xnipuTN5lpeX/s9TKH1ukmiucN vFEmAOPZ6Libjh3dTnnlaTW+6TZ4cmzRRo1Nj8BJMI1ymYRNIn0CjGui3y1o+WTrMUKs7K7YkEKu HG51Sjf8k4tpQRf5szZNDJ+7yJ0nzFCSHI4DRJBRHnxHK+c3sWvDqPYQZwrV+04/V+1pX9jJOCY+ mVtBt56+OZyF1Hm6EqUBZd6uhedh7EREOcv3TcVBJDsf+U+xk2QhOcR6Y/XVWnKXPJnnCc4eBYuH TZiqZwFXiLRJ2A4dzxm+NxeMNdEyautkxsvqWOYkxhXXDaWBxt3c2rOo7gpqKXpLSGkiguJnc1tr eEMsxAsNyt/QSwlJIL8ys2HQMaLVT6uuWJC/ssM+hL/eGz/FjL1vPVoiXykNNtN6AwTEfOi3wtUn Z0M++buTAypUBxdrJ1KTil5kX9wskT5RDlf+sUTsLiouFbPZzdlD2bnMREkhVG1bK8mBlU59IRFz lsC3xf5GMyukxn+kR3Zj6ZoGOkTwj+EeFUxH5C2fY1+OZKu3PAtu5eT9Au0sABrkLCfi3fmH5C2F LX/awclqZPtnqyFeoHITNcdPcZQX5X0zSc+Ots+gmYdPnU8D99PQqIuc5G5L+D7F5OQ9A1+QwUDD Mc0vLWCANrRz6JzPolOfrfVeVJcgj93inrYlY7VL0lLihVEGrmWcoR8+yv7AhKL7IeSXWKJh+KXX nhBGCTqY5uqCktyxvVuBPbi8BM8o2UC/nM5GSfteGlUnoOmskJwGKXCQ/rCf1gmoTNIYIHwWsLEG /paCPsE0renca2TKgufSw1mrUAbZvWqFCVoPTT/0Lgwvo/CtXbNuRLfHV7rsQHIaHy4FlA9jL8+P P7WlQg3JYv2rS3H8YNBJ3qR6d0E8cq36PC1YipUyPUntcDw22f2hXXN9ehDgpGYsWvB1JwfBxPdj wqUqtmUSx1CyZXp1dKY68P9f/yT0hV5M/g046cGao4D+4f37UAv7BvHw4ETvEKxbmpePK1q7T4Wr +qkHrW680nf+d4CHLE2azNt9H7RHpLD2RGDQPPWOG1mPbbKzCq2q1yqW1QyQ1PB96hM9PfNt18am Q4yXqiGz/6Z8OnZxBcm3Zp0/jiQnpn2lRfvSYuryZtCBOgtUKFHrtyKOKi0PaRbQfYoSlv3lmGsS GFZ1Qd1uGdtn2zgD8CpzO5QCKCZMmGLoSnMWp6HI7Lw8EAWYPJFpCEEId+dgtATeMbiEmSp5oqSy tMtqT3THzrt6Jk9HfR+KnmtdQ3+vfMvHbTEpoZ1t+84RhZzQ4zkKIOpyuGaD8RMLQIroM01rl8C1 s1HtAH8NZ/7eI2wh96aYzPgANbc2KC2PVGnFfpZB8lslaqQgTPpLO8GkYg5iym+6TKxSkeRPFWPi aGaA+vTCvsyiWO90/IrKhE3LoaRgz+Ge1p7JDZ3eEmftA0nJGptmTuLF3QYczCh8WDbM3loAEtUe FDoUzRkYPGuRhrslv0RQfcyUIgt9LsAa7Kv6bcYwspzvkh+qTFaMX31CK0BD6nlth4M2Lzmdiu17 /bw8oR4pmhkXGExYk+cQk2GEKtgTZXYQdVpoi4O3A9yOymz0LsjuWtLdguJQXCIWAYZsdJhJFo7f KqCszECEBJMElhZXoCMMC5Vhr/u+PSPgQwd024xnW0kuY9OdHldudUodWCzKD48NNlPJn8Az4nY9 w0diCzDnLcDml7FgwZU7/zhhBKIyTINpyVdVI8V0/W/CbMFg65rmfml52Oebv/dq5w04mYIRKcoW FJ9y7OVzWE7Ja5GoWStYay7YTGT2uXxMx39JfSGaomYjVNSjnfjMFznXsYTCZrJd/HVaNi2I9alk tRb6KWaSi5QX3ER1f5x3sZydPs/dhUIuQBhq/XgwP4IjufIzwi0PDmE7YZlmxX0MpQDdxBDz028Z ujkL8YH44RL3wi7lcwOhUVgyMie9u4c6TG5wIr1r3fG5QtJfm/Iek6bvl9jtYPWvgv3wXdadLkQt DhyL8xjYGpnUSTdUoG8SbKaJII3WxZGEJL7cN9KxnXvf+0vn/5BIzW/4/U75+G7PpheXusKe+LQO 21r8qJwDWtKJoEdzzww8/xGp4AAJ5tiv36jsUjlaCD2FTax9P2F6Uh3Bm5aMrzbdavCMpNozJ+wb FEU0vT9CDdd6mjl0kVDCIdBIOjBOnZnkeop7iHEMWzLxeghK2x4V4NQfAez81lcq4QtzTXHM64b4 Qxokoz1BQvelCE5F59/7RCiwPL8KdEFWZE9RUEyvic1lFflNCC8ZiBYzHPwUa4LbXC+4FWLzpDFQ iYLLQNAF8IEqKnhpj7J3jeaMudRcVgbD48TTFRFp9lhqAg2z8cU1K8KAVI/WYc2v2igbVHj6LuUZ YX6Kg2XNZ3p/YbdrsBa/YHwlDSJdnw1e7q4RQmZ2jYIcjV1FlOY8ay7MEUdpuQ/LU3fpjXMq+31j XQxYoHlUeEKhJDnP1zlpP4/x76ONpu2mDDFi6OO0r3wtuCa/A/GgEk8L8mncH8cdv/YxlmHPu9FU ZBEiLgeBzqmjhxYTgk/NslLRLAJlUTZ47ekpTKesvEekX1anjvyuBD5rcNgp7eaYEa/q9J8OkzQk Dk9kPIC8csPVzOfmvIpcjcZet3a4/m10zb0s/dmQ0MHrno3V1ZVF1gCTETyy3jVDmo6M65GFoS7s s3GIWoh73XiyWBKRCdpXOsZbKjc/EjTrfyqHNsOKcEvv/U5DQMNnWNydbhTKvoYOn7Z3pBZqfjtk C9sgskIAXxARL1aWYqlNn6sfThqn2iFkFpC38x8XlSUd5oJyIiIRtzqjjxReNLFreQedMzLmdvnj /KvkakCHXy1B8emNV5C+sMjFlK0kfb/GnIm8BL1wAVGNshliPmXY0mAuFygEiH+258a7OzX82mbj dQtq9CUjS4D9qDRdKCz/xQYwTNdKfbmCwCSE0NENIKrkffbON6Hq6S4ZN9TTq2ju0Ii9Ec/wXh62 mqoJerVIZz/0Tpa8Nl8de0ChHE+RXFK3Ipckd/K3Sy2wbJXBHg+6qT8XDWziM2pcGhSgQ2lVDid2 lJeHUEC+vPG+spA8o1wC4IpTbOU8Ky1aovYi2wUY0W8BTPwuahKo8r3HWMR20iAuQB49L22t7DYi dKCQH47MBcOVz4Mhl0mbsCrzI8YCRTz4F0rOHprMSNS4/Nsy3Sa1WqJWfqVmQI3Dcug+nP9fZkua PDxXZZUYzhqcsxaArq2sfE90oqPPdPh/oAbcr7s8SOch3Mg0r5eNruU9TolCioNaR5IYWhx69nEk 7I21GBnfsx/Ptiss5XfsYV1QQB7SNwYbaVGgm8Vra5usbZxuL97XsLo7x1ajB0P1zLQi3CJQY/yX BcKHUbdRgsV3D3jSSG8oEAqt1uve2nRdCjmxAwKfOb1ho0qhrQZoIl0TQdLQPNso4lGt8gegduR1 j1s1RlvCsNpx+F1nE0W5fBP6RrLYh09bMKxWoLt5as4AK4zTJLfufBKkQJPCNdngIKYFuyuHBlIl Hh1BxK1bznBqhHzdWV4nNu3K3+wEqYjlCySV+Nhnq7SYl+cJsAo4a7uhzwKfnDinsQDYI+/OQR6l AbRowLVNQmtfNakCYIsPJ3cFqmKe2EyJV4+ocgtWXOhTqwMAhH4cISrlfkVST/AS9QKImfu11pYK BtckdNOf5D8cTStwrXexz4kwXlKXcRfSEIrEyvDH8iQLFylG/IAhtBCzjcWJhMIibagj5fRsNZp1 UNvFwSmvHFVaTt7qgdZBTyudQuxKlJ1UTW3EL4vH2O4dJFe99aoIOLHup9VM0ER5gLuKoBh+PxAu pq9plthmZR5dZNtkYoB6VXX+Lopz8u5CQJMJFeJRucEVm7k2Nx+EHy8F6d3NwEgD19tcEehXUuTT IFNeV/XHml6eB9sHRilMrRkFQXLtTRUMaZrTCiXg5LsB2CUuDXSBjcesASvD2oSjq/DkKoYwRI6Z Cfl6P7gQ5QJJd6MtRBQQ5R7oxt3KezE9jxmkZiNFmdh8SCDQOlqP26qTdN/qh0uXYvwGINBy67Gb JMEObtGrFLw600l72AXm9N76vAxMRZdcXStgjyGM3MS2t/3sTj0/gYvU2KWwSiORwxb+zqptRADq UScJJ7Jse3BnTYGUWSuXbr9nJMdwc1He9y7uSuteX4leXqI9dwOXhy0QyQD0BhzNkw8qJtXhweHr zktGlJcye8dlckOJT7Iv5CAnlhMcOrvl47hqSUj9hOvpOWTF2YhcZS8NJoRhxT7u3eRJcPJ1hZTh vYRruL4wL97QScnwbW82dpH0HSjwnsXishL8tKnkXxw/n9r9uqSfy+2lbjokjIPS5qDzownxskZS RRw2gtJyHpINz0BA9bAHxalzPZTmhWxrAPqfwiYx4nEptNMYUBWSyCroTCrl3HC6g6a/Lr7QPUVW 57AYojYOo1AjNQL+3Ho/j9nUFyR9H/O6623UEWjTU9XZCvKtgMuvrgG/XCNpvgrjgHCYNSZJmxsh TVrT0ga/mYQa2CMq0cLNVIfV6aMq8RAcmA+ZtWJWl23QT6nM24VUSvp0JSi7b1zGHV9ng3NlLCZq izD3SRn6tendIGnLjXW6CNXPZ77UgqymIpXUyFLqqYgtigXoAeiofHiSgup4Pm6hrxk55Y4zD+Bg 4wtO/WHXtPSPmq8YNhYvKwf7Y+39P/kYPtPB+/9kuhVwJ33CPJnhhVRWEV8oh83NgyZsJ09QtFJY cOqUKL6+pTC22vboZfzIDgFjXebHvrOuMDrtAJPAny6F/kNkaq1IOU6D9Guzd8DgLqMyzdCmd0ca eKLq+qHBedkptC+8JLGBjHh6kHzObCsS1OQQX+ian9ezoyXWFweiaqtqI0G1uCAMo6tQHHt9J3Bm 1LS5FUEpXMSLj185eoHJbXXLDkX6j9qR9eMetVEMumHyOL0CnldpwqOo0Avn6OK2TNgOpqCutBOD +VpRtZygLEFSTgsrCcVZC2fqIFfZg23bpCk9r5f+NIX8C9pRQiSVbbwaJIxFej39rkZ6KJUX38wE wGaDmdyAryk2qVumfZq9qmJeffirRlb3iBhnyFd3W6smkg2LhJPOpo9Es+qRnz3qR9nNrJadCX1B jgVdf/4GdZI/Q1YlsF4xfPtHP3ljwEeLtlD7rpIzv67bJcZ9kiqHbIMX640c8fTP6Y+uCgXMO9aC TLP5Du/wWOYWmS6afDCjHtEimc2a5BKlXYwoTWYsstjGZlLSrUEIAg8su4V9boH8TaqTs4WeSBg7 fPvWAog/W2UVByRLKcdWSdH5vlfXQ+l8x+Vf7iQMTDDW/NNSL/66bvAMcPeygkmShTQrriz5xfGe udh8RHgqZt3BvtilAmJBe6Zyn/Niloyl9CaZGvAGaMAhL/jJqotMAfk6u5onifFn9rcFDN6afxVd 1brWbhjoZqMzW9Ff+cp13UatxInxvl0pu8u2Yy5RUSwJU4yv8GBgZPOyjt+Gy4xydiOGb3FnccS4 0f0qVI2H2SvdR6dr4YF035Up24TCGgglM5pQfzjwolYOLJHUXeTu8UmzaQhcDv/u8BRxIjlpGtTw 5zYb71kdAytFOxBW6D5YQQedGmGsrvXVF95+P7zNkEb2vQHzqoFchgixP2u4wSlXzhgm9j/w0HtC eUewFhv32LnF4K/cXgfprdNJjmJj3iXUgvI/Qr1CB/+Ssrf7EwP4hH3dGjyTto3kNknUEjmJk3G8 ZYJDE2pXV5xH6gOvc/ilsqtbywtSJAucCsWxbdW3oxDWc/0oUlsuHKhyx4z7N9zRAU+4LbSeuPoV BMQ6rWpMRCNCqRdRlTykhz6MzlsoqbDQ5/97x8DmlB92jIOyOfj69cf1RgTmjdmpPhzDCX8vRKq4 F9h+luq//5hh8t1Tfbz6ZbeOZAT+mLgbLkPz9cSjro+xRDBrN8umeFGcwNQsV0iNCeOneMLMqwzq Lgw5lvLZt59xN8Bpy1o/gBBViIjmhKXpaPSFsQXGY/FpdhGtLusNsDRB7UYjqSbArYXl7thUOAG3 dedY+h09ne9+DbfzV6zMh4/wCjbLylm4hA5zYwR8rXi5nq8Iod3mQa6eG6fereER60eW8SvwE4Mm Sol7ofpmxARxCiu57KxNWGGlDOzXuuyd08xzxx87LS/ZLqAJ1LTx0QV6SiGFNrxp4fFCtYp3BeXd Bxs1TFzeGXSrNi3ClXxYA6iYQKbDNtW5ikDKArnDpi68xAm+aD1BzC0d8Mi9utSmaXOPh0oY51cs M90bChhEfZl8ZVDHIkDVvpiuk1UbZ1OysT1idsEOqjs2xyzn5625SuYIdSw4vyO7L1WlFpRBZeC1 fkgqSHY+Gb1whZSrL4ZL3dlbwDbZTr6MUw3lMTk0/BSLnkJAWopEPwqC6KsRW+r0QIlNjPhUCzc1 +bSwKhczRGmv0DxZ7/sHVG0aievIfJlKVxeTCHYyOkixG/5dTjXe7Pk/7Vm0UGKmzHt1QAeNphE8 0w/bShCqN9ZVC7bLu3WehTegH5zy4Flw4raoGWR2GRaAAJ1bjcgLHOU5WG8aP2+KvKXAyrsY6rAP qZJtuG6bUmUN0l783Ua7xT9JQppBT/ltyE4tPDD8mnwh5y1V6d6mEOf/bpFRLe+UHgDPdq12t81k AhaXCcYCV/dfoyU6xTR9Gr8ADqNqJq2mwq5i79MRMRRm9S44HrYeqXWL29f2uvWg+F4SD2hwgN+v VbYws4wP+ZdI0IJ+Hc6R1DLVzJCrE99Ynj4EaRrQMrPvhn+OoTRIBU0Tng9AC4W/T8hyn2zmnMai k/Li6qbay2tqVaH/cJfg1nI3+gxvSFVCIl54WoW3S5LRnJHR05NMeihQUvYkY6bBOx2tL1g2a+/i OD8M+qzqMAjkxV+XB+4+Z5ggL9TDhFEp8wr7BiHCL+SWXhPczGSdSW1eWTGDLrZTOFGweyDRTydA D0boSEBj1CM4nMw+vW2rudBbV1puvkSZv/kSWJxPpO5bVrfQWc0SyheQN/1RQqoAXeQExRu8Lu8l mrniPZGT3yvTMEaqTQaVEjdL5Bw9IsSozX0ekL6Zpdae+ekhpVAWV476cnbmBQ6hT0pdbT7VWXVu pMVEq4HIHWW32qtG7qTfwzAvyvmYS8l/KqcC4+PfYZ5xXclhGn64mlHDpiljTwpaJHumqu3ENuaz JuuPXM4VNY49YRLarnxwdOumTyHN1osE7wJCYSPKPsSOKukQb7244+UIeL2ovswWVnPJFqVmd36S ES8e9FHwdMODbTMJKpZsLwXzMv9E6ixgRZKeIX7wlUfqg3NGvFSLCwAwPJ3mu+VnzQ0t7x1YqFuD i3u81Her8KsBczbIQDGE/I6b/6EOncpiiowG9GOZAHQcVB0wuxDj9sNn4geUr93pNQabsBCl1Qwm XWoQEYt9r1G8GVqLAd1ahn8wWuM19yRpHWjs9SO/BiPw7xOa32WSM00OZHH5xhmc671vs89Cz3PE UGoE9LI4UnrrAFzmooewl6NS204MCJO/l1DPc430Yl80Bwmrv4mVpvVAj2V/Kcm1mj8lNVx3vuAB +XZ3r0cwbC4j1ZaQoIesOEookkuHpiST7t9C110/E0UtgXj4PBQX2f6dDnTHsQECa9VvFyTyibXO 4Rkl4hsVvxJU5XKsDrEvYhze37QyCSkTHH+592h/3dckZ/wkphudR7sZ7dQi6vGaiKjgU7tYfxHn fKBm19kXbZMQ0z3AEBKPXPpS7RdJj5dp2zIO9bqmrGUR/HAZYdap4PA3SWIrtoR4AT5wqqxTpost RjZoBqXY53Wjg3HkmBP2AfSaCgLlOlzG13dLCx9RUNOQVB2hqXodwQc0eZAtU1oEJqFeDNEKKsK1 lTHFwNrGuPaeWgO+o0SF9kcGr3fSknqIFnW5IFkqvYWGuwpfeXyMwJUJNAaPArVJ0lE7HI99Vyfh QgrczwsKgPradMN6nMzKD6lD5OkgKr2LXZ6iiCyXvDGtcqchDtJjJPuho/39zAtnAh4L8IPlEY8I eCofnVfH3CRRrkfmA/OvUCqSSNuGNpNsZcHH6saJHxvr59FKheT+ljqIZX3OqdJ4PlnJUGrDII93 yOpMRLreE57hnQEIM66qxCBFburhMbH1Nc6wiwlUMVAsDfTO6w7u9dNDJlUsddGp+7KwDrhvIpYb pGZ3VJ1X8fb9QydrbW7/NsyqUW0I7NO+EWOebeQd3RDnfpiH2t0YZl0w04ecG6MH2cj5HjMaLfB8 81RMcKebRTD44mgK/sZ0i1Ny3AeIUXDZLDnEY2g4PbRGfJgd7lExaxndRfNFOP++lyYemGF9UBCl CaKjzrzJGtCV2dkWLS+P4RpyUVznKjWQ2w5yctaL2yPnjzSsIyawBHdtdilWotayUexuhuiKKLXx S+9gJMAmdkGFlleFmIpYsmzgpGlnescQO+jKxrdIl/MRBZWQdITHUwA45vDtvNp5EOk87zPeC6+x e493molYb0eKen3wwKJk9+ndMQP9VqgNSvPMkBVeduz7vhA6qaVFObBuQiAVLTSvsUM+jXPlHubG nfBx1JQWWP8FC9fjI5LaOoL9mNgm+Eg74OHxadJgSYZo0WQB8bQvHyTn0ulHUsdDNdxYgFa/pKNE JXIXnDSZfcdZgQa4RtMP7XzKvJSJrbzlI24KV7VD0fbfF0BCSQs69qD2JqxleCHAJKPoHKk6+Ydd ncU3OY3qRftSlI7PwH2+qCWh34My/hlHYYsDOY22ANTAyRLa0hDU62EFc5FCQiIdTQpHUpr0ak36 XgYjz1QHXil6yMBTFbkBfpHUDO9v8VQrIW8NPlYWqaiqEVKHBdJjtwHuZDrHMxWOZY7vM9rAgHMw u8GAdto6umSpm603SuKn87YU5t8UVDtt86LgE5VnejDke2unpVs6bGH092PSCTforDZEtFTVxS1a 7wMakV8MkpTObV8pu75qnWiODjRDjucChzm84jouGF5fQLa4DRFPGu2pHayJ3OY1XztqWeUPLooe 7+5Tok7HysfkslrJb72apUejhDoTRSmGy4FDPwP18CpX17txQ9BKE3kU6ZqM7Bk0HtF5Q1tmVlp1 ubLcZdIVj9i0SG0mUUj9uH4/iaRl9pFkmEVohOPu101lz3rsaeCyx2w2q+FDSmfm+cdTVIgCLhFB Ei7uTqLhq6DK6xbnLSQe6xn4ngYid0Uk236IIVXzmrSUnsL+V6NEbtp3rkLthhmgrtDXhyAloI7m s9fGHXYA7//5khfFSb1/FlvqNRX0ncePKUZsENsQAuDhIuG6GBeavHCCeGnEzPHEGyKdOHriSQqf NzKWFC4WnGvkC6W5k4hRt+jFALQC6nCgI3dUQmK/cmBakc9zfrqS0BJV24uBxEpd2EBoAmmU/c5q IsHjdhrppqfgJbkV0QuinuSkQiphy/EuWF3t1eLv9e7YgXoUCSsUJvHEiO1bndisUJ4P+op/mTe1 jWJvRiYYW7sqqMD8YOd/ihXRONBUU9o2vytOrCq5yyYhqFnBWaiVmUNA8XOCZqV+n6f8Opit8ZNv m036hvGBmJqEzLD+TA5NA/yzt6WWGLawjhaOFE8hhbPPxfY7MZqnDIRIdnuKNtBW1GVQeNGl325O BAX5AmCZEPOqANZDLJafZUOtibBIOSwYvyM+6FYzUAmrmAY666JG9gv+RXXcBtk9zCM6zOYbcOTi SwXgU6gtQ1NSRVKFKdY3Kh+VixXoYPWd7x2wefns4BoYAFMQED73n0beEv5ami3hsUycawyF1B24 a4RGbL780bJ67BAi2KwFkNlp1Vr6Deh+Iuqn6WU/4PzpLo3Citl9FTL8KvdoH3sWq/Gs1vuEtrn0 Wy3csy+h7GSpAnlZESuA5aapd+VzMTMFVO7WDvNLP9iUIgnBAya6BjURCTuAwvS4upsFbRJyVu3n s24VRZS4bb647Vimog8OC3ua1q7lbMM2ILlGiyaH0BleMvHVRcRsHRc1Pzb9ygCHs+wMGqhb0rxT OotkQzLVShDGYIeD+7dcUh2/w3WNx5esm06oM389hSfaN1ZwUqnRXRBIZbdeYkDfObiL/xVq75ll fbzTcrT5kqFaWnEX5xyK+0RfRQZVaAvANMjbc9g5kFR7OfWRM0u8CUSe5gu+LCHj+pe+U70nv7Nl s5q92mfrJBdmdHA4WkBl3l90L0NrI2asOnj+2r8wQ/F5ulwtyohkCz6SRiJ4wDLispRrW60xR7cT /8VZyfGbDC+yYkho+NoV6rxzc5sIMEQq0wAWox8zx5iVxZB+klfm1mmCAEoj7RzYM5LvXcnHqdMg 2zakz8m8Eh0qd9A0zcX8XIfP1tJDyI4xFBFFKk974ZN2kuKVDBuNRoblP0QAxsF6cGHeQjLpNB8j dJjIpxWN7MULUCwnbDHFUnrHOwFJZw8kPWJJGuiGQuKviH9sxG3sgbnI5zBmu+fS8tIP1GCb1TrO fDiIuTjBx4NKBaSc2djBaBtRDRZ1LgYjCaO3WkhSHqfHW1PYt9uhT6aQ/2UzWZv/4ViTgxFGrskr zEJ3Hk5bWvKeIIKrerq9o80DJYQqVr9Gl9AvjmHxht1Cz8NzdIljF/K/sAV+B+2rRtlQGYdRfeYZ TGfQeWk6Bq03Kj7whDK3xxMLRGjzIhtGCj+hBPI5iWv/LXu+T/nqstk0KLS6qQBSdFP84gLb76En IMRhcak6mbAJS0YPQ9qR3Biie/83p9qr8aq94OXbqLhCPisJvs11uUkTnWuuls9Sx4pUlO62Ozf0 N6HAZFNCO9oSj8Pq0JTxV4JFogu39W6JJdBdBliApII6G2ehLhqab601Fwqsd6e4OYg+3NkH1/nO wPiNXPzStkN1FB2adKDDX0aQeU8bOTRep0rUDz1RbvSQAWacma0aN/1uC0MQrzNOivVVwtj66k+E MiQXCtvao5IV20H4LbzWQatKofroWnCBgbtw/ObHeV65pbHXaAdq+WBKL/8h7uQfhtGE66y/Ng4J X8R1LD5h3RTdJRG+IGjvLXhmN9jiLgLE4QfGJMwQPtkQtxNXaBJ0ogyta6fUDrT7ZRVutoOF6ax0 kQf6Ym1Z6eh/OHl+NrYE03YXbSI1DsE3bHDTM63E4yQz2cZ/woZ76g24Qzuqg8fB9nuSejPy9KLE Fk2CVAY2M8nx6lpjGhG2FL6J51kNbAeeWTEvA2fH4PBTftdvVYIbF1g3NILDfarN1a7hFRm00CoM LhKyxHBGLQU8Wy4SMb/TDiwn6Nw6SBFE1ElW7qJFcgXid+U7oOkl5PkHlZUW27XtLxWPiVlnPXGg Nq89oxvPzN870sdTRaPJ6TWh/SYUQb+kkGOHDvQpeXO57DOGM5yzpNDxWXb1/m98qLWsaxycou1m fqu3zPsSne/qsIH+tK1PIto3jb/PeXruRWmgQ6c+UsKcohB3USfZCZy63nFqPqvHi2wp26eLZDsT AmuQHC2D8+c9cNbJqhCJ2hHV+gOwR+QMZIAxJFo4v3ee7CFmPeuKCmjLWS7NIVT/SvqBpghT7Aef h7nSNmAQE580rSfeJjYrUf/K6mDG9RlmlbqUD2KU3c7/7GUukN/8KH9+egfjgeoSaEYaz3ILrxxp EeFt1Xp3SgU9ZI1zudibkjNQ+8o578tLfoaX/swqh+WNMOOkMrcruxN4vQBBmd/651yl3mZq9Bs3 6s/5NoAKzxE2UWAkmXUbu3rKOh8SZZjhXRL1HitoMuyufdn8shbzmHC9yVqM6Sy3cHFKYSnbOkla 1qrBU2BDyxCvzhM8i3uTYdnCy4nkIVBuGf3rSPbVkYt+Bx/xd6f+yOrjQGLiVrBUE2mgPhOAdTg9 584YIwEdPKEKUAmPfnNWSutR9Xy9xU10Rpfy0IczWyKg99sb0WO55iFxhWZookhbD8rAEy62+OE8 9itPtDsGMDACpsDBKDc9ZBJ1djhD3pLZ5u75HGoUoTZ22lUM0I3YKy2Vdsh+iKPYARJFOA+JcgIy 86TYDFRm24OT6xMjXkRjpsgwFGW+sKNu7sUsa9RQW8vid1YC2wJmvpL5gK1JL+PvCPB4qvSSVpUl Qnoc/KFYHKfDU+iYtylZVoXROX5jlGDFF5Ig8jyDiLPu8TXYAYBFfuprXoZoVk1vSAtG2IilNdMA 9sEuwbXss0oWL6JZN9+7qV5eNy5K9lCZ0GIqdXRH0oU5/8i7+EhTx4osW5nD1AM5kk8F7r6kX696 NYXawM6s/hZiTt6kP6VAv7kZfDX94I0hVDN/HB3hx2AxRDAb7NgGWQBN3XfaDkMTLkAGQp5/PsCn PHne5eRpdYWOzHNKTMfcLlV0/wh17rIOWEFdH92e0bLDlb6LkFtzjsTVpAwFBlhZdWGKFdJCFx+m UY9cBToBkALAkmEB07wgSjZRtLLV4mjG/6p8eg3xueb/BnrXSO07bz8c6jqGj9RjxJFjYiUnVeI2 IhiJSiDQET/tZOlfcGXqOwWNSJBRHVihFgf5O2k7AgHbFgXVXykkKZbvHcwjbfG2LhB09SBgQ9Si RgRbKVZgRmqqouot6hBjaX+f9/eNJkUxH7byZP4PKN5zMYEbelyZxsWEQhcqtMnCjmxPuMXbkh7e 2uEsVxE2Qn7GiyNPZiS+1YQUzy+1xNTCS0yYa4vqdDOkJboepa26dCF/cQlvWBz1gMDVyxBVaY/N 4Og5TbRVugYT1gfpdEPXNFVEI+iAMe0AuWXQbqoBXYej622Ri31xJplUB9P6JpQn96/MTIWSQ3V3 z3RGTfa8UVvTl2sXl9ci1TIqFr022yKdl+GaZ5+OVDjhfHLAXNiq79VkFw4BSMuPzdrigZ3hSCb1 eEpuykOeaK32stoc3In0egDmJ9JgstA+prJthTTpyj/opFWNjj99QEvcvclfz7JSZ04GQF6+5hFb Tua+eRWgK9fud4z9BY7CLwS+elRcY9gAIEav/sKaLXdv1MfVqJ/Gr6VTHNHPA8tus4KJT0A+2+GX 4zN8p5xPH6L3EG/Jig/K2BeF3/+xXawAl0bg7bBlUPh6wmrRm9fglWrD6T4UTEvDmIzl8Eat4OMT GwHhA9fjmU/Oy0ii6OktMZEy5mEc0p9VFQd74cnVsUnibeh0A4suI+inQYOn59KYFuQhrA42JqB4 WIv2kDSq8e9LDaL+lBugtfJ5qKWhkgRDLNt5VqyUwhNjXDgcdgJUAGlRbL5Gd77ZGAeQH8KVhFB2 2CtxQOxpcAjpkj0HmskzGu3L00Yf4Bh84k9PWx35IE4b8itBhoVcBTQR973ihRyXWlJfqZ502L9k TYbaCOdq6Mfqd94Ze3LMw0/wSwIYRbUngSIYQJKkr1YDBihoyG0bY60fT16Zbie6mPLJIuI0JkrF dTFUtVCdE7iG6C7y061Ypj21GlG3e827Fdh3EwpO55IjF5M7uMISIDTIwuy9xBTmWm07q9V4zAMJ hzLUQVR/XkbQ4WzrEEDAbmLJwZnj0hMBWQY+uQ5L82A164sfqGzi1oKITa3lAbtrUbxVT9ZTkWoY kjWBR5Lb0pXucwNZ13omtwc5DPadGBKHQnovDp2noTnS48TJmRaBr8lGf27at5y+iXWDFUc5osYD CB7AUsU5Z69ioQFJQjrh+pfp7L+w9IXviFyRchrO4LdVQd9d9MEMR+RDx/KrizuDpr5zYKSNMLqF JDYT2g377YD+6ZUy4vcqGJMzUc9RR8SzRCyGRM6dU0y294skiuXJfSgJm67MnndQZqagatH3Otcv I3VM4Qc0CngVEGbjdxRmXHT4no+7vW9yqZY4UDo/Bz/m8Ybcxvik7HOx/NJo6WsaarYgDwJrveFn 1BASwsb9TyI34WfBP8p5YyngvBOxK81BBvlb5i3cgi/8kobQcJNkuxdw5ZJO4DhDwubJn4TtaXDS bBsnjQRIfSVjRRU1jL8Hh+r/5a/NXelascdNhUYRQRjpUryWmB0mv63a6NHW2QjWOICo0lrv99rw l2ngiDxEBjYn2D9YZkJia77F//F7YF6RxnpvsyEMnIsKOYUXsR+Fvo6hWmTRKZb+ebRMuVcpQTtU qpH3XLCir3JBorV3ZMueMMBw2nyzUmhaNQeGxkRPgLQDA6Tk7K+SmbTKh2ZQcGCy4MGATfvU0cqz gzBz/lpUUC4a+F4OYnibiJEJopdETI6Sx+Ncuodj6J4/PAe34Gi5bWoNm46qjDbmyZ3dnvT5cEbg GpQLsqt9ishvXzbtKBM8+xYDmvdd2LfqtO8rsHEme7AR+l9xn3LeILWTXPEstOvxqVMvI8CCECfO CO7VM69XH2E+vvtTQ5AjL1pYvJUMUOGyi43gfQNUM082SY04bVnV/qq52VoRdmWS2KGmkOaklDuV BX4Nhl+cUZz/8YSfNAgaXrSgcnhrcmpZFtd+7tUNM40qeDyyaNy4mB3UYiCinWxk0eqQ/vbmfiV0 Gyz9y6Lh7ZGgx6xe3sAqOp6kgci/Reaealzk6ovKFySs4G0xOZvF80grhEyGS7jBmNaVn6zZClOa hePAsMTITM6wxiog6qM/tg5L8Tyza+y4jCF1nYARzv9+IS8iGluGYra06Wxgrkxx4S+AMDEaNs64 BH2vZMpUxqy5P9emZvSm0g9BqVz5hwrUJ+Mm3mi5/wdBkK1HU+1J8Vh39tQktuTKCgs54ldGPfpl jEpGTgiXqURPXexr2qdJvE/88cBNDnXVlg41jGR5oimavZZZ8dGtlLk0mSi2F+MeYewrJ1lPyScK tCja4KKc09wH/K23wiX0YPgj/WFD4p696Rtgas71O5Jnm60pE6NSN+okc5ZXUefmcksAU0BSkVQM TZ9VPH3RJ7QOhueiGhd+fKjv2Rx/ioY6mcUN8UVFyfrjhcsxzHX33H4obwagwuNbzUKVgKvtxLHn G037riBM9hcHBu4EYswsm599DNVUNN7LWd0U2my4fJsu36jn9RqAVl1tCxCifORP8yOlFH6LlynO KTqo2GlmaJNADGcycdVMk2yISGImEzy5UtSsODPP3CoYrU1zxyV3ZJLp5nP1XBR/22QtfdONCQRw 0bX6lW6WE8n1rZTVjZfOO6U7hgdUjUTytGjiC9TIOQp6P8EnaEIPljjpaaytdTP/YyMhcRwhIiPo QTxYodgtrYeYWxS6DjHeOctcGrd/rW4tfqWdLGuf1hJ+PAnUQ2qRU0SeufnYd+FOCeWAi1N3KAIb e8xTrlKSkbFHYra47KGtdUcBi6+vi94/q4DXSGLkplnsfCju3slsXcJRSWcWrKMddt6P3j49nWlQ flwvol3+gshhx088i2wJuZ3BcNe6CFh55puuLDmXDouti0dpZ2OYifQqbBPYm6eFsIN75DrEwDfP AKS10Ep029aS7Lb2yDOo1ka1703uui/vkqA70/MhZLEj4ullGjSFKr9cxx8HjSRxjDLdWyaDnJ+W xY3FZxSTvSyJk/P4mMu1rSqmucWUAKdqYu74L1UoCL8F0E07T1wRpnzvtUeinsVixkrioBcGE4eI 4+csIWMcPfqSBjibjy790XZ5h+pJH8VWtmcZ0VKbp6WwHS6vuGxRZvUWRX7kdbJZmVP5SxSjQslt arcEcoYbK+CAN4YX+QkYX3d0giuTOcJNv+zLHlbPCH3d4dg1zt4YJJ0lj3xDxasjzuJRnClREI1j 4imRWtYTaGpeY8Zz8Xyo73gFsxpWkoslUO3AtbHJ5xc6/b73Cq7mfFHdEFYo+efneAIdnMCIM44a wYTwRkBEJHocT1HIeVl/ws6xSIp3vlcV4JdsPIG80no7VbZey6J2gNsj12hf2L0EEtcLeKKnmHEo JgECT3gm3qYjjB5mx39W+0cQGzsj/0HXKm4/8D6PR/aclfE8jbGI3rHrV+/Lz3N1FFn+O0o93vlJ p8xoii+fn12xshgUhaVC9HvQ7fLXwwQezwHwzxUm6TW4xHYKm+ZVDJl67BzipDlQxVFzkt0yRCB1 gAd7ThObFB1DjE+tn8MYRRwd4Vvn5a+u1+PxV2gIAHlbz8gI+w+w1mFgFpoICG3SN9KlXYx0PUNc 1My4NmKGMwAdOmZwmX+QJck9ZeR5RCGJWrhdko3bR0XgfG/Be8f1sQk/4oxcwnCqSz7RCqtaHELQ AttzmgPfKaC1N4rj90veGV6xR9MJo9CgHuYTYbsG3F4HmgCokqlXq1FKfcnCSzOVFtmKj9Swm1ms QWvCZ3WPB0stH6sQxnxtUY2qKfzwUXF8SeWe6P8kHkaPYJd4pfsHgbBDuo+md3eUOPO8T7d8VdPS +6ib1VpVcKeC4C7RqQ4GeuKS/1ML/0cN3WByCOXb32lPvqVAmShlUmhBJPsx3HXN4OSEbCVzHTXc 9X8HStpz8RzpFN2rU55B2GEPWNZ2U4ZQeIK5ENtQlv0ID8Zr2+wth4+inl6kATHPpHcmu6yYl0u6 tm9HUFUKGzx/aKixnGUaj1vfDh+eTbGgrkFaFsM7ojGUtaF1VJsM1XDNJxxbZ0zcwNl0oT6Bc49X 1mf4K3dQtmnO9wtCQGD/IdmfmeUbY3dzKehiyI6rcZJArGbgmFrRKsqS1bq7s0TTdbBVv4jB4OcJ ncuiugJrlL/RfsIONmIc2Giapt8jkWRaEKwoEqnyL7Z33E7/PHe5knQwLILbw3hk3KydjdcYdLrf SVCVM3ZQt8q+cP+HhUYWz5j7sZNF/bwa5zVcJknGy5sCQPUd0Ni+pdipKbGFUnH24xk/SHz+cbtr j2/7PQHC4+GCrvVRizlrj2x8j5FeqjwjQVMdYXD8d5Gy6Dvd0rh+8BJDY7UwzxvRfaOnhbHE+Pa9 q+gGIMSiGbb4mlWM6VQ3B6SusQGfYu6n1J++gQcJ3lt9x80NSRQWXjbQskb204yr6Zq8KSgchVjk Q0P0nr0piAirKkMe0gjmoZTdVbB5zj/P4lezrWlO1gM14kWTb5s4niufKSlmTdN8TzhlSFGPIrc7 l0lDKQRY62pa494N+i9g/Zp/RER86mb2aTqtSjXrt6Pgqfz2F5cYsWnttlLhBcLf34WRyy5fGANJ z2r1zH7tw6AB16MqpBug3tDOdWcqcQASJGd64Ajv6oiKRUqucCxmLPrkLSI6YpzaDxpFQXCWGqHy SsxoX/6XRVx6EkZ8FenV33BvmdFP67hk/c4yBc+Z1l8PKfRncaZEBKhff8e9hVmAFUXxyxl647Pk Ps6fgZf6IFYVMV4RVJz70TDFgUyzb2P5X4YiWxveJjSvswqJdVql3kPuAkuQAPoDVj3Na6kDEMuF w/0FimnWPA2S3uyeF+WunlR+8CPhlXj5bPh20mNM3Ee+In3hnKrldRqbSJZlgekRtTv6Y8Nz4Rr7 3ppNjIrOBiOmbwtxVem/oxvgLm1NFM/wA8mRKDIdDspUK2r2C9ntT7sBhQGHc3goNRU/ZbkrpeGs AxIhCPyqW022/TZP79FyE929G59YYBPV2AetTQ2/GAnST9mHHsIcLZF8LQctJF7a5gZ6dVenn+1U jmy4Zy/1Qit0t0dSeivTdtKxc0CKu/kWSTBVgFCzBdLZull3N+7h+js7+2Kn2+Fhc/K3IApTUXKc 9HA1fiRtp8BtJvfjy38WORrRRJdKRi3fMvD1cokhYbPKKOmEZklHF1EIeJlLneQpgzxS7tzqZW/N lmWtfZszj1qB69t4PCh38uzSeZhq2Z8kELCf5TvzmC5cK9CfnhjyFAmUp6LOFzOsL3hNqzVSemBV TqmVU4WBIW7AfTvi7PNUp2UC1UT5FBmaAT5di+hOPB2+wMaQLHzsfFgmaLoyru2Ye+cTyVzLW5bt /tq750VTcfHFq6yJbxfFIF2ZzbXTGOHeudBqH+FcmqrzEPlLhmpQ/q01iGFCYtheMcP+T0lxwcDm qIQ7RZZ4/wEZs/Aw0chnSxaBpee7tPupeQ7SPwoNXBcbW6K7/8qF76KwjjxjeC8C2WxI8oZP8tv6 VSR+IVvZ1Xwdcgp5BfvK8A/D/blqIe8Kn54rehsS3hvXSqDnzW8BJZDKhHzJ8X+8Dk36fqVh2J2j VnGrZRiBxpOQkIBPKbJrHRXKYljjbiNTJczqSUXQKhqipAe5GfSSbuB26p67WzsHR/fJAGkxJPuw o8gaA67IhZo7fbdxe02oGi9KBq9+ZjCDHDr0griuH/LjpxYac+7V8gS056hopSSL4L3V6bdpPYdO MMWHVUQ/hQy/IwA3zNWxjhGjeQ4PU5UHLSPID/bAgDBlIq0hqKFbTKBBbpCEfdFnO9mIXCCZoh4D i2+e02EKvtvdMVLGO0ScYyfGrGBV6zwdt1mAq+aHFPbIUPNuODfYQQH0w3QU1ZGffBtvg9xv+tHF mI7rLwHNndPgXF3dAhBZuy90vtCJva43dW0GTsvMiAWKpEm+Nf1R8sLCrDvLFn8kKnZR7tTlrNQS fp2nSfZYoZFQZeK9pzYelymhk84G4SRk5G4TOqOKWyys7nEEnqMFGziM8IdGGWWj8OWGAj3b9RDQ qkfNNmzBvlrSQw1eD9JCHyZoYxbtaF1mg/gsQ71Lxa2BQr6IHq2Oq/YfTnf1yra2uOZ0bu1bVmSG NoTc1iP/Jh+g3tzeC0fUStYQWrIFaUgi6vzKG65Gd3CbiC9zU20bZGjm6uBZf4czLzDjcVAUMN0L Z24rmGObTude9EoFrlfO3eZEvYs/p8ELrJvuVFnNU5w3os7RXDduiTt4Lq6UYWsmTNYqq6OoHz9P oYpSckczNlmsNhgihP0XAapuZTnKkkzGQq987sQEspzYbxpFBDkgnKipmeSEeiY9V+RHWUB3Sa/9 vj2VynFOq9q6qtwhjJSLam8kcd5YZDxg5cohzZykQI+Y1l6KU0CL06NlQxw3x58OBuAu/tXgqyD0 fsUdblET860+AqVsGVLph8VUbIDY9iOzR/yfrO2QTHoYwIfcl/zIDA9PelwKhqUj5Jpr9T3uRSll XA4PcJDDw9MA5fhVbSIOuuDyi4oGn63fRQqpwUZ5+lDUzemNsQWobcctvd78TZNEe+RCPAILZMhv f6pIn+C2ivGOhlIVZtneedNh/P15nRzPqbKMnceyQZP8UXNEKqOvltDPHjLom39ghTLLwBPIMG1w OnFP1o9xjKp+Dx1JHraHDKqehfEwuHh6L79p1NcdIfJnTNti2eLHYcrMrmSprfryn1F/zL4pLqZc ew8RHVSvlzHY7hn6yLIRwxpsppr3Z3y1J7qr7Qf6VkoFYuPLrSziDcB9tNeDn4OST+sjkhDhhnxu TnkGSnhujUuHR+RuBElPCQ5bI4yqEJjashRQrkKZz2ImOniy4Bmc/5POHQDr19fNcM/JbvzNrSqJ LJ2umXVJG436NT/0JwFe8nrVK2P77NNk5Vh7pNokmBRJ1Lv2xf+NTP/ZooVEH4e3MWqE+BqjRzDo YFlCZEfN7pKal+KKPuC2+UJSHV1mkY10EpX9xDjftuEM+J/uCFWNwt7WFRx8doK9G1sA1rSfauZ8 FuzaCtaPQwTODMUGQE3qXwsxhroFSRdgN3k+cv0wQgIGO33ah3HX8InzblhzjOn+7eIMUnzm7r4I 8e7To3lik8ZfTTYwtVz7fq3icIePCxsYVKe3/yFueepQK8d6Zx3loPrIrIPGOawv3uGKFYH+5NLc PIXXb0bWbCogPIA6pM1w/wjwlLK9xoZCAAWI1PuRC1WngX/yOAiZmZFaI8RTI2pUBwSaSs5D1e5y jeepupuDuEjwr7mouWxzHqkiCw3nad2tTbdLx7pfLyiuOSROfEl5DogaKIsqUuf3YTyf1RSO+TIk B/FVYknfOUyd2PsqqMf5fFx07DSCoFU3bTiZgcN722b74sOH6IVIvEx1x/nvH7hlp1gfId2GMBQ0 +0Ggul2vMzDu+kGYRXuQl3tGwF4GrdXWXZ4tUam7v6IaNQbOumA2sclNFDX9NQqT6BvOGIY2ZLuV pJqq3loEIKlcTM1Pi0OFBclSy7axL69HhqPt0ylQtFR49A0ES7aHycQbwpBhg99GqS4tnOWPCZvb 0suudsXXDw+O/GWDS2yBxWIVxsu9BPX2pwPTL3RnXd9t5aCY90137Eeq/zX5/ZL58lUTfXp1gLIR FzTscr+Djv+5F0XV4hCDSBPOLvSIcQ7xwgLrWha6ArTtfs9kCJYjE6dMJCJJu7FvqpozScMd+49+ kOSrPBmxgB9xoiQVeU/K+YYB9fJOQ1iQZZEAnUxkmD2XbuNJ8MGXAhUfcVMEeOLOBpnh3dv4pOZh k5SsK8kaJTYDOIkIhfaouAebHOSS0tpAsGuuc+qhkhDhMdYiyvstJOeNML62RXqh5E6+IdIwNLj8 eGRQHlV7EgBH2mfWA/yUF/SO8nm6nGuw0fsGgjfzIifCgybgtHBUfl+wIXyA4Z/inRSFrcR2ay3F TotRaasjCm+7HL3P6/lUzUzZfhioZvNh/Ih4LONVG6JKMKMiGQVcGYValVehVI0QNZ58VTIEa9Ko G9MdeMHAyNi/UQxXXhLcaMiR91lePYvoG/645hKUZ4MUIMJeR3Xn1FX1m0rJo0B1qbrkPk8k/ZUO jVEblJi4YUVQbHrAeixCZ/WqpQB8t5yIAiWJdJbjJ81YmqnCyYytdk3afrAznYSa2GSe6WhPZ+P3 7ccjxfKbFH706zI2hcnP38b/l/r7c1vgx/uJEr1seL5Jjk2FjNJ8knyrxo9ViefSXSjPD0+4uxjx YZ9Tm75hH9QzfU/jXhS/NZ/3zjTAmnvq7cIpvmnhgUEU8timiKiQrUJqupQ1NP3mFKlLXPj8AsFd Xesdd9JN3CazQfKLk9f3td/sec2A0L3/hhGt6GxCwYYpRLkGDcUZYWgyhoSZLcyODErIjIaeGl3w vijQ+aFkP1G8mfigQrBmjUAOIanfWiRGtdBLHE1QLpGf3Eynsemng5iSHeBDNEYb2GZPCCjJK8kq EBDH0RWbM72lTOOnqyGKsxhf1A42cis1VMYHyvvptJo4sea9jAb0DG3ewdEpNuctHFYqg6d40IfB UvXvOCUz/ZyOgTdcvYf3Ihdx5QVq/yhq/w8seTzJo+wG85U8NrKy4+GxRQmyxKcbg79bAVCdRO6V ckXwXCOhDWZ8ZIFCI/SmDMzC+2SvGf9pkaOJPDERDu2218qndQvAxxLsFKX20std6SL+cniEkrNl neEeCgIbObg/zBXWVL/k2Dqw57/81uzZzNinjd3KjDMIbrGVzzneTjj+WqN1y5U2djUuIUXghTpp Akte0g9oVRHecN7scT7ZChbXynvCSABBPxu2PSCmxNPFY8CPul16TIG6y0VtmiadIaL9X8zFf+k9 n4wJzN3duePIpTsp1xdauFzcLwOyRvYbzbWk3P9w/vyYalnJMOktG1y3z4wwtqGuk7WinTOMQyim rArTq2J9f212RgcuRh7CYJN7EdAvzXHUZ5/Ht4Hc4hQO1sHJac35AmsQIt3iqzFcs0r1Kfqh74NS 8jMzYqXd16we7sPmU2j6Y/H/cdmFeN9OHtgZ9Ecq8ZVKxpwtFnSI1AvNctLKgA+qJ8AGBhTZSngm t+TSjdvo2QmtGWhxwaQOlJ52l+hi2iSwUqdKlyYZcvJjQ0Mea+8/SDbyo337T0Eeww4Barx0Thy9 i1NcWbRmtEVZ7f3vxVi2UEw6oBV7J89bkiP9LFPfeTwBC2UxLl3DPCNCfgzF2IhZDsEapLdKzm6J tSwVut4JfInwmhbzOfC5mmEjGaaQMPtznbsPKO040AbCh1HuBXYorL+kTMNv4UwlUcvN3gmiUMIO gTpAyuH1cgYshZUibGKPq7n1szD87Mug9jiNA0MyNhQ/wDCUxJWG/jrK10HDPXmXTbmg3R+emLEp CzL37aGw7PDwIS7XBZhDLV4W10r0xZD3bf4Eoc/scfrSdLzVmKgOQ++zbq49YpHjF2W7U7HhCsbM Q6ZHiWxCu2LpBU9ON6OeITgq6/CkBOIYYhpcWprgpUAjJuTAMbZAL1hH1EXnyQk905ZRKRjQG8B+ fhxZDtB21D4FmmWatogW98PLtHmKGdP4HcjBB5vKMQW75AZX5uG7GwmXnthYlvybm5JkA9Lynvht c48WQfCwm3cFc+l/DsAfoV7heyLt7gPD2Jn4BFrMrffDEquDUtoDqsgGnxUjmXc91IavrTTPR5k9 ZlaVI/CNtPJMeKXaar6JOT+3hNU01OVYbl04DAON7yyAJH32NKnL7PryzzU3Lslicf39TJh+fMeP S0MMUKPJr8OsD78y3yFiWgVQJKpZof/17Qxl56xgkXhgKWg11KWhXdKl7uYHvCredC0/aW0c+6MG NJZk/wA834pdiqO7s1Iy+VvVEbABcfZDecPEspzfkoY/g55CI6Be+kqwCc/JK10V68xhsycvNu+D ubGUkPMCywtXy/HgIlARXFd8A9RoRuoeUpulibWMU3jcj6m5IyuNYPQsXNN5JrYcq7SajBVz0EeR qN9gft72ekeJvsatHUehWxJgnpHV/vhamOnQ7L0m1QMKiA/Wkp1zXykCBHao2vWgZCLaD0uoDN7Y QSrXO9W8+hvzJPrjGQ1E1XiKluJxec4xrHK8rKK3n7EAFHvvz+Ej17TICz1uBh+NvCWIcj4K4Zd8 4DTW+8I7PTL7wfUm7YHEEwBvmNkQS9dDFI4GUTzOzUPEsYwAz/HZ+WuGHon5soXo37CuPAv7rg72 JGiP87QyOR8sAlvrqX1IdMNzjgqMZ77iU9wmyHkjsd93PZoxdtju9ybmRn0cVWtNF8ij655q7Tnx Z5eq2JmYOdmTrBlNDnnfZuoAC/4NGlmgQUuNNMVZ8XzwEEhFM6IkZhVTlrSnFUOscpa87jvdYeJU 0XIGQ/iDd5vyrVSGd0LjwLyTyJClnxpljqWJx3YR+kR1anaZzslH2CuyFY8oMUFJHzMz5LC/8bZw VBAQuKIzhgMKsT5FWC9TS/VP3/z0WWWWkI0YFH0yH/azz57kJ9xf0w3N+K2HZtpiiU93nvgf7g9a x9p+6Reo8Jm9h8ZP4XxoYwMfzL/PGj0UmRZL/91ivtuB5zpFJt2iSI5aZpux4SJouyRyGES1gf8+ SbYqrFBOFvzbD5cqr53Y8vSaIqaP3fMK14sgqEi72YM98sENrc2S3qAgDieWqkBl1QG41SjlH/2n 0Zz5XHwNyXYcatJY8sumCjiUkJpgZnUFN00et0bTlr4w+QqzkHknW55rH5IBftWunybdSAPItNj0 sjRXCiMnqnKKdexZq88oH0MQ4ZTBfK2VBpY3sBAVjqL0lbTc2ScNzzZ4VwILy2jL65zjC7s/iBdG aOy9Mlx9uiKtMduDHFk9W/AcDBHVxXOlGMyKNlC8UlEoDa/QZYl+GH+ePg9W2pOTMVm8JXNnGaMV Eem/HO7Z6vNiJ5Oldo40m9q3VNTAkwRFQT6nnpAl/QMjXEPUDumUchFXIQU4RNl2umHHZwYlQdR4 sj7044u580SK+/mV0/3lS247uQ674+kG5+POvWkmi+9u/H+OcSFRTKciCrt5TeGhwSI1RSg8kGXG M56uOg/6iHp57SPoGkbCs2x07XejcCegLyBB2QiN136aGXtUtQFT2ie1UPgsTgZoDGZE4RZiBzVA 6Zg6Jo+37pbO1+jFQZr2gTXogP6g7h24M6kgm14ju3iBXivh/Fw0zH5MJPB40Ecz0DUUPKDZkNh5 R7E8p//DDZr7oeOpzQAwOyFxz8WkJSZWxfE++HPWQ3wuVPGOcUYWaifXotquHRsheIrZT3eTP8R+ u09s5Y+pqbSTXwJKtnwr0eOZjSuY+JqdHyqNKFAJ8Hv1Yn+TyVxEfXvYzKVtPee4FU6ePixw5GBR IEdMBh/Ncxinj527TWskTdsLoAe/TJFCtwlGaq1DR7SLZLMk4hEpek+EqxT4uyOV4GjN+FbqLgFj sQ25GBf6KmmDEDoUWmVT+dmEf4rjs1fMa8xCfdpGF2Pm9ZFe/BaOQTxrbVQe1SSm5pkdmXfIsJC7 rrhZ1gcgFrryuOJUKZpYvC1g6DfpUunQn6HtoYm3yj7/h3erAdsWmv90+hNTnfJk2K4dcTQb9FIN 1JEAh0Sx7kl5Ku8/XZ/Le2yZ8/+KTyTgE2KjbNs805coDWVsZ76+MVBN9xUNldyUsBvVJNtF4TtE 42G0J2/UWXFpwdwgLRgEEd0trvQAOZbTkJDTWjWrk8vvd1dSUCQltf1x+P+4zeCYkRjhJFahhRmH ESaOaSEDHMVvpArPrX8Wf3PAuxyumf/iRU1XdrIW913GppwATngcIb2gwiSpKtXnJPeowDc5PnON J3Uc/+fXE3Qom2TLooNfP0E3kyJrVdNg5FnSrV14FOcl+9U8gmN2A1uRZRZQQ+EaF5XmvOAIkJXV DhMVNiqquLFzuxyrPSJAV+Aw45BSkvAZobsGMP61hGkyQGiwSoDoedPU0i1Vi1/y7PS4tx9DFalh WCud/b9Yxv4RT2YOiwiTV2rU+j15wt9Vk+oRh7ffizBNDFrXvJXSC57m+NWjrekr9mLgruilagNp OPbMPbcsNdv1pW3v2fXmTAW4ks01TuDAvK1ZGG5N/iULfuRiiUD0v02hzwVn+xnCDRiTt3nclFJ/ bbx0+pLMCF326/lX7yQGOG4Rx6+4OSway/J0CTWYAB5Vl8j5zeuE5lxDMXG1TWqY0wZAMIUr/Ag3 Vv2wSUsEBss9dwMzF2b1yC4iPNSQHz/nI3Bnw8m0M2fIjfVaTg9U9g7FSVFaX3UKxBCliwddT6zI OqWHOJuh7e2AKQRaroUdJP8QgpcebDIcWA/MFjMNjSS1hdAbaX49Es3XzOft8NGn0cWMf81WSUkk Wl9h5cbXbKCPVUMA2m7AcWiHjbiKCOn1+Jwjj1y33cAtJ/3hDm7eH6z0Gvb8J7kco8vspNODWQ/f P2ZWVH5LbuLSzMxx6FbJqAdEnOnkQm2PgTUv8rDNxJPCZdsVD1jZl55vcMN7sPvXpH/vbDF6oE1m dWXmZTtk8UoGTeAdQt5TZ+R/JZN1GYcEM3n1AJisG+nZ97r95dNQjCz5uqYjo8zu0rd4aT6mPj4Z Q+RhK+33p3/7QuqJqGq/NQuw3U5yJ0gcKEwHeoER6H601UipeD4MO1TtYnLESPWuwn+c5R0/hI5v yp7yLEL2ZRUlv30c1+LnRkbQaiOZE/uZOgRQt6OctcYX2+idXwFTpR9Yh6BaWl///COiKafRv6Y/ boJHi6a++APsEpNmZijEyoIbzGacRSu9nlnTplTm+0ZHtjM3E+xy9xNDWobaMC2loIplexRRtBAv 4QVex08w4uWRYjzxwrZOYISUdZ8+dVEwVFmASeP2IGungvMg1M2NjolzR1xNUkCpZIZ/iWapRmx4 re+ngjlGFOdy+Pm1zKBZzLfJuvcn1rRcaJX59ykE8N79tybPyXsExXcusTUZZte6fbKUXhx9LSFZ RBmgGEboIIGTjF4TkScPYsERzrDul4DUYYMy5gUZ57X4H9dpg541b8oqdiohb+rCslG7UDQNF5B5 iu1jVbdpwEvNzzOGx/l2omdxF65lKmhlXJYddFqZHpbzxb7Om+C0kA5yaz2LRUzeIA/LRziyrMbk /WmbimIFPgFPHlCBII8SorUI+pfEgcepUWffVRvjCyAXNXJoVLY0Xrx0QVeCX88hrVGha/t+T2y1 uDpyTMkMe+Qu4S7WXeXjNlWJFKyS0tx06kBz1E+awksTnCo9TnCKva6u3LdZoim1gsYNaKvOa8qX hHvi03MV54cbU9AVhDocXb8f7C95BltAK4v52FN9yE0WDI9oKrVhe0nYQNXN+ijrYsUuk4mJH/wS JnyuabHBKmIVxnWj47Atdek/6ITSMvo3gdG46CasTKHDLK7aQkdPyX6chPB0lWlLo6NLLNAjuLnQ +a62TbDD+MlcwjKMTSsW5YmFP4TPvbr3y6FsmO7pHs9SnzHo1Dv8jUzK5ks2QIf6GL04wfin7lfZ Ti3zO3M1CCpPbAX/oCuvKYDlfd3FF00agCz+RnXdgpP72d2Ej84BWecjqp6fR5yVfMcPyHv7KCrA 2Lsf68w/pr8lXoeiDCp1k4p6cjc6MvNTVPvWt0hxPnqHTRCJjalPC8Slo69eOboMih6dPSE3wLKq +5QStfieLHe9abPpui96U1qAmfrrak4A5vimiVyXypWIPNkASqbJOtNN1X0556UHIH1ZbCynVP5C 2rCLoefeX3dItcCtQsCEvcEeaSpjMMFa+U7huggIgvwf9ho2qlvGHNpj72QVqa1kFiqXLSoyiebi ZVYkoyjvqvh8zgmjv5Ww2BOYehL7dqE7S8Dgh0mso8YaEmGu+3jLCp5tYCCpF9Rva1kkt5kN5UzE ZKVdrYZ+u987BurVZB2Jzb89J08qFmCliodoYSnJl6Mjdc1aSHy5TDGL2DUnG6dU5o6G6hfO9Iok CFPDSqj8x1qtmPCyg6AHjX3+kF8OVLJeZOg89Q3G5JiKlSM3FKXxKwuxR2FK49sC/oYlwPd2HdoD JCuXXpoIFTwIIQNq702mBKHi4N3Q/IUy8uab5g+ze2Al3rvOyrXoLzGjvS7h8z+rSzTSN+c95c4x 321dseVxlvLcc9xdRWYiSfnb9xlNufZdNbQxuECEUdsn7LW5BxGmaoTJh8j90csNYwQ1aTjsVhdK 4Pu8+U/oDew97GuxuJFXW/FmkBY2ddTRNq3NXch7Ijy0ZExQ2uOC9LhRJdcPABc7CbAFQznMZ8Sz zWvnQQZVegGvh0sFif4x+rkZYf3DGy6VqC0eC7+vnKKGBjwO9AjwuQanysh9ogTuKvG7wTbeUsHM emFZb7dODKSi4PxlECzNybr8K/XrvtCg7gyMIuxbXkVmJbpwVnKmDM+P652y8NH4HtLneK6Vijdc 6arV1FQMod/H1HBaM8H0YEgz/yBmv6X7E/X0N4ikSioMQtcljFsopuvy0xL+PeNm7w7rLW7S1Bq8 XoMZc6aZzMgyPy6Et3RiUwIQ9es12UlYwcFibD2jom2IFJ7dYNos+s6axmSkQleoZ7yf4o1nszIf jwt1BsHsbv13sK3a0lv/9HgpbSU84FgXr6kAP8un1VjsDWPQQ+xrtbNXZ0gY9AJIzwWI+V7BQlOt toda5t1EsIDs4YgyZlhKeowITMBZulaZrkaRj5DF/oHW+9tzoyR8lT8mGDVLA+lB1pZv4QrnYZ7R iBTFTKDg4sNZ69TJ/1QhPZ8sEv+nKsM+l1FFrNkCKh0DIDYbwo/XDAYfSKvTOx8D30ZS/LE1ZD40 hAPaa4ehp1+kzzSRzVxDw1KWOCVGCr4vBlNQY3x8PEPGXPSbxsRBZzD2xUu70TlSpSzDzqxGJLBl 5hbnggrj2xhbQYwVm624UlFeBeoCHLGiR10f/Se7kw8lhgc9hu7Vejauw62kmsUqYGkp/0oHmDTV Nm+50vVrFpvRDYoEzmcNr6Lt7SUIb3BPT60ySe3kysIA0BkUtXEDMclbU5IcyKLE1P1HZ7f5BWEH 6d5hjautum9aTHoMfuOCxurXBbjp5b6n0UCzhLNN1KjlMGla0G9vls4BB1nQS2LI0Br/kl0/0V/N 8YfQIXHPw1RQGI0+Tm3e+5Va+fG4i6DI+DySWgaWmD6pLsNuvRonjSL+M7OcZAcjaNSZgwYEerrw 0MUPLXOCA1Qi7Em5vVe8i6vqaBosXzHHrqIEyqTiJE6XuwKx+Mf5KjKmjYTFqSSSqoMDzXdbC3Vw mP+kZ1P1O/Axh2nWbvEaG0kzH56p9Umry4Bz+MAFYmPqgUsFGsviB9JBqkG46BpNJKETp5QmXpZ3 kRw4EuPrc1krmHcdAbdTX+wBgx/Y9q+/wxC2d3BagVo27zNF6LXkMMLutmandBfMO1UxwP2oBTwi RpJZb/oo9FOuRUD2CQl3hIhv3EQ+4yE9OPj29fImYhVrVyMVz1WBBZrKsXbHN9L4ND6Zx6u0nmB4 xNh7sHvlj8s9DrYvO0oqE5jkVpqdlMB4bL5aoVew04H63P3ddbhA4cptvbpCCKGpopyiQPM5NYLN s3qbw6mQsOjphdSXI5XMPSfFQBXZfjBextg/v8HWg/AchtAgiJoVVT3IlWttPImtORYMOIuWuVyz 7QnO3CBkR5j/QTrlvxQv1sUKAjfGeYIW3J8Fs25qlLdpTPr0dwIW9KDH22X/do90RQyioMNLsB7c 5Vd5WiPUorm3tsG+3hckEqcaLtZSny0gcbDrV+qdb9KULv/k5lvuh5tc5qAAMeHhdZrxgYjsQCZQ L2+XIosCgrLD8gt7YLgH11YcDaztuXjAidMcrfsvHVNrZLEoo0ehvxeGGQ2KTSFmc9VCXbxQbEno hoMdQsIjwGcC/vim4+hDTnC+uKBq6uiOWeBDwiLYzI9MWoNk2VXqaaWNC78hJm9iQ+d04gI9iNIt pZCGMelMETRvEpb+URX9wfH5XMFps5xhV7Yx2gbShizMwK1Gjd7uFivPF85t3EKZfgVii5y6mc7v S2/BcptrPtKqGLD56b7ATjzMunU7NBUda8qRUTS8k3kT5T6PCx0ts/tOxtgiRfyaEXh3QBIU6nfX uJgYKKROc1q054sJOjOhr6XO9KRMT+Lp/yl4egj55sjf1+el11joArkpqNz1sfD6BjzRjzUXDUM1 7GIYmJvZYSPbj4qpwm4jOzLMG5s74l7UXEta4OUOkPZsf1bbZF+H97pARndhCtNu70Gs2Hz3XTDb Cpf9Gc3SBYPsjn5iRjk2ebZDLnBuHoE0uUVetQh9gZ3yTV95+xUkxappD+F/ZCoN9PfKTocA8Zax fiOfyRNeL3KqI/jAl4888bNfi2a5GyeqdIYvmxRXRYPAEGFzNPB1E6Qw2T42pZ4qvQNBH23ZZWf0 ZVRZww+90dPyOmM/70XVG/UPvp5zU+ETSXJNFPC2T6gZKOdXezC9PBAB7YBSiUay1+wFoZb0J3vz F4Y3R1jET52S6Az1je6THAMgiuJTjcs2XoFqhkhnFj70+tqsC/uPm0nmFVb4/sy1DZ5FBjIBtnrh 2bWcz278oyB8Jytg+UphkNkMuI+EL/Pxff+t6jlz+9HuG3Q/Wb1N7rifL5WUcxKWn+Qf27REcY4k CczLFyyRlkLJvfl5y+UaiW91qaQHP1B3Hd9Kt08IETRvU3KvoczdWwjFlxav/geHCkXIyrSkU1MC VxZKoHC4tmMNdcOLodabMWlZN5yU2EptK4oqGfH29ChCySR+F8mNHjqhO9H1uRgfH0mIYzwwAXgH XcRA/zg2QTbHngsiHkVToUvtA5SyoR3Sea2zNHNQeEzjz187iLw5ZIqhGrL9aSJPbzP1zN7hCA94 8mEpl9suEx9VnlLo2f/G3QtT1fRlSm7KZXaeMowrX2VKZDOV3Tbl14QAlwCPfsP5900e84t5aaGe ZPeOZEw4ubYjezYnz01renkWz8gGKDeZDGS9Ykl5/T8rq1iTrkyQF0kTQ1t9XrOpBMjNxDrJBPuL mAwL/IldcB0qGZN0ZStHPdminWtnvP2Cy4PVPrIz7XvnQyP7DP9pktZCCDm3GtSf381anlyQO3rJ aor8ep0Q1AQCU/hfkSIKaZ7k+gckmKBXVI3UUo9bK2pSHS1ylAYsi/D/u/v58ZZHtv73ORWbA3sE EDkkEB2r7OyRwC8vCvrGx4vELfcG26Lavj6FoAgWMqcWuf5sjslvlLCkt6zjaMxEe0Bv/I0oXYsA 9v1/VdcbY1fKMv/LYp4E02LxPCWVjMv1JqLF8uXEUu4s/6UntpucMqwUs/QRId/aoyzbEqbwd8jG oM+ug0/UksTGOZLG9Q0OHffLz54ufcyS7aB786Swh9WIZHWExLuvZSeHlLWqV/KS9t4VKkT+7NaQ sDv6qlnIPglcGiBhdFB8HBVNJVTXe3yIyy1KPb5jaiB5xqQPouZpvKnT3b9syHF3qEBRUvFctS6q N1mwXXW7UQUsmy/qVnczPXr2iS/Skjv9F73VCdeCCYhk+TSY0KlyKsGdLLdQ6vHbdyPUmvQ8Y2/i W5zij8s1luDO66zDkymhxVM8ktHZ11QZeK41gkIaY20V+0Bg91GfgAHR471CkxSy/nAjYltiZABz yinRkcb8P+FYk6sqmZN9oQf6tjybJDrf+mSVFoSVr8l4Q0eLAqymXyYHJdTCraRQdPnfTcK1oHkv oFWreq7e8dzfezTv36jh0n5++zxOJKCH442x57ZZcqqNRlRPL7MKWSc9jOpG52WcbgiuDArs61fB sLvhnCbc6s+EkJ0a2+h3JyIdy3KNJo70hHbHnwGv/v1drCbL2kN1QYBccjq/ZduAHW4tsYaqMaGF XUBY3yCm9p+9j3ONzsEGhgQyIKHGSXLbYpitEvhYYwFk1Y/NfIvlZ82Dhe6r9wG1+29xZPA0nnDY qCCsDeTmENdvRz1ONRapcJfb6NBJKVmttNSNij70SjD2Z2eEQSNiOSfC0sZY23zM9AgMFCqH4rzq 4BWt9yYkxE+Wha2B1wQRCWgh7YT8RY6N2nSBH92vJNSQBIAmYCfPLBXpR6wiJPUVbCjfd0XcJOTf oiE1TJencrx2xOX6xdumUEmRdHeKsT7L0gCnOpIlwf1OMABzS6iKxpx1lGREYI2Ir3Qrk29dMi2D CknQ/jRZB6RHEjUZDiiVgeq2LkVSc3bCM2+P4xSPC8SKUWckDwg+39KbLBJh0pQZ3x8IE94wxQ7w tntR5orPTqF9eygHvvobGDxUroN+/Pw5uLZdP7OUxuN+bdTQsLEr9FMrE1B48HjKyWWryOPhEmL0 uoClHqFKO+vrr7Aq3EWL1tiwz0RaaX90q9+gK5+Ev5Hqy8AHZxKC0VUERuo3idFzqwcD8+YiC8cz U6Ft1BsR8YTD/lNVlrac0S0d5heErS69zOnYYUiuwA9hMFlbslsZTacBVsDXD0CQDmlTVhuZZM3R AcGDQr9c2oIIc1iJYLh9c8FOz38hr1DxwiG7KhRxdutSkCiu0NWpI6fTOKk2sF+Fu4Zt+YYx9/+a PA4n2Q9tu+PJAKUxBLttJVKF1CiJYtI6Ud++WEMKCiupK4TCsQFPkTh18t42R1m4hUycJnm3+kW1 2NSA4mO49PRZ5ao8K9wRcYmjk/7E+bbzMfLZg47u+xKJbt39Y4x2delIWELHuGR0ja9yibkDVngS DoNoVJxvDBX03uPYXkyqvaUJzcNAvS+gWp0gderHpsNGu5g+vx4uY1UGcVTWhEPviYabAiFJTObP 5mMsNRDYnZQZIOF8DfIKlM7Fsq0i9nNMfZVqcXHub1JUi3hu4GHH/8cjZCEsyckVyqk7hijNl5pf OwewDlusqb/Z9DIgz3f00sFR/O84EgW5FTNqR+MT/ZYdPWCnXSax7G6qbdRW4gzJe92NHGcjtsxg rqxzwtbIZ6nAyqyPA5TviGzDnrWmvg0fJJePNaoNFGZ7x0X+GV1J+3GhLUf13cmMqFVuQblLVsif P0Vn0b50iN0Y8IcT4z/JrFH1Lzf2DUARUhnsrgtJipPgRr7iVTPqWVhfyP23t9mce47C3G5N2t80 hPj02qj790YRxpufOZv/RLOcSSPvsmR6zmE0lsIKNfBVznzBLLJ/k3DLpOeNuTJLY8RzprxPqTS8 u30pGB9B1Xd4nQfem6ZxUvuJFIgicHiVNgeIx/ef3v9bRKUvw5NobGEGtjgfOwNXPl1nVo17gQAz 9f37DIX/N71x2sEGLLcEWgmutB6OFr5Bf6otfb0p2DQZ3W5nJ1PGXeV1fcscnls5hhGoqx7+Vcu/ eQ+8ZdbkOo/j4hjv9C9yCNwhT95IuyrLXlZB0ELTIqNmiFPCAnBSTfLbBZ2XB7WmwOmVuHyR1Ztq JWNHtszJ9mCDmzDZ8W9qbUiSsggqPAAwVhD3vulLExqJ8KcK0faGjtrsn/jao1KrgB70TbtksW1d A4viV/q9FCp8TeQqLybV+OFTxH7ekhvxXtfJ0jfG6bi4n226JZRcuaX0etixB/hrwmVgtVp+dz/n N2pvRdaepnR+Ddn04WGlK+5tw8tDcsuqlCpv3ZCqFbzr9m0MHi+X70NfQqlTSt8prrBWaFyOE+23 b3jwaNGnNcmW5fRk06fcMe0RmV8sAoBa2KFoU5fymtpZw9UpZi06K07i7A1TADgQJ38lBX+Ynxxr ce/h8ciHs3Rr0uFB4zoYbwsnHBJ2jLYIplFfmKI5vVTBJLog+SG8dnFrwR6uB+7nrihIxQrdq1oZ 5cL3vKi6yIyK01l9x28gWAfFvqZPZMHqBj5sfA68tOhpzniNz8g41U+sizP5Nd/qwXtAwDhhIsEf VbB1GT+Gs91FcyaTN8fJO3DqFWFxXU7tB15u2mgljZeGq2CVU/apyovX/zdiJXpUL5Pir22ZVcJX 6bHOEk1ScfFUhnK849hubHI/6KrVK5P53Z7QEJleTbHRog1nzXa2/4PIWteCJMbRyUiOw3tpugHq Y6fwhVOwIDajjmcurVSCM+3a6kg6tcauBPc4Y8I8G66oZd5oV8aAaQ0MmjwfmuzNXPrO6aU3UO0E wR5sZ0xGfR/oaFgT6v2TM6lR67ilu+xF7vYZelcIkFnPHdWMiqQEa+sP1bphVkGL+JoSchjg9Aiy Eop7vX1z3cie0R5HYQennH4A9BovMf5sJp6qiL+Vgfq/D+8lT1qkQL4T3bol+Rmx4uokRHlzG4nl afheS6ZhxV8FW2TjJSDiBzJ3kzr8+MmoskZzDCO6SDTPKE7nV8y7jI+pCZ7Oc0nBYNqSC/vMgb8C mo8jxOhpFNOYaEjanXrHf3RF0fKigSFD1N4LtqNhrdz+H+PToQc/V96Z0Aq1qUyGjoamMLxrNZLM tjryvkPSTKGYTbhlzxBfxKbfKp/Ighj8zfRWgxPhBUGQenDjDW9UJCYje7/2W/dzETxdtAJy2r2R fp6V2V5V4eli5Oxq7Pb/NXuTASYv0VezK2TiodnMzfZ0omxPZIb49T25FgBcxo1aToxnUG5a1bPz H5o6l+qIrZeJPYxvhxOgL8egpei6/NiP7Gc6ep906mMxEm72k1Hibav7GUCjFE/y2ENr330GVdo9 nzqK1DytOdhdip/ilbabL3cd0Ol0L8RSHaScS7SS+0O0h1NcPGkDNjpqSq+OS0HgJrzFCz+LrdT/ C1r817+89rKgm1QfQeUYyGAI1VSSlh5Ep4Uz8c5efgFW65F2zOOunXP2rQRS/q2FW5K4fzmZ5o26 GSVhMzLXVeShK2JXFpRG42HaHRq9vsH7FqlbpkEINmZvVEnLe2mjd+8bCJhzaLuUkEF38eO+mqx5 Tehd3W0O8ESiZh7IzSQYIqHj+qyoqKUfuNv71T80JDGUBEgcWXdGcAu7cLPFM9wul255tI2pOhol 6dViwaAdDo3ro3XrxscIZN7LU88g1Uc7zxIB7lx8CsKmgI/+y3VbPKi7P+apZBDnSgcGa24ttPhH X7p9SPDAcIkizdnOa+z3FjfyV5oW700C6+MTQHGvvqnCyio4hpvl6wbvV4DcX9AVj6IaViXNg7ss yfYR3F7fqAmvrrhHQXjsJt8rPcetuQ1rY5jdqzOtf3dZMklaQH0NskzORRRoqWYrycL4o4+aSrSp VbkN9zOShtvdD4sesoxv8dO1Jk80KGmesyoeM0t4mc+dhj1hP7rEsHIjRX2k3WZWagzUb9erkd8y sbOEOGK3RADeI7kzJ7ojQjHp/Wx4T4dAhTggqa0nGArVO5LNc3ATSWQP0An/AeLxcn6U1Nj7Hbwp nZYnxF4hYmYPb4864hdQd8h9fK2PIUj69ueixx8smWXSIIo3F03+e1rE9sCxwqUNHZ98EyVQCFcx STMNYqFGBEutjK8mzE0KOgb+jQLyzKlC9oIukimm6cP3dXRsQ32MUAd7+55BvukWEwnu0zEZHOTD 8UjYf+GTN4Y7cOTsn9rrt7ylVO6AuaqAfctNc82jPPCQu5n1JjqPqG2lfhX2SVXlcz5rMyQMx0GM GIA0EmElwzcZe5PDHxLEf7gnfie9ZRiH8pD2GNX77WiX+KZHDpX39+BPLklgy/knk8IrvwXmMQn2 TzqVY3XgEduNw9Gq87QIb3KwXsi1vFJ8V1yPlLuaMAdhAOp9zZx68Oz+aLV77TWuRqI9bxqiv4tX kcWQH4X7Cn8xN5R/Ku1C0siDYTIhfH0SkJQiiiY3lLEY6tPVt5uOK7wuuGlP5rLnfSMskg1u6Z7b 6JirNfTEp1OvCRERR7jdQ/q0Tri98MgaDfzxe99vfIz4UmNInUqnQTxcHmdeyHiBYagfvRW9nhbo St6lluEvRdmso5Ir1vfMa6m0Nk8Iw6pRgG7rODTfOSeg/qWoser5sNaO3d9nncbbuleV6gcXqPLr +ewW7AcWrHSFlvlttzo1GmtMnmJaS6hqOgwvw+3fyJTT9wGHhM593aiF7mGRlH/UcAifLUPRFcnW 4mW7LDQdQimHR88N2A7CEgrVR92sBhqmXpAsEuxh0oa2/ghMZCrAWtUVq/sg+C1dmAgxxXDC5cg6 2clHonL+DbBNZNBFhylAHgUvvuWQ4giuOdp6lsGWfkE4AlQCBjPdfdFYyBW+uO/GfgIjDsx515bd z26Ys7QR6F4uQIXtO6GNKqS5kzH5i1lyvOJjrw4oZJJu2uT9bXQoXs5oCdc/yOVxMhwuwdBlvhg+ p6qbOXc+3HYSfDx4DkNZoukS93wQ6I/NIfQhoxgwvrOUSlkZPcHtokJ7vZFt5N3g0BeMM0sJRePY 4GNPlLdBq7VeisBSkSQCHl7sAvumI9brwGK19lHBQamjJu6B2VoY4S6G2jKD00l/LvAp6MELbw1K GfUUGBMUCy5g+VxfzLD/yYAn24vjjiz1WUI+l4OSByhNigRoifhNQXXLankXItM+4EUKnvVz7/0s 82l6yRhhxYxzhSnc5PZVGw7HSfWZ+Z4KgnPYYtgtwVSS2kByQCjYiLKfzrdOsBKtbvnhf2B+geDh RmjW5io/ppL2y3FPxz+zxpumxIWlBJ2OyW2m7ceSUyo33U8zwTQVDpf3QVCvyEs6P8FYsl/W9GWW 1WWzX3EeinAYv9rWartEF2w4+gqTw6zlsFkqMeBcoBOh6bFfKjR+XbqJLp4zYw0aJRc6YlpW/F3Z fr0mZ7FxQa88sri1N5024KUxBdlk2cYq5rLHWwNIdjmUiZq1XjZxLAZl1mqHwPbd6LZswTUuB38y 0gzpsA5IuzatAjF3ugCLpzfrwEvZawIf/QP2Pj+tfcf+fLRzfXHfdIlOmhb1y6MRE4qyVXr9/jpu YZej2cwsnd2i0lRAsQnvwsYiWFbKE2tZ8Z/iMbQX+ulPJ7eGWDUzqRRihl0c0FZd3NbWb5DwJWZm y7g9lZMvWKDTp+X2gtiXGSVhTCrResz/+RG42VrQ9I2AXAmJBOXbEGGkxJ3/oPA+b9HIpf/RTDSK Pscg7a4jq+TwPJHOi3X5SMIWrBF7dd04COaf22wN0qPXuO21uevhSF+047lRFKLqbah3OtQDm1g3 ygU29oPPIv3SK+fMNvpB6uVVcet7wbR3QEjSyy0e8C4DQfN+YsbLerScMIOUKOqFYUqjFE1Wz3zk AZktKpHa51t80xoEGAgOm+Lr61nya9xkEUBc1XjFsgIi1Ng0HmMY/n1+0j60uEYRkLfPZcmrax5t Bq7EfN1samO91qnwZmaCW38XAz2A1cZtecJin/6XFPSFxYn1udkT+cegkb4eJc6gilklMT5faiR+ STR+yS+qvWwV3ze7WZ3CAD6SfvDfKD3A6PwGWxS56hCwnk+J/U/vD17Knm0fstnqsBiH+1B8eA08 GbKllVoRmKjG0nsByMFl+xYTwnvjEgLVJo5zY+CnnPuf/40ivnJXbW9c4hbhycEfYsMgYaPBKScS BUtQS4a+CyVOeSFLqMCMsIrM1jJYNKGcgsNF9FBK6VHchtLR6+8foSRQ9sOpErDNIULLemYzNHSY qhPbv3CgNXts2yckJjiQu67oUD4qQzlBgLuQVCiDf8VR1qoGdV1m3ucD4EUu22eyXb4zn1oHyT6K yIiwdzyjQuBewQfHwP7aaZqOyfrq3H5EFw1ShLJTEhMzJ1uzv2abrQ+NlrVwokJryWh4hKB446bT 29LtQ+1BU1/UaafONg6RoMJeGRQuQNcVU7cuyR5F155CXcTmalweYPuB1i7zEy1CSL5ac08o7lAn ISLgeqOkMzlophlZ4p+o5vUHyUWkGamjtVInBx7fZExBMBw0MwwZZ0ypJnB5rfpJ2sqHWS2YoJUs 0KUy8vQTOaL7tSkyNwEyXyNaeryemRPEHI9N5H4k2oaffbJS9G6YX/sYT/lA9QZiPgsRAmPo+U9W +YmpfjQ9pLqsvoyUnuiDg4A4lrs+1eJpmIFJgT0VSz4bAbuX/A4e+QFp9jycUOsiwGZZst2DL2po wRA0FQ0Gw30XlHQIrALFhho6vaxtJbuqjU9ZsMnGodxacZW2sRFpZ6rMhwYTXdYpSMfihLGHAvpF xemNCOBBddozL+7rCvG7YJUzeuO9ho5mHTiw8apJDoXkMCiHkX8IH8UPOOcXMjQ5bwhXxNRkC6Ha 7s3FrvqQBYV21achhCK7fe6OFxEsZrPFkqxj8DLtSsnUHPJQvQi84KMCFz4EcTFrmb4UX/DO2aE8 mDBIjX/uBvPAjf6X/N/eyFX+/TVJj0xq9dfLDb4edlUCY/0QWlaI0LEgMLy2f0SPeOQ5PxAvofsK oeg256R5RDcuOswY/e/m66fNtMh0zSur1tNGUiNyh5UVPnNUbxOrOwGEHV4x4YWSRa+6Xsyu+pU+ 0+4+BOZvbnsd1S0NYwVAaNcUSvNrxzUj86oxX/jx07FQHToxF5bUOVQrOE5QUOqXB7WcD80CUYou OcC4Y1y3j4PR+o1jT4Ohowhc97Qgp7dEwDmoT7UNWT8iSUZ7YRmwZjZrM8450k0yqV/hNXOrwGK+ zP0GH74vRu0VTDg/fcq+isiATMka64ifmTkfB380khWSf+G/LZcSrdp1dtX6tXaH2Baz92UAGWY1 HjREZLKLUaHN0umtMtpRF7I1/421fOzTy5vyNNkCSdGzpIhu2IYgNjU2Xda7wDU8Uc+2OyndSKs8 6TCd+OEAyHChGdG1a+JrcaAGqZ5sLSZsO+bGi+xTvnO0P4MdYJQiU4A1YxF5HHSSOTXL5eYKM9GZ FoJP4IseUhsteGZWpMJxsv/1OZq87a2b8Bv4FJjNz4c0RvhKJBhXAiwrMyNk6qbBzmQSO5b8EzXK XsVGXRIUsur/xUS2uF9F9NTHEkTkpvnouvzgCN0QJoL5Nlf1KXrGOX8HSDJrxMGzRoWq/VLQKE1n qoYDw1TN8y56xHujvLVYrm7Xov4hDgNRph6b4KpFVRK1ZXpQJskGCVxXqF7d0t8x2+U7MpyqByFU Bc/mslzMAhKAIaWdVTOEXn8gkqgaCVSyOIadmgOb0VwICWkCFTgtm2AcqKzcQOJNXDADktjRk/cS jc1nuJ3HbbxwvUwwFj9crbpr1JJHtAKFuWMuSQKZ8d2GTZ4MIjKDYhiYh5H51nxsD4mWjqeEOeBQ ubZfR4T5LBCVK5gWohog2YvhPFYrcRo6Ihq1sLNaRzKXyotLehH1fn1LjRl8jCOhXwTqRPsK1kdR l7l1MLNRZWIKog40V9oVjHN7nkdEd0IIKfXgtdzv8n/Za4/rXXo/b8WNfvww6k/gptssrBz0jyWR ZERgI8J0eBZCrQ30qI4BcpxOQW7ReJ7V67b1grBREimyZLrctlzMM+yum65uoN6I3jgDwemedTp1 3FhytW6fLjL/JHBP8Juf4HbuchC81hJeQvYVfQ9EeCL+/J++11Jwu6WkmeTAVyNmhWY9lAC9EYdy +xg3dNG+no0HzLBr3tCQ+n3ZFGgIdM8ECz2libKgwa2liXYgZa4+22SWspfuj33ljR2zK7i7itM8 UkHXFhK2eywinmsrG95UUeQ1eZAtQPImNiD7MyLpP7DxO5fXfMVPzqdqqthV4hfUroyhEFEk5rfS eFNQ6sR19MO+t1REypmK1czdkY4x87+m/lUC8eyvPC19mywgQeSEmFSVVb3RRzb4H8EXLJCJgCf6 VnkkFHV33sOZyt31vJAjjl1vIesoM8srfOz+xPjiQ2pBjpbqdQmTsBWHq0wKFtf/ESKTTy1QWDKt od79rRinvfQC0Jtd1I+uMLPvbTp7wWp7IV0K7fSuyegFONy4duGAdZn1P/rjV6JH/KAu439iwbUm G0Qs+CaqAkB/+pGjBkLkpzBXupQCpspuEZklzBzzcTMUvzJLup4yuIfBU0qiPMa7lXqGhaPi3iWb 5O3CtvrZohg17DZqudCVfqKdRyITAQz3priBQ390U7DqN4SRuAXTxjX6OyWxl7DNNGUnT+vbHNRw 2a2uTxzXW2trHdF0I1S1fuSULAyb10F85OJrm3UAthQ1RaOSAcB/Tdv0QPo44VyR/AFFBuemI7PP BdOQaXQHQvDkckTJuAnTtefzXteHOCRoCtWCZKBIoRfFuYMJiyYtu/G86oEPoP66B5OtQ70GsQAp bNQhXCAxMNH6oIMIsuZ6kk9b1srLJ90z4ZiSb8+D3jKtTYpB82d/27PwSujwPDzFAE+9vQp9dnjL tBuKpW+QMquJl4AewD3kzPzaagKJA+A/Qvl8UPjPE7kMcOE8j9GvZ+CbGPEdKXLM29Tt9IcQikkp 84QtJHgz2PPtSzFIDee5iDePADBDm0pfPnCn1faqS2QGG/NwfA5se4C+KXLZN9tEIchnvPWlGqZZ chBaqwjpt+rdRk9lMPESCdqL4oJFk8eDyAwIic0364Qqe7/b8shhbg2RdU1CW2Emhi5QZxJOfsfA 6h37PS0F8h/l1eREzTL+Tq0XPMmf6dyULiyX7bbG2D3oa8bUBLTB+c8PAMWfWOaCwA5+mmNWouKP nwzj7PJ8Kt5PCw9ASGZWOlYICoNf21qbqocqj8SIte6TbYTokigJeNYwJZBy+i72M2yxUqkphvX/ fo/O9i1UumNz22RuDElFiM1Vvra3cjmnYZbxH00WDRggj3fn7CQW8D7ER6FeoF5udZ+JHRCvPNSL NgXLR2/ASr3vIRYWALEdq/u+iZdJc4dHqQUp99CBXtVQCNIpxeZoP54FySTE8SM10ehrMuE2xZDv JY/v5htXM/nNKXjLCrVA+d1CnjqB64KqR26tVzeJMmc2zmYYl/IbToLEd4XdZLcgRKDCNj97UC1s 87CEnxbSloJF2SBarYOI0+yLeNN5wJ+itljPh/C29U6UCVndtCsE85G+il0IZ2SzRZ+U22zL/NEv kf/rDuIM97p/Pk+c9zAY+BuoUE38Ma7haZ4r+8WmCldPg0ADbV20GJpVkbWVAlX0xXl1pwcqvozx TcFVFCu4tu+N6AdDXZZlw2k+Y7k2ZNND2qYn5q1EdVraXsUOei7TWk+QxBDEiWeYlQZu+6WQStyE XjczDHKkO97xoAip8D4pzHIZStsyJDhcQCaaxi+h+tqlPo2kW7aK2jzkVYLDzJ6vZjmatgLjucYR linnbOSj9BbPT9jgDPRpnvoh4gsg3PQ/4qEPLk5FZA3VYZrwnHIVgJUQ12AH/gmM8kgtNe1t40uM Gn3QxnNntFroSvgQ+EG/xSuYItKSDxqjDpVRs1liCrOjhr/3vNtt9BIuHFPvr3O12H4wWLe3aSE0 /Mz/2DZ29XsUiW7dmiqNf42+5tSb8ERC6S2VSl1wl4/CvulVSpSzSWJWng6bG3YlZ1lF4cPvgm26 P6sPiPpaMkpg9onTy8CrESUn9UK/MulcU/dBxsaVt0bDtFOIzgOqwEaBon+ScubR2oYV4+3iUSPd fxo9D2PeEZ+Fz09r9YlNZkoGcvGjsc8mUbWycmKJQlbdQymrdUGKSiKXN6qn/QDfQ1yAyW14EL1e hNrKJwxTQGBZDnzS69b/K4c+00QQI7OXeeWJeNHsyk7ZupKl2LdEpc4KRbFBZYgJzt7Bhad3+A+N Fd0UXEgkZO585FyrRFVfx61Cpp0OvsXVloUw1egcwXkze13vpC3YILBHB1X8+Cenb9ZA31T6rMu4 C1d9KHdbvlcYGYFjWx9QsgHZwlmXBmy6CN/W+Ni/yuNg/W7KCXs1CJHoXjl3l+8mAaPjKL+P891G HIE8ZW1iwxl3cownu2q+aO+L1LlRsMwnsjDPQnqwqnZsrTIX/OzhfLIBg81wUalGLliaXfo13gUQ DMNNS7mwwQdMvXnQLzO6n6GXKfEB0mczqfp3Scfmp0HQwlSm/91q315DFsVGUH7pSHosTLTBvaGk WW6lExmDIQCogrbvc9097y1BAOmvBiVVhjBhcwSfLXSQ9ocq+OQgzERd6w15zY3Pdoz9GdUkszjQ bAy8lCqLoyOFBw2EJy3KQquT0KqRC64mcohqb2zFKDrb/5pvgwt/+sBQYQbAaIX1BF3BUCYB//0b 6oml+XfBy/Izryx8mITM9osN7Q7pVJpfZq/8Yj2CRO+qreml+aXdk4auAWd0qxfMosbibzhn/XUN eA/rENLYrRv3KiNGuKxSHWltBK/9OZzIg649kDXR+v7e/JI71SJqhmVHVt+JIFXYU4tXDdIUXb0X AG07ZfXz1u26hXU/gUFgx0UTKB+PkCxK7FP6qCV38Eh/q43EaX+2Jwl9zj8t6ZTbr+gC2Hl8OHbY Dhzw70MwQVynPgGbixBBA8yUrCBXFy2xJdGpj4GRHLxUqEfqjKAiTMyI/j6yPN1k+zSEAZcqK51y DRdHUmo/Pytlqcxt/j1FwHpqlJ0ckcZ/cowNcp80ST30hi0Vvk9Oq3bC/MbUa1d562NdP2v+oghZ 6DLpjX4eFHgZ0CmaZ8teRF2XESU3noUVom9eGGJlxKgbNDgKJgjUNFkQ7wPZDZwClt3sgyWtzbRH AUpJHn6CRSNNdgrBlzMR9BCJXEzCxhUUEUJf76qtzlC0QXtC6EcRWpOVeO72sazlg6cdyJlIFtu5 HL3S7qtbd3OKkzoXncgbyEvk3Vzp/jzCl3a+HP0QzxU7E0SCAg/4x8+AeoEyvd7lj892+cdRxZTT lgNX2oZmEmVsa+f3C7h2Z59J4y6ht6sEAsIaiQj+35wk7xHzA8mrcEquuRU+TX1PoTNwfYFtiDnx vGRwAajpqPD5ZStHKVnhfakm87ww+eUQ52oXcXmTZgJP4GiNmWStoEEvfmdqfSsQCOYmt9jBDYF8 2GFmFKy1PJKNyF1TF6MGfrrsQq8/Q1BORAZBT+/eriNs357dhojNYD1itfDEvyfTQxbqxyy+ocM7 0MYbB3cIGx8VAx+uYSivTYDiOgDcKv4LLWxhkIzmf6V8litgKAgeAIzwO7O0W4zANpWKSHxLy5G+ PJkSQpe6Yuoxj+OFIyEAViX/aJY/2MT4O+daXKQA09/xqOHbd0KAJWSyHHyumN4zotSVs9l+pAFF 9ntiwrVWAIEfc7/6HZjicVZ0vVNTTEko0jZHzOgwGa2+eXz7k7KRGaEGDHC3HjaHWbXnNzNNlmOw e+3f7LcBN6QI/l084Q4deiGTbSkzFfDe+hHyEntfc0XRG4W8MgKU1cLPNp+M+74s57VGdxLPlf+Z 8jSj9Uq1a9xu5hCEtQeSP+828CUHw4K/erInLO5OFSDCVl6Kb+bUyXU6tZeX7kLyOMN+3cS+LXjP BY9e9R8AFi0GEiyNykXjSMOEmmko+d27nNQXTBAEnnFXJ6znHutqr8fKHHa6d7HykPvNgpw30k6S LMtYPsAiHb551FtK4nAkE4lWAiPN0F+5MOXZAeacxhH2HLeANDIDSmPUn9nthDpF6SIVLHvlmvok HV4PZfUQEBTkofqpD+m2kXww1unZAnv1ZFMS6TK49wKbpsGHlcEOznHfm145IrbjNdgpEcFzGagg gv1/u66LeYFahu88ZSywXBM++5R0SKab1adShr0NirXLljEelBtvgW2Ox2YM2Z9+KO2dwMSv9h6Y ZNovgaCsgCO3XXaR+yf2aePZk2lWduwTLwtAg5TalSKjlx5HcnwNfHh4qNpF5yUxqVTSNLgmPZEj P+upNIOIxWvcjfc0iroEaxLVWI5Mx5sUl/fM63u/jXI+ntMczJQtdS1N8iwAQ0yjtRhmBP3cfcLC hvFIw1F80qcbb1pBF9TP6H0Uv8h66hxRkDnf/nc3UPUER9o65jR1WfqDEvNCkzVQnLuUwTxP7vfV 2TH6LxutF3YsP+O3vSy1Q8durmmo1Eqmx1IYUlIZVF/EGV4vumk+BU2Za197SNRSlYY/S8I1qew0 c5BNjPcs/dxz4TB1X0hvHV6fN83fi7kT+xxlEjSWb0uXSl0Jcu2p/HLNfZcvghZzi0vmZfCgKzlD InbevRV7tIZRQS3Gv/oVm+zN/XYGejM9lAsJ5OGqne+GbCNbQ4cSdy0fvblsMCcQ61/TvC26yglB Gp45AhHDBOB+qeqAiyM0qhtRhltNUOXSJRsrgxytDaAy9yvAi8vkZqYQO8SvvEwB8dDRCWtEu8zm BrTenssLmtsaZMqd1IJBWR4PDpOI2jFm6uREA85NdcqinUmEcLEmi0hNNqdfF2h5W9+efGiMuLQn xVrlqnRd+hsX/WwU+qNjtKSXLuXG1WV7JTmrLSlC7W4LbBFrJTOyDHh+GBcyE9aCHDovpQbEq2qY gXv25gyaKQPY/YNp7m+rFOKPr3Eb/qvP8NNFfkyvFqn5DWRu0RWKP8xm/Hr8zAJ8696McFeL3yMr bz8ZXaL9bkyXoKjgiThIwQsQGuOZYxH2Q6cBba2PBaXU0B/i/T3iXq4YJYHLugk09x8o0bzcOFM/ ZMxBt4ZiZqRH+ei1nCxauRxcH/0x32eFnKbjhMs0U3G4MkZGpmUCb55aqL5WW7D4wdyhJpAl4k1I Exyc4jqu+/ZRLT7it7YyoHHzFeNT/+iY30ruJARW8ggqkaJ6+LrfpLF/8IxqvdOyxwOD6NT17rRW gqRe2Xmv7DZQwG3E4G+SK/69CV7oi8Sso2Q2PKNfnQSNJ5FpgwxgwBBTr9gWMOz8hvn2CtwA8URv ybiG31LysN4CW3s6silTCcPk4FaD9GCn/RKKR6COu1I38IMhWACkz5XdwgTkSE4oL7mD3QCqbA3q 6Oxf+pzk9Y7lpwkBIxSLrnllepbDO2EcWd48quibfC+cYYqzXLW3qsieWGdMUnf9SW9mI8u4NYEa 48fjYoUhxny5/0IfNGO4EiGOtyIw/N0qLbTMxQA/5+v3XB5nrQqLlseBd5jNfHKfkFazEePNB2aD goJAm6/t+F0Z8EXvmy9lDR/CPZrq3ql7PISzQV9Mg9CtBprO2ssFLIrZnqbM8/OeG+fim/jKjgZ2 HMiOF6B+MhgGKH8+OStYGPBPxsqJWHTuCPsts60K8WgDh+KkQUYcg518/geDdGOY7H5LQcmZ0mVr eGUwWjyM+7CUy2Dov3/JED6gH/zKdQY3FiFDOYFPrvi3Vqm6ff74pjhaLZ6qMV0aMDvPJJic9fsr YXS02YAWUOZ/Y8Bf+X4EekcEkX4nGsZAs1O4VviKQ20FVnoC1IoZLqPf4EIdUlVK6fh/hCf5dMG3 zOOk3tZZU6qeJMbbUOHKDWl6nLnNs9fM1Z+VR/2UCQoQ2SIsV6+rzgejuT27I+3GVjLW18mGL/Px pjtytwMFtAMKCloZzjbQZrnlNDI0Ra+aebUS4c4EBy9I2vySzvCtR1/efwhzHnAu05ILsYJbMyEL XbSSLX2Bi87Ffi+sSZFgLaWc22kFT91MwObin4DfGsRzfC5mZ2OZ7iGIZCyRObKVeGByvU3FKomB 9dmziGxv1ODGETIClusbTE0crYEpdd1Lc2fTHumNV+C4mGxT+eaRBCAAk0mmgV3fZdNvpm8lKpyQ EfdksqT5n44t1V/0KS0vxp/Tg5o6PRGARYEpWqKoNJ9BIsP0HNvSyVoi6yNfWkUlgMGMW/S7AVzw YpUMmbcm/anu0d9Y8z/CGYRkQB8QF98BLKQT/RAD6GyD2KDiyGirwRK/PT3e+yxRVRju8Ts3TSWP sTJ9Q8hOYz2Q6kfI1mTJA7pbCC8Jj3rSp97V8iLKONU9lkVWxWIXxtjIX4UwMs2Ujx3lcc0ahfKT 4c/dYBXYj/H0iLWzDw6jz51U+7iFA1Hfqo08G+yNm6oKgl20oDzn+H0uQz0MXvtXRf3YckWFGPt+ JsbPI90xf04LcroweE9Jv5j2tTx5DRqiu2uao69CeUfPhSEEqsOcfcEhHDj1/zEr5vmytcOkMa+y ARl68wHhZIm15gtm9mHuTRicImgWyUkFhCncJiBt47MNrWCAUc3eA1gK9k0lz9LZFoAV607RGzvT vu4UG/t5H9huAghtrfjkMKU7gvp+NRlafspNFnklHm5xgS4jCBACrufQ2PFlywrkZYVR2DLnWu4O FJ2eAk+L5EN2VjSHv84kWCPFCwmj7kZs1sTkjKl/B3WEl72dSSDRwOYWH4Ra43s71e0rxNq7GHzi tJ9ZsVXwPkBuQRnasZcVKXVLWaMLpsAtWJILIY7CoZGU/yWANhlf1XsgSF/N5lYbvXANg2I+2xn3 MCqFGt+EbhZlsIPs+FXZI1SwYzoSVy8rqE1Mr17Epacmt3zMy8w6YPwv/VUzBKwI0BnNVMTxzAxu NzA+PheyxwFT9Mllje9Ws1H8XqKB0xtYZBRK1HT8+7EOsYm74EJMi9LkuKqOsy5APMqviV3Fpr5P 0fnXMTZdmAMV9UxvgrVCaRyXkU1L8cgvU7BkA40G9+s7hHHfl+dvdMzHTtoNkFZ9hPiqF7wDVSL0 yLXZkm9Z9Osa0h7qIqWv1F/N/JMLyen2WnkjQaTNz61bWhwOVGn+e6ixuSL8UVDanP2oLce7VzO5 1tow4QWLq0yZeDd9E4P3e75bBdxccsJfYFX2CfyzAFDvCntZ6bph3/NT4uCBWWR+nru5yOFARoZf WalZbX4/za6VrAv8WYorex+yGtdT7rlpfMWmBlH0Y9u8TpTX0H90jVr2L4mbevlchDeQF6koX7Fv 8/6gNcdlQt3B9Qa5w3PDw0mWu4y1ik0/1yVE74hjn6UOstoQGe5LXkrYnxaEITNtpFdxr4Xbx+5d Zav3xv9uERExJJuClJ4/YqQvb+JdDH0zGKE283VVbSLhgXdFvFND0IG5EhJKBtLuhk5fcMxDJuaD 6I3GK3w+e929wGLmvZLnHhrENJlmmm0MbJ5dzonPXW7Qp7H2HiM5DKI9FerqzT8zEY4oKkYzwd1L FssRNvBFdZ9CSzp6xxqmeDHTO8FDD7l6b10hTWQiLiehCCQPnLGYjETPhgGiFxUcO7BevUR36nRR l03yA2EjrdPpA/I6hLeoWxtBuNasLNBIdaSsdVfuHE5axAl4a2KR7f2gpMh/8LxkxtKiYsVOB/tc YE1TbbGvIRtj3oMAEenNwJHe2p2xpFA9XVP0w6c8NDjVXd+x2xy9s/pjRRvvIqOoEGhqPBIT5Z8c 2acr4vQEMpsIAiGGaZRWGjq6HQD/qsvFxoo8aKkBblNFylUe83XZAQgBbX0wj/hsgPqGmwDDwkYl TTwggCoPdeg6RCwuOSDik49O9I8eNWMUHzEpC618xXWtvIvAFHIGLcKSfgLj94edGpcamfMcvMc9 YJlR0EIjFvjiQI1WFxruo+h1xk2vdZDmxr2IuN98aTCPxU2+PcWpJIbi3dGSc0xhPugvqMTCMtAd Q0SHd7HQEdjGzmaqajqo+vei4J1PtxBHvvTUcYeCgoP2LZSmGWNVYixzzFluzUiBdJsIsEx7yRl2 X+PyIp3rdCXcPhOhZLLpbBcEZG428IuUzROA7JIZ/7f5S2udkcXqHA81+36mA83EoSU+yIoy1gAh 3nZzrdys19seSHlM8cZ4Sg/K0aC3BpdIA7j6s9f1TcN7ORyaUbA0kOBjjjVCr5+OWsBYQj4Mnbvo SjK/HZk29TuY9zKlIjGtB3AWNzWXL7V2gsg32+bsHUYyS3ZtiKMsFXDYCnsZf71q5hlJoBc4lrTm Jg5R0iRszASRfLuxWTRJllrfOE67w3A06YmW10RwpMDNnxYeTE2BbR4NiLYwJx7hTEOriBZtzocu TVgEa/Zpy26BjjLQUxERakbn8U2S0siqUeM0IxXguSNLSfjWiIMlIME5qXboICpfdrd+goBw2Vak ZhPDTuD3muXu+CvEvb8T6VoV3pgnjwCgETlZEaLB5UT9nSXm5Ap+VouZuL1f2w4DgMwcN/Zjd539 OzAf7J5tlCC6i+b6FTqFwx5OCwzMvQmhFKmukxachz179UoEXY+5xr8Mv6ph9Wv02wq8uZkZFJue vDPvgFn4kFOeKD0oq7/34I6USwkOx3Xlzwafe6lABvrtUv9HZHV0+/SyQPdk/Pzfe721sCQMGpOa 2LNUHaHuTC88FFuJk7UarMC8iVgqVNKdEBtgKE8uNGw+2ZKGpOKbUJRlWGrjgdckJwGUMBwYwzm2 8K9fbLqKYq61BSFo9n4rbumb0L2jdHHUQNAJ9CCi47uxEEJY4qAgCPA8Fzb2G6jjGIcQPzycvhhP Hdn7Nh4pFiEAYk87UOsC96IidAZYAMT4vFmfrDw1yxoA6UEn8EK5XF9b6AN2qZMtacUDciZiJIFh MkXA7HkMyXbKn/vzJvWltT3gCKmNciXrWCZjWhZxK3x5ep09WbkGDZaj8Q3q3c5qjYkkVPSA7hQd /bOkNTERVQm9hyckHHaUmCxM1pGW5oqy8z96ybVNDkDTo+ygYWAioFaFiYIMs8ALM8MWb/d84P2B YHnkNdKXRrwkUKqZfOKQfY02utbDj3tnW5PoID8eXthTzNk5EKhq2ww3bRttfUyN8oA2cj7JjMcL jzIPCH+QbHUqG2iCxRBiwx4DrTtZhubZ8QPfE54eb3Mg0yTcoQRpJEdtuC12uOvOM+RRPHzzXDGh ggXlUOkDn1ncXLWYCDW8a8m7gQYnbVPtwNG7xMHjS9HdAxu/CE1UQx9Er2cXHg/Nq9y7o38osHrM CrFuyH5UnMb7AwnlUsFXUDe2sanisw/LLSt9sOPofXxP2a5aRONhh6sICDA645tKXOuHAFsi84oj kWYzhdfCO8w2U/vfBw5MOzdOV4KJbtbwBg8aDAuH2wxfDJWuMselY1YZGoUVTpZpNNR2xmiWEFI6 dn9xIeKBzAj2NRG5zhy6tcQbiyOmrg445dafbiVawdOlrUZ4gbv1kBMimpYcEZyEM4wVHOoCm782 MsBk+7bCRCWm/j7FnAdHsjqG/osljBWbJsKqT/3Vojiet79vcZXVfDr5LEHDAJ0QLAv3ahbrXxds xX7jdw1lFqukrCWrpLwj+/uqdbCr7NHtinLtkMkh1ioqOqSJziR8o2eYjJhYWLZDuIAUoKZRsIQ+ dH5p2X90KuFF21UwLeHMvQ/hnfH7bfqK2t8nf8kyiQ3iQvHnr+cZuQ0V62gETTbmabT/PERjLNVV QADVwXgDas8soDJzyEqkxdLZjBnyV4bTpU/pLq8CHIjcTTm5WAGAmIvIfOvj4edktgb7asyN5qgX S+iT5d9Z8qJDf/ptX4Q0HT7IOdjeaDOzNPonZC+xgIEzMRbP0kjd1yLCzPiJlitgHcLswIHDw8Yq 0vjF6khumQnc1EmvdYZKtACM2iN4NV4fgNunzY2dXqh+738+Znnd7gIeAHV8zRO9mRh2vgZQ4KjW I3HYRMPu1wu6jvG7gfL/jL/iUs0QkiyPDr28fz/z4WbICNf5/vKAAL0KRyEOQnwxcxRyE/9mtTDr NmGdn/tZQ50mdM1Q8Y+lXWOAvq1kko0FxNfsPBuS4/2q/3ETKOzo8c5x8ogQ/uKcd0ewI1k00+AG +yMCJKiBBkK1mjOdNmlm2Ei5Y7d3x92Ypqg7a7WlgREiE2vmu9vLigs73n42aCQfgSVGQ/+fx01s OVVAnDvH60PIOtb5BC5+VKVujRHl2JTS5IOdTGpDGX9No6WCtJKXqaVfKSfls4eHBgeTYQUvfit3 2V6XcfEp1rMrKkHsNPcZbfrad1Uhd2J8udLJG7ySsLFBjzRXkcHzj4N1o1qAtiE8pE3F0kIgRH7x 1VxqHPamEOmCr/mfvuV/32Ezioeny5venCYmZqrkAt0nFHOGnOee9IOTPV95IrNNq5MSQZeR+MIe bUIkOvNyb5in47oIoJDNCJg7LuHG8YhQvmFHoDNsr7ovB52dlFtrrMi1T72VfhFFCQ1gsXwoj44D QVo1Uouzmu0MaFYhzQ8Yw+CG3rI0oEHPggYG0D4SAiHFtHBLN6XNP0LTrWziEEhePg13rG0vArCf T3KYW5sAGny9ee0hctri2CQpq5579ZPuSiJNQdovogyFdQN/RdiYIfB5BRrGUW77mouyH8Nqtb0/ 5RFzWJzLbx+uZ0fEPA/JrO+yR4hUWQ2wqfNqN9j5tw98tTMfoYxrSNZayH7cdvQxCa4U4a/9UoS+ cZBAkqWrcqNer1rhz4wkYfhWQDpiGlfsEA5cE2SIKg3fXDY1CKWIv4RSY4yyA0nzswXqMDG+2L6p 6UCKLpJhQY1AfHA/v8oSW6mRyUy5cgYjLOODWDsccpsHHKxwBDTQGjePboqQOscY9Rk+THgqS/6c ou+nZbIil2PsEoLn5hKf1qTs0UEkeNVidZIAZ1RYb+Kc0TN3NWEH2nDxFx382wyuWNqurV5EW4YS dxQEQoh8+p7GudGS08zG5kW7bhxEsSqPQ5JGJueKs9ZVpsLQ4RqdUKa5Gj0BmKBeri83He+ABiYM zCyFG/FOGhhf4tjQB+hUyDWgwRgtW4rB//SwgBN63lsQJMvH1r3AitpFlCKLB7Vmn3Vmr9kKxBHf m1SlBf8UsAjM2UHjOFuHahojRm6QrzlPZfO5xqBFzDU1fdcQkwKJ5n5n8dzRKFgnXcPCR0EnaFMR XH2hOsLEUpUlyYWgEES8/lKlxFMyV1po79d9+U6mg7gCd1tcrcpzgQrPC5kKd1z0Ff9uiwaV5lnU NO8kEJaMChCZCPGXLZTJDreaMfkxaKINj2stIMchh0AGXW7gwRfEKIgDB3zSiu1coUez/V0QBbTQ rAQ/DJH3q9y1lbILlYFn6WHKmKb2MBWsbPuLkX2D0KaYSFoJJy7EKw5cWqENUREG6HhJ+OQZ86Gc n7CPTHa0oKl3LMZxTfZiB/avcitJKK+caGV9ACW5EeJrqn/QCCL0kNvz4DwpYmchb1UsI2pwDj8H zPKfXR5yLt1WBvwkvHsZvI3swovi4vru0fj4/f9RJ3y/IhcwYv6+D8WUZpFca8d3KcCu57G9s1Ou 36YwAth/Vkhw0f6v80sh3r26N/9DdFvllUGda8XsQFi3XwnCF9ouTI9DI7s6u8tN0jUEzbqAPzqM evClr7lLsXbV1BMfMzalWNDM7hnXUD/c0McSUuLcquOQG+xsaA2/quuhXLwexdKKNnIRB7WkAVZA WOXLn78jKdWJSSawkpl3BarZbC+D/7w4Qte/XZ161Xtz8ONokAgBX6ikD/CUzA7T7csJ81GNheKX Tdj86sitmI8dpymLDUvzkp2oCpy85PsCWsfKYkthIxpFUQs+z3UYhxbOlnEqgzmBOJZRmuF58sVd SVt/JxEcRJ82sv/KhKkGFRA6+A/TSUD1SgqNoC7izuujo8E4xDymqE1WZyL2di+6Ps9cetvU+JaT k7a2bZlaK1wkoZZp7iv5E8x/a8O0/lw8DDdpWN2PxcN7UNejG125++SA7hFrr51ToPKZO4zozaNH aqfZxdTNMfclkxIsWFkd9ZNz0JjkFYczj9i9NmyOwti/xJuf7XZmwb+nF6B8KZ9B2puVWPUxD6Ct MD0ieTGmLdhgh1EmzGiknZPUMfzsuzQmXDio5Y7/VRQw0AZPiWrq9pNHi934bklJX01F0GBtYkJE zetmOgr8L5Sf9q3bj9rXWLLr5deBB520CT/1TaLck1cStZX7/kXlEBSxQsP7dUMf+y4e2k5xtP44 yoWrIOC8oNGK6kVb6awcSY11uBLt3b1D9rmLiH8Jd+Ya63HJ2GFTpPIITbD9oZ8TGjgM+N1aoyfM wQSJ47l3gFkBO+TG6OAYrCeLq/AjP8vsnoTvgAR+XKlEYewlvk3JM/8Ez8oRb/VFKuH6FNkzRpwZ dJBauNNMEKtvQJDAotsQ3P0g2xvCn/R/ZnGYTY3oIbgk0NrKFTJDGoiC3D7c0oa3LMbNx4QmHboh Fkj2xTSpft0+HNitmbkTjfKPiDVdZx+hELJ3tEwuC5K+IMSVgP6JDc4ND/dmC74Mi/qUUayGtJ4n 3rjKauYgAcYNPFShO9Fe/Wv1FqGvrw21PHCFYfwNPYqIP3cuxAWTn3J+lvcGvYKkvKI1FhiS2u3D gBhFj7w6e1l9fZVJhd7X4RavJ+21LFLFSZsmdRMWKLuHbwX0J1yl2Xzc+UIGhbULYaOxng5Gf+Bd 59oBxlBwMB77lkOsZBWOYDVNR01F8537Dfjvc8QZEKYfR9P4ZWQlhkAdZMIXWftPstHjhNis4DSx zvmTxBxYv/2pUU//Hsm5GcR+D7YIUAApxpFlQ3wOyWECssf3YOWLOrPPCge+6Ks2e9dPGvcEtlWz DAROxP1ASsWPrLBG2qGHBF/JhB1Tu4eHp0dqYtiArkEdrwsYQIyXTz2N3Hy1q32oqjqry6nbtzL3 CNiAbICd4ITg7izvvMpaL0ctKiJd1j5OxFOpCjX8g8KFUP2hNm9SlyFSdNjeABs8wGJhotVAXemY yMYrg8SVQRUzluoIB/ULfApTHJ4M4imMSz1QJeJhD7oNSLbBmJ8YyevV505zLGIO4B+B0WHJrWIn gKGdaiVShXYtBYWlWlXFZqkIWCEmFHQxm7ym2I01WKFGNcGeNyayjitz9lwE3jVcQv6Tko0mSOsp bPUADyE1ebUPl6uuuL53u0LkTOMPjcbkKQ8ZuWXd3ffDHSkAwqLnrv5a387NfKXGywJpaUst0dCU rDYA1UkXJVnGaeVTSqRCOzZgKbOt9AIaEJ3C6oU7g8gar4iNkpdKG+PCuYAMbNM6c7SI6woF3RIo po9pHHQAxSxB4FIhsqJO4hS7S0TwLcYprdpnhnhnXeBnlOhjUHpPVdTZ4kmCeLVpVgQmYy1Ad4pV yjhDxi88oTtFWJAmzThW5tIFSgw3MIbvkJNWB4/XYS7LelLvqDkVX5sRYFCEWyAzoEHBe5mPikAY 6AJnSB/I3cCPK0+Z+l5q7swW5BrXz1+smhYrravu3I96kx6aYmhBiqzVDMR6Vz37BMt66MszorEL 80jn9mM0jNgDfbC9dIKfmA7GbPqtrdJE6CYxfptfQKdrs11GPCZ99/ELpYmzmIJznExmPHlS5/bf kLjujp0yArOkxmF857esUQgavsZL48L9gkSrLhwnYbXJKnRwUJaHM7k6tCS0Gg8boCWZznZXCIva k2jJxIH/LfFw1y2RzSGLapj5fBZ93xq6by4rtT2KjeRDowvtNZX/QCMsdS4XU79Ah2JsJDAE3/8W tfdasc1dmONQIgm2ilsm8OiNWONGmxcamnz2jDdZmbVtfl+uoCE4ItaIhWAmuIlrc2TNhRWTlqYN 9hX1/uvh7+a2mTKp2ZZeX2v0OWAyGWLEqVm+XinGA0STh5ehgwBUDAVEsg2iMx8biHzS2c0XzLkt 4JALZDv/nA4QcdO6t79uk7ERqSusTJi6Viaaa6RwYeY6wu2GXBY39JhI2iy3Frn9RUJcandtZtWC wSw4mnMs3K85G/X244ec8JRt8kBs0fjY7bSOuOhLRiNfvwS78JfLZ8XPrs+1Oap+9QRpQJTBjM99 HubV2HuhvOBH4xOekdgA1MfFcOysWO1MwgkEyxJtMaq0seeuVE2qJCmqSvx0JjFkGsYgxFPezzWl 9+ahBLU+WwGBd9KW0FeIvnb2Zlue/NiXsjH3Qe4JzOHC4JFsALhX9yedOnd9rlFIBaNeHHvXrv4K ZzwCuHYIkR+4BzmNVaXTonhKwdRbhMB2nMOQ7aWMgSQ/VSQM/7MMNljPQzhQv3xqU5fNhm8kiorL cEv4rY/VBiBWGmIQ5yA05A9/k4C8smvh78gHevndFGvrEX6vf0GPikWJca8JxlZtqbgXW9kIXdg1 Lu2yos6vbhIFq96XArKUrQ5xZYqzIse92zEkSTpA7ViolQdlYtBgvZMO5FaKC1mGlSvpVH8Emcv/ BkA64MKB9FBCYm5/TDDXIZCZQBsvUDmlrAhpVW+vJS0vHKJXOci/tGPlfq8gaBRMMxpJwGqAmdws k8PT6o5uMZW1c2SvoW/p5RA2wWoPAzQIqalhrDqJ51rdtYPTqntsXF59vnB9hiHtcof/BU7xWFPM JFyKwngnh+jhA+UevRnOQpB5qAVgnxkLJyF5eMIYlSlAs9ZXNq1LygTUjrqJqY570aNIUDUcQKD6 zCSeGFVibjFKz67l47f1b+BFjKgDrqt1CKmhNls1ZhVq2TBNsxGhDUAZpWzlXNb5AsULlmN25XTI GDd401f0kTFXUYC7uvQT+biCgWIrsHg6nVoTn9wC+je9YCsOuLUFymyRcXfrWBSLDalJZ2pIzkIk Wvl0LRA74waOa1fmWosGcJox+dYfxKjwxUQB/MI6URTLvSOnURw3qiAr3J1Y4AsCLZ2xAtUtFfzX 31iTQuObmPQJVNVahBeNGj1oiA3UyAZzGifo5AGBreHR1hPXplpJwu2Jyo0D9GYDScGWSHcg82Y+ q+baJzV+4XVVUSTYTe6uz/hvAE34bNly+x5TVF4Cpgn1GlamrjWQnUc6amrygNy4nOTnnRrbbX4E B4w5f482o0XqB3yZUDx7aafLEilHQ3xXRsrCE9jld2gOI4QtXgp4rFBSn7anANolR1MHGSXGD1FY oGMDrse1aBaKWnFNdGozrWGYytSrJfO0ScglYlnt1Xd1qGJQv557b936ZL4NxyMar/fguvl/Ruii UUBFA+c0higUiA7qdfwMNP3MMj6ZfPqrXO1d7ioahLEH36Ry1k67juHDDqNZKDHrsaxwz0cBVTY2 ULTnJ/4ZaeJUCgXhpX+5EqDzmjYGiIrK0bx0R/LOhWn331E59Gj5H2dbMT6m/wUHvqT4qaDkXqUO ELykqHnnlmPNY9ANaYqvz+YIg6Bqrx7CqDJL54fGKRVMTnwMO69s2ftEESll7AdSelJNu1Tf4UWT FkmFL1Kl4GH5DXLviHu2IjMSV/BSS9JHV4Nq4eTuF1/ADj97lXLHo0HKmui97AED+2TvGHHj0qg0 azh9sQwjuXLE1D056RJyb1UzTNfMyPgA7OCoCj9q1TRT2R4me9dfvsH+USTclubisD/sweQ0X50+ ysa9DnSN+SEXVn/YG7fLYzfvvyn9K/3nL2MmpvAz2IL05uQkd+MZQ/pdxwutHi8jLwX7SHwoVsP2 Eiql/urRojrmBUmMejgE0ZBLXOkG8QBlZVna35GPQsFIRywoiSDPMRjuGKUkjgl6FPR53Fqlm9Gc IjMhBKgPDAJfrf0Lc4hAyG9rIMp8izNvtTipVwsr1GCeyvWyAJ00u9KJIADpah4ILVxey4umIhyk 3A22Zgw0dxIf9cK3XvKoEBt+NntFohjfFq0RWOotH9fe98SEmbowAHeECthZdFdfbIa2m2z2k0o4 c3HnEf8/RPWWWlWGrmnUWcY0hz0k/6b4r+Xh72yw31/MlEG+OYyhchjqmf6kQu3egGQky9ehi6E3 w8es//k5jIBsIjBYrO8w/gJZSnyth+jeQuJAZR+eI4qJDbU3ThgAUeP9ELG0CKE57ezP0IhMHpNN rUQzzSfR7IQFUOOkr8JzffIBM/KLSrs4d8of6V5/F+5KqV29gS/DUPfXEPlgMILi5AO0+faT8Mln G4GxbsI+ltLtButlu6kFteQ+Nx5zxe4ga903q+GIRRauawzB7FQj78Q7eGvVjl6nVPg/+dPbVXmD 7/csd81nbCxjEcdze8fBta7+uU9ywom7pIUn8+EzjeHJwH5XmPUT4GcS9LMOih/v5gDU2UGOzHVU zwrwyEKXl1AYAiFP+u+iBFtT5miilwzjjg3i1nrdKe3zOG53JdbRjl1TNmoZnYwKJ5QKhI3QWV37 tWWYibgtId2PlIuvXuUXnWhdrRj04EmcT3QwtnKZwLtjrw/7qvz4gizL3UffQKobWxO1fpJpXjO9 Cj/apEephnF6ywYJyTtdQl3KO3bOdjd0wYoHcLfGuciYg7g0G76wJzJFJWZliRHNHz3nij9zqyaZ D+d7Z+G0Wb4fzISpozaOIrcJfEtKIURdHtoN3YF2gpHxleECjB7dbxMDBPSvAaZxp92yVoG6CaHR syIu8fg1tewE4OjOsm9FBgXwpMhOYCVO9Y2ZYVzd6cE45S5aFRhOBjOzdOiMv7kDja/l0WqgbuF6 FXh+4B+wkdj49WDL8L6LFsJ62nl+b1uBrN1vdcaTHVruQh+REYESBDw/+Risug9bDvAjqAQBMLca RXipO1azap1MzpJRLa5K8aAUW197YzK6lN8ks7U/hb2ojDmUL0KrRM/AB6SQdlMsZRqLacC57ji1 kZIziTzkLzZ41+ZuJVzacemz4KPXFA+H2rQrfJhlexWmFSuwyTFIPrwWJvo3vQPMO6A7aBE4VkE4 SrNgWEC2TqQp8MHSawX77obY3R39xz7o9/JlvBQej4qSbehw7SpWhvw2KK5XHh6zadtQZbqZy/19 antpu3vFmlSyKJOkI5GYiX/lumQzmt3EPTzJZMfzX1PC5/jc/Eij8bQ9J49vqCdwap8w+F7GupZm E3XfaTcdZD3bf2GfQBAQ3xQn6VK/q1xPTvNYS10yCVuapQVCs1wcg2E6V+Tre3BaC2590AJAQKiy OlitMXzDxTldG0HaUH+PK3VcE9r+SOtI4LP0XhLrRQNgpjvs4eLssNNkc132N/qHTPK5nXdt61rK x36+4r5KhqOlbusYIn5Brb+kKVmNxPg+oWFHeQo5ceU/qqQtx6QHa9A/UgjEXbx9D9jKd7u3TViA 8MMTUzjkcCEXbYCWW5lQJEL5ST714v3Q4FdXjDePODPwyAHNfqbxOKxzeB3PRRpMuseyF3n0w6hq LbMLO3u3Gu1uPsGiOY53lx8NFniU2hWXomJBUWjb/g+LX4EgdRBBgGAdXcWuqMrBwqIO/Zcxx5zh LtwnJNervhaHTbXzumog3N5l6LVOvuKuOXsWGiKCB4KPNGhZFFpmsbzhsTB21C6gmNOByA79slT9 zaOg+WG5S4Fy2I6wXaJtv8Stx89ngirAkJ6Q4Hyp8W5moapaEhj6Qmi1MPWq76WNSCV09+WAZ5Ie Qh1hyMQ/A7xOrmwIlaaXweI3InSE2lIR98eeaWQQF2waHYt/QhxASmjiQ8y5YGD8FsFcByI1eHCt enPI3n8TB8lm9FNcT9lckNDArLWia1lCe77wyPeigZWpB5BDtCGSVXK9I27fx12Hx6xSnJgATORL ekwpNX806gpSMva6RhPl3BQm2LjgkJbFOgpzWBlLnDwORB06bQCwRX01YnNpicrfiM77weEebQMn 1rmiuME9zQzKozcmTS/sgxZkw1hC9tpCmg3uMpq4Qlf7+9k3dPgQOknenbCMYLaFu0syYDj1pw8I yTx6gSrmSUr5Fq+9awjwWZ3Z9LXlT8N10NPt7gKQ9ELzqha/B9CL/Ng5YRoDhkWJbO0CYH6aTff7 SLueQeeH52SqOpbcy9aketGHguavPmqibb/nTV2jKJVm/xf3kvtPHh+fqBDbbzlOnxnXSIAgoFhJ YX3/0YHUUK+dsgTXFVYhZ3iwICVmTdTFLNna5YhjU2p8yRXxlnac6O2tcxqLCVng2rY7xE4uBniJ gzdkxvyj5kZqPsVFgtQXauxUvvgdeUN+lkeTuJIRenNyvxJB/hkDfduVjUSlPzQfITMgxZ1NnlN6 e+Vk9v0HI+vjSYI2Ggx/mqQHFeufmX66ie7LTxRN+Kv+q3GPqP87RTBZKSOAJE9UR/4Asu18vTft XqQE0zndP5+2ZZPjdMigl/FwhDcYlJ40CbZRZ6va2NPtVgkyDFhUJ3bCa2/5r+pQCmjXPb0WV54N aYva/9470b46d2aitTnnhclnz/1RmilMkrT18oo9ewfCxC5iSlQ8q6/jIlg6xq1A1mcoyrdroAF+ nO52WGUuCJ/eTIJnnOSOhb9rsjS0yfy1+TOtdUgtzlog9HpAqxHSeQKiGGxs3Zt/Hxp6lrhP4X2h xdafFxIjkBrjM3rnHq/1UGWqFK5CWV1l2P0BBBzuwAZap0w71f7o+Mq5+KMajqHl+VnPLkUqTD0E R34TCYBgU9R16ahARPay9pPvVqGsIjbL0RVo820/lnDCVEiFVq2/fsivjXauwl9oOoOn6M664ghj bJuFbahajNSejZrELvNzj8ZmrWOQgq5NO4wWpHDH7FYnkivVMjg/UpOlFLHqlA43fwJuVQ9NfSSN OFcIdu2TbHuwsozSwlJZKoe0om6dtWp+AlN2Jij+69zxqyESK1aKXcfmnOmR3x2mg5zJBMPGFgss cQbIjTp2bA+er5Z33mqD6hGq24WOdRTTrI5HnMKdkAHNOuuD/x3IeXjUVsPQLn67w+V0aTG452RK 4ubu/PLI8b+kvir2575CEceLwZlxLOulxwdCSkck5IqKOM5/QOEvLYWFxGDASyAaqAvyRjPps69K 6BGjkr0eUsmPQf+/PoQsZcJmTtKxp+KD5ZaoeqN823TdO9Wv3luED2aS9IACEdrvr9xcjTzK36we /cs3uhspG3LWvpVGbRJPoiMepdR+wzLkvJnUDKgx/QuAaO2NkVuVPs5uj4EEzrOu0UjqnBEEVwmG lFRBk4Q9oqdJYqJfWMtSNajDseZ3dfWRhK29Wa9Ch0acTySo5kAeymjr8OY5GCdVtIEySxTy84ML FuiLTgPSrp6d7QM7SFBIMMDgubk5Nxf9aDYhKFODmLLKmp9wrH/f1uZGfruyDatFZ4k2IOnWviox 5m6gXXBDzxEYPYQ5Uk8+Fr05bR9IXlSN7Li3QNxKzH6RY15PrNDIF4nx9g9VOwrECHvsV/94TCFZ HrN21Eujz3iQhO9dqwPk2CdUG0Wv2uDlXmhthrk1LomT/KUbtExVYJVMt4WkTF4+mmNwicmOvXba xsmwRRbZhSD/6NWxh5Ze+ZU+RBPJF2eIvP+B480SSh6FJ97o/f7UdF2EFyBnMy6AB2XT8Cc8a/1l IRLp2qfG2zZPl/Kaf1pkZytqKI3nVKHtlbqX1ihKsHDvHbNdF4negyla6JlXflPXuGasnFbeK1Sd uk+Us6QJHeF5DgTqejdZyvzRbag3xjCLSGhxZqa4Yz3PGbt012lq0rBeCFbQQRPwkJCIulvwO4R/ IY2kwUfj3WpGu/6NfxU5kn8ixG5PTYtj8Ktq8/3QITA9H81byxk7CI5CjNJeHO110N6MjsS6Lorh /irMph9r83MGOd3gB6Vece3zFAwXUHRuGhhohQleXdA1c+Qq5NssGoI7TLQtOIKS8yJiAbxKicGh 93s9m/nMUdEu2eHJ2QmfLNle1I/7u8C+x82+i+v7ooWGnbGO516KAY6AVb2+bpcI+JJPtEFHrbCg rBsDiKKFQ+jiccpphG5ddmOWBXqEMih5IxTwvsk/rRe4GeEBOh5LJ9IeB4KWiJkKNF4yrvTO4svu QqnO9lei0ve0zwEPq//bpYeaWbp/MoFp94ShgHKm4ALWUmK376D22f3IM21SoNRj0GjeRITJ1DcM LTkiFDnMiHvU0XZRO6kLnV7jJuY/SMDBfW1SCvGzPgNwRWLrSyi/xniVhKwe5jROfATsklDxR8S/ 3yoRtR+J3TAGYABacGTHj0uML28wZ/GABoVqoqF9NsTq1H7thbU+Tp2EAQkSxknT4drszNTH23Fp O8KQo46XQEtHwyOGTTi2eOqcvWSftUbWlsS9bTL6S7UUJ3h1dLDxxTuN8UdTBoLrddUTQ0+3Q0v8 CbjOVZT7Cf2M9kEDgxDweDwUL2E3EHBtsMbGZhM2t4FMgKTD9mmwp663Inw9EGOgql7PsFfSQa+3 m2O7JuMQcZFrjab8a85RpTSE6NxjIrUAK7CkCt9+zqg2KtA/ipHDO/4apewPZDY+YzF03eSfjtmr fQblos/H6aNmvZazjg8k+trQKUT407qYnmsn53xYbYtY6mS3amZtIaErbDHc4dsWoiB03MVjuNVN rLLrFGuDQP+oP6LHUFNHBpoQeWhgENfDTa50aZ5zNFGSPpZzKTm+KpOoOYYPED6LUh/MA+JVOY77 8xVsE5UxBDIzsj4+uOB1PtVxjx1NRiKwvav56AinYUv2si6OSmFyxJDKPdPx/0QFRsLAE5tY7cba 65gtk6WtH82fiw69cfkBfmZttrzhgiaRrlDxrEexW6XLnXMSBaqQaNOsUkHvDN/roLBBEAD/Rdry DTqnf/3YJb9coKqVivpShfrLeVSkP7Nozb1PcZCyrj99niHcNyTt0rV80cyvcuzKkke1lZj/ELjl 5QfbLBs91fyi9AS1YqBxyNyZbSAneErJ9lFC4W2EQa6Qd64LR2L/9pA/xPfGtcAJxF5gN2zOia2i RXJjxGfKhW1PLe7K0dbSrXrkbrbaGD9VInNHeNOWw9vTM+CNT5RYtTTM8KCbSCzEaFOw62WW/TTs QU8MpHe0h6dBfnjAeslNpccoLiyqZj5K/5ivTgYmz2eF8ks4X7FPvwVYdeep6GcudR473U9lTDwI kIChtNcV7/xuvSUhB1KFsam/fJcNauEOpeQNQzWiWc8kJIUYvOcDwYinD+Pxyypfg76yauFzxoSH ePH1HmQn7YZk0/0rLgYLx5AIVxpx1cwK1XzbJjzwWcFjrfaYTX3STz54Ub65z8jg1F077M37IzoK 2hK36uJ+0uRoPqKsUnkEhP4fiDqiAkOzsGYrndDPKCeS5goeqHv8aPk/rWaFXuf4pNl3ZrB5qlTM 4B1gZ0dfCgXblZHqaVsR93s7fwEe/+ABZ53AucCQS2vhWuJmEd3Qv/eErXlKeDTUWmkocCrXHLWt yWyxX99jheRx7EN+CbUZ0zdzZyLWhyuOgDSIUPQC+HRsfsszJFHSLw0PNHa4MraoHc5HHGJ46Q/M qReeAM+EdSzjZadGNx3hW4Oal9TLtfoTFzDE1NDYqPGW7dqac7poJHrKkmX2SCdqZ4BjVYU7J9FC JLI/sT3wjGA6yvY0Sj3xim2aLsIWDK6izjguL7U9HBjEoSITNIjKKW8MgsD6ewVvIjPDJ5ePdOAQ tSLeva2vzgkiHE1H2Mi1NvjrV8OoSBKmIniQI4fw36W0r4amhxs6ZgJu6h+y2VarMnsqCc7zx7A2 7wTnSRC/kv1r6dnDXNNDXoRmFWaZUHuopdMh0LRNTD+K5AXoD0Qbox3HHwmgqVXDtSgS744E4l9X U2fi/5UOecxN7mkjBMuV8WqYk1gUl+qTbI/TY/iFsLbsouSEfH/X6MN45D4/HUZN3bqEiMbFMmj2 dm6sO9Tb7mOVSjE8wMtCdYOmjwGitoDTb2/dnZ52gi4Fa1uSU02U5hEKh7RSFOg7tw3qveocvAqr OfluOO/yoMleKl/N6SCFmg0KadicwgtpePTpQybW7SdO1VyH0rWncZmQIpMBfW4/fN6HISApGQ38 ENylPMFDMx5fHMS1lg4QtfU4MiQlOz3N9HsGyAI1Ac+WIuf6p/6qRD25HocScnU/dTQgU918vdJp koBpd3tLE4+/NGGSYmVIO+6CO2mc6tgSX82WvZ3vnobRhOj+6xnpl42jWHIJrWB6G6dX1Cl7ZVYB 497F5H6hAE8qStVxDqfqiv8oDbngx2JAxmPiS48MzvP3Jf7/zqqshl+SP46diFYFezKUFX3Qg3LM QxP69FePaXQN/GMEz+GYbeq9sLqaLEMfVG9adTxFgMHwFAMPCCuXHss/ONKyKN/Mdp4zFIG6AWlf ynLxqhtX33oPDwEbjkP6WyAbA2i4gbnkJBJylo9Fa2knjdgEpGhbu7IzFZxvU6QMZ4h4Eui9ZTCF YaKB2+BkNW8sNdOdrZM4y+38Uunndsn2KHPDnVrS+E98JO6bG61ZSz5ROT74+kz4D4viM/Cumvdr 5o2sWmXS8+gKVubf76ktRo3qdOKUgdQGQNL/WP1ZibxzLCHblpqHB5hsvFtk4pcb0rTCMWUDfge2 NhCAXOh29U7dawQa6mlUMD3ASD+XJJnBbNYybkCYzeZwONWNHg2qXP4kj/SKcbkEunsOf+oYmj0E 9CFNwV6/A9l8NS/1KR9R9l27hRhADA+Jmreo4jBPlU1gcbIWfbpJ8KfN+ODo3JY94cD5r8gJ67h1 yzhuEa4OIBHpMQwGXLGzYFdFJqmPfna/FTXpEUBn5gktq5D1WDIKcNrT/xUXY+KNlNKNVzMU2w4V 0KPZbYtayIufuOW5+Z7l3JT7v3l+0h6YFeo7mCgmrtFOq4w4iyjouCdPH7ZH//NWbI7+TX74YVNT zGRBKepNpAtJif52AMHb/RmIp8Q40K8+AicM9RAa1bcFqCwnykmQ0DBpCDU/Jtns/Xerf7il5f5K iKiQuzwy00A7fhKWUDUJNtxtEHGSNhHSFm4BDAdpV/fqGEJOTkNZ8LM7TxjovkjZ/0XyKktHPKm9 EcJ78ENGRg5CRj3a4NGE89g2YUOyWbJuZ6Evj2fiqePjTj7/K9slL1ElAb1F6f1JtaW/RZPspPzB LMLrK0ymPcEikY3/TN+7LNoI3CeXFETCluy1MPAF2fGxpHkPmCyiXSZkmTc4/Ulp8WmyYJVDqQ3j D2zIkZlkUtd9QCnGABIqy6Kk2v7B4kYf0jF1oudRtxS/gd66d+is2S1YmAmFHJReQbrK4Gpmbe8B fim5DXJ+uD57f4ZzJxD+yhlWENte8nXp5ovK1Z0LxcaWtHXgnqCn1QZSAQHn5q9C3UggqU7xq/5F sI4aUrK3UE4XD6zgQWabndhLwDr4vjGsPBDTIjDbKiRlxBgpkWAP6k7ciSBB2ovdWmqRLeN6Y/Eo CA5vVPSQQAVfopdF4Bclu6ebM20YB6K8hyapNzNgvCtpvnx0tV6Oat0AXulmrL4qsieNcinRhNl/ 9k1JCNlIgMLWPS5Becf9EI4F3aGOt+l2T/Vwpr56drRP8EDLUzfpZPvRClr+Ba1/jKuoiOU4K4V0 wPkX+UDxh4NmjNFyB4GdjkkK4HmyYunr7k+CUf5h2hwHRmJ5DDa0uooMyDROs2RZ1et1cx02megQ RKHH6S6SEHLPk0n/l0vBa1H/9npwxmuuNVyNIjNuCA7uXpNImTZtSGzsFD9/eRF4bwGLjQNOIhyz j6vwi1FlslVxPJPc/ps8hCVQUZUsw+82OKQpXV5/bEgf7fxvNGMr20XwNOL9SCGOFzesxZdwYjcO rLyZKsH+AuvZoEK8Fq2rHbGN5hcFKTYfbUapoVkO77/sEM6IE/2DaVmtWVOanUxAESUBRM5J0Zt4 CIJ4iujtkk5YlybTgtNdenQEi6bWwBKs/PKyh9nhEhmKzHuCF11D6TLKNtPlp83fK34//Cn4NR3Z cJ16cn8//9+TTrylwOzUYzcfRSgcyuomZnH+GIyLp5OLihpwXrUr4cdNgVH9cPr83Iw/+S0Jw7WP YmrMGarWbhennSELgkfV8eRN9LFr3ZjGVhwqSLqDh+pr8GQc67MuozP1ekXKBv1LenHIKt/M2gp2 TEpwTR7FEUDwpvtLD1XaVZ0ihM7+YUiYrbRwZkHFpHPpejO6wsMQXcsfkx36WzMWxpaqcKf+Xpgk 5mwy9t7CS0gXcs1yVtMbbo0o7kkgqwo7TGE0+o1NZclQ/Bwhx1tNLABLTHV1kwLvXSuKe7Nzz1sY CQNJSh9bGsccCcNPQdxkzGArnDITG4RTd8GqFKc3N/E4nWCndHPmQWU23t0Wfk2A3oMYKUs9hTva 0eGAORSmiD8EUmnsUQwVMjIvGuzvQ/MS46eF+RKC5zJU663wItbZFnZ5p8Z2ZOvO2eAtBO/c59je oDe61hFqY+PNug0trp1abVGTsMHBQJoKWKJ6PyxFv9HKWFklXl8FuxRrAXR2z5ED75LGPHWkHYJ4 4w+kTY9uNfPQmsTU9KcCne9Yq9LeHIOWxWOlP8NRONhilr75oQWpuyejXpEonfoYq7N06+D1+JDo ljiZQmItt1CqxuSyNnJ2uKdWZP1NgczsGuTn10OzWQEQr5QxVqs5vDqM6wEsMgDyaWYPbMP2dTOK Zva+y9ZWgTHNynpnb1cIhZVSbFhEVLaja+2Wl6OzsdfPYADydP+0MLTtsBh81LuZbZ8o2u9zUHph DYgstex/fGzDIZjuR/C5qHitxf9sg0ktwUN7R8ZwYot7Ls9swUvmpqHY4Q+soqfitbtaO55abPqS Db7wFlmm7lGgSQUKXho26oTe93Y/nsT0bIAI+iSTxrwzEfer6wuYcG3ngyYDilhxstfMFE+Tjr/m xZadYa+spIkE3mG7WZG78iAxmpwNQXV4jN3F+VD/DjqJ61QCgOn7MzCdpNEjefcE8ZpnPZaWQgtB E8KFUrd1ferLY1LWBago3ZxgObK37TEj3iNBhE0RN0JUDhsXG2Ih8bklRtDZNEzF098s+sVbBJ75 SwpGKUx3q1PHt+MMECQLjQS0kg9hp5xqAjVHZ0rUqBI4Q16snBga6cVNRalBqC4WyEWzkgY8wu2U A0DyBhky5AqkLSZKELpkMWQDvn79c5TTjNxkbNTLUj/N4bm/p1IrNLBoaKdHj4o2e+QpFPybPbHg bpOdxHUM1a5hYn9tnzAKrnBpfTKxTGw7orqpgQt+lPeyRD/6xnfWlofrksSzrbbfZRtUFCSBRfpT 9DT9ocmmWHnC6do1xeFNF3Rf2mS48wdK0Z7okrNbUs0EHiY8SWXhESYKflyGyI7PuMeo1j3FTyWc fQCo8nNUDOh1F3dUugiDIN39OfWbqZsFE4iqC7h53BjHtGFOY4Kx2P1SGDlWRKwaVLDewN5iU6QQ KR9UEoGZSO/G8ABA3TEna/nVxizlyvWULWq4zJthTy8xCzq2wDNpeGEOoU5Uh3/JTGcgiRsjyAmA 8fZbw0QdHjzaEzW4rw10N43PWKGN/azrjeJibN/3C5h4XosnsOyJbW+I1uAqZlO2MvEV4tahHbeh PagtCiwBDspMMIkNzrQoaLePj990K8PVHRJ15kGlFZYg9jbY69+mcAozIMDbQUUt7MkTt37cXNk1 sjeB5dmB75hiWGuBOcsJift6mJqSZEGldSQ2bsSCMvvHwO0KkpsFTq0rCZLzQM7au/FbcoNWEVXH OUg8B3xCbf7UEJ7NlLe7qASNs4Zd/99Qz5vKzklTqukpaZlmL1YVNCoRI34mQZHQlJn6MQFaX64w YuALLJBWjYlJ9mFTC88BjpAnxO1LMM+MQohFQ5AfHH+8BlCZzIv0HcKQB8A4oHsRJV1l4aQ9IZTc iFbuRekJFuACmQmPHvdLOtTZ8il2hDkeCMZsuhmceXcSNcnoaVpYorSEXTLtTnRvoIbl2l7fILli wpeeayRbf+vQn3/CScYzOaVcv9w84b5oVQIjindndTD1YgheYyfjUwbmPfYSSDQjW4kc+FAEZZ7S OVTZwfUQgJr19fri9DfkZBxPymkY3oAQKNz1VAeGEb2pWjhPzcH74+Gr0jrmeCl9N/ONJwa72Obm GHcoueMsJi7vLSPHfguT1miX2kGORKuGrGgDc8Y0BPd9d2rkPCBqiM8Um2W3TdvINIpWRaXWjog7 sOCWD1QlSRK5VkrbCngOrOCsg0z8nfK9407VMFv4joUrUTrFBMsq4vOCv4FcTIFZU9DEOC0gfdRv 9WnrvwCeZgApM5oTbNPNEDOJIhcJEJ5ap4bnNNo0Ai87fC77AMosSQfUfUm7KgCKdstgrgdXbcHD oyTLmpMy4Hl4Ockf/9Ii+WksUj9i4FdGhKEAda8kePQs+iVH3B4nkEvXbw7FdUi1ZuJpoi/1n26O VITRQFzjkp8j0HLOKQcK7Omz7jAEAD68/sUvNb3kV0QGW+WK90QLYFwiArS4djqEeONSJBqyi2Qg tNv3yan+LoRj9blnAA9znJjtROjlHAzK1xI7L0UMlZHQz37hgGyMT4RfIpqazZ+6JkABBUU6NcYT uI6eqdkmK3Y2GZOAJJRtZUft7+k+67PscVIyXRj+vgjCdatUzyGnbuu0Y405tsdZqqecytS2t+Gr tZmbRCrWU6tehIqxyERtqVPiiZqvaaye2ge1rZbBqxsgUd27h6X4BM+o5T/gpJAjMAKMwbLdTJsI CWq3KlQBKM9C8dLo99WLy4hOoKgkRdM8Obf2PiY6wLVx04Dgai9nC5sLM2D9D3sz+LtfW44/gCV8 8IyL5hM8nCpiMJXRgJZTLor2u0LGO1tMYey1Fw3UKKIwpj4Q4UX+vK/QtzLXJSrCPxBs9dPFWEQo bVfJMxZ3o3NMghG/P1+9Pj8HbMNehDnLoaEvBG5m95OKgMRga0TeyZihJtKSPKQzYBy+C+cpeTQe P+jg7YeWu6GLJaD7hr3G3I8rB1axaFRXj4OCzVdEvCsEkzwcf9uZzxn0snWh5KGCtZ0WbnMMUd4a WXnq5HMT1/vu6M1uJMh4Q0QGMsg6ReagICXTcDRaid9pPOeZapEfhyUDOWLPvhrMzl09GeTXIE/7 ieP67LlmiuSHzp6p5vCytgZTle7nWs/lWr5YtNxmoLUiEZ8RE9gu7Yh6d1Zx+1lq06TYaYZh5yiZ fU7GCb2oeJu5sNEi39U+goFm9KR/6BfFsR0DgnqS1Ysnp4yy2/ffP2fiDXIvh+lUeGLBp6yc44jp jBQaiUc0vDIJghBb1G82gd8EpEM9gRgYu627QVG/Ynnp7Y6yE+IbTy5HzqMOVfdOibWHWqmq/q/r QW2YkvOC6WafFJ0+HbSL09pgF80L0MPHDyUyqEfYKS5Ob9PJGEifOyj3DFOyHvzZIuYoCUXrrmhC kuykRFVPE//VW66LX5r3KWq6J5/V0BFlTmfFIuf/4Cbk22NbQM8IkapMNt5kWuxaL0c6tUJjvadj uX3Sxg//TlwqyZouUW+ZZY8T1GL66TvMY+uxYFqD4zVoT6DOkPyNV1Mva5gxlbpgZACOt9NqQ58v sBCqfPkqEmI/pRvxHEDaO/XUSye+DAw0zqaXhYz/rkZJWcOzfpYfva6OoHUX+Mb5XmQd7ejFCgPO Yw42zhOJ2XQu8vFkiUcUEwWzznrMtqCbBTzY22VQMUFJp0muCbPFjReCIyKP3S6jwkI31rHUVGEU L1PgX6VD4dCzW/h9O/UX5rgIM+bqdN25JWTz1jbT82MHgomVpTugOnJyyFuAlgfqkjKEwUp8/NaO 6sYYfuUHUtP5LiGJ/wo2R4Cu0grU1NS0wkbs+d7J40JzWiALIKRAweFcLFWzczogxUT3XIKruxrb u61qXWghonYT3+1LT+Hf61xgFVXAhn/LsMyy+h/R1YasTtKntPZTWzEp3ttwDcn9uFjF+tU44iSe LE1D+mGNrPGvZZwGBHaqeguJT7EP8UlD1qgEG+Dq4uinv72064ZyrGdskL/vn7hIVS5cBW0efwbf Z5w3h3jnAaEDs/PF7zjVwJNl4l1+u953t1y/sNJQ+d9/zjRbZu9mMkNK0C9+gRQiobNieAsR6Ged 0nqx2Z+0uaZYSZ6CMWmkUhQRfJdIWADqKzDEDRQkF6WZY8weudgQXhMpTPQXpMWJnvs1GBkkGKNu qAAYIqIKlKUidZkyKF8uRUng/fz8rGqBxPJmXDFG5T2OSXPZ3xC3qY3rZxmaplbbShGYrHUYP8ao ukr12nP8zL8q1Nn7KdmPUEgpnzYCde56qiJEgE3YomPGNwwKbfvWTfdrid7jlpYoa5ZDt2ImhBew EcAy+PboqWLU8lbVFtb7gbhu2+yqtkItVBzlvB9U/f9sVhosWjIA///yiV0axN8YoE9uegYIkIpP Onfzz4NAUgGDAPkwoWM9b/Hg3qKhlLFuitvJhYGLcbaYNs5WjjYJe3zh/P1ImHKM6bRU+XQ9m5/8 s907mvyhG7E5IEvC94g7+6ibMNCG05bivE84JzLb62xVrtfLnffxWi80YOnVMj15XJK2Dl9+FHlD mn0+Q+noS0Nm9P/fP7MgcyRhH7pupLkPA8C6gjNPA+cv9ce3Vv0r4eFnAyM8g41VbSmB57CISrlz VGvQCeoX5I2BVlCEewHk8SstyTdjTJguaSusegFHt6sJMG90pp9NkxcMCpSzi8dfBwFJ1+lXn1/V +9XhUAsBIQJq/F3SxqL8QAE+ZttypBtdJx6eQJnp94N+mM4gF0VoaEALAhhsHU3FMkFJKdiAemip uhTbXVfDS0HlzXidrpEKfrS5ZtWde76Ll09FoWib+Qh/yOOmdwt0Ym4hN9BL3kn+1N5cA8IEp4q4 RSiK9mVLwviB9KONVOxVMH4fMX5TW0AHfh651ha3Pt4pm8n5vmE22hJ0k1o0mtxx7cSvCtV1jUZB HkpeU/uj1y/o2XHnxrvkKukTGyOtw807HQ36cBqTwnnHxAdo7VebaJVbur9VPFhwZBFywZ9gVrd2 dJe4nAciWIkio6QItu3J84y+k4dnJwpOvmwaiBn5Rl6Hz/T5ZQzv/lkI1kHrxFb85rQhLDIU4fK9 UFbMNBXV6Ee3v0UGuSnv4GNJ6g5wDu1tx8neQqbYG87ZlCI2Tr7NL0kkn01j+LgQtTlzWe5XlZ+N Syy75gfJvInewU1e2oN0HyOtXTFnz5aYT00PgPlcUAwucyl56FEhYvZAmmTD90IdDcMK3w3uk4/3 GEgpMkO3GIzafUn4TF2mSZTWm41oHR6JlQRcGqoim6n+T9Er5TZk0drwFmOWh0AGzNxeP2yOf86W iYErkmmHZVhfoXBYezAvawUh3g1Ts5WWijYM7Bq4P1Ty15ZO+rsWiLIrbVVTMdzEHANSgXV0j8S0 A4xffVl057T4HQlYG5AiTbbaDAvJUgtOeTFTulm1ajrklfQwDw6OzrKCkWr4ugy1LQd2KvWGPDLh snXNbdHydXlu+Vc8QhJ4UrC1Iuwz34+7oFWziklI4pxxA8j9xTdOkPlzApDm0H59cvIsVPESCYnc d1C1gQ9fynObbgE7bC7bju83WfrdeHbImjou3nSnTJ4FcneCuoc9W7caX0J2eHAzGgXNgBYOOIXH nWqA3sYScT4LMjTbmYaAiKTNTUWYavgrFmi/eNgThUr4YltAn+nF4bxdGbfD8SXdQQ/mRfGc+ezA 2b+eA99ILrvqBFVUMth383iIuEx3B2SgCxBOb6/Y5hSDyv6o50IxUXpioxU5SOrqgwLDSK8hzlt5 N3oKCon+zUvQ1M9rgW1J6/ZNmD2/BZFAhM/yjGmFCtu2PrFOuiI894ZSSBZNfisRlE0JNmJjLWpn hzwXq0dxgCxUWQuBCruh8KdDg0jbNGAE3p5nckaojLPO/Ww0Wa/GB/vzHTf7zjoThYOQpVKFuNYI aznUqpBaqdmbqlNe8vQ6dA8tI9h0eYy54RqhLqPAYNvs4PpNkAaNIvDF4z0LkcgFi6h4WV9Y0mbx xbH9fzBq0vBRB74RJajp1mT03RIu2yYa/4KKFQup5EY3njOEI7hwQoGG3qBETrumGLS/aH83mY2M UEDGSdnb4L7/wVyKb5MFLtIs9RntqjtPUyUemyq2p5si+sNsK7pc56FQ8oZYprdpJ6u4erYkCVJX iSBnnupNh00afQWOsvFb4FGIvXWuQCpbeVbhp4BU1zaAd57BVwJLHWVhU8lCEKtTynWDvTcAI3YA /7SYq8vJKmprDW8XkwK8Gw6oFQQ4lf0cTmINbeHN5NHYxoy7F5ePgqiRREx3JP+Yq54a31pORIrz 1o8PgPdp1gXz4qqbnKooCuihcVg5XLh09y+URDoKIUJkSs+5XeadspUO9siEUfXgtV6Meecp5pHp bpra4lytVZXDLwWUsNx0wPJ+DJa6/EunayUc03FhTKtOce/obfxlJzb0/+q1NbPQyjwrXPfrZWZU tPmQ32aJyA+ebAizIKeeEm2VdEsT5+7SZ5S5brVgJoFdPUF4ZvH3PQ+PrbYpI7q17sQHYbk0wqI/ 8AjVd1wyDp7HbVO2V3BhCl6aGW9gAKvIdj/s1kIb0DwxhloOd4K9j4lEOLQD+/4G/5HjQf3jvZVP ls58JGOLWoFIvCKwp5N5U8YQhJDwrPFbXZmFGjYyBHoeCp77YfWxI4C+LQ83Gv8xgM7cFLQUouKl XeqXXkDreyVrn/P2SDAkouyFy3evO5OVk5T8EQCFtESG5W0OQPv6HYp8hu50UbQIy99PnzBFcCCU iFFl616r7x4C1RTx+Ia2XIKtZyxaQomPJ8VL2/SzDRHbHY4PkKf3C3At85+zs17RfpB/fg4r/xer B/JIJ0fvEulvJmYgEphB8XCy+cBfqKuVgCZSYVT4SpALZmf4jSo06DbEhkhDgvSnF9QFZJzKM16+ b/GNZ/ijE8Ddm28M3SVs5Es+ZPcdEmS0bAi3R3prpGDuoq1QawbsAHC3ZSzwj9uTlI3BaQQu8w+A j9TNNpx7vt6vYTyh39fcddDFLb7OEdSVeVX4Uss3heZkH3EXrDLNIZV74GKcnOdrEGp1gyArSg2y s4HvRqI5g5Y5BKHubzP+qn9gCcQUH3+I25HpBHbwjmuCFt8DZqJs3RInVhatjimmGr3ORkGyYPgr i3VifwqvwQN7K4UvJQaGehuN0We3ewfPLhyjQY59x0tx8p0amp/FFvtHLaOGVXznqwyiuIOHD/La QyMGE1m1dnRWTVUeUE8cvmOX+B3ffGWZEDUnFY2okxVjI3vlfSPq1kdmAzihBokGreR/aApbD4Z5 EsuGi8+gFgKynHN2JPV8GnK+FC18cPBPj85STcidMs6kVD3UP8ULYIJ4aEZhXUkpLHH0P71fzFVV mnGpVbrciCAYdpN2nYeG1ThW9uGRSuAJ2NpUX1NzxVkG6spoMwndkCZgo3G9qLzkmnUzKCX90Y4D VmWFuV1/dWyeiUrNEhvqGvXaLtvaimEvBAOsJYBnTLdmvPe4pI7IlBe/oy35nqZqj/S1VHI4hvZ2 7GJ1D1MCm7/xmfW1fODUkhZGnHVA5SWieqo2m3+foFJuwqnNYtJYYXBg4J7rb3sEc+RqP1xbmsZI 9MbnQb5wA9AUfaLdZ7jR5W5cKCvTWOK9pCtT8vBNdenvsqXrNpAvfd9fg52dpqp11HRtFCVt1gGM hpTVvt9WDM/jB7Udd/kQEfarOeuuAIP6Z7SlSYUBdDJuS/tBXplZF5oyQuhabeQ2aWieGY1DNMzD j367yc0KlPp79fRlRR5qIMUEtP73ZBfjqFHFTCs0qohtu+rb1wzE1fE9kq1NpvxO3iFMk5xT/ULe XpegOh5cy9pUHTkN6ajbQkioB1et9MDShj9C+kflAS6+x9pCDbzZLGGWJaZw32wtcdtdDfYtD22j E5M+pIv1cdjHSmi9WJgZlBrEt+5VmSWQ6Q4pjxzISbt7pdYfdKK+ed3NJjVVnmt8kQepG6IwGsT4 HmFbq+N3QLKqkzexL1ldMh3qnNfE26aF3JXmmoF3MkgvREiJKnQGyrdVhlS6APLfuA/L2GzV+Mag tU6Ev7QNmXgXg3mzAnE4x6Jgu/mJDv8LimKuZvkSy1+q3wq+z8qePGsKfRS26dD/nhxRorDTLQkx y2h+UFY5fQNx2Z1aJ8ZMDxsAZ245g4/7HhGyIwOSoB9YMdJfopyxK6q0OU5RvUw+o6CBvjFpwLHn Y/pzOSvqAY62oxyzbqct3HqvPuz/XKRstGBwcUXKajc1saUCNG2s3XcY94Zm/OnQ8d/IgWG+hWr0 hRYD7wnsSrltZbGoizh1/OmVg1q+KTPJE/ySeCSV7yBOB1aYaV4S+2oQgHuFJuAgMH5LDKWyyJ2S mWpUdAI01Nk5OcrUxUNLCQbNFPZUDRFSXPEAnurEp1ckrFr1Z+JfrsjDgoNQkKBH/wDLnQdF8tmP 8CauoykmKlaay9IgkFh88lBWVZpQ0u5im6jgpfRq2QzZEaOxCNEU8tpvHnyFPM6WFBabRxeXk0Ab 6ErupAcUX8ZkDnazRe5OHZH3X97XyL+HgcaHd7QQAblue/RYfw1GPbW2Onw0L/2uE/1g7RFZRM66 ntebW2Rq7DvZ6c+FACCmlL+R60Dd5VYIRdale8JXckqki2UVY3NDfz+iFiiED9/nND8TYVK0ODkz XprSRj1YwheeBqrWpSASgftWwIXitdlBrIgzi0eHFFx0+5evsMi/rkwsdnb1aSJDGUfYIU7EZJpI /3oZjTvS9e2HJmqXiEmOSTVMkL5mv3L+eodyg6mBgnmCyX521flFhgl53OR2xwMAMAfk19AW0j6y rSZAgKgMpXWq5qA2WqC+yFOuW+s7wF7zhID2srro6WtqdtF2tQciJc3MhyPBaBzyNSquGkdv352b OvvPi2K3QYqqfbCvs337kMNkJj/jOKq6kM0LHREg+IMxuXZ5xdv5nE+E/tD0g1yy5gFDsoGC2rD5 AH/Nmt2IUWRbcm1jhQ5QaR8ywKUIHBk92dE9kN2AvRAGEx9nWGKW/lKTiA8A86Ngzy6qwlJGT4vW ZAe5/oGNsUX+XsKfv8iOXJLqcSvWNo6lLuO6GO4HnlazgZRXjFr/Vmr9RV3PCqnJy0NTbO4ClJF8 QkjV/w7yIZeuJbxuiXMsEZldn4kAJGYDDb45Rq4T/0eSMxSdMbVRFhu60bbcHtqDlpNjHbXLECTx oHUwBokcIPT7TVSMhwZSPLA68xTYjFSQesoGcm1Nf9R7vTPsun61f9nxALxhQt1gDhJLAFtvK11B 1AjnVjoQYYgYq9yxpwDJ9o/hbsR/wgIe2jHgiwikmL0rWnbiMRjp4jGpji08T1BL8wrHPynbZlGA 7j98seYtedLzAJNtnoDOZBTKjkyaTpd54Eh5wy1oFslVBcTYnCW70PAAQG74mZ2pmlVlTml6byKA iWCbfFCySlVk2c64xCbeZmygQc9ZXXvyHVcIU2xU+WPfAgVOWEQpeOMHHHyomNqN6m1cvSKReEhW XjOZMssKe6hEuUa7yOORM+84FI3Akz6F+7GoJCWQV+AlPgfM8jsueEnj+VTf+7DnqUnSLNkAtbaK FApV1/TF44QPRMzWtVqTNCXEjLtNjMeqGCCdRX6ISRu4xsOGu9MVbfoHa0Ajb0YJTLNRl4WRBctI 8hvu+Xu5Wodw4EPXhdPJJmoFydgrJwk3kNKMsycjhBQXcWoC6jF2tLF2/tDegm7MtCaSuL1pRk9h a1hFAD8JTI6koLFo+DqixnjkfEopan+vchxWSiKiVXmmYZxHP/ptSWev0MRAE4GkJubkPnwRJeTw aVUaoirgxf1197TL4HU9Db5trQNB85edoOsk8t5h9ddeY/WSYFZfSa94Z1lzAps1b5PKHi7w22Ll qcg7d8GMTfKDdSK1giSa8eQ55JpLNN5tOWeOzxE2OiU40SSQlZJGe26znicr0T/TZQf4PKNZG4nN yDUOXOW9RIn7VU7Gli5U1/8dMV1pF2ZqYr9at3xJUngUnYbC22H+Veh3i4xBDeNnXxnB7wx4/cEk ebUNNcvpC+HcIsPB31NX85edsCNRxwYHJqUok1nnQxifkZPgUcY1l8KuaOZOACF8dS2MRX5OJTuF 22POS8Dh/1RIpn90nKFvW/YVuFUDsz+Wn/GHLWT0TyaYzXNY/+txk3SKnFeWJqH0vWSlBOC7GCb5 m9+iudCaQsHEZ6g/Kv/s2auHqUZhpaDpM/CJ0UDY/rA7Gu3Xdvgj3DeIZyc2a8N9zDK5QZLIleLw SrbqcKQIzFI9NYKzj3VHUX4+mLt0A9xqtG4I83z8w/1Vl1UhIkv9bB8VTSSPvk3VdLCyHWedNk4h 9dvHKMgmKBOmOEr7zAXgLkQcS/Hwvh82dmJjlSrt9e4A3kRVtbtpPRnU8gMIJrifO51To1Ivtq5p LcLrtsnLxhbeAUqHKLXbrxmkSdpTGR/W4UepsJGX+JjbVyK8ggXmpNs6Bsl8hehHstExe9ZTOA7o McY6xOiLBH91IYV344K3se98JPkgve2jUYoX84cNeFTiNsqet2d3Teg7A+jYMkt1C6IxjXQibVCl nQFBDNrXBBh356/IDlovX2iNfnvS3jfIOXAQhQMvCsPjJEN+/ybopjfowi/cliIasZK5W/DQjUWr iGQ8qeSEXcGmSGuPp9gHfh9iwYWpbbOb9pz2KvmkFYCGEoFrsKaiE56hCWUkfPCiqz+4AxgLtH3i w9P8BMKhEiyzi1sMp77Us4ekuO5gtRXFgmgtVWtjFZSyg/JL5UonRaKWhMwwklXAtaKJiPeCol3q nHjlpNu5l9LzFKAfcgYYfWkY+ZU9ZSNmJt+iIoFIZQzvcr9kz88wMnCn3bAyJ/GwTo/ptaQ1i5C1 o1UrvNx5LPE/W0I5XYov2ryavC9fF1g5Nd4iC7XqjfZuyX6kC3be/RitBqRJTDcba0w3NOnH84vZ T9jTCZRcUhrCtJO37YokdIWMG1j3Tv5gCsRTCY3swdezBx7NF5smDDPIgEMuRTlv5GtL6eVHHKR4 A1x5rPg8Ikq0byrPYJfBYgyS5t4nuCvNUApi/tqEkgoqELjo8U75nkkprvn94Ey6yHtgr5JKGJMm JMrVEKvOC7279OBa0MEBKX0e3/l32pwj5PtbNlyPi05/bDerWNeKJwLq4pWpYs7TUypmnkSMGiII PyRHtvstADpXo2yTychLragQ+zfT3OXESEEHgm40E3IXF2xjSgyXCxeKndV5qEeJQkGmj8bBfHpW lwA4lGwpadeECWpmNiXvrPznBgDTGCp19hc8fgIjVcIUlCPfTvwBpkFqY+25NNZm9nWxPh4XH2dO IO/D5ov37L4z530+lH5LgALobzmvM8evgZeAP1R1J0/kvArPXUXsB6CtLa+SOLJQyoeDrZ0m6Fm+ yZHnO0FaqvqfLZNTrBt1eLKa+9Cq168a+NL7IJEHgkQ6gWLZApq4F0iV97YgsLDbbKZjpwjC80qQ TXeV7ExvBfJg0Z8pyDOGNWGVCi5202UQMat+9NSf6dO5MeVwFcKkx2pttbVN6rAyCLpJ5lGWFNPR Js56PHemvasyF6PLWdPZe2brjDikwGDUtmderWv3iAtgP75QJPBPfYhL7kVaj5YQyJU/GoJRMsee 1XmJ+AawT2ezjo6qLKMJxCz2BK7UStt5dh/VFH5UYMHKZqod0NQR2oK6d5KChbh+RkX0ltdEf9y0 rlzINLeOJO4++shVYeL17y3jRWnFkVO5ujdnLp0wIOgGS0iG2/+JNOg7EJfAfJuCTzYbZwwBE51z Q83DLx9NHFIGFVNVlrmBisuMc6LpTZryRMQkber4wN2qVSBtGdtR/r+fyci0xgqYgKnru5UfpO5S P/SjFHRqiqXhXf4S5QHWN2ZEsbKJV0Tc+T3vn7hNxzIq1QjcNiW+pgFdP+l+Xa6p42tuNJ1BUJwt QTsJaNDAvJI2NstAosw7duyP923LarN3RzXa2Ebk6TbjyUPBA4yALUafJjXRCr9eq/QiTNzskqqH cJOd0PL26MD5oPpiOL8feLmdzbFIQGnanPq9Q7UpBEAbnaNygoleHator5Vs3zlLnxOhV+iUn2G1 hAgyjt/s9xmLpc4qFn+KFVvQLd6wCUIoCosyHI8mhaB7fiSTZaXsszoUrsJ5RNO7XuxIVDjARypr ZEc2WIrTNxqM6OD+zasEMVMaspblhSAA9m+Ip1CiQpdR7l1P8AsmbvkFMMKHg8wUPvZUkLfIKAJY XZjE2KaBQ+m/pjZPbl3Ghc+pNyib0ft0Ve656gzzA2+1kvm45+sjtguJ9+TAHl52CikBTNUXR2Ky hMGA0vqi8cvm9zEVYwO4veMFcK53J1+8YnZVBGbLXhnFp58X+sq1R9awxwH3wAcro8nRLi7iSRTd puDTXyhbp/AW1Aqh8hxNE6SFoP6uZBe3Bw2r1x7wZXUfji5wB81m+eYM1jD+KHKaE7gsIWaLYiDy hiczyhkDXwuz4XUFNIR4nDcCohPNgOY+7oy6p8Hm9sOvKSXJWJg/6GL3dBBFB20bTz4zoUli1o+R MXNJTyL22XmIuRjSqhFp47N0yK5cCPdwRMOkAjT7aS5kmtR1KqAuUVUPfRyX5MMjJ+fxlezIyaD6 VtF20kNBCFqBKiUS06jUvJEDCHN5Jn8XFS16kS7N2ybqYhTE2tZSflXsq2xiDakAyU01CN5DZycR lPZ/G2/oYYgWCH+QRcLECLmhc4uLJZ2J9avibLE9m3A4W08wblSi5w1V6OEXwTF2VPs5/dwjT34D sWIHCxymZ71PVlysSQLMSHX91UNywFxkltD/CQDEcKUZK20Pfdcps/+am0+Sk5bgQBlZGeIme17j zseInic9ZWp59gogJzPBoh02RixyLJqrw27bROp1ahEwnTngLtcxlJYCWiHQn2YyTCNoDx9wk3fN n8dfCOH0DAICs4pQWgWcMpVx1+3envwxKEpnQ/nioB0DchtDV8caO4nLCZ42asQXVzj/dR7hN5Th JnCoxt7fVhZWhPdtrstlYycB3UCTtSrW19BkNS/cnWHWTdDwzR4uk8eqwNphCJDw5a8VAMahS+9U wPwut/4JuyUDsqB72CjJRKvOOR8oRSQhytykqgZmSGKvj99zSr6U2sQYhSbm8h272AViJ50+OtL3 2b4et3XPNBb05NhxaVG84zWN83IqxIA4fMnkId9Fk37G8GaYHNeBkS4Fza8AKV4zpXsBS+rG2lUY fsDTNEesiuscaXQb3u3l9Q9mgAQbWebot4RrE8FmF+df3OaJJ/jFTu7UEd4aV2sfzVYTDSBvc4bk 1HiFNwNRn9UaYwN50rCb12jR1fWX35OloE2RuImsGxhHYUmMWB9XalDb/JCtAqOZfGnuycti5ckQ f2c8ejR8n2HPMmYZbLpO3wqS+qGueypEMNqErpa3wIXKOVQ1WGh2HcK4KWaCYfb8IZpkBwVyMbRT Jgdqp5GQxqS3V/SK8y+oaiEjGPzABejonZ9ZFPRqxyA8SMFaQHnor+o9DfLvwuYWrE8lZcG4yYHZ zAUjAkCb9RH7EmtIbtXcRncos/fwfGs7V0NnT5+w8UPRzeuciMBxYc/Osez/EdqfFxaWMvcr/35v 0jposDJtXYKyvoW/6RI4KwZMTjTN5/DQ+pp213c0f0aQf7rPf3cRZs/JBxl5Yfoe4wXjl48jV0SH tTsrUQ4CDBBuDovEbLBfSSVIO74mrmI0cbtNW1Nbb6NQg7x1/NRlm4Fnen2huNkzqEG6+kpKwMTD KM61YOY6POexEBE0DG1SV7USrgDDNa/ZJ5SZ6/68dpl0n2ueXWoJGYv+HL/J7RGCDIKbBpXd6kxx gQdlBRhIaswNr1+ADDEwFhG8CpYmy2zAfgw6EMyZ1pyHIprqmbL1P5fEOHzLU7FAaiAQYw1HJNyU H2qMkySbdJ0qOxwIwyvtZq9JNlOWRtZVq4c/uE3B0DbNCTr4N/Ei3b5hUd7yTEE/61GoFe/4lm+9 pPpU3vns0BpO0JQEaA1fh3w+r9oM2u/PT698tkxyK53qXi6o4Cgk4uJSprAyqM8JG8UPQxN9/+/b vF5NIYomzqylwpFnAjWTCkEL+BH2Rw9kCX34sPlevJgtnFhw3onzUWFpvthdO4vm0HelDHj04XCW mGsj9K5ja8icUz6LwK1LnoejhR8bwQtNqBKWjtD2VyT1QwmxLrf207jeMLb1a71hh4bLOMrZWeA5 S6BYnStuUXwDFa8f2yytJHxJIPCYgQXMHPrxfSFghVQPz7ottz6PfP/CleBnqfbhJynNTaSO0ZfX nVDQR3NfmjCF+hFDaTu/kckiSLfY5qmzOKCcfhi+luEuMYRZ6kBTNHor/uACZdXFp7mer4WlJPlA oRDIpnM1958UUfSyJt/L9cDNTPx5AzqcTADdoeCGLQ+PSYeOJHS0IDJISkLInYlF8A5wkfkY4dw8 FThGZGM8NoceXXvEN2aLireu0edEST84bzkWyLnjkwxYgI2SLrq6wIylbkQhFkv7wA5/lCdPwSdq z0z+gqvjfdZyRWyhOMfBq2K9XONoG+DTx4Qu+fWEPqkd3PFs6jKwYB6XZN0sMeU7ng1JYkL13T6G ZQgT+/8+SXCjpgg+zuA0zef/khTIROONT2tU1BqJdFRmLIp7QE9yS/UCI/MgsTA7QVns1qoGgKlI FmTquoaUH5EX7m87thesGtvK7tL48pdyprPMFn9kkZgl9WN5qqWeg1AMQdGayGhMXFyV3fZf+mVH HqJoc/rlyq1QNwMUJCJBCkPC7ZMCd79uNOhxPjjNReCBlOcy7hi2pI16TOuy6H+DyDT9222IYlCP lPVuOyF1BLy9IvsiyjVoMbxUalhk0BCjPVQ5w+bG1ThnUhQ2KCus+gl2RA/L0gQQZe2p0g+pFqz+ CDApq4o2xkYmizIfgZHnLHbOs993DU7Mj7fWg+6WB+9bqKbdG+PXvf+cF6KxdHrcalFdKBM9+wo5 /2CucZQNs+HFXqlXfDMiAuISg1qyxGHq1y9g3boTGzqROJ+jRcBsfrSN+diK1FColI7rq5lp5LDs GQho1bPY68+CW9SXnXvgBEk2T2y/AwbxZ62hFvw0t2nsQFlEWj44d2dEwNYn499Ejs1WtjOE2Pvb SRc4XqOWO9MNOjPtQOB7SoP/myIlSRi68i5dSZsjj9u6TDI7nSucCaGXo64ep62jtxJIZ83RNdPP CXxz0Q1fSQ1y/7ljM92BUaUJVa7I06MlcuGnaPs9rDfRkAqHKDEnyWPIXc4P9F44WH0/JTzGeAWT Ka7gY4ILgKGmUdNB6eYEjWStrqLj0djdDp2TPoaArMjgtwJHWKARX97g/JQvA+o2s6tSBbGCcwMA Zu/0CYvZYcuMu0UBstqg42pJ4+bX3PYvxU54yflrFmgEoyRbECIZiY2GsdOV/D3s5Cwi1TxQd/4E sr3X9WlFlQVsf/f0pgeSLg14akqhfkfT55MPTjEXQ4UfV9Zo7WjW7P2fw84pJ+gh1TK5j8xNiCrA fPjGhAMadoSKnIjj1O16Yfv2DNkN7gcakTJ6FjhWJFudSJ8axvRBbcmGrAERFcyFlOjUlRvZJFTg xROq+glR08i6/AZOiypAZsbjSg/YpX0SeU4eIODZeM9bFqJ5w7lNjfjSKzLy7RTSxbpsi/ArQqBM 2CLNegR834C3cMM/7XxWnA5DIDoQu4cMJO5Xlj2fXMpHDowAGASJtsD7Bt49rfCtNUwEDA5AG4A3 az+7viJLhM8xdvM/KpzlWlyaaFqpQIiLLmd3uVQPT8UcH1NEtDaB3rVJcsQDG7FrxzJhN41W55sp EQb1rmMUJXe5G7/7dJoUnbIL9zgLlOoMf2VmfkO2ewD+5Ds4a+2zp3xQV6vMyBjBPALJ++yissnk Uk0i9moD11oXA/jM/25hEbuywBLkw60YeeSBOvi4iFEk6bxL1TUFD+aIQvJqSirDSkx6TXfbnRwM jYlHZjn7gzW+UmRYXjDBAcWcr5pvZHcT8b8F3kIodJIePQZunH9gBmvgLP2Lhe3+LdMYm04znJc8 57AK5jYuqF/S1ZzCRJLdZk4xPgQOSSRPDdHMpzVv2clQb79e/7VN5e3FHJzMi2lEIPJ2zP1skK5Q XqU8sm5CX2hFjFEsHXfFt16LtQNsaCIkFwUpkyfSeFit6B+bY/fipo3AsezpPXx6+9J/2DTYiK/z +4BfcMPUETJJMn9AfwakszoyAQbI5LE6fATwU7p0cG2+uY0Uecqt4u+kssP7n2lRvMFS5xq9gRlB t/XvjgUD5Wzv2Auhw7b2gGhShKS5Lh0m4An5h4EJbGyt1MW5aWp1hczJVVoS7bX1juHDhryXaufN Fa7Z0ngJNRZ75C0pDe7BHyRaMfeE0Mr+NQdCZNK2MAAprhAenYaTqSsEKmLBcTUZxcsFAahl6L1/ T6Y1oNdrgs+29QJI4d2U42mSKUvKiYLND6yCm6pyiPQ9VQvNkVwt+vYnJp5+TTKLJQGe6+LE5aHu ISLodZSojEE0LAQS0hGZyvOJcZOa+EBUY+K41KbOSpwjIm1zW914LH8wj6N97L9sFkHTKNG2Z1CN Jdkl+USxaXkasndX/UAG/R8UV0d5d3weOroqJmMd2xupZGfnuWcm7wNnOdWe9AJA/1ZIPpgNv0MC zbjFv6PPAgoSdL7UEBUZraQMALNCbOZ3AJaTq8mAR6QEX+mu/SaL9U7NgMjbnchqKOnnhKpr0/FB lKAWt+8hWlE1Sb6kVxDGqxmfqZfcSzMi4fhS0+3FvMZRL07SBFiWNbmWVB+ODSfNXNy6zo2lfGxV oQ/nGrZjsvDpCgHsAYXaCBJls/DLFstome5LkMKP1+Shz6VAF86r7J+oHRVQiaKIJIlvGYWK66Lu qaPoEthKAeIKblymaiMjvhQIAamGggSCTDC1RLB0hQGHdYCttkv74KmuT4Qz3cTVCEz/6REbX2tq oM2wFwINUegFBiwwfrf02Vjdflobz+FudYZrzhMlq9x6IQ9m6eWeXQyhw5E+t/AMCJ5JMe+mHpbx xV7Dte2QX1Jx3SySU08bUF0xjZkKXAIN2y4USN2aC9KbqRgJs+zzrHlaxw5u2XqPp7w+ix+Cnt4T gRaW/+Zypsh9zXGkRmhwuGZqWDUCrpz0QbFDxy7bQZVDuQjkhfReW9oE7X69pT9ehxp/ApRU4Y+a qHDGM7ubnlmFc67AH81vSj12yy/wGHvyIMykyWW1K5Ux612VcrMKlfpHeTm1tiBrxqOxSybsQ6uI G/ZTzMI+aPEXt7HyoMK95obp8QP6AELvkI0AALjovda34we28Oc/67TNZ1cUAnuNmlEGizd4XeXR FJJDE+reEftvLRFDWRmNARbxN7lR5sNKEsdOkts9wqyMC/MkQX5wQBBMWMK3ZAgYXY+5M1nzwby6 Nt7KzN1YBCJLbSWACLbfE67I3heQJ3/hbnrYXhJrAJ33z73bFd0g8cYAx6VSrwGMoTVKrTwckmKd plcuVDeAHOEeGeM6PuR5RUIQMlsU1qD+8H9FbimjsYEklVVIlXzB8QoNml9linPqCSYHjmslU/S+ /o4mqjhPq3o0Iqom3gd0/++VkN9we3Yn+4K4um+9jGzjCHI7TNKhI9n/JSnKBBTU3f+n3BhyHUYU +g7OJHLpMZAxQ9rDjA4UI/To+VvlerzGRkNXHuw7gMDQsKZ0N0odYGcd/HrkqXiDo5eBE4vcDhRb JF1Oiht+qBOL9L1QJIq/ZhP0RcD8ObX1ZpDbktb5sGRG5oOFtS9dBqFpLNA9xrHTLXB6C3aabLhW yAMeJ19iKFG7wfnFxxXahYx3SXIepgpY8coWwXgl9btiZjZ96Gdns0P5K1Yl33eEb9loh8RWGZ5l xUzkl9TqWj7fsYNHv9YaZQrsJqYtQ4CVOuUTh8PCdD5ctonyf8rKxfwKhLnpG9vgTSdrlvBdD67L QnUONxxVs4M6E/sngcrJISs5kQRwRS+Y0Jlekts63kVC45wcb9fogG1oc+mZ8yzNby7L0ax4srQk fO7GNErbrtw8hR+McbViWLOm70CWd35sxeRK8z4YwVeEvhL6Zdx4Ht/5Ca2WH59inwkes60s8eaB MSzyRz7v27vRw81PYBbSzqLgVZIYGw5Ks86zPP1fECzUvDu6fLfPGE/Q3EBFTWdjAsQSGUNh3xvs P5NzjjsVaWh2wiVjJN1131HGVI/ySUTpUEnTjOanR5RIyC+vlCQs2TM6C/x44B3ISsU4CR7xTKiv 4prS9ub0aKjF1V3wqvzkLUfBEBfH9jF1+jKdQOuNcY7svbJydkVY+fkwp3pmXwdjo5/yvooGLmeT IytWu2FizMOB5J6DqhOnt3gWJPYdID3EpC/WXLigX1ykXoJdGKI6so1pXRe6qzB0sBx701EObIuL w/ocBjmRVlHdUpfoJJGWmEVd1vUMBZHuTmGNBcEI8A5XfGpeqfnBkRmnnDID2+h49fW9KvFFYxap Y1T9c64fLwOlxKOvN5ujk4W0WPHPeiMn//LkzgmRP7PoRlkXfOJBRvCpA+omSlOt0qc1iinNAK1M 2kbbmAB07xScaCBJ7oSUDLOldPa/+aVSZsvcmJXZu3+WdZ3H5SP0yDyqn2vH+ZRO7fZcdkn2MZdF YE7nSbKwVSetPaTJFddRUrmk27XShASv+9affwe+CANKYCyL3Cf7xPIfVxMyAoobkNGsUVpYYiwv NO5vjVkzblDY48R8GrkOdZeFXAhDtzch0VYB2girjmc5U3hO3xyARcDitzYGGZpzFJUXqk4Xd9oB 3w+yYdpiCh20qFZEAsbGzaXgo7eNERQDb265bs8UbiWAyJo2XuZnuMh+hGL0IUVa3+T1A6/ZeH5X KAsmprZObp+DbuTiiBPcXChQ8NvGPcPom6ZoTFV8dl78EtuUwuAp9muic/LF8QeGaRK0JrBBqzrz TUdAb67z4FjgX4frz+DQL1vMVRhwG0+LERxgTv3BxOcJDmQh1FWaWfOfTNQ8Z67gc5XKKtdagoT/ hDoNdkq7+Ke4P8NdBAQHntAOS6+oRy5qkZPXO4CXIeFDitJfpBx1OHfDHHazcq9HlXxbQ9IA/hgM VhyB2HRLeWgD/daOrEHAAt2z81vvFEdo3BXP+Y3qsmY3fhdUeApmXpCod9koYP93p/MrMXktQbyO UobK0y2VwFgWSVqo4IvqWWi2AAsmsB3hIHxnSpsTwKltF5EnKpGNDDur1hMFQruS0RUNfKA1jT78 1XKX510AcnLMpG/GIh4KrXkmaCaiL+FMOccdMU900LlxVnfwNIARPvo7ogYMEu/hVSuycYvfTx+g DXBe/apLgdvhBunCY98RfLA9Y+ft0R+5PBSLCaUyAj2q00dHAWujeajrYhK6uCYVS+bBMSe3hMb+ /i2HLrHSbOzu5OW+4e3mGm5bUbvEq6RrVM7QPnjbVPrN/9anzo2J6xhgcsY/gqvC/LcglF3L4mDJ qdit4u69tqeEUhFZb99q2dDeYl6vaiFNG9EkRhH6n8TxSvWJ+0QwoSkKlnJ2Qu7h91Gi6PNxsiEA lsUQs9nfJMhiP2wu4WEYDlfmbO3YTTpgksCYhtAGVCYT+wvO+iSFR2g5ORBjgmofUddahgx9X1Hu LlnRVzCxzNX1jxm7iSUWQd/c3wQt7kX4Dvrfm7OUBfxejzJGj9Zp0emh7Yxfvzy0WZncHprR4nuw k2S6WFDxkpV/uwdc/2Y5WVUVoiQbJkHWwTv3zXWyCLDL2BDWSz2oejtfLYIG9yb918uhA+k2LYGM 0+wn7t7sCLXtWOLgjBZAL1engM7/B/J9C7ZGXFEAIOEmJAFNJgTI3qYkLq8UgRojc/VLEwEa7w4z BW+7m94tQ01866i7Q+Oxyqois3LYQijd5MvPa/kHOqa4jFe1+S5X6V+kJIqnQuClYcDw7PJQW3Rx b1kP9EAyz3xzBj8SLxtyXqbHqNXQ7nFgJ/caoMy9UhfAtatImpf2rOJQgWdEZptdjHL91e0Ni22k nt/R5+4AhleKX6LhGfsSidBoriTJ1PeyXFUE9/yb51RwMoQg2fiE1ajZ2VgBX72i8xBuXo4cOYhD o3ljyO2AQYWej15wcLxqI8kAfjlKhxulTnzhzvWcxQGs/odYSpHVPP2/1NfRyLnPscMy7R+iSOc3 nkJNBppyoPABgA97pWoTd6oAidLCzFMzvXCWsKxcBhx01VV+XckXdJfezdoBID3NLCrmdRjIsU+B rU5U6bFFE1B3XN0xXBrdT6yENE2U+JfIwRXZ/sk5VPs1e23c7b06zwos+XawRBfvxkoEfU2LrLc+ Y3KRGsRCNfLzcBg0Yx5j4Ve+LS/clMsI8qwo5QiIP4F2Q6lqQ1YMXHlighYXMnhjdb0ke0eijXqk JxOPkylt1zUO90iDk6KUctLEx9Pbi47ZkzBFdfUkJ+WwRwnyQzqBqW1aCJFbcGgzF7BqYnnYqXS+ ghyaiPzEdKgDgkJ4bxE4q0TZdwxU7wGcCrUOWQCp3SW5S8ojnPsICAEaUCYVfwSKkC0N0Qy9oiKI TeqwHx05Nd8P/YBM7VmSvcwjzgfJrD/YaUQPneO8Jjw9AAoJZKTpSp/jMgQHYdabY6a6/2Mn6zGu YqxtYryHpwdB/t8XpKu2b+m1UlgOMagj5sVYVKPTXf4NFDEoOwupRAbVddAMaOofIscBUshC5ppX Bv0AyCGVDdsBA81A+iOs3M9pOcxnn8FhGi2VXfoc1uIwhvCFj3208Spqg6BQhZtpJUvYMMD7l/kE rsviPFvU9vTf9ZMoqvBkmkw1TQbP7kqDiP202b5jdu6xPYfEohQpU8W/tPRSJQnum/lnFGyIROKy O99lwF1ZX8CKbqvfiZwORBnQyKYq4CCI0JqkmDp51NsPTeiQ8MJC7mfmd6M58o6MPrHcNeCiA+/K LBp6bpnObdQkotUF+84ZGYIpgdPmkDDn8p17O+1uQRwoVtpqpkkuxXzsYlJAUYa/uqwS3uTN4SyG vYMGfAhXeCWcfUrJ7wBjpmzjBRpLxlDKf/EaXWVzMGBODIRA66Jpdvb2JEAR+H4D7QwBKvCkax4R yRd9xJm/HFMLcA5RmNwA0iHH7sEPoHvw2W08x8gnt55vOA3yWZAAY91wns9eHBJTsu8SSWoJjAzT H0zT3G6khDdZrlnJkLkbJZM00R+iD+gjqMCthMpE/DD7/ntEiGkByxE65e4XuTxOM8fRjmlQCc9Z uyKj56gydol759g2wRQCIQf/aWCW+wrb0To27pevLMEX8t2u6YPQUIgNnhhFpZzzoIkzFtVlPXR+ kS3QLv9WkAkZsT0DTEeVfbx/zUQv2AZhujqDBmkM30rsREVIyn/8ZeOCNiBqc7juY8VXnjHiJaI3 41Q5+frf31SC2aBWo3rh/tJGaVLfKLBMnUrygBp1DsG9UAPBVI5ty689ZKN2ffKDtdXdOHgJsvGY Z+mDA/MsKBi3mA7mMAIrpVP26c0HjbcyWbm5TwOI+tj0jG0xTGeMAIUedDUnBEjjyeuIKu+B/Xqq V4Gtx/iYkI4EnRP/v2oy15AbZeaSbFZDFn2YsGqUE8boJ14Z7igsdmZ3g72kAP5UErmAGLa1w60W qclnubD1wbVypJIHXw8yM8XcSjMqrU+c7/d1Mb/LZOmwdHlkZj0HLjXLA2l8KgnHH6nP2qpSKFQS nK0N7LlqzlJswQh0fjllk10ZwCxojq1z+HPv3KcvAvnwFtiuMF2MH9QcaZdnNtY3SHzNHbxE/GCO r72Ev0pa77xzcjnbKRmymjI+4UwlHq0lqh5Lf60/J2Xx+bn5RAoF8ClOKhlUczH60FV2JdQ7g1x+ 4wqa2kd2TtWj9MnQP8pBd6xTWoU/hExXkDE9+BpMwJ5HJfkSdkp2rC39I2iJk9CNNAkgf1sdEEg5 OUslT5iGfENsWIHLyRun4bd3PqpXagocdqApJkiIuz8X5xCo5v62oyOwYeekLLMI3bL2zGWjFGi5 pxHhJ/WpjP9Ywq517aYhhEidhK1zfQ7QJUQRe41VfaK2RDa9goP2ZBrOY3cXGO/8cIQy+9y5okoT axjkHIvzMcc0F2inYUrDaRxkycZiJ3ILi/U34o22M4186SCDj9yiYPnWcRksDt1e0oNdXIstbXxt PyNuQQRr8Ikuq4TkHB+gAgV5ZHtvR8arKWoljNoDKL4t815e0FkRrtOseUBaJa683VfderFe18ep PjxaTD2nVNa2V1VANv5mMAQt/chbzgewjHfIZUePH34sSA8m7dG52vMg8LLDIt1HzCt90HG7KyLl 6yZhSKi8uenM/bz0sJvcAyTV3a9gEFj7UrGtcI/tGFzUZVWfVo02sy/c/8I4vD4KYKKxVZ4sbcTA 48vs9RNm3FYoiHqWfhWxEE0NgxE7t2hsmYjBCCIKhHjV8ys3MNtZa4klAzA7a3914veiU0qty2Nh jEv1fnwLREFvC2s4hnq6yOJG+FpfqzWyeyrnMQLZO5RKqdxQ61ifDAtmBj4IQ0I4hR2aS4mhLmBz 1rDCjhjsNTG//4hheK2RNZsfufgUOzdiToEh3myEJKipQpKbLi+fLwvN+c8YRgFz5w4EPKpO2DUH jUF2/bSWC7VtWfIENs38MIt7/NvTVdXbLuk7zXiXDEUv2m9/7svzIaco+VJ0p8cHAJEO8rqcp3xN BmtmmbE4Z2SK/Hw4U/S7AIg6sGKk8FchwQvrOO0lgLc3uafcwMFR8muYyuWgDw/7gFtUKpfmlWCu fByU09T1eMPMBHYvDQHNQxFV4LBLKteQ5PL2HP++Cvwbql5On15hrC7zxZTeMxnT5Bke3LkUdIVN vOZr0KcN2N7+t3SvzHMjPd4n+4ZPHRHvMvPi9OD/TFyGe8nZyyfU3SSNEzbUjFfvrTJVi4iRpOWA SfLPjm/A40xy9dLIVmcdOYyds4jx9KHT7hFrMjXCshQsS16s5K4UgqnPyjiEmAwDpBTJ4/5e5Z7E iAgYxjLDuMcqcdSHbobjJilANBGgtLJXANZ5H1ioOfbl9UcjKso4I8qZGx2j5F+ug+gG75naQUkJ dPSWm9Q0O2k3sRcJU5kvgh6HSg14sVTjgfjhyNKxhThOb38JlpryYPEIEdiaKk+1Mns8znv8WA0G 8EgU5BlHxAyqW9rEQP06NfTx9OESm7vFjiFGL5kHPxWSukjfSichUK+32yszUZdTrlWx9WiJxPt5 xZ/Io/NrXFEBFL3n8IZmbgqH9UiV/gEClQXXgK1m6tWZtAG4/+7vuaGUdph+yC+97RLey5vGohPp g9ufoRKq0nUbJ07PciuKZ9dgzN4JGjqN2Ze7Ly4MCfsahLsKqauuDzmYUNc/qtCA5jjDJlznVWLB ZPXyIsAvY5+ui5dNbWvF++ymNHOHx/wkRYRi2pXCwMStwFEr4HloFCkeuxZqi4Cv+/a13Zk5ep7Q LKiidYxj2FZf9L9PBh+3SCmdUobtb1rxvk9ls6o5VSUVTWmi/dAB8kUMdy4aXllzXoEvyX+Xk5Xb NWI9WNl2bn9gNg1iRl+fXwQAGRlpNMb/5Ntb68uASTGXcde3ajgEID+ksixBRYcVNhjr9WxEETR8 5tlF++R8xxRPd+ELSUIrE8uRgBCLhLg/GLoSLt75d546Hosohw0fKB/YSLibvHR4abxynCA0J0XI ydGvC/fYOq69kNmSa/nGvQ67g8LcA72Puz9QFO9+bFDF2FbSq1KSogibsoxcnQaS5beAtcE9SjBk Ks39vRnxWpX5AyENaYvzz/ZMXxk75k1m8TlP191oKjLsa/WngXuEp4OUoZHgc/KiJG1WQDOho9/m iKFeA2g4cJC0AluIT2RDG/MTsg2MAaWjAQ8SLhTmhyKBPG1LFBIwKgmoLTcBSwzbgbPqWx4J3eZp o7+A/UDEFuP40CeoLt9gnbvadVb14Btdg9xm2LNrcgKVSTkfJzoZfv2vtH85W7eFT+h/DMe91y7n 9p6UEL4uWfKUMyTex6KWHyE8XEfxxzpZB1AyByf4eqvhr7pcdE3EIOtMEFdsQS/SzLzU7z+zYY8m vl25nL5igYeBEZDhUYSf9Jy1bZxP9Adz0A672Rz8AvU/P4OsnqUx10EDd7/W0It1qyTKMhPBoLoy WE8HY+Cz/2ie5nyUdpFf46MoRLZoiPjB6ef9zUvUI+5+EeyUuRd9b+2ovZFaClX+yDaWwAwtxCBE G5/vIgR+7zVydypi7wZl9malr38WJgM55vzGWZWU4uZ345qu8hkzD/coLi7ZX1mQ9tHIh5+d+bnx y3VjNnecdnv5qp1RENtMYnn6OIep1ZDL+MAWAGA0JNQXjYwgJGyrDSfseDs3zYXQu3PlmTHq/R21 j0kKnyt7+KS4Bp3xS8Y2sHZnGlQM2QT2hwtVN30B1Bh1Z4EcasHPSeo3OOQiN6B2hMK++Fei7zRm Ye6Ry2y8vzaCu1WHVOKRuYs8ZShwDzlq/ZL0H3dzSaPmwG0qxcKtFd0NgaMZEmDSsPdEzmXgTKj+ pf3ErKPeMemaFy607SC6jz6vieV/qoEcaXChn4mHbMwi/7g2G7g3rP817WB6Ja98aOIgIuRBfctD 1ObDDHz57O3JwwFB72/gBQPiagBAiMZgvFHFdEr7YWs4PRMWqN1IDgKG/uf9wFc9x8/HQxMJkrAi 1zXQIsNRrLqwMn9CDHhYVrhEUe1HrbNqU84UDT2J4/icYbrxGQ4bCWrRaW0mfsXJ2rx5Lxcb299u 1VrKMdgU+0JZXtq6lfO4vkYALXNTzBJTUHrNDv3B/elZx7/NX26etRA2lohs3KutuCY7iRbeke0d keSdLZZRsAau0mWaFDOMpAHZO2o3T1nbVHuNWbXktOfrRSEn4RKMX3u2r7vHL86GRYShxdqKWLl8 DSVA2DveyIoTqEGDYWjsxsv26HsME2s/RzW+mD1zpulMiy5kDyoysKBYZ4ZqoLkOrdUA9qimKeJl ciJTOxkVhY5t57PmKFFxuRjNZE6A7MUdoNWhi38HaSzQLshAnJH00gJGl5zbI0nBxjW28RH9Pnu2 dYxVW096zwd1lZG2P10OiEp511pwTN3WnWIRsxCCm1fNl8z63mRO+/VtAhyRNKqhGlMm1JKfWd4O 1xmW6WgCxbn516Car84omJwAxiZ7Hc9gbCDgcqhivqGeI4XAwxc/5uWE4dvhoeh/gyl3Syp0kCsl a9gZtisVARwop3rT8Hp27ZIvif3xraPtPtYgezQufpDWY5C6DU2EFo+Lt0A9EDsZy1pkWV34ag5A MYcnz4BiXbHQohhL4gbN0r4zVI5mjhA/5UCW00CoCSfg6xewCNEj+RCZC1g85LsVH+2sP6GIdn7H CV1XM8pRewzMkJlAwn+kjJZ4nXDGvEq5UwT6LzEtXSAD234xNai783Au4M/OSQSAHBPQV0QLKicH Y8tvKlImwKq+ZgONfZ4LG5zDRNhPAZSQWcWjloKwbFU61TtZYMgdBhSCWlQNJ2d7Zoawbml7HF1t HcrpJ+6XPVY3q30CEs9IGOENHe08SOKw9iYGUMgXls1qycwbnFR3v4K3xj4ev6IwriIQ8GM1OxY8 09JYbi9UPJKu1r0uL3X6qRTx1IG71ZtTANTuyHttDsvXlQYMcPnlvsoWVHI4v8cfuJSY95xenRkR 1r8J+Q9L31O83piiVZ8GCg/Uoo326bKtuXmLR2U5QBNQK78A+WP1xqZjiP35IFn6H753SmJu7Xe6 ac0ps48mD5dJPI64jaVlfe90DaanxA8i5uc2/Jc299Dj9/46UZnwDWNQy0UoJIhxJbsUbOEdiM2x aEqtvxh8zIBAfDPcT1NGz2+7Qqbx98SbX2Hj6HItfR2UotVAQk9AjpHmmyaq7sXDELJ+ZvkKw4k1 FjCHBEBaCUcPlxZR2IpNA0xdOakLkyrsZjhC9cyXOymV1pYjmzehuEXMw0NP4k5JK30ThKx3jWjz qPNI13XcyQXR5Sye+eGVDamyw2FqEr4tYjK1+8XOTFouUhi3SDxDBTqguAkonMmMJC33Q6SY/+oJ qYNUestUAuMEg4ry8p3BZHg+5w/7QuMYKzVQej8Sw5ReEiVvNJcJfDz+2JGx4mwIRiN7WS/a89RQ D2obQQ7083nrZu6yboQsJeHlyEf55WVwp6VxMKM/qIFYQulgUGjtQ9e3C8Os16syGn9VSty/v09+ gBwdBR6d32SG9P2BN+ebG4WrmT0ZGSRr+33obmJDV3tguLuh4LdxPa4OWvQr49SLVSPvW29X97Ms Xt+TCTjnZsBvRa0WinmwBICwYDwGX2c6PyNfGVfUU3uuAO3wOijDy6p5YhChZTEhpqb3EslfGq4g yn93bCPaj2oR7jhWFyAk+TafI4yocGU+bKUhRwUXlk/t7QP1b0o7ErY3BOx9Dm1qZx44owNU2ays vkDICxnfaHRlfpD5qMDT/7dstlR+CWIWK99R842Zze5lqYb/OHl5xUWFSf7z5cubXY37nD+GMWhI DWIWgKB1qbXl5bKt8IiLZGEx1miNxqTJhOHp0td9CxUPRFhA1Uk/Sn9HWMrBPcFDXN/MWgue8237 rf811AVGxlyC506+WEgAXj6OiNuj8jUd2GuuB7xWrhIdImje/g5wmdowu23BoYD+nDYtvtLR1voT nUMpWXdZBEsm6tl4kCmQ/UldkIcQfz/0AYsy6+Y9b2i2Xpj7CnQUEMObSFuaJXZr5i7gmnZnk0mg qhBZMt528hzQkN8xQ+KvFdcfsgfS6BkRWeO8suCG/ZWquWUWJjp4vmC0mYNSR1OMj3iPy631x8wD 2HL89Kj5oawdF2TJmN4kAGXDILPBiM5kjLLbuhpBC17eMvDkSfWeNtbu2n+d4cxgP1akBrNHyePN 0jY1SXzrp/gmtNJVgKpZqYFjsMqc2gqhqGr819vWX215uLOYcQ2SU0kijv3I5M9QLRZLuioR76qb U955VzP4oiHW/6UMZO+Y8aEEhAbP5g5kLO+KPrXfGfq/B99WLIW8AJrx3rtWQhvN0x37/f4QgQAt JvvaR+sNwlQutEF7woEUP+oWxJG1evlOEciGPBbU2sXAiJjr3V1JEfTm2mqTgDdGIfmxx0+7TLxg zCwjIEI92qFtgTfp8uH3vtnYDTY+JqFuX2kQZkH3tFl7pzR8Vw9o7Q3WQ0L9f3ewD6gvff8W+HIE l3p8Piv1BzGXzsdUgnbo+ynkCMuDGtqBUpWyG37eSLCkzHbPmgtvlnHKNfGdRLfFqk3SM84Ql67F 1equ0mHboVa4UYFzZ7QwJZumN4472HUN+6uV7Ou7JeM+ASSiyKsiumJa79CnMZEWlyXmuNjtFBHb /BpfF7eTkBlt82pqtHBeg+szS+VhziYCWgdVuJvj9iaUWxoC2F+OJopk770xFcLoWaz1Z3Kbt6/r 4shtqTjOGbj146KgqUJokj0TG67nUvyiq35sUXz0H5CEZaB26CSJd5Q3o3QPyu3DwV9dtwFu48dR z4CeoESgIyAtcBID6EP1XajA5RgZZu4OpUQDjMYGHNQ1pJ5g19YD6lvW0xR8EdgKDAo9/xsCLaIJ LTeesyQB/jGoKf8R8cKRfDtQ4u5xf+GChFC0AQFmXSe7TOW8emC0DHbPSWH4CftppbbGwqQr1Por fkYhrnS3Prn8+VUF1Rh7WrS5Sl74aYzIemQ/Uq+S9ERnqm6e8Oxp6Rl4A11JA8MlmQAX4aAcAqqE BogEMEOrT+qPbWKZHybiwjDoloQ4blZtuGR2FJXtJ4pmugtYwg7jmSyVIQj4isGRDSGHH+IQp+KS Nk+RdF6tBIBrjLsvZjwCCtRZ4HJQzwxL0z8Yvy4WNB8nNI69gv6YiGTVkXvlsPyhnuCOl8joo2k9 pKNzzcTxHxdqqOibjenzfYdLU5tbrVikQYqu5Tg/s/s2dSl20088oaKXQVUe6u575dspSRYvz0La 9IK/q+xyYBh8KhcfESmEE4vOCNxrdFWXPsEnk8navLXdPfl65lDH9ZWtHSVAqHR2i5e3PFCcRsCb jnaYgZOwocqxNg4cwhLn7sMiJJA3kp7SjxnFajDG9k1Y89YhQorf7NROtYbjmzW6LU3EljnAovLi Cujnl5lNCl0/g/+dUvtuZbWqyIhX1lYvh8+sh4Vxj3NfU3/BzkPbyoU9yGsU6IPDIKpbvU/sVVEp zgmUv/CE97+Zd4WEB5bAnDm1wcBe9gaLMVOqhD1QowsH/ODRxYQjtbfsQ/uKAecFxB8pPdlklov1 Ep10cKuP48wxNutxfE/QUv4G6+V6oi9kNCjI50pyTSF7eVTLQ28pnq9WgK+R1HQRX6E3dvfagutt +A+7yKHVK0ABpgIJYsuVVb0rLyA0KP1wBE86/Z+oake8ZavFROe+xHrRY8zE9rtS9X9DufU+BLiI KNPTDgCFBhPlpQ0gE0cDNTnc1StLBbury+fmfsS2I5QVmHST5fkS4CtDZAHC87M28XnzFmR8eSaW W/HSu8mdW2SMqraLgAcV4wsABAtFWFdMJp709U0OBbyM4lVya8DaF9UUlOWnCMDTdtMj4hMnv3eV tVkkIuyJSzIv941U22C3WBXgD2rxSeO4DNzMkQicJ0NOIekwY16Bl8SjrJ99e1+6DxT0jdKDL1KT FtYAk1+ez8gH00EwQ+tCADqRNmRk8r4+sQGZSFvBZDjzC3YyrMFFvMqdcbnmITl4oCB3gnNFiEke ywDJh+eOOMB5DUylN48P0LlDu+6FkBxiE6m+fRS32r8va/eQO2A7UkLxCxTSmvtWcXjHOj1sTzA6 Y4MOxXfXHbzyzfC12FqjAUJly8+lxx46h+yOxiIe3TeQA7g8xY32aBb7qglgkwGRJwvcXAYEuy/R T6EiWPqpHaP5kcqUGN5yAwW/PsXfgzwKAFQVkfDzl/ZL9ZdKL5jJ4WWNRE4YxBLEMuvgoTfoGubC h8x3dE7s2nYorM5I1SGFXOboHMLWKYrPWbYn//4G8Qqql2BcMjcrZyUhS5wSdwoeulnSfTngFe30 y1Denx3kdzVTPoj7q/cqiTIhwf6ayarNZoSKX+VPCn9qr72PrwKKaNWqdbR4TP8CTVIhk4zXfq/l 9cmf8lG3NWtnM1zUUcHoAdTQpeSzrGMHClSG3M2znPzHqjf2wav+U54shs4fKSLVULSIB13+Dytl YrBpzXRA+0uziZS0DiQ5qGN+QTR7rNy0MhkJfEMDOKCZIgs3QeK0lJGtYgIkkzRyI7xUigfgLVsl 3mpuFJ6E4ShxZ8P5X4vn1haN/7qzTFnmQ5SoSZgqc+xX3bAAO/jcMXUKs+aQK2JgbfQBknAe7s5s nmrjzB+g3csrmnKcE+2BhybIMeleBaI0g8TJtCQqEWRFu2gMThnyRV2CwHsN2GfFEsczH30g53w9 ydR8jVc9f566R5q384gOjJckqMKjueDXKBCXhWTz4UYHpSdcCHb1akYMN0QYR5nGyXgpI/1EEk+o cS/AwbSHxyUfwkpUTDPJFdrlgznlqKVPjPxfGlJ+UZoNbZSi+l1fGWnpwsGKNoEcVtM0l8V7huRd QoYr7q0v2xhzygS4/0U++5FRTVg/ZjUaGSV2kgJfqfBwdwfRmu6bB6qLwUxDQKBG1CF5lemCdtc5 KE5ue38gMb1GJBgtbJjyTlE0s/MFNJbMrmZYbSD6eG7LFvOgM9if3U/Kh4u2hiv0JA1/g+JySdrQ qHKDAxWVQCYiC3mne1oicyvhBsvqZbnMtZVUK5wJDlOR2l6+GEFa1UBfuWd1YJmjNFw+ltDyXxEM XxNnL29zqd+A/bpkx/PB6a7huw1PM9vX4qQcj9PvYhbW582XO5GgFp+7x5Y5zy964Lbmi/Nr6Yw7 rdwSn3T6+s25iC0lfSJTBfjAdem6WEl7ek3wKbR6+ALTPndbBKhFTBi8YWj1hK+nCh+7VY/KQbLu 47p5v5P+vGbIiaVh9fgLPhYjGx0ga1NEVh5ad3q1ehYIIHsaFU5xv2YSPihSkeO/0OAfHy1nQP4+ rcgtXXGmGs+ZcpOdcKYUrdsG/cajJfKLCQUiFlX+6ZNuXXwlV0cBxjUHKLYcK34eLAofLUVXVCev rBHD81qJQzKZLjJT2koiZNCuCa/0PjR2SxY2LndtACGSPltGnS4V2UmvNbsSNexoKsFeNMZbfIM2 bzo/Uo3ee8N+NdtQsyWZghANE/+AGj0oSuhyTbCQgPE/cIH2Ulbv8X47jSiGWoDL8XY+NYB6oNg4 vmnIsUPYCSk3ys0tofDmLuSe8nut6DVpksN2KJ11bEjrt4jCPV++2f6WZNF/8POLE5/wCQTEBsMg wrGBqO5aZFI5zTcVmjc+A8u40Q02R2xBXSRFXPWCYO6UmY9SEJOLWIeCu6qzQ5mZ+yo0xjPL9X3Z fvWmMTOQ7hmOuCovcuVwzU8AIWWAqXTBgyR75zPD9dcw3pVJEOfO3Es8wZXTTZi076fmdj1S2QZb mQ+XjuL9BReROJX8rE97E+5YiAr97UbeauuQVwpWqZ0pF++usuy02kkHGT+Ff4yZm0zhfg/ktgzj ZfFfiS6p20lf3v2pIjF7tMZF8+7zakJO0+oyLVO3n5SK3TdICZOhtlwBYz6sY3fE/fMCeM0s/WHj WGWAADwgJaZUx30sbJlDjmwAwtRQPldo1AdrGJlfwyYL+TaAq6w8FYDvvwAbushYJfovQglgXyHf GPUoqHkRxT7LXsQOdxHYfnB/GjwaVFT1vXEpL53Fpp0o7zf9wUdyDMBbwgjggg/HMmhY8c97sfC/ 7Rr7CYtZzyIj5uAUwVt/Fr+Uxz13t3MReUkCisz6Hq5i1yiyN40ptjUB2eGHmVAFtO6TS+lWBsQi q+Dy1GmHo4kcDhc0czi7uJ0u/Gn39PA7bnPwLbBnIwMH6MjX7xTfegddjQtHz55G3rfAN4ZCZNvg pSW0F+4BE8QpwrhawFXSsz16J/uCgRgR76OwN/J1g+HMYogIz0DFlYpKm+p5Ov54XRoszt9gokSD YBUGP+gMLn1opwO4vj68kclk9y7ILIH3IfAvpsGztTSjvtjcXglGO9ySnJr3NxBe7ZyNJ6TE6nLS Xni7XaUFzp6nPM+uemrAhJBNBUcOHUGBqVuEwXQIYBb1chbbOHkUsHeQKiQeLdB67r5UARVDc0fm oglFUw95mrfYv7AsWAVOvZtTLOjCKN0x10R2rVWV1NOJmutexK1EyNnH55w+qe1UyDQbxCnOosaj Qa+UaGGvrWBHsYFfx0x+FRUDmYVhl8yysTk8qYHseHvPI3rpRUaVizsbUHP5h70b7v1T3e8DhyYH OkQRm44lfLiqxXaYMgH+0yKKuZ1YPYvGioMlevejAdAHrMSF506fazsjCAzNpe1Y0tDdPMVpD+1S eoH8QxHunabKgy8Wt53jqLyKr/xaiZZRsyWfno8gv07ir09JUuu9xpVXHkxdKwZUFPYP8BdWN1j8 +jxZeyZelHgW4wARjYE6D/NaNguoV0n1qCgpfg1bGnwOoej/X0vFqP/3KRTdHzSoQDsOkk7y8uDI k45oK7t73J2e8oE0S4gFQn1VCaVhVN6Nz+Gq57ZnbLBOXmtsYznB8YZwF8PjtJiiHGMCU+tTEGBb 10vo9AbITadf5mIA+TIZelbtz9K6HuFI8scG2xzLMU/huWzTDYu5KpWPgIAKmwis/w9OrAIvRvHk q920cR/pLUaqyoFppDPyphYtc4C7cczfa21pm+oWvj06Xamf9t+1SaQ6rDVU5vCPPSUvUrbbfvrF gvA3K4uxrCrdDX8UU85fo+CJ07qcBYrv18eJ/09loabzyjrSKpkAexEk9VxswSL8vemzr5IsXwq1 72ImoAC6ejSyan4oZ67MMIvoem1kNGKfJ8CwRIqfs+Un6Ura1+wMH7s95fvHlVSA0ERo3XMRNmK1 Qj+xeHwBLxsf7ItpRQGX/kHhCl5QZAQKROC6FNno2NMSHOnQZFyNtIhm2RujGJAFVkxIqfEcl0s/ xMTjoKEu8mL3JA8CnQhgotg5anFKQqrgFeYkouOBQOz82WnKXFCWWPqG/WmYQCXSFerZraFh8TQL smANfHn47Hw2cSQ89HAcZqVm+nZg9dQN0V8067um82EcTNCDp6G5QnKjp0s/pzNGQ0cPomOvZlD3 DDXrdEEuXDDSNgKxDfLeU/EZ5auT93L/KLJa2ZgLndP/hNXjcYD+BCmbc7JCTH27QIvhSXBlP1LN Xw4g8VilIAWuoSzvjuO6WL6zK8tWaomTMvl/re07saqg+u1vo2mXH8aDJINJHcS6IrTmGzKnyRl1 6x6AXQfiU/v2fBmWb11RusSqYFhuL1866lNr9c85M3Tx5dSQeei4EWg99SyzLINao1WzZEzYjcfh 2Q0LuAVrRCavcPKrnwsWOamoSS2JH3kaHhHPt/xG3MnSXtJKbNsZoHGtHLOaVNT3gxaq0BLYjCqV ZShdkWrBa8eI8F3ghNqDZtlnEecdQc0yqpMClPMgzmTmEzS408fe5GUkbPho6IUCP+b6CDKNHZMg T09CEcCTWEjpSVzbGomJOk0eV5YGczdHsWLzawdUekHylxk3JAyrKyw3Fm7pFhOTTAva+5F9Y5cB ACj7ulTk9SMzAm7eDfzRABbcbjFxgCr2y3B7B6cdjvJHhjE965OJDeZMaq0WkDKyb+Cx2XEZpPXo 6IK27sfP2IP7+HTx7oI9PTBrUZPULiWD0xeHjfiPXQOJSKfLmCwsQwLSSJAMNtYz4PPX0hbJDzVt EWmDzrveXWA+c2T1vkK32oerzACqiOcPHzJN3QB3gehR+Ky3EDGmlYs/hIFhJXOPkU5+P9vXsiiW hx/QcjpC/VGnk884ktyXwQT5NTqRNFahp7uEs0dWzqEGIFPZXqSuXOtJdRm77BKgOHzQ7sSY6+7M 0DBNa9HAK2m4H+G4hXmL9hCnJmrSo0nAV6HFgE1BwUI2d4dKmHdPL4Ia3ykTmKZ9PZ9WhGKmAv1r g+AKHeAyn72D/E8E7LvIAqi7TLXriR9z94SdCGBg1SIDPH1Znn2GvxhIfnI8/OwwCkF7Th3Aq9FK rFfijMIBkiBh6rtYzg6WYAvwyKNDm7DJM/eaihI5fyzEDTNYjR0pXWz1VJ67kiXkEEkeI4Ip9Rdt ED5FhtB19Nyb8YiI3svwGrPxW0gbGBxaOG/g0E9MZ3QL90uyl/w/BWmtJ1heZEjuG4tbkKWl0it9 SRy5CleiV4OgtmSp1ts9m0cEkMkbKcRZLHq8aeKEBV/Pv2aaemXhHeYHEyBrSNKIZN/WlxjDOtPL Ihq5zT6efkO815xXJ1ZwB8hjVcpr2QVNAeHwiDg79P2Sg6KhuDv3iKtwl2GbxKx9MK4/aVYYe8t3 LsGwubA+V/w2d1TJu2Y9itm6U1yg+pZ42elBOe+fArQvpb6mGzw0iXteFJIIJmguQf81Ax2vHTNS WyMSNWH8Vw/lpCrXJSFk+2Cf9H0kfxh2ZVSM2/qcu1oECxRzdT2Y6hDv2Ayc3d9MJW92Tx+xWjms 0olDywq+boowo/sviqA5ThZ7pQsihO8WKkyI6qheXrt/vHR7oUdjSjHdUZ0UCKCaHLToDr4d1Lqd hbeEYI0UtudtDmcaof6Y4gt0BJZev8BGN3FiRkKEV02qBRNbDbNQ7tqe5Rqa7pM6liLcX9qAR9et QPhzh3m43x+KwtAp87cvd8jKh6M7k0ayV/SP+vNFzN3Het6ozMQggPNYl343IM/De1amLI/OcqEO eTpUNWtiRRnPNHwXZ72lDXl7PQ26g73IRfQHKegUUdbG5TRhgzpckyMzpLO/qbTYt2U/aSXVcvTV YoxmcRKkZ5JMsRQw2rFviZwmPE6bdesPoS7A2T+PvMTW4uUG98sbrbzE34zPadIZCZd/nyRVuWlM MMH8alRKzxO4wamehnI+rjcpl+OyZHI936M/bvUiiTGIkxlVj3Ufu1B0kzJRmdHWO4mTPzs7ARfv A6Rq7WM2KtjO05IZY3uKRaU/x+S19W/vvxH+T7Zx47seSVfSKQ3PWl4ucpqHoEl7o4hYKnBE+wYQ eFQeSEQIQkiMbA02Y0B0REDeX4zgYPoRDh0utHc1PdEDcsApTwyPk8DBJnX19eFnn+9PbAdEPUi1 I72uMDfLqSIj12XFKFABVW7W6fZu1H6cFrrsdUDqxvZyuIaIxPh7dLBGbJsUbRovhKABRKuFE63F nFzy2Ro+dhSPQ8X9HbQEemrtYmEn+LDE+Mo1N2kxll3hbqvppdSsmUC3eBbAHuh8EliH/hO6vQv1 u/tROh/yjMMof29v/fqBu/RTyyMYfZTXkobKz0c8Ydmpj0GzI3W7acnVmahfhGm++IsZtntoR+Qh /s2SIUeEmuHzkPJXwNh00fFHO2uRUpRuH1BRFxTazlAs8Zuuqq1q6j7eWVqwQLEN0lRNzuKNdXAT eASIbIIr2WJs0Thl9JAd1iosufIfkYLx0JbYF36bKcP7coAYT/wEGKOM3OyhV6hPMbwxz6S6kos2 uhukL4IaILhFBjci+cR009Hddbqul8rMCuZcukeX8TgWK1nZVYwUud7w+W3ojVGEdoTbqPh6pIn8 VAJb9Mx8J7MvGQaxITP8HIgZyd3XKivlM9u5txbASLUURhhxA0tSwztpGit/U2N4YcgiuNrD3gu3 WE9T+rdYEcM4HjREceeP42lcLyj/Spzkb0F3ku7cByZ99lhX1Oh9Bc4Toji2Q6GoGnNi8PDv90sZ fFoHkkUMV+UPXoWgcSTv2X+m8Raiy6Zjp0Nsz30pC6zAGmYC1u4wrgyx/livuvj6sTxp0mHIhqm4 1XG9Uv8QgF5ihttp4pxPZhyYf2lICX93w/9yMbMvHaoWty/gdL81nDhx28FBLjeS0VupkWYiala2 8lqnYF86N9YP3ZF0glTikTwjjoIRGyZER6XhMYlmTs1vCqEKjbPNiC88MnF9mS11/8WHJBtYvwu9 feQ4xzjAX7Jm13VxLYWeL/BspGTbSxxwfa6lmJjOMXlrDF3UUmmEWzb63BswbXwlm7cdKz1HsMeA 7JTvRaJZ+0obArYaxHkySsB5IeoLbiTO37RiC8kBD4K5SJpMJFQRFwJL3FQ+p1lLlGw8e9gmY6fD PKl2H6hdusH8fBCXOfdvvxQnm1UwgfNgTsDC8MYxTR+zV6xRcYgMQhFrRo2XmEtFm0NgvSK5hO+Z fspfUDfuHRgo/L+F+SFkxqlyfolInAUcnJY4z2J/QgASwVDArf+GhKOVzhuOAJyN0xS2zs8QTfsm Qz5J0zQrF8BLNpYmZIIoKFAHHSg6MkhYscIk9seTeqYMO/UhiqGseBjTIJPTZ3st91uEXodoeCuf QvyFaS0zjiRxM8JlCiB4ShhPb/ax55+gLJN+cSSRTWhatpF+PzR3Vj8Q5Dg9MKg4g+L+efn+wZ3E 9wTWPMVXwxSqfsNRnczjZkIaBQQYGSggKIYWpTbABq5qTRAlWzsF32HfOZHoWwXTWgbjrRPVyNbH VnV6Y4ksYCqTxnOVkcJi4B2A3PzgsBKcTOg1zKlkQJCC6VznW5K+jH5kropIgV7/6SToWAgs4+EL GG4UM3imorTPtNoWpIuOgBX1qNRq6QJEHYa0gz7KOK54mUKs3mJ52ThQJD/2+r8Kg5HgSjolhKDw hucly5IKQc3b5jN7/zRhOyTo7uhgMqQfT5XkjZJHt8srICUKgLJYvk7FsOf+0IamlAinuZln5WkH F9aFPIuQvv362uvSLSp+uPnI7yOPVYyIFsEZg3Ev9xpbuFifL99yh4bI0dZ4qeRRoYCbJCd3z99z bogHRDtMnSPUFnNIGbp443glAIHcxPsQ3Z5UWvM82+QEqR5c9ij36gOhjKgyjFYDi7TEE6evOvzR IM/DVMecpSkNsHug3JfOHu1fKwE6aE5kmx25uQkhrLBZeAYAf3UD/7E5r5h1uXZykcCqhqZt9Hbj zd2GWyJBECM4MhcBXPHBof/olXqeyIXzRdW4yQYOxB+i3wZFaMy15yksBaWD4qJURJbm/U3l0Nke /OF/JKtUnkYgCPouJjoVw0ELRnoRkvLnoH3nUR5OC+Ju7kNXOsboNFbSzy1Cws+G96/Bs5LYX85n lV34zFzsD6FrCEU0XOvyVoKvvyePjJKXz2udFumyulm4ZcZStwMZy8ukL3LC268NepVnScDcCF6K d8SSPgLS2VDyKQOco4Thw8AhK70kKJfILF8KQvTDLx7zRHzokjVD7tdQFQ5VYwRZX9Ktzr1Tly+y 8+xnBKggaA32nfzAKW3ZYn0sCn534gdPyPx2DALC3e8+eoO1kxdVOyyImkICw/O8H0SwEMMY4iVG mvBTnbev+JqLxtVu4W6suKkj2BTtXYdzdmfCnvwKKtkZpPMupYyh7mMCXb8hUpMr012k4NIyjzPQ 3SJ1ryBCe81AN2ieYJOhYL2pfhEsEuEtcIaJ01bfn/cMBRJwPoKw2xTUBYqoJjCpxEazHdmObeuP ALG53mKMUkjDjyVxsGa0WgaXUzgxTiJ/uLRF0R87CTOGmAK6RfyzsQ0uwOUrU68wyUS8Df9iwhO+ alMWOerLzOjH0X1BtFIo28qSoDCMpKPbKbRdccVENfTawvyq1Ae8B+qlwuJPJhr5eyzXpp+LFXH9 aaI3RZSPv2rYcJGXJc3FrFnRQv0KcoqEyTU7x1pfoWpLnvHz43jcsuppby5LUauXlvqAWQMPk2L0 +X8+/pQUtSvAN9wXbARgGAT1FXeI/aWPdDkLUnUBeO29wLTKn7cr9Vd2f56HUveRKmeRVcm4nUW2 Bs802OHnNhtQDdlThdAZBSDqqTTYJ8Ts/Pck982K8i4k+clOkRHU7gVBftRgfepq0JpObThZElyR A2BsrsQR4BgiaqRw/3/KmTwTMvCGkqJ3ArkFkOJz9wVHhFrlckmk/6M+1U2YaQhBd6n7ROXGcFy9 fmAfh+SR326y5xkFs4SNwaUkudHlY1583r05gmPmwLUTcntrg+UJMmld+UortsIY1ddmHAc3Akrn wX/SCwe3SAEceXUrfthUyagw7A3E7L5JgAKw+bIln8nyoEcWjMFLwL4Iuy8hFTgGG/ZkwvPc1n2h 0ei5D9myqy4pPh2S7baxOS5N5Ks6If47Bc8V+y926e9lV8m/HdEZPa9KHsSMBo3ByeLtrspjtRlJ +sJTyZPru51YeOlGBIU1H8jiHqUz6oXdsfht//NwpxuiHuzTvEO/uCHAWyfDmKr3a7xgxZZ2BE5R AjdsUGCR2GQHCXOo6awv0oXMBKLnckfnniac6AAP6nd8EMSiODHbdJJa70TuJvpkKYWLQaX+/QCI fWS7NZ3XwPhJsPJ7092Yr/PFjYYQn7vT74WEQaeN6SrOw+uOwOm0k9pFr3vNV6jxHAXa0grbohu8 BG7xd1jri9pwpoGiiG6AogIZD7UhlXp2mNoGe6LrkOUqE9hEM0QplY/IoDxNbU7grEQLIB3Ef3QX zD++zN2kCioLkLKv7mmaYwaP9b5m47SphXy+szZsIxasKX20vU/HhhtHX6ngDn2Mui73sKf5if10 y//k3EjhkpE3Cuwla/ZcpqbXl/jGke8VXYHFCZqTd/qie/D0UmMIaGarGcjV5J8JyjLcyHdWJfUK MUcQx90mleoF8RwK04j3PIcfu3DQ8Iji/lfVAKZmrmd4SaFXlezqq+v8qTjD3CQPSlNzkghv8FrX F2Xp3XmhnFbyeErzQ4SLYwr8LnQHWnHj7srTe5UbhgfReGIalfXVXd32OUf7B0pOveHBBrmr6GBA x5n3qDJUjI69sb4cMajiT7vNuNKKbEsIOQXnJ6hbrnULIEtWggue1oux2czZsv1+B4hZXCyodwmM BgfBnmw5e6/4sNkAig8BNM+rUzm/uN4AYyvX9h7NJ4XT6Zmpw7wDYD4ZMAdxtESCSiUgv6oezar8 Na7WnGmEAiUyOLUO4iaNabkx5mPwPQoEEFrx/QWIQwVlax5B5tWARKi39edgnbec4A4m/IxFQU2d hw8a2BmrtVizwsK2vcjOV8YNMF5JuxZAhUsxR98YrIjde0xrSubqHxQNIBEosqKYuboHtGWUDown JiV3UqC25PX5JcHT8DgR81WSrbvDnUlt2/rXoWcIEA7b6VhRr6WX2p0SvuTroF9ubmRWEkuKvYDu FXGgQuhK9DJneG58DrA2eCGXE53u/UM0m25QcLbgZwhYuS93CW0yY9HpajRBdFx6qVRMmY8qd0Vj mUSU5Fjybg45ymPDjBiemszYuaeGyjTNbQFDe3RyYjLFxi3+kbIQaDtRIghHqPauTeiCjA4khS41 mZ0uPHX14oMgV2JMccYUvUIlQmJOuokhI5aNrWk/At3eMrZDUOC9dc6u4lxEHHPGh/Q4bJXWYwCB lLoHqfcGji9tV1YDum7LVrlM8ga2AueM+oMx556hINgMyRDIYKpdF5jPVrvsatBYVy5qUaprip/h zb+aSLF3L3f5OLgpEBIxRdrKBMaTM1jKvWAvvPH6SsQS09zCxHrfy7wlRhEwySKqP51SAbxlSf22 3/pqlm4JhmKWqQhG6e+COCGYwMJVBmWO62z5n3w/WR+9Fcxil/Vifw2wDhffydiLEwF4qBZ0nQaf YGT1hVwjyEqKMse2+28WOGRU6LAVukhYfYhNU/Q9AzcRcsOS3qYygLdEeE2QxupXy29vLOBA7HuF 5YM2hvMlEV3u09OJWheSE4kjD8wJrDQlEZ5nClMM51mxIRtDR0RRs1Z2r0kBTIWpsYGzUNfQO95E gCOBa+50dcGyFAHvT8MqEhW4mzguj7Vwf6d7RaHD6Zb6fspg6YrSFJs9Pl21JL5dBplf1/UlOghW 9C8Gc/r2ZBLMnGwroXRGKABiJC1qptOfz67UcdITygYVEQm1OKrfvYLqZO+7/fjUtWm0TPHwF6g/ Csfvx2WJ9Sv5SLZwMOrdATiOQQmxaASNMkuIOa85DgoXqHxUd2v5DiwODcYrheM78Is5L0HfFLQ7 sseHjQG8GkhabV1FOVQmm04D9IKrtnw237LJptfJPsjEJ8QEltA8OZKiVp0H/vQaqyICK0y9W5nM o43Uw5fpU9nCQj584ECwtxKVcbf55VyrtNWsb5ZMPx6UIZctKEhUSMo4bUaxoBszDzdciwEo61ZR ibQVTs53//h/7xfWYMTHorbJaQIseiUvvbQkTKOt+/FKeiLEgZD2oiYEJRT0v2jnRPddY49XcsOS 8Gyg8JuMk6iM/JzTu/jEtbekiLUFG7UOiWcjq2+Ng2W7WYsDDqhpQNCWho0YIeU+FdAyUPTjpxcq Rqgv6MG7tDbtCvLlHMF/YftoGYL0OGkOXW/j+kfRFzCda9j68bh+9vd+TjCw1mKlHPiQsCnlb99x wZCeaNoPbdFz7S7WTZQvig8iunqO/+GF87wE8m4UZmigBVYmlvcgxcNQv3i9c+srTtTW29wCo2fL GfSvExSkIhz8mnBEYrk85SrQ6XjADWC3vhu9wJv5afQNQNY919tnIq96CAPQ06uZ54R48FY+1Y2C uJCPHU8xlLmSi1n4SRA0o0Y1wKLWHWL0Kd7QiBP8JZ+y8x4Xn+p2lLFlmA5LKKr1K7i420x0RHXA Mg9I7hM4oXlllmVkW933Gnu+bR2VbkAap6AoSOCVO/dJy2cWuCu9AP1y750Us3jgcpXe4HrPWmED UytLhyJpO9uAA9VnInyU8a2aS0gQECXpukm4dV0L8z5fRqs2pBHTWZQcobXX5AAV0NwoAjeT2X3U yiyRlpzehVtkKMTSLojM/a5lJ8IYd5ZOdMWYUzCexwsTPADrSh+GabKYpJyinZ7vliF/zOK7SBTf QcW4ahNwi/G2ckzxrcVaRAjUUpVXYk48ODWR3hs2VGQTbF3XimtuPVL+iADtyCdF6PPqabM9/w2N LzIiWlPIyiBvQNJ0PYc2BqRdLEl3d4blDX9/RVKdUdaXSoOkCyY9bVMNXbrdIxxS/oNjW/qRNJmV uqs5dUIw010tzvPjhZxgMpxAzLYw25MRyyQeMyYcrVIPmnh9GuuXc4ZzgUtMkUQzULD7Ws7ey2Cg xZflYK/eK7wBMez2aqEQEDHrvvWxWRZFsiepm9oTcORyaWOZUf5LMLBdRMCMs9bsMI9sbvYiIlH0 DWLKImHbpwKMxaoo2F7UVy43XOLSRsvpaiMwI1HKCxYQtZLt+j/3NFleUoWlCUXMRlpRlrJgAZ+n B6aTrDCiNmPPkhYQ8lO3ECN4iRGTdjS0T0iEUyzW/oZ4pyszFLoOm39/15+5G8LIj1EMdtqZ6n55 +keHi0inyCRqm0x581XJPhax25wBTeQ7sePL5F+NAhNjyNmzA14lS4mtzy2O/8xM/7kO2LHuH+Fw +q16Cpt5S6FaA6UTIQqjynAG9EGnq0OPO3WmEjUxv0cZzmSEXylS8WSqrPTuJK2wFm0YUABCjtSR WDzYohNe0GTwMBqYoeoawQrTnVMWWV0f2gHvrVfbC1XaQxgg5Y/q07vY4Z//45Sxdb1l+SFp2Qzp GAzp18xC85PcsG4w47VBpV8i306unRou1Ha7vEiWh2MUf+GZSn1wETBliHFrQNFHIr4OAr/qmwxS o5WQANEpXvQ3bq4uC6/0eQ2pMBpGEWu8SJ9CwU3vcHaJP9Uu7sLaY9vt4bchZMRVuQm5O+NZRo+n dY6rkZSguoJO08tVcLQcoGaAElu5z4CVTlKkZuuCPcQPi9eQozK8TrRSk8bMUDzt3n9edCItyIVn CnY06IxqAFY1VLACTEgD34Y0kKmACiwXla6MC2aCXqRX3r05Gi9SjbiSnss6mkQ/2qJGlGBRmM53 4JWuLv1aff+UTr6971vCDPaPNqVgyUhoGnkU5J8iMRskAAFkr71IYAGU6yhGVB0q5pIwGXdx0qqb Hf0KgUocLkqX+a7OoVMKHiwEk118NIAeXjOb668gBylSutELbxUL04FFhkj440hC2kvxbzsm5Iw3 ifSlnc6Rps8onuusek6X86Lpagy5ZyntHR0s9KJftDxRig0wY0e9F8IbPHhz4l2/AiOaxDezg222 jt9Sv4i7UvISXv1yCva9ELig9PiSu5tTRwBE2vlYmsTbckOsJDHjcoI5qHNHNKn1q2l+Ul6vLbrG jYWfhg6KOgvyJtPg9elTdKPP5+vOZkpzfTjCF0gCY83CgynCDh2hh2U62CXmsL2pPf6BYH1xvChb op9LRHuZgJACaoApPAdbukwuP/xF016G0Hu3mDKJ6AgEzDbPI+v29trb5qoIquNM0wfIDPiAPNs+ W+DscxpAHZDXn0QpTMo5KpqNWxTA1rpT5O1+KGc15RnQepcegpq4qSBNCPVceH2PXU9S3hrJ8m0F tbUh1TxLpD2hSbzLGxFuMw7LEYbOX0g8ep5GQB4BnYM8jILRoNwCOJP5wLBdJBfykWNlHxHXGem6 RfnEPXOn4GfmTNmrKN5kTGKY90Iqhn67HdrA60CSp7+7PB3uNbgu45/jORFWeUXilR4ra7/riUbC 0Vv0q1g9MVKjGj7oSDGjiyjRbscHGO39IIot/dgd956YdAfOgjCVow6FsHn93xzSXQjRle8ZvZIp LCP53xx3HwyXIXjt6WfYqDYn/f+vmULqqZ/40787ZuuM0vCdAPkS0zbZMRnfiVx8Nh7+FYtWZoZ9 1lXO10gCUIpMmIVmo2dkzSjQ3Y/Xb59GOt6L4QEfmZnqiOehpQDJJ2AgeY81gz7PWuhNhfU1A9Nt 6nRvySmITWmpmblCYemMHqgNcA+7YGyVANzevYv/E37Vt6GWH76pkD5POXMRdxbl6vDqN2osjaVx pWZr8Vgip4dtwqPKWQfpFIAGfSCB182hy9Gv2VswJPhhR+zj54P+qLSy1hv90j9u8W3OvmV4ah07 3P5Fc1L4vAZDxgJLZGIeog3HXCXwWjAmVgspGAwgJsZopasyh2gY2u4SISdWW9LdWiqmvDj94o7B //Fs0TS2Y8X67nO5P66z3eNIpU1W4p1Qln+hg1VpKUbx85z6Q2dtwRv4SYsztvC4gEVYMqou/Rlb VpHjw1bNSF6O0D9FT3y6ZFDqOGjf2FmT9tetxTHLeJSoFQXcvQMg0cqSKk/nqNmiGZ55AUFv1Ecj SfnW+y9wsLFCc/E4EuL6VlGQuxhYmofILPz5qH5m7zU00rNHLm6X3jhwg83KiwqMYOLIq2w5zOUJ JiKfd4gBqX/6k0JWYtF+DtPWMc/SG75XfaC35cSC4ZEBulUCEtn04MQzrJtZEAEVaKMcA4yrdrEE NmHFLO9OVIKlnGjRze9oExWjMy5LYwDqGFmeUnGNQtQ2cQbqKdIKfWhG1ThXW9juf/NfqmJMN961 FXTMU1XXeJdifFEglLFq+INUsSVooOvP0x5saqGwW9UZOyx/oefKscDJCDDiPpalGv1MehGa3NOm RSSa/fTR71oQC6GSboKkyVUylmdLqdVWdUp4W8ZXojlXgtQIPFAzjA5zX+tPnB4GC8knwZmlPuWA mCAfpYB80DqnpZGdAX1mBT8vpi8WfJggaLB8ZJ/niwe6lFpoojJF0mlk0iFNCciVw+dGyhqtJRHt tpnnDfSqIQ4Qs8/sphnDBo+gTAtGk5A/tqmWAgTzwtbVb0k45d20mHtcOKpTJg3NcMdF/gtG8Jng go+OTDIQe+hKXSFemZW0WBzA8q3GnyDaUZBK90PTWvi4qHgsJNv1KUHYdSFLaEuy1rgQl/m1rAQC M53j7bhVDjBdF0wPSvv9F72CzExaqR0gpDQxI9fbUqWAzwOeh0+z1atMUdNV6pp0EfFdqxp3HtJr +0gUJC0JH+rcXiIIxHE/+HmK+pAyhxd1yrjkqSg2dWVjIexzQ92iDRJ+tQWAhouRvKwl3AGmPsIm JHbM7PPYtM+5V+IQW1WePSnHKHLW431kODSDdgDPADR7W7SRKq0u3rDlIrJaCmTuTTukaWbRVQZP iEOA4mWdPCZRKAEyfOc6CcGm2OkboWIp/ZAG1N2ZDE1uWnOUnDjLiOFAk3gGcjhbasahRoWHNjYu lQgA/DblBladXBvk61Z6CywRDKK0SDEpUV3K59SodcvTIlDVCLvhz5KdmT9Y3qOi8doL9xdiXniY r1k8OOMRk7hr2vNUvnMXg139qBzRLj22LDIXtFN9xVsrFAwftbFa+lABtWeI6AAbuQa5FAnRcXfs XT9WsL+LfyBVnxTw0pdkaWGdNrRXyMR8PpHdy9JSYXYvnfR1dArc4VCq8QupfMIGbtwA8iyu71jx YTisVFO+YRpAndmF9wLi0Wr0y3jZIFwMElogcIku+HjQMUOihfv+yrNkcVKBC6o+RnDgkVALq0KR XDpokg/JwtJYUyWT5ustoVqWOEWDC3yVZSphRAKQ57Rlw50dcdCWv/TUh4Xqv0OthpGzuFyiS1EJ l3VMf9GvjAHGdn3bfpWIT86TvGd5Bdn9joHXkuQZETf3Fyw3PBWS8VesxcIVY9cfDIMfWrpYuqqi 25w+7uS0B9fqx15RNseG23ZnPpDiwiClKLNTcIkiErHo6V1KzqbO/SZls6OQf6enUR8BYLVj9dFA SP/sjmP1lrRAfcRm+WzNRg0uQWxMGA/1dawtCmEVJg+kTMOqYsjdxITsx44+tUE2ruisWmLmWPUQ YElx1mxe/rhrt4UnejE2naqsoTiG07+LndsWt88pXM5GYTb1BIvljC1jjK3elh6SULnhb8WtHcSs cQqUgk0U6pIOIWrjhPHa4ffbUFGflEIWHEtNba4OVdB+BjMb3nJj+Jnl/0reBSBC+Ny9dpAAmlWA h27GUAvnAvC6sG764bd4V5vStDI7gK0FzDw1PjR0Xybr1Iu2S/p//Zac+QsuWl55vPcU+QUTBzVA 2sy75Cl6K8kHE5hZXXDOHDF8JeKA6+4LqpfcxSnftaU6/ZrfrrsJTwMWLIFTqKXblMylTIatwL5B bzsnS3EU6a0mu/c6Que9ZxGWqF4yOGMspYXI197g6AUv/78GsbtK3PBB9x6dpGcaFRFmKLg2hv3g 8VBt/XEd93wSeiIhVt59YLcdXO8Ry5sItRjGjs5Hcsdt3vkNbtR+ZbvnGqxAJ3tee4E7kqmgBEap O67SzuneWY/jwOkdkUXqlz7Vmj+2TQBIVjOJTmMcl6xpxzs0Wpquqbci0NNl8Xc31L+l9H94SG5V gja2pD6eqW9ROo2xUGxbjvWdUcAoVOA8lCzhdkGGuguFGl1lp25sgxGdP61yXJGXM94tTPebHXFk zAU3ovZ/p/xywCbb8G/6vX37940+JsHkpGGdmEU/8rTumIigR7KtMyVpgYovMaglaiojZBVCB8jP 2HAz7JxTKeTldexkqgbxriuj3SwC4JizZKA28yEroLlFQiBhLkuETwUGJVQPD+jQKN4qbn2ZBOqV Mcfb65vDvpRJON8Sg2hndsHRADYUZtScMNgy1EOlk8cXo8xU3krC+q6ReDcbRbt7/NDpT/6IRs6q IDWsl8S+MhYP1DBwmUzQ4/KO5VyysQ1+u+i02lySQm0RAvD83ClieoHsKc/Utn/JZfzoMpj+F51+ L3VgMuzeAvuU6rm4pgDNYIxs3CcPB9a2QxtzOofSoIqTiN8AzzDbb15kESdN1QKN3z2O2bsuQrmq OVsj5M3bvqL5BRPAfqFd9tBs0wTniKR93pEIKgC9QZx+nCHhD7A11SO+l8Td830TPbm6aBV7kB8i BmJSoNw9K5d3ruuYRgxEz6A0SgkgbHUQB0Lg7A6ICbyD0y6D7mkuKTul9jQGjuR20gP4zC5Yh3Ub M1bcHu5CrPqW90gxI8GWJ8vCXoAzP0twzQEx+oUNiF5FUlxW99Tvuz+c4Ok/BtcxmrHO0py8AWVO 4j/A56Q8M+ATYLCrQ4lk3MIrriC6xbTYB/smQh+QFf+MCa2AA9HkAK7K2to2T2PsI97hFHRFBFnQ +FApTas2xHlGFNPSiffsgkEM2efsG+E1DsWHSwfzkjkQoJZTT0TzZ0+JsQOj/Wv9TE5QzZbWu2WJ 0qDKi1H0Lx/kFoGvrWRNLpv9aP0yMPzYi7PtHhw3QfTTPrbfX59k4m3QULtWjou+hNgSYTQ5Xomo uYTVuukGxB8+qv0BNsCudDaPygyQsD6OZsupqYUnotRLVFKTg0gWpGz6XA/cBFQoOk+O33tiuG+D hwEPtLb1jP2g4Y6ss3rBTd3JkrrcQNdzAo5t40Nd5T18rJlqeZ56/YIUcEs2fW8q1evud3MFMdot EToJf17QffFlSYoT1DiImxqwfY3wZPnKbl8AhRdFGgpI3djDBL+t3RhOKr9dqejOrRXKzkO8V22S 3gEe6GAeyzhEoEY/qKbWYuXjpIVAk0tg32LsGecrSuCsPM8fEV37X249dbDSf8pxqU9+CZU+xhLg knb2XJHLr5uMEBJx7+bjVn9c3kPrlH+b7eFPr/yEFi3n1iDSLHHovBxN7PYh++QxhQlNgWD560Ii +F6cNzfwhpL7ATT5v27/cbL6Ag64Kh0yKB0Ou/EQT5+VL1z1citHPtQ3GqW+30szb9VOMj62c53T T10Ij2HLyO91GRdQB7ITtZa8vgH9rFUj9vWAvH5O6Vo4bK2dZ/nZNqT+WouIJfAZkCh9QCoH765N haNAlg/vE4mz8OASlfaIfNqFX5dC4WecbTB+t7hpM957moBkgts/rKdgBDDPFadcxkfD287ug8lb Ti5MVenERDnEP577oilKlsieuRxfxxDq1rmERvRxrmVvcGTBMpzBXXBwbonBgRMCJhFn46NL/5MF SxGv7HaZRBG3y69n+53pkcq2fhfsGmDcib3cCYanRg1/zYkYVkiEs0Ab3UlK5lFbTH10vd4uCQpH F/A0OqBIgKl/IqzjoJFyF672SBQUxONLu4ytf6Q8eWQHV/r1sFKje2flD56cKfRNmRdezrfMXxzn ZSEdA9XTJtzCSYCyi7RxxYqP71XefzrbC/HipInVTA6YOPQZ5cl9lOroryUmDiHWlE+zQlCtTxqx /lTjj6Yjc2A5qfvjlLFE9ok+a5wl5hS5nEF5lPxm9+slHYD3temN+MUhsf5IriEGAjKFlpOFbluE xfKVpdDJCvWPprG4klZqdxt+RbY/kXSf1ivWPfBbbwGSFsfPOF6lIFU77qSYMDk0U4wAb1MO+h7M 0j9TnRi/CXIANDRdmm8iyXoRpHBVmXxSIg3+DWT/bUH3Ni3KVxL8BvtTLwOGgGb9ZVP/vEydZ9PQ Dp4+Elbe+toUA35Z1ejUTcW1lmFtWv6pFrUlQECJTS/WK2QAJloMS6xHkWT5k9UJiwtIKTc0+ueO zAh+ETtihr1rsyKMS4M/Bda1/cawy0/005OlvIRR67USDtOuJID8PMmA0WYo+PE30KqFJ8SlfuBb /ffyhKpF/Cran3OUz59H5fjZSmsALLQVqZrRNCwj1lkgR5Avr+AQr1oVwmevtSUC9yz6fsas3KEk cJXlBEmjsRzJYFOfbBSIvqGMRP6Xpm6jXQGK1wfcTOeYspKv2RJrh3X8BulGz/qtaUvvlQis3nr2 ggdo+UYdsTKlmyBkkrA615SWNfl+vPWTCvhuFiC3IgMI/BBI3QCwonKN9szoshmTwv2YEJPyDxDV WLE0Dr6z5XLZ1F++JrMcbLNSk5TxEI3s/xq/MCzwzTOM2lKQlJJ6+vxOQCIyJCp3yM85BBzm2PY8 0Wc5Jjt3Rcjz1JhBl3vMmhPBA5PA48TeYH3GqMsEoI7b9wcjjCY2dsGXmvqhVbllfnAdrPRcwHPk TZi9HK+jdNcNPCalVoSADn8fkGhon1CR2S99YKUsUe3nL/YvAdOp7oRkAhyP2qdaFDQAL1AQ4QDD lQiQVNuWdQoXOK7w0t2Q22jKbQmXp8xJy+c0kufX9SpOgBvuOgqy0h/SG+tTWjcgPVnJAKtt2Uvk op0ahKEg+89r+9d0RMBMTvf/1IQ9oGS5T84SUMrQ3kaBIvylT8BZuZncZ/GxRJNqjMgEwTyR91uM 3X6yGVRTQTM5dpvRbZpOggwVhdcIyBWRuLPsf8PiGyPW3oiIbA/4+8xi3YCCOrk4JfwKTzKGhwRZ 8gO9NjVMsbkQ7dSlBwrPOf7+XkQlq89DztyPPGVBhqYjtFsZyltahcuxhBAJClw5CRQHaZxBP3Zt PIBj/pwCkBiuzuColJ0uFm40pIjRJ2632TDCToyXRxWZA9TkbLMVulqTvvCUIIeNrgJ9/TUMc569 LZJAf3BLLMLWtS6K12beZ+wAKzBp+JBNE6VxjZlzQ6j6JwWU20eb60o9mfmI7sP1/3uKPxT7Z6xT bW2gPwEE/WdK/RewWv7o19cKLeaBvGzzeqbe588AinhtUuAfnQflXG3MKaCE9zh5EeK/JThCduwc iQ9QIpO3OMEfCaaIq90dmghhQc9/8o/Q+hoYdh1tCBlu3/K0iyqmmwDXEVqzAguCkIArMBHcot9a FlqUxuiiXBCsfYtTmddvASHhL1Zi5QGDVZzD8Y/f9wKoD2TRrb8vOMFexWIvu6f27Hudrvlm+a8z V+9zV1YH64IpnTBQIL5Qy0GbTpR8hms4T8rMq6B96zE9tbaataKkDYbiWRTmD4SOD6YPZwja2AL+ A8PIIpwcuRQ+gw3HScj6Z1dabFvZI1c0E+5vdkxcY4blqBkbTiS5VtRyuwZHTD5gbruE+l1gOvWx wGz7nlyVseRejJFR0zNyETvJ6sOwcuCVOBq4f8cMED4fMZa/4kY2mhAxa5kO+MfGDj2yD3AnVOsg HULIIJrLY6f+FOn/kf95/WYIvHxYnz72rqUw318RAsQvPIKkxOAQGcRTWdfPOuGQPzoXw1GdMm2E TIrgICw0YLnxlwjssfB/bqbqd1u8M1TX1at0igmKob7uM8l66XwaiWFlavD8mTDUrWxBmKWDhgFO +BpxyKc9RWiJ4Z5KqOikU5G7+5kfz7q7HjErxmrRJqT9+taU6SI/Ev4NFVLmt4TsTsqrTbLCdMrQ ZP/pFJU+ZA7+5WLzNynqcBktgPYe0d8xkbg1putex6ziOTlzYxLEkzAHgcOjrXHY3s0dimhY+VCu vJB99H/j6yQMDtxq+7ILfKv4EvtWYKBb2bPP3u2yzlWjY9eeWjVKyJE/GLsE98SY8ZNWV95Y4wM8 uGVkwqVB/iVXnhBSyAMfzPLefIJG0863F9LHhSUJbwv3hCu7B/Cdl4I52AfZVkfubsNJccCHzdYB Ad6k9Sieu8j9XNNs6Ozl289YhE6eNL8SyieO0vECO/HilBp6BqJTNC7FjM0qO0xldQ6Omcm+XImg rlLBEJ7qqZOY7/e3tPv7OxCnKw0i3mzJcPY6l/2qyVPRUjfTU+uUkolOiq/4uyQkzArnflKNkUip v+tWB9tyy3Wx+wnxLhXdYe7Dk0dvklJCnff/0bTDTVZ/WXiX1oIfSjrFKUL/fknPynLVwmF55l5w B3pr5CEWW1q6Ac2loCxRRwmfm2BBwsjNmCff70RpM/q/R78QNYi3gsde62ULT7bUl2mtVB91SiPu L2RoDk5q659HFMEGZIP0fOZou/KS21Xw/7A87NRavTRN7SsfN4VAz4NgqkfR50PftfvZ12D0lHT1 dElsJRzvU6x5aCWuOXYe3G4KTwlkVk4nHuG89zoVArh38X7uaiT6+rwNnWFiMeJzm80prCvf27Lf 3Huot7ymIngtu4nhhBAwlwVQ6PpJeCSZlAFeqo2vrAs/u2O8qJ9qfR9df78JjW/duJJZLm00n49z VldrVj7JRvciN9y1G9OmQznxO+tGSB+uBF/WzZKxWCfFVIEbTQwkB2tqnQHOb5epUQ4T03LrbjL9 rdI+yAXLmoQwqwwU8V7P1ri4ivW529uAm6LL3ve3IIvMH55oah9+bcW45Aunmyrwo+a9ADw3y6Px jxZJw0SY9GQ/8+dPuZtC2rrEuu9OMhDvmCUjtfm8anLiI9TVpoO1EMZneuJCJhV/+iSqcImY68w3 0saRdRr27HlB7mVbpdmwA2VhNDLBMZT9161Asamf7m8IOfU3A3ksI7J+ZQWDVyaDJd2BeUTjweh0 ZzfHBEGRrLtZIisKh9iUrO+1/l3hmh8r48IeyMov/jrkpHaF+ybejvZj4Xy64MwuQnyQwzrHH9cM OZUaKheh1Pb9TElLcBsYxsNajUywiyro4tY9H+x42SlBj77ogGugg0DkLD3/AiYy4eO7qppc2eix U4OjXoXI9nimLzzJFA2O1csoGz8mbmwVbHL35pJwaXyjT4f9svGq9G39Sbl+ldt4qRPPTWvCpOeQ 2Ad+KWKJ8xkAha3rRwTVLeJnweB0Ea/vwScd1UKLOFhEBAQiwSimFw6JkQS3uiv8SA1+37Dk5IzH 3twdwBkrsglC2nAEum2FQNNl74h45yqK/o1UtsH8w2UMJWWnfovLaLdL+JoZF40Zs47zGKXtkje2 xEU1eS/o5nvT3OwUwXcOKm/UbgjP0Vd+cMSXhWmxxTGOILdzKptJvpflk4UCCz3MEzaEnB7rACHp GbAiNISUJJdaJrWGt1l8Kr/fswANMCVZbdQ5otORWREz0ny31kBX8ac/5EYKLc+58XUdz4J4W+mj 8cYk7DzxQrzdqwVs97dwveitT1JlJkum9wG3vJpxlfQLJzijsKgEgDE4PT2a/boI1+VXiQCky66y qvCJ9jR7OQH3SUX6Om43xiaFJS0EiuZlCx+WAJqQ6aO4UhA5BRgSLPKYV3nZTE3KQcRQGugL5FJU hyfwxM4gRIYXfJ7xshgQkLXU4UL/jrFVRWVyf61RdfKIZ4EJivYRv/342Z8S468jkwbj070m/dGI I/5ZZJJxpa5tXfXpxFa8DbUbyHnjiv2cuYesQOHbT78dbf2/xDbuoHX7VFRPk6tO2IBAMMBGTrWb gYXrTjfEsMOEjcktGP+KD7l8wJVsx9qhnXM4LVT/2xBhDNJOJ5jSC5DS08mLyxPJ88+MRF9AxAdl kvUFCwMNAOkRh9JU0hE3CkMgxfXh8X17AvpXVDnP5TiIhAjkcnGoME+DO97QLm+CZDhpMbcr7ewW 4SQ28iyX5rk5TKlmJuYXoILPNy5UYvY84wIcEjFZeFftH61z4k0jXSYPQFa6XZpifdqRkCWBTMaG uVlkTGjmo1usftP04J2sVzq7YkS0H7sIJhnoy1rtJdoW1xqTnXLM9ge08baQXQB7tTv8pdxtoPRM Vw4jC4rp76fLaY+qlbjMwP2a+ifCjrFyJmPKEAnabrHX76leE6nc/je4HwoAekTsWlb1oqAQQIlf cppBhWiOnhGwMJhK6yTwkCOHT7txHx89NkO2baaXXCKJ+ErUfGOVu7m9n6H6ycsUDQ3xA32uKrAG npk7LLKu9JyCO7x+IMzz6xzqHT6I6KNgHfkSyOl44fkWJaKtNCh24qNcYlYCNhKZP46XCXTD1UFO lDpmH4SURc3WFnlHRVx9G294iVZlcxGjJwZiupuh4V7SNfJ1Brda/IS3aUKmzskNDejDXUqun5/s QUhaFAzJibS47xOqvEak4E3Pc5NWFT3MBSMEpaDBoX/sknD/EEn6LsWI5kBV2i/5eP9wSjtx3dv9 VEIB3b2kwIUE6xNjWgA7M3IEibdZXlHvyir54ssoIZH28g79sl2PcjuSuGXLvSiR+oj/rpeZxjNS 8dPqEZO6Cvi+A3A5QsBZ6/6V2LDJvz95gZRs344fsz2U+b7uL6FPsVHz9mKIgQluyS0mpL+rkyH7 hgqBvJtQEIHOzXmq9T361ue75uxSWtGeel3uG2W0IEVW5BpnRaLdNKW0Mb2JqZgDWzajPh9n3GJE djFqOJyK2SrrXp7BhHeOipCMmdfNkRPivhfkYcJYc5bBTT1hIMogHfbh97PphzhTDfazkV7CD85f PxCnD6GnVVXOcFzv3lnay/3g+CQGpIQKXx8jaRe4dKjYmDD4GonXXlThh1nG6SIhUtnyoq36gzAM iVGj8KSxJwYhVrB6nX0JoFH0PtQVByKjbvYVjNEYqdnmhHuRgmqDz+6ajVwAsds9VcbhlA2qzNnO obqX6qNj/tnTJGTNiGesOAALKScCIvSHEEYjhm6IXZSQOOzCzcVOBVbrvsyPjBGGqslajB7+5ksI Zr64/eVE05tALQ3KbqBZ50s8k/nVB34mFBfqIeNCouLHJDiE4EOZS+bInwCh4kNPzmLN87L3ZjeV QxFefiFYxbJ25NgXMgNgIyqhNsATWKNrY+cQmBDKiUT+XQlYe+sc+0HiYsVusMwUb4Z0vT38mWHS 6Snd3M6VSFL0VAW4DdxgjcyNL9v7V7FWk71oGMPZy/Jf2yaiIaDH4A0QIP/7bJ/uH9cHtek17M7D eA/m+Pa/vo59uLHwm7oietwvxuOJM+0vhvxGWXQaZL4tRjIWz7vlmwd3rBLEf3ugf731mMGr8RRp qv3N+S0/qs0JyUK36B7xUdtOhKNOricxbmqsfBBOW5v4MUhzB/h+I5uVgFTE+Ri9KeeayZiIqrzD UTZI9TwGZ2okEjNnxxyvUtxHDMVh8YMQImpkU5T2Rfqvlh/rC8ydsCURIVDevbK2lsKlVVKJFq03 YyXByuBKe3H7ERqw9/hOvwzIWcYazzZsBNqkL7iX0vIDZbEDaj+/678aTlYbs2UOdVprbbXnvh8x 1DxoY35F0gAQ4aAcM/xH5EFFWi5A7E2tq/JoM947Ax1hPT4bqaZTRBAbQJJqrrflOnzyAjtr7Q6H 8jaTpEiC/tbh8zB/k9Zji5mFi1g/xgl6wQ4CbwhXKr3tGKhJGpAq5grUY7SRS+UrVedjODlX03bk efHY7pfH2yfcHd+ICJicWO2ktdwh8A/U1RIAdUR8yMdaermXJV9vOpolc7E+Ybcg5LNJUTXv9B5q nw563mll2Ohjg/JAHngEhMhxBy2OT+vjWroAz1uexxaIvjIPM5qxt3JyEDT3NHQS/QjQtdNAb6fB LGKTWM/TI5vL7rlchz0N82we46aEVufh/hntky/AQETLshy949k+kCnK/T+xiWfHneznPaYAxMZc USRU/MMpxddqoCY3oL5f3Lhk35Qr4rB+mqDGmOz+PcQgZ94R9d+HqORxevyY9CfRWQGHxWegwuhg OQhSpT2eeBjacicP7X2Xzp1KQJSNbytG1lBYJHFwEHLlNkj5n8oAha1fliw+TYjWCmLt15xjf+55 nUGQtLHuKO+t4jXJUASkBl+QNf54Ss5mnmPp723RTi8ZTwAeiymcdVi5xo2ERHhxcKoP+iJmVP97 AJzPcCote4Ph7+J6+CIeQrTeW1xbQrlg9L+I3BMJpY+OJJet0XFcIcXF+Cxlfywjz32z1toYJ4f0 pTKD8siWn4FB2GAyzOBFoa1HTzHAhiy3fW8dVyAgc8B/yEGGZnJmwGy4fVqaGsD1YGCWcM5J1QEZ XeuWGDUmYg0V2HQcSObzsqrNdCNuVo8tpwo6ZkpVY2SnYtA70GI1oW88yu4XazIrtol/QBPLppat p9uw5uIfVETsl6x+44QwDMLi3PiZnpFoVbO4hFz7xpxzBDg8MROsjaAQYoVdH+ZN+ICjqFTaMV6h rCr9h8UAZIFqeVpqTuAIORlGrahd+0Avnm0rE1fT/lo5+QB8JvMLl9+EzUamfQMprwX1xLuzgGxi dl3G9YyB2ZM0YGS0ZxplJ9Lchjc2+ZbeoSexy+fFsEib5tJgkiVAgltGxp8YhoQmSP5MHEBcdn2g B6Xosy1MdUh21Z08hQRUT9hPxjf4MbehVSEgS1saZpxoXse3YGnwtZ6HFw5qfDXVvXQSiIuDUxcE Q9bTQyLyjCfJg34Muj/OV/Pw0lj07ew0AhnQx8txIxvVhCv1xKu3GqF+ADkwbwhiF3DSV8JpJCX2 a0xmaZ4+ci2/EOBbFaf/84vBQQ9bsZ6fJ9xtEwzW4MRvY6AeJ2KqI4tnl1rj7Rg34LGkqTat1SGv UMLq2aKL5vPK07CENPOIOdQJIEb+5E18I2ZiTIFQnfXukf9YNLyf5x9wIZ6rY04sh+wtQ9JBOF7v G+nTUyM1UR3ulDaA8OfrC4KWtgmyAuQ3/rctZjorjhn9HTX83Ve1f2jVeGG6Oo5KMOSAdrjrCfX4 GpnsYUKbbm2SxIEPoFAoB1SpYHONAdDNcdMntQi9/+qEpldCqvyRkPBhVqaU/NkQXBoKk6zVURD6 +acQP7DrB4nJNXXhEpLPaU1cR4nNe+KgzBgiATtCqTPwawYwSctfCxIPpSZ9DJnq8IsmPo4LMLz+ VjGX61yjgyrrU48WNMjTt97w+EPtaeSqEEjiibLooPrXg9QmJHVlk+SwcjA1qmFI/6xGo95NnC8m 8A5q4iNHkQAqZCMlxhCgCY1+M0LYuNGF7Ccy8R+toJgeISxZWuK1HnfKLEBR/bwI06BgG/yklCoA 1eHy0T1YX6MakpTT2lmK5QpyowYE4BsC5zQEu0OH2/dDI/6aTorTmE3o2pwmwLn2a+qe+JsNxr/h F25ZUXyaif+XIDkC0By1jIHptnq6LkGk359aQCpNM8RG2zjUZxpnQexFqiOkG4LWf61LsrEIzkuz YWnNYSE9jy/WBm0jT4LKghBpvDqjX1P5qiBb+vx/WiqksiP08kw3uPBYs435fEAkeho/OuDIRgEo IR3GMILuHAkwKfU6W6CrnviZ31RVQA7CoCCiY0UJgKF4O+jrC2/vrnbc+iV0FmF7OmmS3hVkDRL3 R2SBgdQuUYpyXqNBX4G6u19GlQWcBUYCJsWthZEOTJ24Sil/fEihIgg20wxSSAOZOFzCIzdLNeWu UQeuwwdtzQHkMFfgD7BTMyGdgMryrbBRAEfEsmM9siHlXADyntY7y26bA1z1GljJhCatRWQ+joM3 vVT/O67NtwnlK38iCKRlJf7VWuUtpmW4yBfT9qnVrReFZW4lwakS+8VPN5ajI6/zaihkIvpsOG2d iByIIgdPOEz4EkDzNdDSurazbMmrJUjQuCzhmftOfbISFxnU0nRn0zuHvTOkHZ/TLWYgjAHlZEmX TVi2QdD4Y9mlFGagBu0PYR2i/2qybplM0YA/jEQzCbK9p1yP7Z+VXR+EdAEbjW2GJSqj+vGUDx9W jNnOR7BJ/uHfYKtIRjI6oX1qi2GiXuPhZ+jHnmdCHi8TXI3HQYgwEsulVnAXM9NduosxqXbPgcua BNKvAnebba0u2aP0eUp6ycIFnXzLuQlxZM82ylUkrzcO29+I0oHB7oLyUAioqeSodwVY0nb7U5xN iwb4UORQV+UYCs8gsKhgbr+WGzzvcziVmIvkLnpAn4u/yLM+Zoyq6XxAaq82ziM3ghU2vTCwAKLn LJVXKxggjkYvcQ0fhzhA7kUm1jRdE/O4XSkl2LwptLo7F5nQ4wFiUoRu2O8QOOW2V3aTfyskRaqv VxwqTuJK3lJczhQoRMPZpk4RmhUXHT5usyDF/cOii6U+0vv6REwnwww5buS9TpSRQxaaXmnjfe/8 3g4kLIZRhLK5qFGC1k8Cjy9lx6FDOEaOaUofW4/Jwoo90atmLeH+W/0mLZElKopwIggXnzB6UFCy hOAduPNBlJyX/hile+qPMo5KGDmBLrFhY0XJOCM7VMQuaazBLDFjQygQODiXL/YDLMgUdHjL2Ooa JPn40YTx1WjqxL30QYwWRjRpTkdibqJJ1EfHHVUqUBgTKhwEIII4wd3ThOlVheEu+TMW9aqkz1rJ 28gVrXKjAmHEvUk2BfoogBeRrEtcj2AlsnCmtx/ZELHgnaCxR6Uv4KnHw29VyV+OVkPj4Fi4HhSj GUcawRtXONMshuMKE4jB393n0soLnqGXfWYBrGgoOBRuzuMMOkfGEygXjECgwsqAdQu47fDS1r3/ QYEzP7D3TeFgQ8s7YNDvgrvzBZxQMbyKz5XNO5L26NXMJcBleOGEfVWcUjistwsCoPv+4Vl0Actz LuDa+4UT5YSCrMmIC+cQgc+uAR0O6bKhJtOCFGtPhkasSdpD6RG2MwsI62gUBrmIhi7pBlJJX1VJ UNPwvt6RAOZac12qmUcKP94qR7Cs/eXs5alA32qrB+CV2aHvvkXtH/iBH4jSJizayPDM1F8/yxkb RhIGYGVl+r5HlCr9/+T2xPCvpkmBaPUeMEQ/TUm20CJi+e3tc+MTNxaBK09GBd4Vk9G/iFEVgt5D CfWlb6mZ5t388UUiaRlKoUSNZflYwpAFZcEFNadJh5Oh6EqYHGLGbrFow9ykSEbu2gp5HX2y3nk3 tKgCVIodtHJTxuiqH/lsNN6luva8q0oUFjnPRi5nDRMwbKHzlcv4pzmOTZyc6NNCwcNpQxW5y+RF xVuVeI+eTRV9sGajKJCpcwBCTm9O99X1xB30Kc3mzdsPddZgKLrGmZ9W9f4Pvg/Eq6LDn/qmkr88 ehHInGXV6E+rHnoHKq7R7ePv5ipCdIrcfx+9VVC4rbNvmDN6nJ6MillnOfiQEvb9uLb7SNUAGIDi +6efvXTDPzcpJdtLVFlFEaz7jJQseJdqpEDJeO5Qg2KEP70FXaZL4qD6jgWVzWkqbrRZu2JkECxC Q6pX2MU4bfuiCw8d6oipNhNUP1wTcg+eYW93o8O6ByxZEBr7/t7+WpNGicisavUOJvJxZ1/XZpG0 Ag5/goRLAvYGyRXYZJGvYDUDIySlgeElOehsm/wv70wuDFGz7WQ+x/LGYiVQnXKXuyVshp+GxsKM Fh6VBC9tp5LIJdsJr2UYQHbr26V6LkHsF9o+bQ3n/JWfU6NNvo8/GZY8G0RAcyX1woAMASLU/2R8 aEK1Kqnkc2qQp4NLmaTXnakHJnD01dD4GBR1o76sg9V8Tm65rD+mfVrp2j9vf7J97wyUuC2BtVnL MAWUBZAu1esTwRGLS4Nfx1FvkDeYuQrkoFOMtGZya9hhRztBz1SFmo3BXxiFqpHvm4QL91HRY+Dd kvrj/68YuHkohTq77Gp716OzozhQhpV8DHn+6F/t3Zm6dn6/a5xsGrmkiQgtGJqypLpQcZuBURTV ukWZOWigkGMmrPLrtLTuFyxD4ptXMO8727P9d3eEpL09Ewwh+QEB8w9QbXoNDEcYMiBrtdyztd4Q 7JAg1VrK7azDV3yBqrTUXdHZe1+qBikiTKUU9iOMFxnjoQ3vV4gjC6Ml2yJvnWPkIoKsyOLeo9hr A3R1dmwKqdeBSbGaQB8kZaSEy4ihaUwdD0nU97nGFrHglHqeuSU5A1JrNwL5HLAKXsdV9cxXOWkO 1hNyVm70XmGGW/GaIqdL9OcNxLNZMQwcc+vn6r4pAC0uQ+2CWvvG22zt1b3FH5gNsvo34uvB8Vpu lxJXsHYg4VawxgvyJ9HEYE6qwfrKv4H0tJUcp9xn+SVO1jOKhhcypWKk4/cyP1hBCr+j3kMV08wa WtavAAyGzwPl4KUkWdp+9gAcARidPKhDfopwOhYi7yIJurDYj5NRvZyNpUStel12MD5fUNVugvF1 JYvyJBJ+T1KEhMBR9V+XbTQhdusEEs9aKIzf0dWXO/THUtj99MNRXqnGln6rX7JTVzveqyF+xLgD Iq0RG9Ir0B0uEEN/I9sy0WUlAQdvEIjIAACgPSlxQujdIeoMgPJ5J7NBzSsHU1SMbxPK7ZrHHe5X /buc312Ges4kyrnF1n8rvicL8Budg4dJUk5q9xsuIxq0ymaKNJ2mtU3ZHdS0N7yqQAGt5mx3bpRW qQnB4UMP+TnMmgBy25oFSBhHEStcnJ0Z1DWulJiArK1PTFCpnLtR/PUzlGDVmxcZC7RqZXuZEFlD dX0bqFV0gEYdOG3/ZKNrvVWG0enKIU+A16rKfoiJVUPQ2TJ8p6rEbKD8MFvTbkoQQgdK2llOX92O lb49M6k0sRTPv2vssNouBUdsVoLyKOyn0IXe4GXYsUGWsx4Ni2+6RF3uu7jVmHVXBp5HI2NdwTvt zTarE7oRzI1+AwkT1hu2Aqp8cxU3rJIbyLxk6cY487nNGow9CNX6IOmDHPgnZ9g0gTmjSpBM3x0C tiKQj+Bnr//yJngn34rb3t1pizKHSaDRtzEArrtgkEyOf7kFIcTRmtcV63xmbm31Yo4EeOwTdKGV tNEdTntcWzLyWPjSaOmhzlOGKQ0GsIjKoX2hECgs+o/ZcMR2j0Tdwo+wkpFEnNkWu3kYdWZDEqjz oMARNIrZXhuKomL2vX71SrLN9778QX93KsZQML1wPuhxlXe/W7QlJGSP9IPPWNAXXRLkcx3Erbnz NHa8S1YqNMjFCOwNHI7L8B6pFBwTnWd47Buazj/iHRKWI15SGxDrAdzDiXoWWJjFEHT+A2gJ4TTp KtBtdks5b5UrE5WRHyHPhr7NkdcdZ6wwH1JH4w1s8fgTD8+VEKnAeHYbYjl6Z7xxoR+ep7TAgi/r 8n3qRlKutyyE/Zk19KPYceaQFxmcGkwLK6KiOS3M4dpjSOpQnlF3g2zBHdQQfJEbFNZjOJTLKUsc AO5FvQ+2GudXoMq5BDhMRqpJdPXowvUQrD3QLdpjpsmcoIcq+FbPZBGEXLA038P1BHnnwKLd7OD8 iiTUwiZ4C9z5fotFPS1R8kxhPheFFWbMombk+f9/oV5yzVOrf3JlSfpOzAhjBuVqwSfOaxOiPAWT u9wIirJHIqQYrudm8Eqwj3gBEy7+wxqe+GTNWLAD4q1CLXkM5sBvkPDbQ96+gwx1e9N9/d9wK4TY RLpP6gI8gFmMd1Yj4Bg37L9B6T0JNcQXUuq3POedSrQMGcx7mJr7nwOPNoqXSNEnVn6e7GdIct1X rqO5BMfuLe6W6OFWKK2MqRdvgBVbwtELlAsMas0wfueIYEoz+giQ9+o6647GJ2M6fdwWKfdS3EcQ 7Z6aIe0EGVk9iRQHWR9qGsxOB1X9xeNolXf/yUcBvwjYBOy0eYWNqtzrj39pcAg22QOSG+X/LZ0b eXSUSxcfz6iZbQjverArTHtdb8Y6jhlpAWPMWLZI6h/4TwQ++24+ZvAgCIQfEfOaITg7dneYL0ie Moixir7XUzQsXcmGF3qvjKmF2kiYQI4q5nT74u2fYDebzcgafcZjcDleaMpEYfs3GpsigQzuL36y bvDCQLl/m/KfFn82oxhlabXmfbcfN9u4PBSwR+eD9rgFJQcuRyvsP3J7LOYBtpf+n/SMgCsvTebK MYLXxwnlwDoSaxQiGjJWJFC/Cxiorq8124E7MhYgltQbzbmGM41sDO2YinPQu0dXDEPXadCWCsad pl4hg5oMwxkIFLfj85McvzTTmm/kpt6X8/Evf+sgYWcWMByZohFZbRBGdQ4fR85Woy+GcHB6kZTd ogNgrLq6oCcYN133/8g6RB8zHIve8vb9SOBBBwXX6DNtgJ8M2Z8CfiC0RaJ2Kj2ypICc43ViJpvN h+6f0utud9bIowH/kE1wG8W8BEM5TMV4tJlgJflDT+sPQBv9qm+KKL7CwB2mIK9QtocLPLjeyL7e TvHqg8Ddo+M3o9UOp3wAWWJ1wsb+P3QvhcXH9JllTZL9xRGYa7WK9heabKtuh/qHWvInnCj06Hu5 5bXPwC/MNNq+yzABXgmzJ14TJ4s3e55O80mVpJOoK+iopePOQC8Yqh5sk9T0eBWWBVD1wzEZMM1I hbnshDe77eoolX7CNT4zSC4cLCcfWtkQXcVJ/uXmTG+mTGUnbDQHuQqmW/sx0wUq9FrQL1f8k/Lg L8MqJDYfkMmJpVe2/hueHHcoAFVq0MtXmDRH6DHINLg8nySz5zynhia23+3Giv5TAcRECMrfojcV yMVTCd7JQIb6TBCCDmwPF0j373FyTnLHVI0YZ1cJZUfyDnyqz6rbb7CKaIVTrRGWg/qcYANJK+pU my75xPyYSzipeh0fLLKYCiLaYPpWfpWWu8GLWOWVWNHW/euNBg1hm52hFpREnKAR4RqMiDObnUpo u6IsNP8OeJH1Ao9td4hDdsA1bv0gQ2Ess2yrnRTtqBDBRRhXMfoX9H08cmmotwMaJdS6gckbwfzR 3Vsbe1S8CAFoFJYeJ9oJHbvsQAKNL+68peoTmMWZw3GBMNZ2BLSBNI3q1+gT7qFNwX+femn13bqb HgYQJEGHQmLmFDufbJBjJPbUOiaE5DORUCtzkdj6lXlUOh+ZuKwY6XJs5MNxgjqPr+jkfQXBfJwE 8ZGnM22BTQMwIPfwBOrHLGTjure46ZJdLijOg/glPg9rxZqF4P/krm/HfJAb+L22NnyFO5qYMh4I jFdpeNM1T6Jq1MDLJhXZZD2YfpCa6OyE+5Q/1ucQGzMw0xfQB5GFmU32ZMLucTNtMtzPpoLuYZdw QVNRa8DFVLJAqOB6VZ5umj75UpNF/Q+bYBOVJjRoG+UD6wCVR137Cinawc6b1mojcqPsQn/efGTv WF5R1n8kMn9vsOJNvPZnY4GjKPt+y6U64l6vv1QwTrWDj9r5dz9O2/7EYK/4FurU8/cRfPyCqMMg aWsOEH1uxcCbzOIf4EHDnqFXFlOK2/ODxKVgbeUuso0XzF4p4LB0G3T5dIozgxIsE0kJ50CORJzq ToQ3zyrtPtp9y3fu/IedEU62SfO3AbrrtDIfollpQ1Y/QS6Liv1g5p9H++ukgkA1l746VH0lO0db zcMLPzjscuU/oz7bKW4VRKx0Mubhf0Ir5pKHyg6REOsyv+bqxPV9bUIC8sZdpTSEB2E+7QJBJV8z 2mlBf4hQEcR95yxNdalV1LIM70h8Pg4Wiji5EWrqamjld77XXTGgtHCHdBX7IafRiE6t3f4hzsUU PqsKUUO+sltlIy6hAI6NIqK0yPqQ6qoa36eA7Uwb7ooUudy3M/XWd5J16HkNVc1bfAzxWSmguzSP kYTvlZCd9EUPo8qN1ArActKVqdD2K9IVuStKOq+i+4X19EU7U3Uo2rJnxr0Wm49kY/wzXyd6IwMT Kb7SMWk3LiSSv31S9BZkK45nIKXF4beOHPnwM0RpJl4WcHLdCc2A1hgTGE4F8uFz7B5VbcjD5aPg grFCFNQJY4JkdoZ5q9CGY1tafnxn0tUms1TZxRrOcNGPh2Pr3Lmc/NtQi+EPEp9i7DlsvzEHpr1S zBddcM8KrWpuXi9NoLfLG6TSiNLbh+jm20quaVUwrKAEWBxD3ueZeP8ybPT/UVhR3mMk5KMvtIy3 9cR7WZmeBjiic8u4F/6VPhbGVN+tVbu/j77Xs6URMF6Rr8nTqe3TGsmGxm2+ncUn+df438/OnfU/ MBih6Yzg9Q5Wfp+ENOfuNG9niCScRRQwtP28C99BeuhILeX4AV2UyxY4mqxJe0dMnNxvHQlatwRL eSArVCNaMenwxDS75Ayg449/IEJdroLkk7z5ne9wTBzrG13dHcWrNYzs+Ksdeixs1le+AX6/Llaf aCsk9wLR7yX10pyZyhK/OpbRDH/X46E4JZ/callCUtimTHn/sH+p/MY98P+TU6zO/OzKeVAiT0ph zKrnk73NvkAzDbjO/t9j0I1JB2wWxgtkQCTgClxRVQEFdIgeL/TQPGRSgNl3VeznDs7qpm309XvM 4YrmuiFkWRIG9JZ2lLfvHlNdPNpXii3WDJPsaEWaihMRoTbLix1b4Toicp4QRawwziw3I7Du0M70 y3zA74Fyt/K+eiUnGeIQaf7Wx09LqQFRmZJxTw0ivo2JSrC8hQgCYzUsRe3nyUzmhOhRyZpclFQ+ a39/SvwwHY982i3kkf2TH/bUksjkoYdyDdstYjyprqcTAZElnHs0r7leAv/v3YnA7IBPrF1uZdIB 6PQ5Ot/jBp0dYsmwnlXIP1pU28ibPwwVlEaHUJxe7vwFX5TwmjuLMW2Xdt3bLN1n9beF+MJ4yVCT FF395CjOZBK+7vp0OAXrkpwJzIuJxjzX/8lyH90staT8F/4VaTyDrZb2/sJE9o20FyNCUp6tgLhH HzOYruDAw7VXsrbpCpufakOGnucosZ7kk9C3Rd8zpuymh/GsW5d/d1P3ZSUASsARUySgrYn5Bjwv oz1j6BbbmQVbEdEYNpSMvJ5El2oUtu75ED46/oqCqnk0KLSTQpYHZHiy4R3EvdL9npTOpWECw7Kl +Vb6CshaQiVVeAPtY81RQs04cySA4kP4UaFCyC3qWXmz7JOJXQ2bNxB6N81S8ApT0lwGMjq+puRL FF9wl4S4iB7wzAve60+1TKUln/hQuHFD3vBCllJT+mSqdoxuck+9p/gafcBJuie9KWAbBh4CiFOn 0z1OK7UBUeI+OGXz6wXUIr74T9r/D69a9kQqW7uLn4zChI133foE4zG6zaCBp9emtYD9r/xFtMqT PWk7YfUDWhOx42NsBWz0z5fkroYFvc3aqMFKq3VGUoA/h3wBaKG60EwEnnB2JuDki313LRfqaxw6 r3ZAjmUU0LquVS98t0nvhh7+/u5DvG/wSLwOwiS6inam2YLVg2wqTA1doMvVVI0MolecxfBO9xGC mjPiD5w8gUvkeOHWwl9LzavfrSzWQnu5bq6ufUH+YvyuzsusSE7MZPCUfrS3a9XttLl3r56k/ZqR rc576ANAW4rhBhavNui3xQ9tE3tcsEdfJD4hTSDXmD0ig2AVQiCF6bkqAMrruPOL08VPBsAo05FF YkcXmsw81/jzLJJCB9ApH19Ji8cS/ntq0NT7FAmQefhPNqTHOwT2HTVf4ll3zWrecWrLvKlS3/ce yUDzUFb9W+gHDruM1A7wvzPrbAJqjIMBbfM8CmCco2cfDwqhK7DMAEyGnEEujtE5J5nue0H670dV rKDulE5v/AoUV7hIh/vKstTdP9jHRvGXIEU6bNntxGlJzyRCho1c7cmv0n1o9XVwvkqTGsJwIBLM bygVeAzFNHaygCvmjT+YN37NEGY+DKu3jSYNx1jYrsR2CuaRdtIE/RE7kms2Zlau/ToN5Asjsj37 dRIpGgIsHCbGvRXjjRMQOYh0imvN4ZVzKUaHPmISKX99FX8mK7XoMqsn0edVy4JVWomDg4Cq6+6Z L/TxaGhFiPTSLIYbxDQ/RAcldwICy7KaQrmtCCa5JigiKk6HvJzl+ANLgEPw+f7I2jAn/Wh/QMHs 2372wlaaZHJnZanYQr/iBzZbir12zxjLBKJE1w6boGBqYf8YQwuqlJZYMH+KduR3Ox9vSgXN8ST9 9JWVlC6l3hAE7x8qsYCLjQzDtmDF4YP0DdDitL4EkqGLDiLOB/aJ58gMcdkHgakQCMcdbrgyRmbp GN2OeLgDiZIfe+IPR9hwlJjmsLqYX4JOX0fRsunkemGF2T5Ws2lzDPWUU6D97tqwLA5iwCIguMAA IbRsh87/UpxUYfYa9PSLN5vhydPXxWmafs5z5xvscqbquJU1M+L5Nfw8rIyoUUz49bh0GdnwkxW5 X/m905B1M2d7wrSkQiJH8jdYIbu8ViOum8KL5R4lmS506RsQdk8hHYPbkm/ZV219eYokEqaLiL2w jIYHY7xVL5DfV4GLaLg1PGBgRz4rqfqZ/a4eeisqai9hK+Ch1xuku+hRKrCgDQpUyBlp8qleIeTH jBn3QPl/HFtzWn9C8HEj52F8xhZN8AWmUbVbTePdTKKcf1fqbTnLcVYxcEoXLh6VqYIqF8i7l3fH Vq5bavnDfWSasxkpT08XlhlB2b872a+TmZQO4d123BBY6d4XLcwvO4lV0FBp2bUHBdqP9x/oEuO0 s0so/9VlQBPO8mWI70sM6gh5KtRWwPiwF4CA96F4YL9umKuS8cx9MkmRNryTL+KwBHtl/gajWtTF X92WFuDn1NaC8JR3T8iT7I4rkg6P6ud2DeQBuZ5MntEoedqcXh9SYGLH9oIl4ko8GPJjLGb2BMKi qQOjSp+Z3uiuyuKsPfoOoyWdFCVvpsN3HqExtK/sAD/R5RQZaSY6JPYo+v6rzEpmtimkpBW6ip+G WJP4CDM9y8vvtwCLmXb8sNKBsM93HNHSv7Gem2IdLZAMC6m9VzTfAXMtfLRIzcbfKAw9XJGytP5N tiQT/6Jtq2Fn/ooOKE9oEjd0e0BSRsT8JceGx3gnqU6VrEgfUwy7kGjrg0PWVnuyPsNYQt8Zu7aD Ca//qUrV8J2dgS9PMaK4QegToZ/Hf43ZHV5fyCQ/1Sd46FSpKGhPTnDjWnIf+3BK2ty+0i/d4I2L XT0LyfLzjqXvSi61KY4IvNIY2CnkdL0KigtRjwfgebmag0D9tZDK7clSALI6edLk5sFYt7tZGw26 bktg/RhuOXcc4EJ4Wr9v6iHXRbMN7EEHTHn/0nJcCI1spfKIR/e9kSfyS2ECr9E8vPrIcqveGxy3 cT5hmGyxRRIVkr70Aap3vFrSja8QZS9Njp3h356FPnSZaSVfQ0bEaleu0vKIIW0IKLCX+ptWTEY2 ODdtDuuI6UTbj5GkFQ+yK79ZexYvTdjVRVnFfXtOZAlokipFqltp0ZAp28nU3hiR6MVNqqkGgiw4 hnXuMH1ZHwSx123noOgElUycLHdQvuCHZbuWcOvo8LRHjjJkRA3xznAOJoaMO0on59nI/m9HmHxd GfR5Z+pymOYqumQW//k1u09dHZZeCk7q8VjELNo3DS+vT1WKolDJKTILXnAIl7jM0/Xpr4ypn8Ng 9uz3GdXT5HOtyhZmavxa/eQuugbWm2o9sohzBihu4lw9FfHtgNVs0FiOLU/HPHb9VLsq8VZQH1P6 iRBszyKOv45SDqXpq3rMsB7sToRzESprIk/MNt02R7NZ3LaDfiAN9gEs/QQVNwQsiSvOTOPP4rov pQifbfW5+JL4xVeU6inOo1dHBpiPm83V9+7qAugsJvQjq9D6LzjSwB9zR9YTYXOGDx6yZFMP5e/E XoVZHZygE9vOsNcy19z/XsMysUdF5wS79nWhXgQHCWy3kapUaxsz0WlB1bYk2NVOWOCpFj3VP8/2 ofid3IV9Ah7wU6h0aL3wb5sLNNgBA6HCP2gP8Men4OxRnQsO2cT9LmLdlMTFWki8BZWVNeRVRYBd xOGkhfAuX1THUc+LEgRbAZbMBlgJ7Iqa0vIF4laLgJf6WcgRILIFrcc7Me4CJjnmSQ9c6mdP+XWx rYzbDm8cvCnaknW6y6PLsm8WyhmTO5QFFUlx7qtWn5ogQjPt9MhsxwzIVzodTmoUes6WGEmWUUH5 iPPQeQsYUMEmWcGPHK69XLVN2HpmexsLSbA9LLwySpcp/d3SuSfZZ9kuzfYZ7gW1bY++OlxqyHbN qXdkgqtdNnp7zVlHnKDKYaP4wCGu2ASLDbUIA/7piwqRzbFY5Q81bHxd9mvxZpTzdiCvDa9x2C/e EvmKPMhDbNaPIJw9EpKseKE8vOa0R6em2cmEcRhzjn6kSTk5GmpRTNENzDIYQ8Dg/u39Cfjx5guI bhUUcAXTmSAtqnDtNUb9gcIJWQAfHuSLIehpAusilz1qzcCmsULaHJiwEbMRQrGdDNac0M3wI72a RQGZ2kEnljTro3hv3rCnZRiqyfr/pDtNj/o+t+eyz6S8fxOYak5gW49MNO4dcHrzvRNVMrxaPQhn w5ApJvc8t8PPsZQi8XDfoR+UAfFKqDCJ2vHpXRNkY5iypNSD0K6QvOmfvUkrta4VPD2xTYzFk8IM sQg1XqjbuJ499V+INkssI2IRLSFbCxmU3NDBjhlKn5rSxTe6YMX485tNJA8kfjV+qLFsQyyM+xGI s8PvAMEpc4CaSPJ/rv4AD1v0BgveT+f5Pch4m6WMctiTu615FKzULtdAAexa3wQnqT+JQEVJEdKD m8Bk5hH9cc0kXTYfDmHKN1T0ww5szMmZMe/H9c6zMP6Q/Qw6Sqmm3r1c6fuRoaMc13WSmJYB6aBQ aJvgBGkRP1lvxPlIv4Up0z1wyPPf4Bi5667uxZ5wunPokJFpTRNs6s4vdTPe5X1ouS0LtOb6NDQV rxnFIk16EcAvm6OdepIWAsO6Ik8fSs8jaGiEWo9oeUwaBWaCrZ5AoZMXsGKqpneulhhesPajXGhh ji/jmHFpjVtBi9yzpOyZI5pfMOBuG6vq0FkUnS6O4ZzzOZt4ht3hXPnoIuh2m0D+9k9XKEWPMNfg 2heYXgOvMrbu4rPy93hGXw5X70ouYjwDDGo9jF5T7MhaejGIHqc+a9pU12UFYEVSt5H9sm/jBekF i8MWZMjbr2KflTrIm//TcrK+kFviIagPoSmF+JIphiqp3JzoBt8d/mQYZbtv1fBgq64UG7QVaij/ rj6k1aoau32bfl4kbYwyN/GIvDE3t7ikWHogl+SQl5K//v4rKtFzOV0L7Tuis3CIYN35mN3N+vVR /VrLS92Ga3tUzoiq6Yz2y0YOYKs8gF0s43Nd0rSXruGwqi/yjAZCIGQZ/rHMG7C9XxPK3Es2EdPk V3RHLkTLVnYZ5K3J66SolOVNMkHqNHXzgseVbsdtPw70pirvJhjNS37GBxY3zvwKO3PXbkf0l1w+ 5kLy6BvH0DcAu//37ISkUCtBIZZxjPdkTNCYErWfvV7TKXhhVkLTk7wBBQIzKso/u0a92XzdClSb 8RkGourRx0R4s0GNWBafGfv2uBnoCCfG7emqDU5wXOhUA3mw6xetVcf2fm9BXiSwxFUVo3Ru3AD5 i+Vn3p7q9n3BM+O70RYAZ9dNQqnAN4LNF6GtllpbJJhRclf4KnrxJICcwzJ8z48UnCmNKetPfJPi VjcdCy8VWFXor/NExTue+BCC4ymp2e8+iNh2GDVbWnS+EQN5RiHRw+DKeSWbfA5t+M/FUDCVGxLH bI+wMLTmobr/Zv66KLdEMygc755xDU43VNMT04fyXkR67Ua4B8C+xaOULnYueJxAWVWFJFP3OJ5N 3Db2c9I8/pH7NTym8B5klzwqWzUh2l6e/3Nth+f+uMxlThOl8RAwvaUCPffej4XsM7FwUXZjhjmY L1NHpS83m4xEIe68UW7L7crskPmMA4by3ngzeHV5tEUWTAGcURPJb6Z2+TAfE1dRAiv9SUHYuMj4 Ba5bfAuvMOJYxVQBNYinqlZFb+hObwPFDqXBmn3RpKPkX92NHNQAbPRxdszY6Q/k388/Ee1Aac9F rArBoRFpve7pSA344iJ+QfB8jHJHQ9Q53cfqDEZg/MRq5vekuql8y76GrEZ706mu0uXm2x5B6YML VflNJr6RWmSURHv43LHZHH0vgtVmcI0Q2sPQFPXYZupjUkZ0Vm/ajD5Rh64E1X8fhJ5h0j8cGq8d j59qwKC3waOajJ0LyC6kxyQzLRgrD4DUe91MwSrWREQYQaHL1rf3ew5wa9RIIndInyCjsgP9YP5u Vy9vG/aQ4d5uDXma5ldSDh8jP5+vweEkVrQdUDQQq6AWwFWm+YUvP7/BKMesBAfS/vSk0y7tUtUy i4Kvv6Tk5DauTJrO2dOjG2RxTzh+QVMJzfl/tQdPbcLG6efN9toLkdAICDEmzQaaFg4sAkO+UeWr va6p1SpBbjLfCrzZdhv0g/ggSnWY0KHxnlDfjKNcXJyaxL0Hcqorro3zhLTb+A/NV9jBvtykWOsa Q9ZKIlkg4V9F400RFxR08sJbSD+9zCvZdirjgeNW/ZQDXQOxw1ghvkt6SstokuI2I+8X1I7hlArY MMJfjtWSpbgILtbgnpS3555Xy6ldI1gqHN/IAZDhA2vr5wIosktDmoioz2brWAQZ3cM7sOTPW6YH IAms+KmrnrNif+KqZwe3q2DEhGRR4bPcALzp7dcyFK/Zm0BOY9bpCP3R2mkSxBO7anNsAuH7+TQd EvyMML8lTyE19uV6/EIlk9rhkd7i66cbB0GFh5Ahpag6+t00WG8uebzXDWIfn+6/VYDpiNNILDtI ptkYf31qChltlypQVCXk29IauT3pqmAaAQYti8YaFgosDbNsf/hWseXO4+jCsQiGwWySvL1aiO7C vU/Mue6q27zPiPWNsJzXoSn200zYzHg+fKBufugQvUbu0VW2Uk8AhYazHDch83mENPHt240I6Huz 18SH95KBiqk2AAKhU2V7RK4oZgobZ6Kft8gd5wj8ZJMxSR9Bvctgbfd4ehjPNU5fHMxQZKf6M20Y dr2oNthJwOG7eCd1uUcIsipcsSw4rkc52mTOV2wAWnY1K/TyInpBV8jHDMFT08NfD0edd3LZbQc9 HrMDg4YsS9XRsBmpte+QIOjx2I1TFDSdtg8jQPZL17hSw/I4qA19aswV53amdTLTydVCWK3v+T+A CDcz8MwaQYizghjr+4rgWablPL43Wuf0BxqF1ZbVvo5U6Gt3YERvR6pj1Op8+kt5JiSplkBUuSqK +W+SSAOJJGtnuFJWrCZdXrEZoCFnCpcxdOMY6Zqv4OLNiZuPjPgo/YgDzFtji/GPxgteKyR3LX2R ELyOv+GTRCbevbypmThYVYDrnh1cZkfvJ4LXU7SZ1CcOqBXODKEklaM6fd5uxUlwujy0Apb3KHfH Y0nvbTVzn/y9WKrTlAZoz42ilqB2S9qQGhd/F/26b/Z+fIpHY85pkcRm4uf8OjkAuUEysolWwHSM tcas/Xdj0OASdYnhXabXyrz1incrrmpuSy0h4EePqV4EeNMEESZvn5qg7QjqH+DQJbNAdP952yWt zcPSfAA6EFUcXVGx/56dLgxw/TpjmK07nIfQyl5tXzYJYG1YUUvnawXs5B1MRh3gD/aZx+uU/7tY 0kffx3mV/FaJ58A7HrOuJ42TJSHtOS2pNj81HHvvKHCsz19NvVveVX4zkkN7K/xcrm6iZ4sV8pyv cHq4yDyjNwN0/EpUj/a4n3WAFpxe6jr0QCOo1eN2zCpApP++WRkL+RCeTdVr+JpmqV0U9q9ZUh2n Cyi4u/UcIaNEg2XxRtkxlJRla2XbXAiAilazUcx+DtI7mgZSEsxrHc2F+P9syms5gyNCtjWhswK4 7ZV9adsDvHUdk1kScs+0Zyb+gHPofyNkfCIDpBF3uG5/hm6uG0yghWgSIgQ91lyCnQByPIM0bjbf D92v+Uhmh9ZNC8lOPTnryRj/vpjNgae14hYFvgvTwtvGSUoKmbATYBNXlK0yF8VNR2m/sYQqF7wX EYg4X7fvsDPGAU3Jl/6HlMUZxEz7khAG8tt8kh9R0J0gtTN6MNoJ7JxlhTZE9luNAAMyJPudrAbh AUPPUrg3fP9jJ9XCrtt+JYXX62CBtGs9LG/4sd5os1JzjYBnyfRuU5WL2v4hM6JTVaIznoEEzi3P Qz61yJYYl8cYFAXqgHVSKjCNcqbafcH33h9ZNKwh5PbabbSrg8S5pMNiymm9+2scwXgMaHd69kOk h9bNbKQgu0Y43pZ45UTJiNup8n83qR2gHu2wBWpPRWpfRUBMMLTZd3F9r+ZFZkD+k4pRm1VshvOU jbFeItqCxT8iakEdOUIOvJi6VW9CJeKH/JAH2K0fm5uw9ekan0wD2wtmFDd9C3Sy8ugyDrqkMw1G 0lgJbvmw0ZXNPm3qwg/drB9uRmsRy4hGX+EIRPyylRdWlHtxqjpFOmBypmgeFgTaGGfyL95jjllg A4YOEuQKSWawq3XnYV7yJE1snQ4w1pXWi29717ET0WM07C9RaEDd7+C2shE/eUBF/S1T2cc0CV5m YDsJYsCxU+FV5pmU1ENRnQ6v9WXHcbA0V2S4Z6CPWYFd/lb0Pzl1ya6sb8Nkw9uep7UidgejxRWQ S832WVF4nVlGh38Vh+r++7c0GexL++dmfW5up6oiJ7/nleAJ3sZCZJQLvpUmQdYbtSZX/3r9tpiP LhBFhRXvQzkCo9r7yU5zHGd5hpb/SbA7oNaGpj+od+0Sh9JfOPm00N7dwUhinaQiqnJh3kss2fUB Tqkxb+M6iLITRrFeKj2JCN/oBOgYgWoJsEWLaaPG4lJxjErWYjhFdJ0WVi+9hMya/EQ3XazAdAnn A8xVxw4f/vqJnT/tTNUWe0M6/O7ISFr+bCNxOKEwByUI42B3T2x1lKqAalyHaarrs/9hq0QHKTXw vH2EkDnUfnRDHFGB+/dwJ0FRrnv4hx0bIHc5QYIbppTnFlWt9ZZgm5KjiLsJuYMbIxazwgntSCCu ccVFF1yH9f91i//SShfPo2hGdWleeZQaj6Bwgznqxh+yYxpWDz5tawsP8IbA9YHT1kNt6iAw8Da7 lxVwzm/9DaMRnW3SGmpKNYylJQW4N/D+mKfrQ109aL0xvnfOgEs1dtXIqiQuU7IQ267EoQ8rkjy3 7FY/ko+UL5jPzD32fOvdaG0iu566HyjZ9+aZudMBpKGBEMNkovy8hH5YpP2dT5tJ8o7QIPYLfx86 w/PYgeyZZDodWQ91qvo71/c0ITJxGjyx/w5zvHbo7RbFdwJ50guzRPiGHH+VNOWi9aUhlStqEF+L Y+HNDgEuGo7KepPGRn9MSdwDGrEcPprfnDGilmEDQ9fPzkD1cG9d7AvVG/hjZdz9lXyiYlpurk19 TrDWAGg4lpZyYdrb/0Q/gX0OHvBLj+BCnFNw3RB8/OgImkNiRE3PK/V8mcmS1SjylS4UKCulKI5F HAcPURAXlPQVNc6AY1LFkYmtLQL4hAPOuWpBsCzUFkCSwzbIROLELnUXE6O5DuAZ72OEPh7TVUNh 1MMAGxACPti9txVTF7ZJdJ+ERVNvpARZiA0I6zCC9BL3UiU3488TPrvweTsKfxpD9l/K+K7Q0JOg 55Nqih391X+JpSn4JkGPHr3myujQ1eRXSlluj8AEMU712VqTq7o+xfsEsy3RxaenMBsSS3GmH1zz gZ7Odr8ig4uYS5mz9L0cUR383JNxrJhYnhaQsQwhOud8eaB+ysRoilyVT42rPFWqQFDzMZYTZ8f0 tDm0QXS1cv0Q/rpkoWMe6PIAUCReYBiKAT6NRvlrSN5TyvpT1/l+najgxciRXpoo7W/2v0lmuwT2 sOylateKMSlIWML9hoSoasKZZS6GrdPZUliFUOMh1m6RWoZpxdcHmcyhsm7S4dQImZb3FDGOwaK+ cJC7LKlfVBjhKKYLyr0lbLrlgvQdALYJIe6KPCFfMaSpBHP2QETxDL63v4dV4cv6dJba+LgrUkSV 7EkCGtu83RhcTYWtHGIcCKVSyQpf3o6iSIWEjyu6YZ17WPlnC6DzcqqD9VZg/y68mI/FR6RiaXzf nNeKP+HUIaWMwUAO0QOj0Gg2RxP8j5O6d23hOZFT6vkwz2EHdRXJ/WO52sUYq78Ia+Y+7uSBhOwK epus+yi7Z2ejU+KI1I3c3FokYUtvjpTwplA8WoqIcv5CCcFuJa5L9hi1qUnJPuq8JEmbsvt4lQaZ CelFvSUt5WokQ19Zdp4OhV/S8ofhPfHa4DMd4YN7jMSMPRU6S+ymKXDonPApFv1ep1u8+vyV8t8Y 8QNcIstNDPxUKz+6HT49NrR78OzYXt0jx0PwcwVyDUFMXFUbtjCxCxGqMNBQ6Qn8kJcNRjSruZ26 TOofkmkF4ZBLT9wc+auhcmzAOnbNtjatLeV05RAr5461pRUb+vLYx7qpmSaT2VaPun+WGNIhNzfg ygMDT80IdCFAT+8gqrIOiN/kT2e8vOn1DAE3s41lNFTmAH7cC7xtVSI+CV5NrL0jJI0bmRXcY7dj YRVb56W39YTOdxN5W6kYeG7zIkkyB6tpWxR1aw4H5gmcp6gteQ1b8PRasI4OTUxUbAALNMC9OjOt kMJ2IgBj5DqCtN6m9P0ur6mLP3NpPdMWqLVePPXufY3NBBTceGGtsgw5ri8LrZS+Gfkk5MrXjv4z 7xvOLnO+lmBAl+tz3sZuGdu4qq4QBSZAH7aR/615q1jwMmjSLP+5eC5Q+qC30soVqU6CPHvE/+IL PTQpO8BBjW4W5W+lDPHgZpSf/Uu/GJEGJ9rgm74YQoM932OZ1dCIrgZYv+9uIQsLhtrhg6375nyp OdKOUa6fR1/FiBTpUfaYUj2VgEZZV8MxVmnLMlDs2E1P3xjcpPWqNTX4rxFWrfhzz0Vs9xnTLdSz Tr7UZmw8sVP9lrE8ImQvVvWqzvyQjiS5oSw8sIGVIAZKt/zyw06xWlD+5/uiGxIn7YdWeKzdwiq0 a71TL4NptZzpTje71A5qegH8QZcoMn51OkT3qK/mrkBHNiDYFHAMrve14r9nc6Za+qlfXeFVgspU XZ18LCZAHq/8xH6LW/iuaFuvtHxg/A1BWK4AIYwcoXHzTUKIH0AMRVlc6W6mI8EN5zrz06nmhgLe fyBMP+A56GFs5oofex3ZqHydmyhZ88rc+GhizxZhP6T+J8hULXfpZi7eO0k2sX097VQCIs8wpUFT EFIJxDqcV/Yu+iPNZaZYDhxJFXaou4Zyy9uqziBcK5unSL7/4D/96furlqo1DfCdm76lOcW8aL6S 3BOd/sotQ27DF2OWe/R2rj31nYhbapSJA2O5MBKQB/WXD0n8MpypuV/4fickPUowNG6kz1DzmG30 NGty1zURH/F1JIUV1aQIgpNigTWg9UHiF8jOcmC4+qVJe2nBQmlWupCr9VVDxsFkxCdTZjBryxIR d00ttYGoTNkkKLgIRb1t2Uyui1WdIm5JgJzAOAjZD1j3E57YNNIJ/7Xp9V4FiBVGx1DVWmnY2n/V PEs238aDoWzXydO76zuNg2OnRaZoo0ltT5kTkubDNcQGj5UPggnlzbEmDUjKPSJsDUDXWaEcpebr UMN/TH7FAeQW0if9U5boXLZVOjgiIxh6H4+0r9biTm1z6HNpEHsxj1ABW6iCxU2TFP13grzgfacN BRK6bwiqbq/OAZSx6jjRi8XfE3DQnTEIuTOFarYBBMKWa+Ef0yrInj/BSapQKVMzX0SwGO3bkigy XPTrppnSqPlD6s94JnDp670oYFqBlpWcRUOOXuMJhVcdih6JDySew/dS1B3xgBbxyVjcDXCfWPBj IwLexMuhdSHSbQM1RyNr8GcPgZEQmVttX2iqfRBAVM7iZxOUySxHAIL0Kig0Lyhxh53nOVLp/K6L eIWny3p2HuEWhr9DX2hf9nmiIh1ZbKNs0F9d19Hkuozz9caE1l4pUPx3huvzcKw83Ku7hpcTJoAL bqOkvQemoqfBtX3AP10zcHtE4LtlAVg+HWBBwry0TZn1frJYL20B76x197x4M++NqTzOBqdP+dEd Czk02DqdVCodp5Z4NIJO5Hw5vVjCB4oKRxo/3E350xcgeBdl4mPYXV0ZZdZ7Q9OQHhX1rgw1SKJf VTk9DCVcvVpfrvzw/gSsP3om7arztHh7RgXbN0VfrISC/BMBvbLFyYj/38lO9zgh2opsPA0CXwm1 DFVPdRFcObD8WMLjfwOEVCuM7E7anUwh5jo+UwjFdPdx7YuUQ3xbbzfeH2OwTZGM6hd/6UFuYsrJ eH00/m2UyBXFRGnF0fJ4ApuRW4sW1REkD3MPq6uUH9J7tqqXbTeoj/i/eU3dN/qpdFnaNvkEZZmj dg3lXghnTXVTF/zGZNySlPC8JIwkysy47XbpkIGWC7QzfQ7xm7W8EuaeN4tJ1+8z7fg6z2ozqv/J +VJBRCbZr2uprYAjZdg4pXzzLApy10BLfq5aNDYMil2EFh1NToHGiVQsOwGiVuNQEw04bEW4sUyC HzO2hKpMmxC0gTqouwkSdNH5z2ACVU5gZ1SAGwwMjUa0ZqtpUDhCgizrP4o1b+xGsYlU+Z19+oQL gTKdddO3s5YwcmDN+KjNq7iMlpXD0ix5KGfZR8mMFvPrwgcbDfXSj6T5deZBI+Es7F6t97MlVU+H jFXWildjppMs9uLrYdLbAS2BpctaE9NY6bvWBV7u7iY0qeeHhkIES2eEZWS18zmiQsZzl0wpBTFV R58mMFSAooOWbyIYeu/j9vDHIglqqCuCY37q03zuQXzLfBHCQrTot4PbcEX0mcLPEVciDDakh9Eh MY5EdBLUwopQ23bBDAfui8T84yH8NTeZsy5DiL5aVTVvc0smPw3vXbo0Fqq3uu7tvAmjv/9qjN7O J02gyXQV490vcA1pDL2rMUgGyXIGPFWoUwh+A/stEBfrhBJwRxhiF/VqTxEqk/59hga8RFVzJeRV gy+nGVsVtMKPAWYwSYfeFjS/EMVlx7T8Z2tWkKbp1QcaH8T41zYSuvNd5cWOTpsgqd6iOXxFtpL9 r4NK7bF9XTrwUTYBXeznX19nwJWXVBjix92GCn7UIvLMs1C6Pgg09MmUB/XkQcyMFYL2GDsAmDna lr4hnBp+Vslm3Ld9eJp5fujXkXO5xftN5xppZNJO/Hfu5ks6dKKpV6k72hQnIqCx59XHE1gQ0qpn nkq5KR9X2mcFG4/1ZRMKNCqA3LmviCNi8mCOb/vKFOBFJ0W14k+86B1UO1EfpFBsrWOuXhDrQRUP 8dyBSoWzJE55lPuxQSZuY0+0oheEyGBeDvtwdn2jgFFSjiX1RvFck9Rs+1/B5afCj93gtkw5HyJm Rl3evWLQ527AV8s3sztuJec4jISTw04mz/OPcM8n0BY480oNDMy5UmdbrdARDEk49R6ZiI/zg1Pu PuTScEYB2ro7YTJL5qNgYMO5tiKRuaDEy6nUV3KEBc3+kORfQ4A54Z75kE3kKjeEfgjWaPWvFbMe LEBdU4Y+rgtnvdvR4gElz9cPFoFxE3jOrYxhhHrMfeEPu4u8ANSYgFG6EWMX82X6gTbFgA+2qfjQ eXm81VWiNlgp2ZcfEEohrK1IzGVCCK9IXokUDj9ec4IN85OMTDoBat/eN231pxaVn4kwCAjEMJdM aN3jFqefYNfcEnFgzGUCJuMxsR91qUoba5tnH+ouycGuORSOBKEGiCJYWxECmE+hMZg/9bP7IpOz /+y7FN574o5P3qtGWiU5vovSJkPSAt61Bva3q5G3+WCISJfTlY2Qabb7PdKAelA+KX5Jdq35koPX 5T9mb1jbOY00KdBgfvlsWPyeIpo/1aUXnfMGrfFVe4UB60WHLoXB5VpPuaNf0AasjdTvyx4+Lsp1 Uzb+8RD9h/y0fjcy8aRc+zNsMyC5cKe+fbH2XaEAs2MFFDsRQIf/y3zVm709lk1tE8ePxpZaLYu7 MSWtERU7XHWWsofWGSZAySodojGRC1JS0v3o45bVBkaoQSjlnII2y0Uax718MIr6W2NRgfZ255T1 33i3GjiVJXPd/ngB86/wyhwS+1HFM3HP7J3fs/yMuqgKqeSYXBVlF6WtSJxoTK65YIJfAUiWSgza b053EhdigKa5WsL7cNXLSe6nOttge9Fw1uylUb6G4M5ZcuyCEghmt80IKTilFMeAlk4fIFs4fPie YcrE7Ua88AoMIgjA/oGtxSqQwnXUiOuJyacZxkI51KmNvIGkKVVKMFSRXtNUO6LOilXJOmAHhCnd JIIns/U+gQe1BqZmyM8gJfpSaj7rkPxX1gwy0R8305hbvLPyiHJPCSaHs1OhaTfAGR7vRaZUjIE4 trBGpbhPtCSBDT3CJ1jnFOX+n4+vpFvO5Cr3lONYkvj9k1IcAZNJJIdeSIzkg2wkC/cjlFNPtXOH szsSP/XICHxy4mYWkB3dWdZMbX2nOp9Ua6bZtbLF6AXn5WmPLE7JuXr6ncycvztsXE/xTdgOVa2J muWIhtUtO4HwRyk6/gqXKb2YOZQMlSQ4tKbhHEi+zdYxSCYFRT12R5+yHLrNLF5n4RULkJ4nU+Xq xcgqSFMsA5OVvJaYPyZRS2NbUhIZdu+pKFCX21rwbb+D6ryvHZlDdxipSCPZG65SJ/4s2D6sx41Y /3Be1J2NezVj4EJRrjsH5sR4MioioICpFbkqi/+Ug8O66JdbADQcbBORA88AyUJl+SRmKHVL+QEP jxvk4BZDbmDkEz74WX06cy5zIozxT/6PyAHD2M++8V48/VbmDreJj44Ndzz9/69ANQFXDM7JuUx3 RM9FLKbIrlBcra60mA3cTe6SHI2ArmzKyTgYFgvKn3N+6UAdS5eZ1savG9oO9m0qWTpxkjB5/mVn I+lMUASj83jIO+LCHLpDCEnPLgeMaTLh4eNt9MO4MRSf+OkpgkRA33QBu1BRUiKRFU1ZilFpip9d AbD5IO+3NE3C8jXof6i5UlNW1ewygMrN6Ei1CHv29INAez0FwhA/FJXQvPBv8geSviu+Pktu5Pu/ nsnWIhUaHc9xwthetH9yyqejrG+PYJT134Vd6R3QanFXmTGvZhlUjQILAMIEboEsn3WAf5nvdFvc P18hxcwelmpMqNOaOAvmcO2boUR5hjxVRYefNkjB0vDdWOFyAKjq4bWx8VBZHtMqrfbGH133NaSq jhUyciPMInjNkcfyQkM2Z2IgqS9JOMJiwzD6b7FdajMMWJ3MSFRoFv+Uyz8PNJcAXGvB/KEExRvL PlDQAzfU38vCo5fjrjJaa5Yh5nd59CCfXiON1fnlw1F4mSfAq8+tBFZjN9dl4JFdAJ/Sr/IFGHTH nH3/45+EtO7hHl9pJs14sC6TIhApiiQ5xTAPJCy0iaBDzbLOE+RRTLpBTf2lixH/iPTSY6BHc8Kd gO9eJkB/oRwDXXy9QGdE/OOt1TGziMCrC/Cgt5EhTByqT1pVCISW53oOG6OLVoRCB7A2QU61OnWA T2J4pTf27CGL2KDQx7LsV3FXKgMQKLyYmLWtnUNFSDaIqB2AavfJAeKADJW+66tXT5S6ro/g3L3D q99fL2J7FhV576+BueQRmkDrzgA0AaeVBcLGXwkc3uicjubmPwg+5s1bkpxpgbpGJ909JHgKGbFt vVQ1V68ThiLuxjrdtlSd5qJoS3wkVmXhCnWWjFoPfqtCbwx7oWgdEnfQdxBwhaNRnIhwUgZwCJaq YffTf5I8ptKm6uPJHweGb1WnPEcxFJfssoArStodyCa93iwlTClgri1UiCOGM8E2jH8yd+DlPUYv 1S65PRQyc/W7UvV2uu7FOTNFWwWhuoSGKxmfF0V03fuMyVZvQwDxhaGFCwgoOCiKUgkL/sSLAWeD HEW5bJrBF3z5VRbi9ee7J5p1u3DlwswdakpDlRZI9Tnzb/RIQvmQWQRU6iVtg9NDWgEEL+f/tlGG 7R+n+Flw2qFmbxBn4R7uZwYQpbiG8Nt7+KFl0nLlRTmSOWWS8SgHTt7DhI6cNScV3u7g4Wi3YnlA yH1Nkj+L92Syg/Ku6zOu12MaYN+CCNPaUtbl2t9IzbX1NaptC1g68ZoXgPpSg3CfEKZ/diAD3O7X T1LRuVawxkRTDCa3gt0moD/qpRkqJmycDvO4G2i8w8NRS0W7BWDjMPC0oH8F94yzpjvJmEDNHbHQ VXjckv1TTrMKdN5OaQlNJrz6kN/fkjeHkAImhsXR+29o9WLcEbiF949yeP9hBXsb4BN1Y4jcP/XJ /p9p2LA4yRGtsaUeCT0U1HaH15WYSJTFERV+WlwljSA4ErAscB6SB3Ql1YRoyOCDC2hSxJM32PSi KJRcaToEi7/Z2WkBUjucR+EiJI04ELY0DxnezxQD75s5uF42J3C0PSBkEjnDXyTnBGOiKESHGBtd uOQNaZtTf2lZYL+JNsVnGYJJSTYdyN9lXEuNFqB0Tlx0AQ7ycmNlBa1CDiIDvUAFnLg5r7UTlrYK kgseafY4vniyZ84uzRLvcqRjqMTVswULbmFy/xaauvACgK40AN68uOpqBXyBzPrV6KUO3N9fRt1A VUZGLu90VN+qCokuQQK5/6bk5GjotXH551GQiUj5oTMngxygx8DZuMTry/1IxKOUmqCmsKudbyrG 86iBH8X76jpHoM9I6XwJybfHvzM0KtEJDLxGmqw94Ykuv2LMXdTB+/163Abj85TGRGh4dR9O+SbO NZIIRUWhNYyQg3HdFvOCpmbOIMDnzMuYJH+31C5GT1Eddq03U4hKYxPeilGmjouZ8Y8cqtaqkYYz 8xwxHUGPQALAoBdranocMSTnLh7BG/8DY/sqNz05LQ+K1TO+P4HM9M8FbBdXleByf9dkimIFMQuA OK3dgB+98D1fSclCj08NBrwfyib4DFD0x0iLTFAsumJnKVatObdHanyFkvEWIATp2Rjv/dAEp2gn i/43ErywzzlVSizE/znjhdKRmtDvYadF6m+Jqxh3TGM7CrF/8zcPRlQdOrTuOyVDtebp9xOdIHE1 FFD8yt+c51CtvG/7hh4cb1G3xTJzG532Df71+qV0sgRIPSW/o5v47M2kcmOubMXzF+6uUYQ/Vv4D CW5j+SvBI2AMRYyuEpqffXHTcnc+lghdcfaDFxy/yB/c0GRwQFbVFM7pOxpx3hedWVhjrEx1tiPZ N3ZSAxvn1AkpZI1TuS7EFhxAF6s3GIV5njvpRGoAcZhoVZ2Pc9J7dQ37eQmL5h1wgC07Kjik4caV KAi0daH2gjjXHOs0y5l06DpFei4PueQ/OYg1uoYzTfKuwwKCGMGp4w69tcpM7/9yq9maYiysDWe7 wzFD6eGsijDf3wxie18LpC1mK6F1uqDQBJmlbmAFJcz+HeURdfk+/lPOUaUrLEK2P8u1OuuEC5+d PSbNWg33PNe0hos3pHTYCKLI3l1spp3GwfHEs55cy7UEDV0QqwgX7wksgkzc/aJ7Kjzcwd0BtKzU lXVfncxHXeUEUVcJHRH9SByUxVcswNaU5UBeo5Axew/ejrxFeNF/GjIt70X4uqEduHJmDKs+fBzt cz93E/xsKr1yAnBD731YwvicyTdxTG82T6N5+GoLYZh8b02ZGi9Wi+FhswFi90vP5EmyALMGTkQ7 hxJgnBi7IrQbPqwpyf+qTKsB2clJwfHSUN1eUDIo0im5GZiB44LR8usI0fXD6hUfQRu7BJiHUZSn /D4Jdv5BL73o4ek7fZ1JvxDHcy/FpgtYbA5EdaaDq+/s+PMrgxRnW4EaEMry3yx5o6KVCRrEyAZf GNHFP1uIIMb8A9ZFnTFTgZD79OPX/lf0DXTPpwYmGas9p2gB4k10SqsJ5+aQTsH5wNjv29wY1+T1 MMFtT/k+Bo/rYwC8O2dkH6UnlSe28fJMk5oMR/OIEe9N72DxqkEDVU53tI/sDxXMYLW416dD0ezh uspDHXW9KorwKhqld5FyYGmTIgApMEZB1KJ2QYvYGY7WI/5E41i/csiFvuCKIkj3H3N4ly0G4eRu +2ifes+GSEQNjBC9wcUnKiZ9oSZB7PqSrsI2bHRrQywjMQ0uoOPn0eIuxy9hXw5FJ27ct1ez5i99 HucgGa3FhUBdrqfEGCS4kOkgOGK/eLLrklEG2kQomuwG5y1u+d+YpZBzMotvwVB1dP2DqeJ9TH0x 25uNK5PIjjCP0bVKKGf3LxF0jCv16m2cVD34kU2/oPKILztPpt/V+wLlB3oiT+NZqdk+MfVKGBOL 1jL34TnvXiXgzcdifdZSexIdprdNqxaDIna50UjfOA2AQUD/UZKO7rIDQdhXhV66dviVcjTc2F4o DCx1kVv7GKPRf7MsbtN6/eX+0rvGHzEYvkr0pM4uzvOmq0emHU/l2nfg31qvxBWVigf3Mc98nAPr qxTvebbtvv25IImQqfsbngmZM/oGldY2Cz85+di4WVx/vgPWjD72AxP65+YyBQOU5+ypS+KGFfGn ccDniXdc9/n/6i0kXMV72Kd8xvSBS1ikZC+c0xVDOQMUUZ8CvV7mR15PIIyHflWLmtJH/B6g+F3z Id+mQRxc5dU+v35mPBA1tdULPKWbYuXpIfnvZjWbTCp8ILnwBs9yrH6W0TngEFc7Ra5S1jfW9JRZ smUD1891hTBx0pNHL+Kl9H0POiiyKsjA0CGhlPdsKt5bmKQr3xwAHr8AXrUvZ8cuu2jZYhSDLM7V qBmtEvRkS/YxnQHcJmWOgm4ikRoRJRat27YNs4kKSt9nYT/0iwJX7qmgdtzID+Aa1pp8lyq0TQKT zpdDgA2Fd0n7yim7DVm4NKRJYRG6Js0MiCMnqSFZl5Z1JgwQidj7yM9R78bcVtzPnKQ87JXdcElb p5b7A6dUJ2ixzQuS2mGERJMHFRNiLp9zM2TP53C28MOog5ATm843xJfVLfoxYxy7qzTs4t2KlqKc jYZKWvXgmu2lBALna9K2maJlJ4+L6WjliWoaiTgANm0tiff9ycm3u1JzzcpX7SuThi+R9iFUWRNP RAY6VYU5u3jRNBaf0K0VOr0rstj/bWIbZUchibKMTLQG3eWPQs8ubNnIPp/Rw4+kCuaaGTrihisg qcogUxAh5k5P6UuIKssiBAhwqW85qQtblQeFPXyPp4wrbUU8aqwG724NqAFp6GzHVHBlDV6w94uw LIZ9QESK1vH59rx2YYOxiO0jajHnaT8CPuC/VG5eG8kXNg55RpnhRzUk7CbazqrnEgbMyt84POuL dYP+YOOTGtWTGHLdEnK2AZLftVJB+NpRGwK9z+wfvCGWgdTma21OxBpQINnveWHCOzOdrg8KYj5B L5uzr6nBa34F9Jvy2dnH1H8G14e1snFjGPZBUEIIvBSD2XWZiKnsQLxzKHn0Slz3U8PNvL18anPQ xlbIT3CuaVLs0pJ9wW9evJD/ROjt5DzO5YbHaovGH0VHm7BJh25iMWCibpYySL4sQyDfJJK5E3IV a7kPyRctoE1UCebaSRonaVr5obNS7gkEtJ8hTzGo8Y1C/nNJ7aTFCXRy8b1/zgp+sbxSEhvsS8rc sdMAq4nONFzEr5jXoIi+E7DS0/BAvc3+Wm9hUJN+2lvwCMUgg+9g6/6S9frXn/F4XpvktVoKaTkH uhO9Rn0nQZ4puJe8bssnbWUoKEGqwIIChpePYuuufF3hG7Je2n7aPrjjaq74Q3xHdNi1+8d9fuRK JtaAbOrxpwgrTz0k4finHSzMqcGPzYs/fxY1t9ds0yazXwwlUgPDsdOE77gARJZYE9RqRwZUBmQp TUHW4F06Dh6KQN5+6PjYq5U5BvJde3gmvgg6jOnwx3ePlXaT8rcb0mCPa/7yv4FH52LY8vrd5qHT ZSa4GAgdfze8LsypaeQF3xt9fNlgWLtxzk9oMbPr7qnRehqdoMwmNbOrBE1J8Vj3K28+XlvZWFfP HZ+9bO9PsK5F1WEyrlCFm39o8m8BdQCDBfnRIETZLXOD0J1+PFmKx5X8Z20/6yDBhMHCMBqVpLRv jA4GcgE4uzQlF78q/9bhPfsjqI8NQihdTVi13FPguqNVJdpwbbT9dj8s/yCbKsFDMg/ZuFw6ekIs 8nX7CxukEycXNnBLAYowcRaYHhCcI7yhIiLq2VxlMwZiC/iq89uZLMEvFDD+oENxqjWDSuWEtL/7 7/rUkSlj4rT4MyfMTuPiLGJN2c6zz7Ic2cB46JXalpBySsX0DRDJ8r4jrLzU+jYW17+IsAXq0i13 uQslctLNiYaVp/AtoQ0pNTbu5ZDozQ7sbYLC1fvTKmaoC1L3zR1n5O5jilXrmTj29J1kngr1/vj+ wbVCLJOQJcgSNpsWNUnkIR4sNMqhjsHmzwM8Awcge+BElrFhwa47bVkwug4w3eyhPfSmxFuk8R9s yPLuNp8fquw2sEes/wunI8lHoBen1tQPBWsAFX4wpt/kEr2/zCFtAJhRlD7XiOfMU3RP75KxNKLz YaZvMz5FanM+XFGp+bfHHo4dI6yPbxE02ZI6XjuhoQeS3EIeg4cteYEmodztjbKXsgvuJo6D1rDs Uxa7OHuax8fp9i47x5UQG2GBKeW1hEwRDlJa4eYmeVoqq7sz6PlNJPribDJBmQrY6GEa5RUdX0FB /HigzueKwOCfgBn4c8abwljMpirLVKkXkCziTRzk16G1piXL6lBlToX8qRohFO551wL0mzXyhy+Z qp/RjPxssWkNcD9P6MIGVH0TtD48eYANbA5WEA/+AZqH6tl+kFOKGfuyjZss2Gas1y88HKfTl2z5 p1JJF+FC1o4pVR/rV8/dl9gTm0tWMrHGkkGdSy7BDL2Kcvu8B4HZRVUvLM+jS1KVDZZTWXtZUoZV S2WG+CLmcEvcqtWjR+BoMSdI5ht49vymdP6QYkNbO8f4maePa2GtH983Rp+F9koeB65ktgvHE6CX iDYNVgBB38k1ieYrYFTiS+cC3aYLVEzYvMOfeRVAcKMlZdZ7eVnlpMr/mT6qVt97mNH3RZ1lQHqz iieVfrBtrUbnICoiMUUoIhvANEGDAhWhv1iIKG55oY09JXsMJqMxNaKJlXGp/GfC5uFM+pkbyAbP 8o4X23riSco6wWPdhED31+Ry1hB2e03lO6jeIt130CoVoZPawqytTbIQidVcUH9G/70Csju1iMSM pW5PkyOdkDpa720KtzIOZrdKsZ2n1xMYB38Hq1/VmVSWZSmbaohSNzZndTVukWOxFAKWMzMG+bDK eNvtjYET17D9uQmLoniO6cPAOeC1Ha6tTWdDWI/MZ74AOQnGaPeEinkVhRgX/m7WmJQK4/ub4S1D JQP+2ibLUI5PJqzzc+/FLuToXt1SscsUgT7V/j2IaQ/LbA1CU3lyRf03K9cyIwwBd5W7CJ1vlSyi UlhjX+7sjloFZJzsSQ0suUnhSlwKiz+DjvrkuYrtJkE7DgQMBcka6VRu0B7IPoNSzEkMsgG0dpYr wmKWfsegV7nGKVtQneYT9KNNBi6VZw0zJ70ErXt4R4yoA6pRxRZuehKAE6sC1Hl07UBSwLVXZKrl lz6BJlMigRncY4KYll54B2SP8EheIB6Sae9zNF5bQyzZwAF9Drr2AJ3POjxdaCM4kyp3deLBr834 R1iUZmM2PKlezE6ti9UaDCwca5fEq3W85Mbjhwx3UG8TNKwSV2LngA7X3Wm7OmJ6iYiONbIozZFr 0RE+0S1AM7Ls86p9gy10l2FCCx4DAyCe0ubHxJgKyvQOgOE8jJg7DBFznF4tJj95sxJ2BWv0tzHi S8H4RDV+XAf4lZACoZLZKmQe0UzBOgRTjxP4iOqD0ufYLg7ey/LxKZaWH1FLbYe4uS4doCfu39jt VZ00gHQz9+QoXCoabb9xGTUKjAfrsBsvayPu9zL+biPvaIp36XwU4KHqgcHBiGf9VcFWqDY8zNzr Z8lfUjKfzeg1zNSDFj+w3QkPSkPfx6QxPf0ZBCJ0xZ7vEIvgyyC8/hkizw4yA45xinaqgaXiZgmG gqOxNio9xzI1wuYsh3jXh06MOfAjNY0HEoX00zacaanwcXVwaJFKAJQkly/av+ft6ZUrmHE3e6pd G5lJqawlXyT1Pa66SOomDPD8JoaM1oVlujEbIY23+p6AjKTpU8GMLOcSD/rtD98nxYkxOeNNJv2i wTYIfmHa2u4pAR+RfWNDhA1FYsZBArQ+TQKUAQPNQNc29D36ciKRr4EWS1WZpCAs+t5I29w1CUlj 4N5ZRWLmlaHCcBwHgXk/HFIABk8Dy4RN/LeBBATo1wQCF5t1jA/F1sEp1vDnSX6KqX58D63gYQ3c qMZwkLzZQF7fYjWTYlCHrP4k0cvcjDnKeqTAHVoryVeMkhqPWBIMdIEg31aT0HwwSLquQ+LWVubw q5aUBVcJMl6LbHTQ1f09GbT3rdI077EB2RnnMJB5Mz5bsXpos4ITwnwycaNkyXQV9CBXwJ2jB74U O9UywAfK7QjpJzbbkQ1HCvJFLmbb/+YIZLh3yYo+vEF/mz72a7lNb5F5WsAS573fnYNYZdfCet+q sOFE20QydHWUH2vhvBdLrlLoSRO4/ZDFETrwFL/RRchUJQNZNzglAYxDaX89hQJ8FzdS9Qo9ng3y 69ypqoHyAPq62EN/Yh45699Ynwh8btIZl40Rx6FRTWYIi4zrVnKz80Xkz9oVAdtSP1pnuT2TsHCw sGQ+2IBVK4+w9YCNBbmpdhD7PLMiMrhropp0JHSxGJVT9//w9eBUbTNjy0raWTUbc+9FwE1/KmZm onE4bsJReR3cdJ2fQiVC/H5+hmVlLIhTcBnQYuPu1Lj/cVvaWCfu3IRF/oYz8GH6SXUEEOG34p3t Eht0SsRguShelk9fznyH12mddHSyLF9LPW6ZUu5bZ67FucQCk+yT2JkRDqnvbtLDEhVjH+obrbb7 bPLXXuJn/FbiOEeFQBq5f9HQSSLTBBC2rdwRPUZR4CG2s12QTZrEgjoY7IdrSHDXk6vgm9Cu91FT hGfhZBSab819d1JnbXwyOEcoIvWEr7bcWLF8j9v1hlx+VK4oFGZqiK54F3htIaG6EX+4vG/3CcUg BI7rhJy0ozppBcUeJboi/v7C43WdIavcyYH5sreInkDjqQWAWVSrcB1MiwW0UYK+MTRv5KeMV34L hFnBu7/2Sbf41t0LTVDPFnurjlDVJIAY8sBqBv8YDZQl105Nh3h7+oyZxg8q95slLk2Z8TrmGyIW MGG1Yxs5MhM0j9esz/wNYGKCoQDD8I749n4S/wTNyPTNLqSPY6nV3irRzjPHV5bZf0Z2zQLuoxUu bqivYFPfIhuIO5lceS88uqF/8wadrlNzizuXb8dLgxHb30zLOaFyX2AXYQDAPLy0dtDKI86ZByT3 gE/Y16gQjHD1PYCIwIqwahp6+rg4C9/UvR58GhE2eFE0lNHrwTPBivnOum2sLsCTS2kjx91HuzOW tmBPm8LYVnSfc4cONl3YAp9KKNA6BLBAcPKiOtyUF8fdKY+tb9vpAQ/TyT7ITaOQGepwD1u99anr ftk0Fe7uR8QCQ2Qbs/p/7/uX3n3pKbObGB5iTQQfJGElgwOxhOIFoSVOHf4S8922ZhnvKMmlA4zC S5SNIBqDQxABX+6xeNoItbZzYyC/ovwj4FueG0qGSkEniqwPiwtj/O+nLJixYPn96ljiGFsPwX2E exyNbH7Kgqoozsn88azCJJCpsTxbiNWrnFe4fKwKrQgB23K2h7w/33m+e9dETQA897hVnQEeCnst sMEyWYplxwZAMn1QOQ327rlAVV7HnXB31jXtcdg4Pud7IyUQsymTILOdpSOJLF3h2xugIr8CUkju x4I//wJeTKUmvJd9FtYerxP//JgSCi7MMeCzhW5d2YE9POLx1ingx6y8qljJU23xmYz3njb8xHgj WurEANdVYigHzMvE7n1nTYgfFfl72J+Uzt+u2S/y9oYoW4vFPASU6lOCmmEehE3410lzE+MBXuS3 BJ95tPLqWXtf5D4aPXM3fr/d3zN0zCv+HPUMtqrNCJ73DfOFp8DsE6XWpPJRrT9CUSykWm4/7uyq YMPad31rzBZhajRPnNEhqtUZErmo088BAYuYKea4f7GjnsxDIsLPjy7xkYrEqFSmeEbZeahEmqZx O62XKCiN7qB3p99SV82F5I3viu1t4jujNTglwkslRBel9xOjfF+PRgoy1BM52QVL6S7R0LC7QSk2 oJlhL8Q2D8bpqv7yUuZWVAbKAI8GX7vnMez/LHNJ7tZixxpp+VG+wkaZdNIUxyvf4J6wF1A8KO5v MYgbBbeADFJbZg8JIxBB8Sq3BGReep9FpQdjriaVgf5lysm5ohijPXfbunbq7Q97yk/sDjC6VAYK 9xXSDda9j+TaqX4n5boWK+Rh547G2zNwniRQbe6qZ7IjILbauPSrrGSQvilT0sJrNbkVBqV/rt+l QLWq1wtduSb9xld1X1O5ESG4eJBNIQ2jbv4GsdgMiiHMNrErfoYeRIkGvLQvfG5W1T9uI8n1/J9L TkEnA5SqDDScflF7YK5/QEc1pMAiG0qn9MYRuU5tGYjw7U/EAMD6K4JT2Y/MrCwSMFjDI2OrRu8G q/2gc1Fc8SsXdAPPDWWo26MGTzIkiQZqdtmd9f5r+0eVYKrmQtJXxZDHGpxSMXwND5N17epY/EAW PSHsMTDtJ2kk3aSzLjK/UTmZ6aY7Y0KdJas12S6WYpk0FtLXcRXCNVPE988OWGm70Vt6HYP0WIiA 2mK0IV573g/31+mH4XBljGx8IWysQV/KPkLCvbs927W/ePUcfphkwJ1h7zlP/H6OVQfq8WmnxeTZ 22oR+73sqYLbvGWno2rZKK0CoZs9nUWO2qL80d5XFPACvSNhoJYXlUjIBDd+mLRcpY9BhmFNM/Wi 9xV3Hyxrk0nN8CmBL5owBeiXylzb3rXYN07Ny0M3lIFy8e2DsGyQ8dStfWDZ4VKK/LOmCVC21sE7 Ich83fPaqUM3+i9KbrImIKvcqHdeguwZBqTo4dRIirgzsXBsWYTLLOaiTl6PK61IiJK9Meu00Prb rJuQBfJ7rRLBg4Ke2OMdXyXerhFZFKhr3o7LTWz/AC+0Yi8+Bg+TP9Nt2gcZXe5T0BVwpddmP6ZW 8hzyqS2clbjiAUUkvKBZ/FbG8cRP2ZYpyczjvDAf8/Zg9HsX6+0isJNeP1NE7AfjIV+0W+AXLV7x MSwJmix8hihyMnc2TWU8M3CfDEmOX+xrD/OWwdC9/MLbOzIv1GwxH+vK/V1uh7RtEsp9kdjkGTFv 3zzPod1aVCkZvwcUnfxc4ty37V+v32VFfrKRWGrrVMhuiwHTJTUCbTmyd+7qnEy0jjXWbrS1/awy qi632HGkFYbLgpPx0fQSsVv7DqLEOZGQNW/47bpyKfWGOxd72ZWgZjGfz6WAfO5V4MQ40gI2C7vS gQD8zO+PKGljK+Qina53CKbbtWLW92loSI8vNdxclo4dG+EhMDk3AKTp+DSUAqxmLCogwDjCaTcR 0Cb9sATUvnVrXPjkiV99D5gt0t/4ktkiQQD5FtJlSqHdiwTe+HzZYCQngqW4rks6fBlxk4Z8f2VD gZOj0J3K7xvdxuYNOcebiVYncd6d1GUXZTtN093i0zwOWBZQ6zziWi9c8ZMpgY9gzxwTsId5r9Wj iW3A3I/Mbrd0zIEd5EqSdSuyETXVUthkcOK2xSYM3nVMF0AHTKaYqGvF6srIVbxU2+ALxzOpq5Ba TiH3F5OAwUyxsNXaPacHFIAnYhdMaJ1Wvix6eyoZE1RdISBSQRzWlNPn/uAuLyalg0JryY1/Leo3 r/8X2cEeAnsfTDmEXhm8DqfgBgrtvff3IP/CoRmyBrXgnoq1lmVr06FCvZWGT/XJHLhBY/cM5lUk TJiIxCOPk2kQCZvkioV5CEyhu5lIoRiPy4IRahHfJI1slEKaBoOIpw88SXTEIdOGYjq4dko3Ksnl 4vMXoV7DQJ7IsABkMs7r/i1+jkQuASi43eIG8hdQAmKF6NNux+H25kZOnx6RHLTOolwDSm10Hnzs BnFCZvijYIKwEHCSexqwiMbYSjb2wMtpG9r4KMey2gML9OVEhcPDkaJUKM+6N4oWrfB10DNPnrOp BH3PH9IqXXeUx5FKH31Bv5biHw1nO2wrwcQY8Cfol4RU+f1wzHsGOSghKZ/ER7nP0pPe8ZNU5wzm I7tAPNLsVeTd9WJgQ+OXAF+QhRj5y7u7RjGcofr6nd86pRiHgwTCiynds8oSpviyKfcyvV2ZGWoc M7y1CwZ5sukyWukGdEjKZktMGMx2hXVDzumZNVKTRRkDqkt7YRi8iWgMzYC3SQ9V29cC5q8oRNFO EtJsdkTlM3Bir9cSPBFq5JRh/ZRkc9ZafMIpCGP+o22ualk4y831Y3LXssNLUtEQtqeEquA9P2xZ N+QnKS8Rx56uG8+nEByt0MmGYo88qHDUtgsZfUfcUOJ4LlU0Z+lYAV4ktigzs5Od3X0OnTgzemOp JtxUy8si7dOBg1SNxTKNqD8ikR7iVKjh7I/kfmVhTb1iWq93nSmEQmawPQ00zP3vO/FbuhReaU42 52ccV532/E3V3mi++mBaiZ4pJNdi4D0PgxzeNUFdb/BlfqmXxCTvxXEspNnomJMv+7JlBEl15Zkr UtsGEwo+sLlrjSFDX2plRc1PQPHiLovRM5rUyZPUM3G9KWPmXytB7pfowcd8LZd97XF0CuVCr4Sv gkU69YV3M4U95FbggbpqupD7j6jy4xFWjDb2dPxFMXpKrzOWyJ30Oje8Se4Rn7/PXdFWta4UEhNp kiG/hBUUTzWtenPG48SKAymGAVRkeXnQmUULXAlvPzSUdrrfwMsvXclYt0wcK0dTR1fbiXVW8Yuv rMHL2deHwgrrvf/3sH/kgF2fufQE4wQ2E3qUN/t1WW/svt38bZhr4B+HX3u2KHhoRLlcoOMW/vO2 Er9C4ZaUiQZaBv3fcnmGkHIYWVl2BNlmqf1rlCKXQKe9d2PMjHrz9ZEKcwEIgx+Iarwg1Z16Hizt AaC1gp/VJp/DRT/4MIEvwbDIrSHIndbLxP4I+F2v048hNc6vULKMRNf7O3rH0TZj6pAEk7ILm308 OXjSSi7yIsyBOXyOy08qaOWPOuWb6Yhm3TmWWD/Ljo7tjgdUO+PrIvLOri6fDTB4rQXM+wIDkoZM 37YTnlfsiN8CQoCzvM7ugfVP+5QEUtGeHYbZ5J5y6Mx3nTmwmwOZ3ZbCffs47S5FUUMT1KcDDr5O Wdu0ODuOcIuqzueCJPXKtFQdDyQh9UmO+1sMwqTxcoIVFxCh8aBN9wW5ff7b35vT2Snbrij4ZuTL wsseDZL74k64m81XVyFGWQ7T1uOpLKfD1orC/wgU/TNzSk37GyCn/hVu6lpUPO36xGwbjy4lYlUA YouOaOgM+TjuPDX/zJ5jbPeAJs73OQYQ9aXOZHx77Uz3kJdejyJuewAnjo5uwvBBBGO+hCgPhh8C 55gUhvY3rnRwTi5+hhseOqKWfbkibMZl+xINQxGzF74l05yCXdwdfcdPfBIaieIYuvhkYO+nx/sC WAjQRFBYrpIkKYwSFhwY7vYXionzJCu6GTw45IvTSW2UreUhkVY/mV2FitVrTUD8HnoM/FH4Fxtj PB6VpMexxX1IqTGf2gIR73Q3jamIcxp/YmQJKL+XDR2hZntMb00IV0VALFApW4YRDDN6PTg7bpVj i0MMJBhdnYdcgiyF5PyiS+M6AsmtcbrvDyedMzsuR8GE9MP9ooj1iQtHhf59DQcdWXaiM/drsUXQ RoCN1RrN3ZaJiR4d3fMBvyOcg8dpK6rkRGdAJKCm9vwKS9JvOMfAAAca44l86C7tEGkHT5GbTp7l 1fobdp12WgAT6sFlr6BlknBvytPeWcQ2Cvcj8998lH4N1K3kF5t+eKEye/P6qTh6xaaZLJIeVPU7 K6HjszxSPEt9E/lic+7qm6E33rHrLwXNRNkq6/aFkLSk0ApajiXc+bDmJYaxP3G+kHmoT9U8UxJR 8W/5fDF4Kwd4wccFWunHz96s72vN7+RgMJ1rILGo+Sg1fjKlr5f3ymqoaUGLZplZrh8DHwb7MsC/ hnUS7tweDXl/iS04rBwEEC4Ju6rCP4uZPI7XeMTZtRh/p4lsDMYcDqga8Z5HEnZ5Iu/vh9DsnIQk 9pZ0MS0I4mSHDC+kN2q4YfbYRrJJSh8cqnLCZbr190W2iMNdszxLKYvV9VsEcHwK6gsLbrICqYSx y0zJApdIY5Jzs1Nuf4U/B4eJF3gW7McWrAzTiaNx9QSJ105QQmG2sKjU5GAG7REaucE+1A0v3ViI FY4Zua+Vt4cwl3kk7g4UW0QO48XkZOyoAT0Ha7hWczHznhHysxJyC1eUgCVrrvAJpCjNVoYDXrps pCCOj6/fepo83VqZY1RNR5WGTy3GC8M1RB4r8WERIEODzWyP5/XBcEWeHHlN9YjYtN4uaR7M5KCC w1MC9T8QZRejbBuefwdvF1K2zqa9SWsFIVF16sLidGgKmTV5jT4Zhi7ySzkPDYbYABuLuspkdGWZ 3hx5oHoG/2ZhigO2LEHdoCsAyd4gfrY9Euh9dJxdcGT2naBk5fr+VJGoNmBUo5z9Qa52zbwEjUf/ xH2SiWYFoefKXg3e/zHw29YINGNSoWt28cDcdzuRtQ03aKLW/F1lDDEqcnkLEccWoO0G+b28BROR XCBeuw8dwwVCENKkQqDjGZ89+ltpKtSuCw4BX45n2kljTkoDnsGeU4RtlhhZXuooQJbHzB+fUqQC WZNq03WN1OuSOaVDvB81nHSpaOoJ5C5GZmb55eLAfOTf4hy0miqr7Ai7mI2DMJAPmMdUPWH0bm/1 OQJr6qK/PM1Eup/WvhWTM7TfEhzKghLnn/eomhA7xR6uSBOanOJxH0whGYbGZhRurKCahb9HRRAw VyEuHxAqFsZ5alBYf/3yY87Z6J+Kdoo1k5JqdVMjhkUgzvbGOoCYF+4OgerjO3eoCi3QMRa3flEi GAElLBm6a0yQrEZjBYy4u/oGBUkZdE1DXxNFqCMwwoo7rs1F+rnve/LU3VyefSkPoXTiq/m5Qxxm N8Pk0uYjsxvUdOP/oNMLPZUENAPTAosHLMuypNYJJnGnYpU5tR2s7F9Z4USLeFfCDH5SjvgfO4WB PhHsQPrDoQDOvX6Zgrkg8hRwYwDFJf1MZd/gWORvCqAuppKvt3YT9kiPEQ6m3dXIqQZCJDqFAXk7 wbf4Mlf9/4zuDzfWoBAdX3RbBHWHAi/ZaeZLM750xULkk0cky+wwjFwF2PWKs7aU7QVChdA3o5DG Id5b6IAdr4R6LunJkeuMUNUr5tZIpI7aVBzYXAjNWTWxtgPgCivnqfCSU/++n8FSYAwko8AlMpuo DJx1R5AGhJ62dsOEicCJQWUrlslVOAZoNftt/MvXnXmXIQx5KknngTN9rj4sQ+iCsGXRYQ8UPFLz g98RlQ1J7gV+fO5JpwE4iZplZ0wEVSOuJn5oRj8p2M1aUezKJoiU31/lbGJYsWfIl1eB3iREBWhB c1J9yhxRYuWckjq3Qxvg26wQLxhnF846p7s0MbtPknso7jB/5btYftBvvLd2n6YHhOXjOctiD1kp ZSDF1sYwf+/zC9P+jp/E35fjmWs76Nfcowpw6sCOFWrF2/Ob2Fotr/G+0uvvbsvN/r4ck3EzMY0b 1Q/iX7mQbySrJjtI0lfBPgjvVRn1Dv7pckbbL79hXYloWkPF7uE64T4W5RY0AqbbB7dD5XF4MK2p QOmhP9jdpeKVgzV3/yiigfSJvFvfl9ofg49qxUHhPEWeAUcqkcztUY2GTm3BrUqzMpM415kYp0cV 14jP5BGq9maXD7l5Hv9reRkRmcass/zO02YV/QqVrOq2x2CH0JnQSfzE8O4cCkyGDTs5PXLgN5Yl jSr42Vpb/OeiS4BUTcG7DUKJ+/qf0LygrcjOZ8tn/k6Ca5Vw0uHXBz7jyurW7P/3djPj+ftFRQhu APo/p/t/lf+qLA6ycuJsFIasIkGUce3AADyOjWtu5tS7QdgdZiLTDXRnuZPn4tbSs47KwxCwRbGF oI38N8YjaFexx5SDOwNztFFkmhRN3f621fG6DSXJWW4aN5U52Fe43wvS7GzgfryIFM0Ek5TtOg0F xf1FR7wZ93jAedzIYijccwXxqjXslXrZvRKS/dRJMElYMW79TBUHjNVqGCef0qUcSA4/q8zY5tUr JzR4Y/u0IqR6BH+wblEy2pG0TvNrsdc0WDfhV/p7HQFwYyzt4GtDp9xcGf5wnjwtfsRFCaDDsweO a0o8349qTck8VzkyMYxcUG9vf80UP2g38IJHrpAfzKlis80Rn51WFw5BL5TdoiNONurpGk1Ud/8g +ZZdCriR8QVCWdf8IUCAYfHhw97Fo1JLtZJ0qZOSgXHNdG9nv3tcHuEc5ACstlw57+0+81fFjClB 5Fel5fLfa2qxcJMkF+3cS7Tyt2r8jVAV+zeL3ZFPnUTtdDrZPJUcJq8nL3SmxVDFhEah5G30c48r 42Fd0N2Kq2PCP0qovIKgkinmBm029WPU5kHs52Rcad7bPsAzL0lAaWR4EAzPWerJdcQpaX61G/48 5o1wl+pTx3ErATV3Xl7nBmnsa+ayhQ/+IEH9WDTRLm3n1ND9U6JVWcGygLPpNTB2tooGf8gYU8bQ JJHK9mU6bcvSlQ/qCX+MQHZA8x+1XiSN/wdzaS25cTqFTja1N5lphpa8pzj/7a1C5PvAaaf7r4KF w4dHx3MVR4E1ynbzexlcpfJ9gSMGmgZk8lRGpAP23Z3ANN7zzkN2Jw34+AQ/gMrajJ1Tz+NfqYAb cC7A6nu+mU/F8qlXOwuCZIW28Y0yiDPPDOHOJ6Qigkg8ucEaPjVJZLB++A8TRr+vviN/zXUr0BI1 JBaCgYm53HKZzauNbBaaOIAYiPQKRbZGZYLaj6kvxRHzMNdyU9OIfTtopUZcCbM7Fb4UD5Febuhv ot8Ky3cnlnADgXqwwarlOCZdX6SUUELr93Y0OplPueLEw9S/hRt7BJBX/3Z1oJgVPuhXly9VDW1Y mTPR2CeHlzxvC8JoW1Xc6qYASP34IRzWjLQEu54DJg2r/hINubiS1bdGZYXGAVrLfg5ey9fSlAo0 i1JgDj+/oihDpmcQaHSfUBVME5AByT3eRI9OTm1xqssz0JOqJaC0drGYjIkQV2Tjel7ta//3fGKs WHGulqMjyYguB/BXKfYQYZQ+QgFfRoXYyOWHHJDTyel/yNRIGZcwcnpmVgWuCNfLnu1/XkJmCUYc sGK9jmnnUiGP85L3DjNVOfs5aRZGvayxQcENNGrJIQFPPpWA0s8hdw6/GMJOebAhYoIJkoUaTbb6 F6UrTHQVOS4Ac8lT17TrOnRCGZAd/e69cIyccNQOAwvZz/vDVsiyh9f3eOpiAHPLyV3jcDLKz+NG 1qxNdlpOkCMw5xtWgjZ0lu56nN37pke7IJJrCfL0M0W6avkYtszb1FhFu38XbeKXAm2/BrwTW4Ce V9OwBbzVUIyuu5dX19XkfrI/78qZ8E7ZRGbWMNLPEgI3GMZi2kDG9wnRZx3RTvOItO8X5NMmWBpO JPffF2w9Rcx0oP7FM1asDvRgyRMrIdinnvsZFvOm7aGhGalrBsdzvJhHSCsZnt0Ks5O8wrni3qUH LmndLIf5Z+XrVkbHS4F2nbQ7+2UW2yIaP0wkRgG1kA+aCt3T92FuYhObp/DV4JfzAiioc2ArWLLm nUlDAtzt4nAuuSleuEXzP3xnq88yT5Ra0MV4y9zN5yiXxVRHltUlxMQeyR8UxKE42iMZ2JMmCe/l 2KC5FLR9EguVPDe9KN6LD1IYAfs20c7qdrKABM08IB6naTWFz1cLKpgPf9RgOs0KFdBSaZLUynH3 hXX13oxoogd1eRWASSvpm6CBaTo55jHNW+T8WOAmaVvlUPjZh/tdRGjAKNxSSktlej2UhnsPh0kw Rn9Jx19iPHrlSTXzczOQhAo9XD1a/I+SKhpYTD2HDTz4EWrVYRL1n//BYpPxPLReUFYlYa/0PP1Z iZiZai9pM6CKP0zzGH+rr9baiC8ahZSOeePL1Pv1Zdys8WD1FMv6XU8/fh0DTY0Cx8pFkmR+fTQS 5fhka7qgXhPKhtAjBkY5O/u8wy69H7evSKcsecpD8EC4tw8qWiJQgdlN98yc3GLQkRWs3JKXouSB jMuBzVCgP1H+UKoySj09ffm6auoqkgSuUll4Vz4USTXjbVUzkxxY+ovUuONf22tHtNhIANYZQqTk 2a9a2m7gIJNHd2mCY5QfNYJQ4FJYblavCdQf/6O8SLTZGIVIyqjCQGDhrbuJlqQ9IaW3oq7dRLK8 SIjsdh7kA2M14MSd1BSqZ84l4t3NomiEIu5AckWHGqep/zOthzklqXYhcstRKVGyz64OpigV/vDc G4AYHDmHmm53+p711QXquYolAtG2H/g/sfbHz7DxBPWsRGaP6vr4IxiunCFMKYA+u0NS+CTk4hp1 cpeX72t5HM4boTHXeyImonM7g1QH9rLRFVGZu9G917cTnXyGMrnIt/DrsnGjPqWLeAaqwArtQg5C u9TM1REKiSUm4+APqx+a6/qBA51ypT94ZNiRyrymGjhYrTk7+5/i+zvglyomt/M2weaItF1x+T+E idEHipZj2aK0vPBiSnk2sPdIDKE0h4vgwk51HA9ypn4dU6b36V14DK0ulm4nXH35iYPXrlM+71Rz PwyjiHTPYEDC+ypiMrqRSdrxM6X19GGbQuDU32NcW4vJbf3ThND/ZsK8FXh9rg8GRUNPQQXHpSWY 91hQpSXFlAm3+cU6rzJ1kXbRiZD9Y7hMqyri5TYHCFfAKHWtXD62bHE8FFmoFtcfdLdDZlTxW5dn /LxP9BztpH1l3tzzLYrF89uCikyjUi5cJg18K9pXY29idmimciFr3wNFSyseC8lYdfUZAXKpHxjC j1vG5C1UUGjgR3EfvZdzsCYmzBCbJ8YEM7RLFstiz6a+RfRRaPoYXv1Dr/Y/4136+Ke7lR3WiUk6 nq9M4ZKCVpV20ihi7YwIC2ivnsTkIDs7+w4H+R6gprapJRMy+QGBgmLJCyL5oba8rX5o625wy68Q XKHYlyI6ALfbAOsELwmWHfA178saeilGobx/K39/WSe6iyYbFIKAFVVJYvrk8TR5KlTNQeRh1qdo 3uKWYJsam+m4F8vuA5e75BneQkFrDBRE64nIHxa3k9HcI1kdSO9OFIk/55DOzR5ckK7j/gYA2Sc4 NOC+gk/UPWW4YPbD8BqQtEe1CGu9eIdVuBM3SteXU83rM4c9/lx4OUsrWebpig4N4peQUauB4Tsz lSGyAsDViXFPzVBkgN0Tp8EDe7XFDrJaTbhPE+LLAqnn5CdJTqN2NJhSokmxLO390fcM28xfLYh3 9aOhpgkZuBQn1jnnEqbHAwPUg1dbj5IBBnORsNNwEhhZAeOR6gVkjFSizvQAz7OusSkAciLGg1G0 mW35VpBlUUALQS/TUprcM1CradwCxJS6X8hE2ItNPA/LSdBUKnJ85uW5821jVF6ZXtBF6F4czxcl BNs8ZKJcUiCuGsC3ntI2AzKw19OVo/RyvPUJKBMINEqSj2F/F7imzEvNqCvUq9P+bHNMCNqlR4pK CP637Kt4gqTXSxrYxy+jRA6viU3Ej4mz0mjQeHZCYSB5EZavPKLCOM2TlFopoSdYA3kfBJzYg9yn 3kcWPY7eWoaIBEANJ3rsS4Tn120xeEYORWEa2M+Eeu8bVaAbJAnHQepArjyZmfho7xqEO57JyIaL jUJCELiSn6pPuRdAILJO2wAC9h0szXW9ID2oWqVkN60jskyBwYZjSoYdiB2xY32qIvoeDNy0Y57u UuEux32v2UxxtJH2Cws+uks5xkDHkRuymUDCNNoX5iKTillzM3pAILjlrCbybs41Bx/Y8FJo1pKq hTM2F3f3ngMCZqfljkG7o0agxvdXaCeaDTVFhlROElSG2XfO7kCHb2kbLlRhHiYXDLL77PpRLh/D ugoTOD+RQDk3XeY6kWIupu9lJqKi1B2bjE0Fw/To3StHuWvrSMflbcyPUMJ9jsqI6lGjkow/x/ag 94iD03QF52KDj494CLRO93MP0ewStqdsj3+18ZuJ7kR+v+ER3+6J9R7/WiEFrU3yC3ojZP6oOhP6 PERdwSiEsH54IfGT/P1OCV85NJNE8BiOZHojhhbSifCgSwBHXOu08uXC8j3GpgzjbZSRO7KgXj8w 0Dh755jsAK1xgml7zT0iKx9sLJZeGdtgIL9Jf3F0h7yW/UmygpWWJilbN1xBhMWtnJDbpNno9W+g fD75nZ0g009FnDt4lnd1v+DCCg8gmRifs3M4HmpfdABD4i4Ghn7Hf/LFuJ5fn5VYSejMAT7f5OwV BtFWgyt+TtBzBIQKgUrjBg0oJ8IxhLRm9D+WpNh/X/9HcDfmYoZa/LUjpOsJwithZL2TfpoPSGYk YCzfkokmlHSNKRRF4rsDVJoKl9NRK1CpGGAni+BZDccc/h5ZHI0KGsaK1lfWEyG3WX9YYH4trgLM xUEb6zJJMAElWcVpmzOkSv2HXYZO+8upsHZj1G6K2lPdcd+cQKGPonYqKYVL5Gf9a4pGgor6y1by eTwDJlqjoMFvTy/v2BbujDD6K8ZVJh6bI4YtWFQbTEbtq12Lg7pvXo2OKQXfmTHwrPuXJJiwP5Cy Y4wjLPxuNf8btSJER4UkGai5CBZ0HbHoN5Q1+ssDQCpQUSyTyzOyy8pgVNbqtHSb3Kx2WrYGwvW8 dMtoygFBmKDUAcpaLEuxx7h6cGXeWISf55v3vP5Aeda/zgZZNzYapz3lqBM+rGGVx2v0bvujo2xw 1ol29+ifeGYdJJ+tVvdzF9heSrMAClkUDkrB3RMzOXG8FmYPqMkH29oBTZpeRgKBtkEvjHovCH9q gYHFZqFNRGLRB7PyNXskUK+bJwIhbtzT41Q5RlqDI8Jwq3x5I0dlBBJZZIcmhDArGYyQKuCNUuGm 0cYVJeQ7bvYF1uLZRWZD+UT9ae6cMZh4nFjpVsInxUi8ykj+TmfQSz9pGdFTT0WS63FcX9CBonn3 PMMv6UBC3Ff2PZ0gM6cmxfIvBZaKhLp7g9uOBlDqpFxDZAUaWpZtOkNDqvaEEBR4gBTdI9a1qUcj SkxKbHBG+BKzm4x96tY5+TYyDtZBWMG2ron4hg2y0LAWJ1BWIgzy4K3OgUFOGTKy/3q5azRZ0gJZ +VyoDVz5D/XGyuXwE2BxiLlQPi4EYy6cXu5TuhjXR5hfxKErwgAr/3mT7QHdyWEO2miU9F4zOuuf 5Q2jad3f1WGrwoEH/d6PMnI6s2voAM3P+rZDNphCNjdyz/doQldMtBKORgIYSJTaeSq21oLnGUgb PHadjCTy9muVXNKgf6u1KAS0Ea6y62G2UUJQP+26q//bV5i9hnMFzL8oJjmuZKu3gZuXUUwUCpQx nzI6bWdAPjSNXBmIxN8gsSRT0hofJOa2lf+svNTSD09iWDl2v91tJcxtTACVsrr560RCwGV4kuy0 Lqr/oNoZW8rZzvOkc0P4wRn8ZAPiq+pV8lbr9GuHOvASJkbqg2U6ICjuCKFzyu7kvFzn4DL9acXW lP4TXf2/RPPtvsVVcVYNZTPcVVYwumZnYQIGM9F5YVpk7NHxNfiHmj+twA9UuzY88yRBsdALYWcp Ab/sF6SvIkkDEV2NGNdAx4Bl7gbYIxi2taMmB5YdgyxpyvF8zgtJt7A5gZ4cSyRm/J7qMyyMDjdr h+PwkQy78W6FC68f8ysPMghiBZDp8I5dhn+YFWV33siAUQGrq0Hf726Xs+Tr96WlGMTmX7D++Gvd vqisPasAh+csuiYAuOkCkuyYEmFnfJSp8/rxssDJa9ZT9dMmQlAsCGyCqUdw3CrrsgmWHFmX3BUv R7Mdw+Ztj/peiwkL1HuUqv0bGVtB0AzaefQAL8kJiD3gVw5aVNs4pI5j9F46dd7xyYLApgpDEiSU sxxDd0UDxBVgdT9NE6ssgNbyEx08NySmIszRbmyZB1VQYra6dxWWk9ROuy2hrAETWaE4+jLeDZsO EVacODpNNWZ0KNtCKRHWpr2X+bTFdhRy29XbaOHHOpQoVmM1KU5MErO2/nlcegVz6aon1cp8mUn8 6si/V930ujfbVjDLQqwTRwOcO2w+Ms1D928xPeJodiKF9K/PEqWgqaboipiTOtKevnuLMk86QNmW Vcvfcu4XKwqtyVfu0U5KWnGdy+4ai8FPlE6TSj8vkn+ME6Y9u9FxHyryCcvIRlaLfduoJ4efYLCj EDTrqXQG2XdOVHpcO9FXzoINziPEGLyxa4SobMYXAh94R3zJmMXy5W/t7zgk4j26R7bUdrd0zT9F yN+oHBHh5QC593SJYdheV5F6hQHQhlzScxBppdNPu/vsCm7wlf0L9f2SkuFjkihXbdqjlpuR5iJn nt7w0oCvyfKofVr/GmWqmB8ewd0/FUtu5r1AqGwO4f+n2cjQ9z85JetL2RJtC0ODGGAVrOeS3IUh OC+mXOIlpBDc2+Y3DEU6hfjKexVumOWjwVUeKVw7ASm+4aQEMgkELfD8KNOGJSkoYnxTmJe9106o Z4cy7E6TO0rEVckzVM3X6BsBzv2NemeiyDTKiosWRvo2RVFzCyg8gTHYxtZOB/mr1LpGgAPxJkNk H5Pp0nVMQKU/QaTB3jTwmpqjCv8MiAbsjqTVNunDYqlv6xTNbMeFU6GhRtupenO5V92OXi62TJlx W2AMW8dcT+BMphJJCgjFajT1zU5zgbl+WxJcYrK86B4+QMQZti6pMrLien6NfrwCf04A5DD06jA0 kvIO5nKByjkqTc2SygO3BemuSIbfJtvKDEbO/w5jaP/rP1zJQYzWdXmBanTZDW9xDHCImyrV45Go MJQmu99iHjN+5RckHnn1D5HYvH7DBd1Rho0Kdi6uXsN2VPyx5vYEAgAyQqZEehLKoJ/AvoBWswdC PKOs6CUvD58DCEnIGkzjk6apDcKHnj8xZRq8jKG11eC7TQFU/N3KOZE5OwmHXrFnkY0WZebcoAX/ wk2zIbzKOWnA+8qrOQ0HzGpOtBKg8+cc095UjWoZpi4KgSWQ1NsazXoLD7/ggqWKWo98JiFShdej en7PtbXFkVX8cvCz0LA5NaaMJ2c+QVznMKhYkrQ24hxa/or51qS5YfBIhPfmGfDtSDi83oHuT9Uf SB/aEcoNKKZ5TAHc/ki8icGYeg5oux35rHNVXXs5la7sBWTIKk/jGN67HYHRwrBNz3ZvY1lXJSdF Fp0RYzSxKXUPzwD9J6tgMdmpYCZIgk6PBPB6KQxYW3N24L1hALZrAzc+SROAYOadIB2vE9oDl2Xf OOf6tDWWmBox+JqK1B7dTDYPR0DvQBaL6idpdT1tNfKbPEaLh2I35vF/tVvT7e28sEve8Vl+bZc2 vI5jxOy5knvqRj1gpp2FKE2LVvYn4or2nENhwrHDHJg60XRYbDyfA887HZXacK7EXIAkRBg+h8HJ C2CLdvPhyNKRzLT2Rs1lnu713kU3skEXmrFaVsq8vWjgi5mrHcsNgSmZwXjuDu0LcH8A2NQ3g+2v rIDxjXfQF7Pec0QrOFob3MvWomHlfzq4fmGYPFmNvQFLNNTHCY87192X+vBwsnNMMdi3alcEv+Hn FgdCo/XFFQzcCw2JTrt2DtVPaQzFt9UC1DXrWgG86K+Ab3t1uKnP/mBHYVwTdkTmMQTdYFjVGALh mvyRw0sRdRMxwPFqgZS6G30nbcBu2awbpfJmKXnbInZZXer63y8VkJUFt9mVy9E2KnQuN+It4vDf a19iY9th8aHz6QJ/VjDOAOO3I8TnUAxsECEEVtUqxgQQlMLCcBaTWNxOQPZ2iKC7B8G4qZsr/3/q KDgK5ZfOnitVpUs5Yn0DnTdbLsDBbV4eRtGRP/hsXc6XCG5xq+93fht5oRzfZlqraBaKdYB3u6Re D8fs54nup7fCW3RHD0Kzsn7/jaoBazD5S6phjgqEeYIQKwDydRqt/xKr0BDWJDg+JRgcwFLGXXsm 7HD0kHkG38+8YWCcZQBcjFcg3jBjqW7TS1Kr4ZibQleWqQ0N/wq0oRDT4RuPSfRXXEv1gQgO/Jc3 w93QALfSPdo75TsJLqZ0sN79POUX+Fk92HLiYlOP+9xbuZ3bqMJ2Uf2z/SkPaNwMESzSA4YYwfya r6i98Aeri6IRm+NQ1bNWirgA4HoTa2uIhYEKF/mbyhKFpeZwhO4B0ZUtA4gLY4R7VqTLwYdE5K9T XdP3zs433i92jEU9E2b2gTuIpuccRabmw0g4iao/A3ieOE1KmDZvskJKa0TTjO258A2l3YWEFlim /0HRGZkvHjVCSzG/UTApci38TPJycjj+4nEcvzSpSeqX0TeBM5oCZh0JyBC94otBFDMdZkrqBrfc 9VJIFvkPPmnWzDKJZKdJOoqxosDO2UeZwuMFaeCW9tMDPpoHlensq2/tKOefLyyfqCK10XOvcuhV Gz8KnKd3ZvRUkOrYL7DwXKcb1l0VIGiC/4kj802/G/LXTJ4mVOU698hPF5H8ltoRV4slYSZ1lpSD tYJWmDTMKAUMKAnd19bflEScBKneGcHYzGRJJaozCnKNVLSFUb4l1PVofyYGlPdTlM9BCLAiQFYx 5dP6d18BCY0GS5UPwz03C2i+7C8ZYHkreX0CoO6pdQciWCVhafMlz7eziup7PBhlekmISZnkRzGq svOMLXFD/IKVq+DS/ZsfgsXQ/KwIG1PsM7IOYDE+WAjMcDfXrWCVuAiE535NKpDsM9djISIgPMEG 2BnEEm8OLxVsxgjHec8SgRzbwmho9KZ4/5jZd/u2GxE4IwYfG6yt4ExpFwZFXDfaaDghoVL3/G8Y wloYEAHyYVQSOB00F64dV+hIJAjPTKROhQB5fX7fivbN6YFW5xzzuGpxAtVu8ANABWUUrBwU8XnN 3r8Sa1Wqa4BpFROlPa6Pc0NnVrIzTmRP2LGzNCojcv9q6fBIgufQiflJQtHpmLLtdV59FCIWdV4R NVbo+d5EZjKmTT9oINoQXR6bvnRgfY2SlUcAMDvATtR4j6FVfoN3GcBZs8d/PzXgShvSiXJmwam5 kVKgO1tOli5b+3KH224FGXtgHdPYhVKKzSNKFATlY35ZxxthNEeWrUEUlHraLXjnqhF4Z09ahbNC 9qCsLXkLgBgFU5DzqFVTh/Mo8OcQL4Fvhw0SCMeFsyFteedmlz6uRU17isEgopcrko/aB87RViiz wnQFZgqy6bb6iYEICJrF4mTHqCHBO7uQqCC2EUX7QCGakL+nY0j1eGfVtjo+m4BKYrOhcvLF2AgG oxaqC3GmqrB35IpZoTQIxE/P6YJ0UGJJd5Eg+uF2fbW1d4Ckdm/6+Wo8PHunhVEfV7BZpY4K1kpP tyiqvGb/wvtSzbDUVrC01OZGj9Gva0F1dx2OfbSVAvulFZGhhRQBdKdjaBJjT/1cfh71WWIDRKBP tK2RYnvC6iyqVUA5QFv2MdAkg+CprmjB179Bq0aDw2dqSmzGhgvMq8vgHp+stRmc44WtACJIvijU zMoq47aNXPCTefB6Zl47zfRgixG9fD0+fWe82UTUfDKNvfo6iKj4kP7OlbP+kCPBXn8kPv0t4QaA jOGQzMt9B4Ov/LY1fGHreOEFwjFaNbez7Yq+ZoSpVWlQaEyt/0IhCUvFMTt9QEaEjGPBft3r1UM4 GjyXu15XiUuqsOb5IGIKJUegcDb3gpkHzZdoGQn5rhZNTzKYFRC/xKTlRh+hiekz8B0Ug6jl/sog AztSR1LtUJSq/8Atc5/Gkx+3RhHWeFOOOSN2xmEPsQJqt0WikeHTDf31Us+eGS3Xca4+gFtMOvNb UAuMAdTKPtXV5LS/VyCQYrNr8A8zV48mUm5ye/AKwb3J8pNJvqxCpnW2ZlISdgGEfh5Nd902kdMV W7W3iXo+kBsWrvXrQR3cD5q0SUqzJ1Pb12r/oUHnkubYYIvY0VRLKybBQuMoZNTAagXM4EBgf/Sy WNTavPFqy+/Q3efYWK+1CtKC917shQhMRFqV3Vgm55ebK37pykiPOYKCgUFk6+Vp/ve79xO17Oqn fNMOCA7ChStauUZ1qVa3cM05G6O8BUlGGQu1vzN+P6xegGOty7uAtMl7xxExVI2ZOGgMOVHXFYop 61UIqlQh8nscihVYRuWW/uGH9M0HNsHV1ZQzLtwV99Q88gRjTrz5QiTKOk62Mg1izcRiwv2Z/uw7 Ey1zM8i8Nzd6x93VelkCz8/7XZdy+t4Pwip1fgxtjCYLcKjnTDOEE5uulaiN4i2uEs8dw0bz33Q7 pfr92FKUbFzP1YBWyTsKinP69+MevdJzex2a6AQ+3U5AFbXRLM7nvQI2dIANyyopY/XtX9O0N1fz Z+NcZgp7Feaef0/CaxK1xOCctbULcsxpwoofuBjrGbWDkaK9xCYsT8cjgD1A1ZCuCi8h1N3dw+oh 7P0rBp78HUDSguSKZRmep/OQcy4Ic6OSHAZFZWlTbZaC/U0XDcsaC1IeU1yT89Kj0EGTuB7sVv0J O0rEsr7UEwauv4qcoAvptGrrJBKTqtmewxltXGvA16bEPHDFdNZFwKYFVztJuxotBqwPeUq9qLFJ x+7L1yxwFNWfI60hDTktSNc3ScmocTaNWwX6HK9I7zOtNDUwOwq4VBs/VB3degcc62EbF447PGVt ASnvjQa746MKh+qrNl+DS6fXPu6FlilK6FcNLTLj8Dtk/CqvekmE58/6A+zVMkJEhQuFgjZmLGEb FCO6p8tDvAdkhMW1++pD+1tH3Wvc25a6oRdAMzjZ9bBtERo0NV26dI9YSuaHrgJSqAUKOy3WUvDq 2AxfUAJ76ugfvZfleZFfhcpgV5pdXCXPNaiiDzlwX4OKMHjVnVhZBpSNrOEMSgTsOgfJzmvyBWil xYGwx4g/Z4GFrNvNHzJxUg1HzeoSuRtHsAc2HaYOuYH+NZ6NFPvpSFv0NU4fxEuWi/N8/DcmexzI 9KHdm01QmBdXqq3/ebFcAf+Ox2WveBRUhdbmfi1r0P3e7uWAqfebKiopN1mHLsFnu1V6WIAS4hCn NkgR7eZOJTFM1I5Z/Fy8rsWzZV0a8QEHNrceLWrd18naFZN159sDG46iUZgm0gyF+EB+6ObztdXJ Zf4ibHnM4CfXYYGyKTfCl6lfN2ggEPlYoIchey6wBBCq6kZpv1sx53E917ivsAbO+W0ZeZWZzxC4 de/SBiKoQcNQMNvJLHqucLFn/Lfa4iDCqjyPtevy3e0nI3T8IHqobPBNUWWdA28fMMwizgQu7Xvi FLLd7nFL5ywkMoQ4p12ECEFWn/3RapkTTrZ/vaYCQtHwQ/IJXymfatHyE1BvAgGqYl+lmHhCwua2 vkI3MPystsZYBRA4xJJoOiACEfFeqZzUdwbX1Xtv1IpAe5LLqKKK2824WAB/t1IsXjNUdK7olndf XQ65rJNc6IvS79CsuIhdFiF+YB4nQwxi/CLwSY2M4iBle8GNHLmXmSxt9zQ8SwMhoIQaMQZuUc9g 1eBrrpt2aUXiWZ1v/PN4ZZ2wHI8SfNFmV+JiJaEs5EdJGcpzTiLH3nbg0G+rNteNz5RLTSq7VMLR p+kbkcXmAa0F34KJ3uG/ICWFxBMlvgU/4QNebN//O78M1D3+ljWg2CsxpGuOanuzJGWwOGbFTC7b +xwhDvntCxft5BTJvB90LyB2r64i3QjnHzG6JxCpH0MPjBd+qw9O+WFQevXTB9xz0DE0ylYYMiSa Ny7v2xbGtNg/JyNCjPeweCd9iXTbNwSkMQA7QU/VbGUUI3UNl3FmzGXKrDMJgcUuoDP0IMfp8gZ8 sY89Nu1plWJutv2AMcs4ebv0L16M+XqpzBxlRKyc58lxAoAPAxKgU4+XDcLATAIsXVZVla4q1+NL YD1gxQl36QiFBXqha8GdeDKLO1PVUfS6VUG6bJS9zXFRntuUT0HSy2Ss8Y2wGxs6Ob742UlX/DcB /yO6h+62+E9A9C8kDvdrGaI3NMvGzS8QYM5i6xxXp7b2N1Jafp6J3zwo9VayWW9Za43hDsoZHpfq qGsX8tkyNxt+abz34tz78dVguUkBSkAhufETfJepaA8vK0eRDhDRjsqcbJMzlQCb1rXQezsgzUEE P1q1WYaXLLCWqUqlJ8R1wzyQ+jx7AtW3n11WYag51erqd0xZGP9xedbZhKqL6FsMQODdXFOS8Cxm PMvBdm5MFXWnITCbyAMsAbylJkNkFy7XJdP0IhCdysWT/Lf6Ls9y2T4Rq+DH7zRJv/QdHEZztknI Gd9POhZr1yHb1Ym66mihyjP6jQPT7Uu1TIa+VkfNm/nsxMuQ5gzGFUPUOgFrne1EDfTkZxZ3VRS8 mR062wuyFqMip4I5g0N0FEvKKatKpAO6zbd9EbpXRNB4NWebQote+DaCZicYohQ2ASOuWQBR+LBM ri9aGzKT1tMOSALjLEe3HBLOjZG0eBdMvFuRM2hP1t8vV8PN2Y2po7/5sUqf9bW+pFAvKKlNcJPD J1MGCxJAUuGP2ITWlb7ym7CTy3/ctv8lYmslvxT5Bd6WSHL4pH2A0KoQKLs4uVyOky+nN+b9Jd7d 6DsT04oDwPJzoDLE34YJIjszFK9quGlqU5oAtiOTfM/23Esy6Y8UWugB/b4BKcdV9u+A30W80d52 VieVIPOgQgXIkuZTeupJcbc+mu1iItlPX432DJzCHYNYfie5XY64FPE5omR2vJTh9knAx3sIiUrx G8ePtLEynRZYHkp7dxNHmXTeJ//ykzKA/6BviYS8mds4yyld903yuqHPywcbmnfUThIobc0WL1/g U0HMWbjRtQYW/DMy7/4v2i0YT5rtrYLGmzUUlkqy3owXpfQiQhpqAMdqenr6mxI8wROe048mr5wu zU0xVa6wV7tZV6ioBxCrh+gTwj8NVgKPwt5KbC1R7IgKCLxDOWJx8n3Bol4tvb06sgjdIA/0UeCa f4Q+X40VPk8nhtgVOtAvi/62E2UOR6AD0XIPZ5w/cE98YCE/E/XCKqtyEUAYs//0ggUJEf+dulpD SJzDG4LSuthKY46PwfxL+4dpCiO4ZT/7ToH2qJmyy2yt682JJypcSdwSeBRJOUOcMm+SCtytBtqc toOTkP8Q83ymb5oxKkkcAy3OBaZw85NS7LV9Yy51+eSqhHYEVdYjhH3LML+xWwSePQ6Dw8muHlnw O2vx8/QosEqhM7zR+43gB0rMuZa0OSQ3WmyrILy8zIfyKrH9g8yc3SOdsP0SN/a/HFDNi+LVHhMf /gEYQqBPiNLl8t16oH5olUvRzPzD/BgWCslOidDErPhhLr3jtme56Xi4YzKsk4+AtvzPIePHuxTE /djsN4kwb1lLjqtsqrQSftY4UoA2eSsmCoGQN+nus2fxfqlxkA96IdNhIE0lk6cPtwf15VDT/9yn 8QpShBbfG7PHaA0cXatWnBypkfs0BTP/L59BLKHtqvipbnttC11Vnx6lhs/bRlNPZeHxy906hqnE 5PQx8C8BdPa7o1N/2E4G2TsYS1doWv6GRy39l9hE+fL4lrDctOISRxifo+2pJ4n5XFJ/zvt5BAIa BJB9Ulk5HHGt9Bq5iYfQVkzLerJvKQpBW4gKIjDlDfS+vV9h9sCVcE21IdF45gYpGtunhIWXWW9o Vk2Uk1zMSZNXMrXSEBXnrXRGkTEN6UnLy9EDn53KRyEzPLKgYqYSzjhAbyXt0PmSsZKdagaDdBHx Tk6w+7mz5Is/VktN1CcKyrriFZPbow5fUigH7/1t2U1OnkR+o+VPnA4qzBJzv1gXukD/qjyFJOCJ 0N6I/p1zDGXWOmZYUb27faQFH1HqQm8D4SSuRORwQaWNJE8vMVun1KgzRpfUuj0XPdvFYU7pFpCf 1/27n+nNx9h6VEL9AxXMHUcFaf6sGmFQpTI3UnuUTKBEavSHGdID9aVO+t3qnjcCFRRFFj1XLJF/ MwgrTTGF01g/pi94iADea01mpRGuSK03WNriwQLrj39SxPyoanvnRgf3G9D7Lpqq6dZMyJ0Pe4+A ftfDcp0wtAGfrrUJ9tP05pJr9wPRH8xgjaMclU2/UV/2X8LPjGj6kC9DzmsHKzCL6TNYa7NgFHtK FvlmInQsYlL6/emhOznfEbi2v8aGes8nDYqGWSi0IeHMRl7hHPrpxyEJ70zjcUt06KfCleazOJ9U XghXitm6i6YLWANZsBcvCb6s0r/pv88H3bjTw5fbG/LdMd9tsFgiQxz6dDUWCEt2BqHKv56Nict3 o4EglsvQncMxsKamAx6mxfq56zi1oLbiuOKVsgsbgANcYAW/oEgwJrs3Cfn/QomA2eRzN+SZ8JBR tpi4fHdj3VDOd8CkqjGIevYd/uGXgYYqfADQjvGTdhXZWxW9duT8YNA3GebVPjYkicjKigTXjw89 U87Gq/tQ9oHbRXOXl7s4S48gjfk4kvokixQyIC6luhanQgHunsLRd1Jxu3qZszr2D8AlCJVbaqOs RPXbq4rTgSvudpRa2lsRMnA1mrf1i5Bn+gDGym088OHq3OIg9t7cSQfUsNGNmP957QOjSZxgE9x4 2viorG4z7V5VbShatNBZp8mhZ/QIFgzg5vcf9mMLIia0/wiomXnsCM6UNEjadECPRTTMzxA8jh+F rrB9QSQZwSCtprPVpAZ+Bpmbp1CO8ZhEPFbnzi0dzGFb4UvWjSXoADw3NAbP6DlEElgbB/V4Np+A vL5aOikD9gWyF/wFzqRVRv/uVFomRKm7pGVC6rWPFkMO7KIOaIJgN/ut3WrefU6Wb3pw4DzmwOOa HzSp1fXbqIN6oFay+fBNzhqDxIz6oWGL5lqNcG3EKbxA0ASRDNu2+cGrw1nEiiOeSfbRjXLU6n+C IlcHcdizdlocQGqdeeKJc7xZFoT73PtAukRT8HNFMz4b170v+QtpgnPF1Fxrrbwk9imNUAOM7hf1 Fk0HtXEeE7HEmL3yfv9KQGn27TrYiv8hTkWDqPx3soAFKjJ2kQ05AhsxZ7LeswKCnZxzau1XyyAZ zR4oXUIG1atuIYn5Vb/lsW0gq95nkkxGx8rUmGA1TsCU9tlruBHEGff481GscjXdk4mEbl9pFk/h S0C1Wa3mG4LmUMhW5fGgNPW6WxerLIH9LcYtfwsewcqTnk+/OiTyjq2cTvzOpLigRmGjPmcNq6d1 1gGXAFRzQ3qWyB+XBq7nvAEP+LKWZcgNqHUxiO2Bd6k8QMsOka/Bn6fYJze+JHt4do289KPBMNKq Flie376xJd0ro5G8NGMFenNLAz+Mc9MdLeJGGr8uLyyGBYx4yTC7yZSUhpwmSs3MmRkXnsGOk4M7 oiqDgw2Ehcp4zHkluv2ytqZxwGRecE29yruh849hN5DSO0Ol9DRuRdsMgmN5gr9KDrJMYiQ2cJMj UkLSv8XE+chk2uZmH9bd02BLmWXmjLL3TBuus1LxTLSocEOufSE5Kd8PnqbuB5dkvz4zWguXoFks hP25TcLhk8CINMUlcvycsu4PFao5Uo1EZKLpfs8AeDN1AuuHQaGo1em1ZPMKFC3eJlQm5nRoGklX sGfgDshOstb+Ic98UoioaMg7PG3rk+vHS1ALWyAsEYu5MPWxQsfiRf9svdnM8KXIBd9h4PHzISlQ jjELxzfgwG0Z+hGF5BJaslTGpVbwT4XZ0lZ5rLd832b+et0CIPGU9vBP4bM3Rj8AFw/SgLUHneGd 8DQ8oykRCymMFFohqmKZmxxvSO0INPSwD2XNCOy4AIL5Bz/tget99zXJJ2O48orv4roJoY1Y5IJl phxCkWuYDb/rPgF+NNWJtBKyEUO1tYR5jFW1eegkB/KKgOYJrijTvFnrqeLVmtF6W7U5IhLIZjZq 5UmKZY4w+jpOe6QP/yyHvkE32G7KRDPBf1uSU7+4NQV+layDaFufYMwe+xpTkpBxtT/okAxHoKJr hkF/pQ3vFg/cf81YTuFUYf7RHKYE3dqgTVmLdVpaH2dgvynq3YI8N4vTzbxt7geQsyJEOsOfNgge e/XcNswNmJznl3HeNrHi4VUp6vptQyHvuAmbZfVU87zMjsRuywj9nceoNK8Lp6os8U6wXo3NAmSe bmIOS8de5MlMUlA875bnMrdenbllWFoio/EzYay0Q81uOE7XY1BVh6ZPq74k1CC5oIgm6evAm3LV FjS4TCynz1gNNB6BqpaWViUbZUymIZ3v1/u1l3seLfuSKQnFwhd7yux//VpHtAbOyTLZ6Vx8QtaC UFNxFEMWK58YWx3/Bg1yhGX05Uvea0rn4IKf0ZP/K/tuVsOisJJqcWDaJUWDCcsWkLhz26gz50FU gR91KTGILs5DmZD9cztoe12T8tEH11pVUrf+VI6Ux8HSz1B15jvMZITNErS8EoI21nJsf3fOcbhw y6N1h52Bwk9L+EIeBWmskL/8Iyv7/QNnMtQ7ZQOH0m2laHE08FCIkSHclvVzy/7xnxBSmYUYhdv5 sA1fY1oGt/0gOXnhYiRhIgPTHfjcTLzIATCHgppCzAa5WHoKBG9FNJPC0DxcDi3Hd2CRDEzyDoPz 4ldE39h1r7pbuJWe1S0xg9tEauheH4AL60J5yONpETobqwNBXBcKSsVAHcca9VpmmbLBeJGZ08ds XBj7vGDuI3IJwOP4RV+U2hZRC5GsmsTcJSUej06DREEA0MQu9wTIbymkFXem0AI3i1qv0qH6BZzB LM9DTvkeCgjrgwoq0N+WnkBBbToVBTlObbTjEkIlVBo2hlfFCjtvPyhHnL11QvOtC2P+zeNlhBdY Xoe3ol/C74lfUSvBBTDgMhhwRSq95d4S/fbcs9qEysi7g6BUxpblC2RGYpjgPAe8VtoOEcfiIn/3 QElfcv0s+6EjKsLiXjieT0wFfGYJ16DbIIyWCyOrEJlkiVVP4C+T4KZZrTv8ey+Vw7pMi6rNoEy6 XlteY67AB1cTqaBd4m9FyPm069fvUMw3V5eQ9Glb5+2l5kDKSmj0C0zqCSxgVvck0QZiHq7Iq4Id 7pXdpb3RtL07ba2/KUAp4jWqIJaxDwQWoak22YjVzJwePaxq4tGsVjapH9JJS8u2LGHqc4W5Blrj zTYJ5xK4zJOj1U5EA+a+6+pg6rEjL4Y89RqWSetjllPZh796Zd+Dy/7uPZITZPygW5rHykFR2xeb 49/WeCNBxXdpZdUIH6+FY0QSR7ZkZOLOzSjeGzLI0erskT33B8J+R+EA5UY4GMkihH5zw5CQlDD0 0IaclrgO+l3C1KktqQ65xfny3enrjQz6FPjCwVYwC4GXBI+u2O7yfaNMDzowIVXLbN823i8E2h1I XsFD1sRXc6ztLDxdcgs5Cw2n3u91JqkxLKW4hDO9T27N77zqk4AaSyA8LbWJyJExTGhB6Tt6mWVv iiQ76AzZihkfAao+4Plz3+v9QHcY91e6AMOXCP/iftLcinBkJs5OrXOSOpKKIRvh2LFXMGYVX8W8 auMQPsbkr1DBayCefhl1Koo4tYD1N11+U0jUUOeDgQRA/1/abb7dtQmVqtwUxzzI20RgIqesTI5x TB76FSYSLU3qvXVjdI+iDFgPZXbtZidG0FajHugiKOuRCgjDNoFG5OuJcDY2/o3+z+NRccNwZhJY ZZVlcDkTR1/eWddapmouWzZ1ics0e12IMFYPkLkyq2xIVxh0l8poIYg47icDyZ9CYH3B83qgXfhY ajFJMJqPOyZcqp5hJC7UeknPhSSSItqJShAghYud32UNMkrqA9WAFDiliTVCLTl5ZDRMXp6LDJFK GCMB9nW2edgmNpDDAoHcZceMMediG+7uQG0ZU3k0mzX4uyXmhXoGHlQfJUNCekqUHHjElmltqn7w C4xeMnGQupdC71PEs84JIH8haHOKlXeDUv5W1/F591pUb4sAK+ENrBY2J9WN3x0gdzBYgcAnuP0c IDM10Di6+ipDGfgcCExSoRECauvNzEqN06jBZYnDR5xq87dN+hfcAJNgze8/1sjQc9L6N8Hf06aB KAFAn2fz8ao13FhCXk7Z5T9FZzZID0vRbDJGSS2F2Duhu6eHRIWWbuLiRVukifAmcnouFrptCYth UsSqGCV1kNDefBYah2InkRCSExSDsrpNhcCtmBWK7GM/ICE9zPEnJ8nCBKBx+9eIJ6wGhzwGtp+8 TUWpW2NJc7Zry/0OMiT8tBb1F4JOa2HyKNcEwqThtMwWut1wa5Ady+8MR5YRKZX/ykzcjF/F05Hr JblV5HhkWeVDbLxk3T6eokuJPlxkPTqblLHiaooeQLzJ3o3JOB3AZ2UB35xIU+yD4bVez12c7bdR cZorDm+CPCBG+vIBCdcan+TsIVGivhKBguDKZowkCMS0pNzO80CL9U1j061jTmJfaDw8qtgZHXrR yHp0wKDnulWvKmSNPm1dhiQRqEa5l3i0eqbt6zIxO7L/g31UG6S7o/WfRiPiVQp3u0Fg41Q5Xl4q NI1wG6Ul7xinG2yykg2QAaMi6Qig9fG8XP3acAjrx5xbFm3O7dJzOumzcb8SSxkk6qmqPFywXjzm qjyX3AgoRaMRw149K8EjYJXM4GV9PEz1wmMd7mLhQhYtZ2Av9r91yOvLZy3B8pVYl66ix2mFAyUX VAjUGecyApGSgvmBr0XjLV1e8t2nEenmtC10W3h04jo9EvmNvXaiV5dnO2goyC4XELXrGUxXMigr +0mh/MWiyHQMCHii4DBahqCFODSGqRET4tZKjz1t1oe6sjmPX8yYtKbAINxYAQovAyYj1TfRDC2u wAZT6d9UABRG1EqX7UXKF57Qg5YNhSBfo6lYERkXGwt0bvny0k+1l/kxjL6yqYa4R8ovjuLkLi69 +sw/fQ5ACsy596fhSih45KSbL3WgYtHL82wR2HUpC42BxhetGqLZ3TVtkP3Qg3kJOs+ihN4ZAEIQ XQnJmPULH8uQAFzDvqu3rc9IuL2K7Wvk9mAVanSwIRxZeHSrltbi6ESdkglHG0zccjoeNp/21E97 3a3ArVbDRkN1Xtfq1G0J6pG2O3b6huLamdA8AohgRTlb0olLPGWwIZ0oxffS2G11DuzajKVznX8q sFTYK6nRDdRZdK/0KDWKi8nRDsN97wzLGEyVVcExWdP3gQXROTINf3Y99/0s9QqNHpeRxnh9Ggm6 PzDqnVqCqjizSoGzWoNCibGllAaBxa77tJQ5HxupYPQR9ot36nFiSbZR3EbivE6XJ64/LgC3jiIx UCqdtE4vn9rgqqPOqBq/GwIkeyZHgR8fhWOxfrhxX3tti2G15dpTfvlKSUWGze3h6Rv9Mb+CRoTG boM38KX8gXi8m2fnADBzHxXi9xXTCMg0lhR63JPJITxmu6pPCUqtWNeKu1RFhobQxigiMxZUneYp h1XXF2xtl+3YLFv5ekgA6xOnc7s1Pnm6dM55as1nhrwoXmpTlC4samWC5hq5Qgd9pYrX7JWc9aF0 lo44RIIRVco1fh9rhWaIHhOhy8Lx8EwQTUN/+lDNU3+OjklF2OGxhGwnVFZNOVA5DCffHJQZA8ap XQ6m7igOnk+uZZA2Itg13nbXmK5NkTEvew90+R2+0dAdJtzio1drqVB6mwpzD4dopq850iRe2LAI vi2JGH3+IHzA4UOxo5XJxJqY6yejxD3x+f6Fm/gntfU7N7l9mj1DC2Bc1X0oBpBYpI857dvoqR3Q QkhdZKTo63pYrOTWbkz8PLFyVrDEoLlSSSboEI3+U2aGQxdBildUToow2VwHu/QzdJtKqDn1pTZ7 qF3zL4tbww51t3S+Mmdu2PxZl4sTgCAwbl5lQgkYSxdpes/g2GISUVlRHikBjbPW4gUNtPI0DQ+H U3BWHRnEiSpZPnGx52yGW5QwdU2mhugYN0HDyCrrraf0TkXgTZWMX4JIkTrVJrCHl1sIiB74/D03 JGHtiT7HUxp/hfVkAe6/gY+ZXMCntIWKpgV6b411Jf5JdrJKHTrbWdIp2sKhYlapzBmCCfb+n4RM bQImpPr/GtOKp7x6g8ZEpy3Zg5p1RMiLUQaaMKVi5Mp9GKXv8C7rw8QXQe52SmNnwFIwH/Vg0mVF Dk8n3bYYkY/8g5qTa9qWYKvv3EkPdQ1YNh9ccj5rB1NUA2A3IXoFDv50j2UcEUnS+3JfhDq5Rxn5 PG4BlOuYuN0Ip9RuDjOXuSJ8NmUpidyAO94uNS8eBLJixlKuzHw+7h4o638xOY7BrI/P9Sho48ob 3+hYXT5rGHMuDHN6xnxnwwTOyXaQUFKRPbHj4/m110lr8n7vrl9x5g1c+o9hYjW5rjF4py49C6IP D26GrWxa0AKO+T94OJgRmlBKS3mmNv7O0SlZ5Ou4CjNAuiSZUv4OJ8ZhRKdO5xyJTBP+tD8S1Izh IBjyuDBfbxPqUH4B84j3zG5tjzzQsRuyvkE7KRPjr4+d16YC4XmjPf6rFDfRhZn7XvHZv1OuxpCu YikhmoqZFgUK53mDoMf+FGwiUS9N3nYgrurIPEybrttfn+0sjgNHsvPvFGHrZvbWpHbTm0KS6Htd AaNSyu/+qM6a6ZgEjdS0KsXgN6BIwFnnoGxuhJfQsuqftDZRZS6WsohybcjkZRNFsIm9vA/mjc/W x+BxTp1Qy9qumgCHYXnHr9z2jpEeuZkRw3S6EgAiqddbmmgnYXL0E/Cw3/QI4H+T1MP56ty+ePI5 CLUbqGpWii3euGp/OZWSxeBy68YOc00wpn0JoQTSmbqycIdTK4pendjY2rx2UgxF9nVUN8lJfUMH 2+nR58FwGSQDsdzV1EVILK1C2ygfhtaNFA5fBppkD1VhjNLwqfxGXN3v0WnN5fitnVI5kwHhRiMp MoJiaWv9GRvMCFdsAblwXlhbxrhiIFl1gjdQBHSJRdmiZcgVlYM+BpMdooMFAMEzQWApmNO+UxcJ Z+O2IDHViMVcg9O+ZA2LTd9WHpPkQ0ptCRRyefKlSR1FWaUovjZlRBJ3nZ3lZRbzEXJamzUb/rC1 /xSeSQCBtXTlSqOM+EuRxbRUJ8NvTLs2d6Ec+Z/xSIyWkQkq3TbJXo3W46HzxTvYMVXkx0Bqfm7t kEyZZM/aP98rgRUvrBi6jEtt6eIGMQORTdvi2LKnabdRUTSCv8xa/zl5cUsv4t1v3VJksuUAj4J0 QdKWu1FLTh2fqiPPP8xPyvggWqiiu28dcgPACURO3d+UCSgO84QtQO/dgmpUwI51RCgWSXcHFN7o iX+5N59pTkoB0s13/cROwxFW15yCpas0dvI3cdwyKS+10QVRECOVnPNjktZtrzWrHQuCAxXhOcLm GUuc2xK5Q3f1OzVyJBbFBOdDWJevRuwQsO7VHsvRlZSAzZjT0XA4V8jNh0o+z6MORdUKgT4McCjt 54+WJVK+ACM6MpZoQnNUylNjyb1j0WxyZcmkHSlQcXwzAHW8W9HY0b0Es0iZWhNp2vN5ROp6nz9n 8xpvuSMWCD+GxImwJ78+oSK74ZU+w8eJBYbzsepa0ov7fFws4DJmes0rdRyQwVAjCcbwemPkG8XX LnS7d53DpZ6Eg/hHgrpdfPdX+rrEePTp027+IrvS2XzCZ+rUSCRcF63v88k6wdOs3Y2Y+MLH1USR WI1g/0O3eWv/MREi8dz19+Vpu/syixlz9+CpiGfsJwXcJKqM6Aaalp88bq5WNrAr303cMExeOWIb FPmq6YrAEHbFMtY1ZJNFITTwSJ96ASkYuQnS/LDKbTy5ebQm2etA0KCuMBFQK4Jvn2HKxRmvGqRx acygzjJ8vhxgFjX2bjD/8JuNFOZ1Ix77vHbF9Z/qFrwaK0uXbtqb0JaOSvvoTak7DU+jb6Iq1T3u rwkX4oCwFByv9FEg7wIMvmGVWWhf7fz0EUsDGGFYTVCkJiAOxI3rZ2BlEsJW9OkXt0bUn1dAC2Y4 NTXJQP7O+AzLztu6M1x1rqwBP0A3q6V+P2j2XxezTI40Iw1bG/v/d1noxr8IIjPhmUQmo2hYGZX4 QtEknjzhMT4INAmSU41WYL1EWVUwJ1xW2p/uamO22ll2XSDOW20YMfsEjuEaC7nl0J55vpjlqP9r sOPK/+cBFpa4Tvf3LxEBK7UDEVhE3xfJnpbS5UwlyZrZenbmz7yGu28igETf9vut3UcRCcfvOELz 21LSJiS4bN/XB4STsQm6eBPDz0MkdXUsqtBMvYEc16frEHTpFqgn03AcnaxWHuqL8vOocxgO82Xe gtweg8Dbu6seBzSp231tJydxu5g4tj68DophmRMlfbTfAGEjpeuAXpc95KRXK1AXxOuz+K63Axok Zcv6XF05mXpzGrV192Z+e4SkbPI0AAsQGFs1IGtKnUXePOPIs9klVjC4VWCVge8x7VYaTiSb5STt GS1oKRVSIJsWdBRVG1SDq6oPe7tX/dO7g5K+xhPNRWYGHvlh4RCDjlOA0ZOVRW4znlDDQ9/xp+vR CR034IGZSgWH2D2Uyp4Q/mQBWbQiV+VmtiSks2A9V8DRiYJcIuE1UJ+nTdf4hdUsj8Kll2BoWpU3 4VPFgQPNk7TXYH5z0to+o1izNiKdj70oPzpPw/a5Zxx2NAjK6qT3uAxAbGixCl3Wg7M1xDyYa4hM CysSEkbzPdu/nn0T8z+5B7OCqC9QVvGXTKhLU8Q2vEXz7hzMLuH+pQk+aZZYsSCkB0/FBuLFrDvS o3z4HGgvEukf6ubWLfn5Whoa53/QdTntNcsCja5rY66a7ZLbrwjb8yZKAxpy+ZNstNI0S3zzuuqg 1w72blqS2gnP20kuAUBR3+i6tx9kESVR6XkQRf7qG1Ue6fEIzMVhXUVJREd+bJyqCN2GeLPMSIAN SurKjYWLIC2KUUzu7F3O9LfM6XIbtvZrwsoXhmtL+Vyr4Hlnqd+Klrv6yadva6cYr6QrUKvJDWOs UhD9I9woJAIQbXTS85eiAo5TZcb8F0+2Q28nHILnVTGYHlO+KZfGb+Aap7ljp9w0uhztG0xAldmY pIWjKtZ7omiKXJzdvDALRsO+cRs96kBRY1DMv3jt02mkT1QquyZFssaPV47TJW1VFWc6RqFXcQns HroMelYW2KityccmJ4i3y3WlTCXB9OfVgU3XkYG29Myge5IeM2a9la9Bbuaeyy50K0GcwTKblxcJ p8KPFdPPVUX05ScZu8vNkmOK7ktPcc2qGnSx36Tm4cD0VaTyfsCKDSKiT8k8jHwpZedlgOivcEaO NCVTvSV9oLsWqYZTMDy8BkgC30rtvR7TtmUtDGVz69ViShT4MWJsmT1yySdUxvFrD0QIItmGTqD6 Yad7JzQAmS1I08vxKALWemeshIZFyrpxewMC6h55+X58IgitCX22njQLRMeMx9AR3j6SmLxMCsG4 G8k1Pi8D6FRJZXOvAx/3Lyy1FG+3dX++UiOLIl7SmDlRc46ocaWPl0KfAEXsi94GSyhaZmYXxASQ QYljrXjx1BWdLawDPAvTFScnXaOoUxu7Duy7TlGWAkdILlYHAZztvemXnX83Gb7iP52wtatluOge xje8r1xAGXAoGEOQgC4N2TXxWcffd5yIHPDfb7GKz2JbdxLPnPi+GwWa+t0CYQ/QPWf7ujPI8df/ 8ThX1kuQ4jR5+W6AjEYEpuRlDvbUP9xICy3LO0hZ1P4E9t7BaYezTEUW1r+d+SeRLkzWGh+uIhbJ QYT6/Lp7VsbTL6NBHtQAug3vwIeBUgofwV/I1epXYeDiUlw5UeE2pVc8jTAgFygmH20apahCNacg jG+5Z+MgMk0Cr79WDX9qGapoIVnuUJg2fhjyIp2SRqSEruzgJtHl7Wqq/8L6P7nliZ1brgAnVgWo ZDMI+vRWHqLg2skjGv/g9ZUTxPPMs/zZK5Fev36ttV7kbdGIuNlH6wR3b0feLygD5xnLIgAaIqpU adaQ7yKzBCRNM+HJm4WAPeKGMUfbmd2xGP4XR2bLBT+9DhXihBsOoLQgdLm4VwR9S/ijaDHYFPH0 51m9OMSdsRW1quuqaDYQXnq0rikKHiVaMQXr6ijSa2iwCCJPPhnCYPq4VFElLOLfg3ir5UQS5l9G k5mXHtKn4KQ6rv6iCC3+AYOpa9XDaZUGHsRI4+f+8VUVcqcMCfoJxmQ3hjccRncmrS4Qny5JGW0E 95sh2QKP2xoVE/0Bx1zGpMXVbeee+gokh8njQsz4Aq1CpcbuRLw4IPYXBylHsABC4cMal7l3ZGPV oWKbQlgdZ7ZqUaWfkJZmQjqp7kc1CoD8JD76jrvmaTgz8PctL4yferFjTPzoJHF+Y/IzIiMV9DrJ WoXFmEqOv+J4vDS65OmJKmYqUSLo6G+y4twyp+rUvPjHg93KbqUGqKizD7SaRYXEAMLCiJ+z2QY/ oEbO6KC33XfKW1xIH68pZmdFCLur4e31ZlplqKtHycxjp+gdzxWUwWgtfV0LhxRWI57iLXYnCegu 6e2Tk9i3Hui6mpBUcO2snsfGxCzmIArF+j7Qt4mWIJi18OKd+s83KxtJCwew0poFjmZU9eBuM8l1 qTvND1jscYgN8Yw2+Imjj1D9Ege9U4RgwOv6Z5Ll5mnsNnmnnW+14BV4wx9TDx+ESMyHt/iSm945 qU9mYFFmXmwg4IvhuQq8/LXt6uc9KrmsV2txPPtBfN+b1SEM5ZgNtPKkU35UyCxA3uLPoBM+hmgQ jpc3cLo5JMyz8LL9Q4F0U/hv8Fvpk0AjVkWMX6+qo2LJecX9N8vkA20lkqRoUqTUy9g6kvLqM9d8 ylUzswEqIhRbiFmPm4xonsUQth072WIBDb3MDr8ELN1VZpewt9t0lLgC1hZyTg/iCTV1GCLJ0J71 sspuu17IJR7BWi3U1DbK95ksVfCnC/V6n+Vi2xdZd2R7kIMYobm2xyEnWNRZMwshEax8t6EI7v6e T6HzrLbAZEQNbfzNUqIl43hHo4jN4oYRc49Z2zHreB3+yoM08y1YfFUMUOeJX0lwD3jg3cDQ1mIt imO5qu/o31rc4Xi7IeNLQD+pQt2UunPLMmOUDQ58jcNQ+oBQDgddfmp1dlLQbN3Pa/y+6ky/vk/S hn8FZmYl9h6Yv4R9sYGA/zEnJKzmr6RHyQKSvf9TPRuq+X7ZvMc+Qi6s4BJLZcCDtRKNEEJ/QRfk jBnTO7cqZHHJ97Z8BF859RubQNdY4ciGiUPq51iGXHLRpHMSKpj7bT00ah28IChHN0lAGn0dkOkP E8sLGZPuNVr6IBspXkzLavx9zJKgJyDs/1jQJEnJn1dMzvucQYsj77lutsU8ENUOZSbMfxUZ53mv kzUafxVg9rtVxETD5ThpXMCCDLg5qyeTYAFdF6AqUGFiolOg3bByGOPEyRP7273kAWqiRz/x2kKw opFTRhz9k0VQ/+U2Uo9yGyu2daraYKZY2EDsaBoAfa3NVjQVRtrUG60j91m0BAVCqUib1/Ud1C+j sqmtsiou9avsVOEZzrefq/XuHwOPPT3ZGhrEkZu2her4r1Zr4YQ5U6+kJ40OLdtbXsPIwVyU2e6O Irc/vZPO8UINBDPjn1YkIjjwdZNpTYImW7taQZc/elqsjAK3F3/6CuUJnYLtkINj/umb+Z88xRD/ yYPMHNfYYaP4WoZe7bfiRYYnqgB3LkMii+NZI8SdFcW5MyvLoiLRUKgNJwN7B0rJrDQaQvy+Gzy2 0I+ja0Jr1SROa/Djs3lV9lCnJKcSLvdeHrPfCZglVD5U8pRmvCDxIgMjq0yVhp9MGuVRzzhLiEYQ xF6dUeO/NH76sfDjEUGenQNpXzNrTjj+I75/ZWmgu8FwjL/3G+Hw/Y7sVZkWK1APX0duUcFRSAbu dXtmwR0qDj6go38s8CnnCXHZxzD2daPhxpRw57sbUzM/D9JcRRSi9S2zPZJnKoljK3X2SiDXaf/S BTbNr9H322wVb9MndBLAMZcXxfDXTG82P6hZvlFKTdz5oW7sk9/yhjvMoUVxkSg/dWYderddLou0 dJzqBQa2wHd2IatQYULO3rQdFyLDy/nGQlbn+QpDD/Yp83UQy8lU54PBPUIzf9QMSDImtrxDF38W 6bUyWw1fhzoSeCTKqVbTtaJHcb2UuAa7x8SsTgwwRL0+l1VQd+0D/+t508RdJYZpyqqR4hvSwyOF acS5gN2US1ln/WuXN2Gf+QrXBIf84IK7krlAEKoWeDfZi5frUD0lgj4/qtWXF7qG9thPw7tP/O5d PWLNPaNXQQY9UTSvxUDp306Gv7YIXaquA/Qyk5E5LktH39qiJ2J/jvjoXeuj7lTNql2vNTcjIdQq og+hkAGx2WjAQ/Y/mD3ndyDVG40Ig+xZuklWnHHew+zYd6JbqDUqHnfrqVmXBO/rBEu7uyEhyRJs SNRFin1XRbITVl0VINJqnyjwM+ECXSs701Bo9MYDAAlR0py0OIUDK4BSpy8m9WxS421RdnsKvE5P RAKpG5t2G/xr5PbEaAuBAn5gqtK6c1FQRNXPgYgVV+SGnJPPYKJ7KSpQcgLjEhgePkf9J7QevDY/ hfuMD0BMh1oKtrIc/lyNqQAFe2Si17v15UFFRHsXF0NHRWUH5ITX3jMETwOpBKdhFuF0XkwX/09x aoP1yHfR+nDRgdxdhc7AMnvbcG8YAFUetVc2ctXwlrfgr6MerEnNsMEx7QaU6qokq7w9lmAKHyYW 2E1llVGi3iTQaKRWv7zOoze4s3EmAyc7ZKyynD0tG7Wvm6Y+V9Ip3tBzLKZIa8BoJGULte30LPcC 97m6tGvIcPvcjP2kDoFMRqEfgzoUonOw6KrZ4tYUqzq7BfLRJJ2yc9xizJnomvEhKITglksoGgC9 Yd3O9Ausdd+u0KPLhWsjtzSyrE7JrLsMYRMLArLzbhEzfH54mdeqPxG3PNcz7+0Kj8QwIgHVowEL do2zb5KBBas1bEBUxpV2Hjk4X66DT6mVTqCQCHiCvaMTp3Wp3VhD1F5xYzri2kwCs00DwCD8sxwP EMxUQNw5IKDXqq4pR01RVDb7Q6vdMoCBzNmxXtTuljVavxH7lpAfwYHSvcLsDgMBiv9WcVqlhSYa GlAxqaomz9dDXVPmhKEXSy+O1nPOonNu+bvAQLi5lt8nCCDHMNwTakCjwgEevgF+G+9AH9LT4mt8 waNEzd5Eh/1oddhE3lhaqf0iRx0I8CXT3wflF0mHJqApwc5zWcntAcDzmHJwxa9VqdweZavLfQXi cpgR1D/73shwbIIeF/4H1ljkQbFU2dIHNSFJySpiS7kOl3eI0+C6sQbPq5f+QVTM/FHZGjG4+lpO H2gzeyT8oJpAZfGQuyJO7BciG8R6+cmHb0TAM/jheOCIfRFqNXi94NcWgLursDDY4zWfKqQbWdOi 1lw11mdzFAnGz0SK/yFAiGBF5uQYp167fJ4RNgghrGdFlaEgh/N0VqbuHQNBy8YGRxGkHsy+RLrE UllNY402psi2ZyD7oeGOn38ewDpRepsLCjIkLlx2/zHko078xQPbf5xpj6pw+FbZy0inkToWM5jE +wwW8oiOhgsKKsONEU8hlq2zffEryIFjxg1ewmApQGBmD35vpRyQYu5HFoZS2kDLTA0/FjgprKHr HNj6aC3T5CrBtL9ZaTkfu/13rKiOgxq+1kvxkuk+V+J0/yQP3Ok2u1YQ8JukB/wxfUCFNBHOG+zm 3kYLzkJk27Nc/ricriqIAjGrnQgPKiKiBjkVPcogOtCnW0jJFe0KlAG9Wee0HeChzhSE9JbZCHHo Fk7MhtveiRTBePwNVTLkJyw3u2/e4iF5af3zi2Ar1TNcZwKewgIzo+UkTnbzOw6GyyapURwUqdK8 hsworoqMIiesJ460yjvSuvAnBNZ1rEEsNZWtTi2OI0mbqKE8I18sMtsKkeAbk9NvK7LiGEWCqyHu bygXaYLQ0W8ADXNRfzw+8Y/R4zOkNi9zEMFGL4eXazAogm6mdDcxChIH2cZq62HnSsaFtzbTs8bX iaq8lKWm7vrN9nL/XU85U7fJauRSOL2RY4m8qGzrF4hjVPIV3ZGR93yRaMlTiQnFznmOxA6cGDAu FkamwnOBkFF6Zp8PmycSEq0EHyrhiBNkidF0RktHC1Y6Un/oeU5OVrhuJgHjrlF1m3iHtq+Pz3c1 Sk0hcAtg8tqwS166c8NRqr801aXeaF9L1n4M8y0r8cp2OhAbl4EMbVnkcrUTxvp7t5U8pr8ZP/qM L8auIrP21ijqRnuApXHIEdisYQhSB7Z/Sq72N18qDQ5UnAzbY09APt9IXWaNvVZ2ytusO6r9AUBP klK9D+trdd98glKB2REhjV0AQwwqXpiOJoAzJKE1z88adYxyhPLsjsRJ2VntGujoPNI5v6iqyTgR hjjRmBZ4uXiaK99mVzGZGjSVRDhY0MfgsUFDfz0V2Zq3bsLo6HbC/SzMK0QxVGwV93COCASVAxZz uxw0l8eoTksUa9JqrJaqPiGZVPZp6vYBACIx1ZEuvTch1EOPYr26ir7P+YAQPAPTUbsH+bne8X4L Jj5C4MJc7gBkYGRvlXlCdgxZXnXB51oEZUyXj8qAXKu6/M/ZVSes1eI1IgFC53UdPH94biE1fd9r 5XybDmej7BG9/7tmra6rKZhvZD3TtNY1te8YNZJq30WnePhrU1NqgdDq8YVRhkM6smOoqF3AgAsF /UYcR7FLFz8rBdGFsvjqOky6wvF4oFppm1O2GP4XJxtSgHhJSSkvUYZ50aybrkGRjl7RL9qQ6Yka pVbjSNY5W803DOz3qKFzIhT4vy5kT7MKNvw1YzXJbqUSFJHJL43i3oMet/G8Xj5V5Jw3J2Vc1XhF J4OovwQI3UKz0CgJDmvJk/1zgCxIGbxNbtQ4oy/vUZIFhAQ3/XtmsQhdkR45MvANMh9aO9KgiPR5 sySP62SxQVBT3hmTyhLPuiV7FkkIt9nz/hXNYlCVVgGK3onSqk66QQVmSuuIBp8GNgW6w10722t1 JZb+FffKktHcttOzVg/5XLLkLfbsPsOXL12WAeeSS2gh3yeZ327CyfIvfSaCUCgj0glRcYkDdxZS 4iMxC+h0kx8FxjuluLejZzt5pahG+o53h9o7j1YFaIvhX0+O6oVSFsgFxzTVZcxX+cxXr2SVmxM4 u126h06NpHNKASFgxIbwHBPSrA9Jk9KZMXiAB4iHZMZgRDQs6c82C1kJpKZ93eSgrurGq7Mf+Gre V/P/RjCfQnYdTNa15GJG4te+7LTHF+WcpjiI1kUHu6khyfM6RywfF8GsOkVFPfRT+0gBScxgVt6g 5KKchqp/6fqQ4zpaVWlGeDOGhpa6Qp28VBUj8DGzBFwQ5swwbqkcj7ry+242f6EuKKyEzFPN8z81 W6a2LmMJfpWWeZMnJd652gMA/lXQXRUXV7eEEvS+wz2IOG0a3K5Xn+ZLi2J9Pcq3SeBDM4RT0FC9 39QguA8/FOIpdYiRJkiBV0FO8C3PpVg5SNhloPrEyxkemav8teGOSTN0A9NAZ5QmLXpc5qTynN5x 4mdcyy/QrOvMxSyPirMwpWc37cmWNUNphUmM4igy7wswHM3Oq30hQqyhYgFOlVUPakucjXm+i7of txms9nWSLBYi2cGEtG+nhfuOSPEZU1bvFHH64jPX1MEnG/8xZgwIv/7G47nd2cEfE5AraDT8wm4N JUylnIghSZlfw+kG64XU0ahQFLtIlgp7sZJQKrf2Hlu3U9gu7kPGtUEQYBbjoYal8cpWr+IhlHKy FCJpRQToehzIz27g4fmyRGeQZBOUsI1gukxllU1LNtA9eQI6gf7ZobaLJVDKGwsrHgKg06viWuxA UsN+1X/M9O/SFhJhjxGbUkMBbempkY76JbUsWw2N5otIIcxvHprbhfzvxtVi3LZLalphlDLmwrR4 x/AtlKEvzEujPmF2gqT7ZhUVx/TDoO9s6Fi/HxQxtPZVxwcS2i/71aJuSzqI4oDfN4s2ORS6ZHlk wPi02FUEOgKsyT//Uf+kqElOtEx9PhB6sZTGgwA/SeEKjJiVfKhTbA4EbLSt8znPEwV8QJ6f4Q2V Uhp1OQB2xKaRK8jQrO/YixfEIyPjAPTYLFaNUDEUygrtDvgx5UM7Bw4JuL7WxV7SKEgDaNitE5J8 KCerSjfJVykPKqRhbC4CaFcvZQmSuZ8i3Axs+vDqC9uOdOgbQkZv9vPte9VC/GN/mJOYNs00g8Iq gEEIcsjtEOqRBtohYaRDJYIvn6bl/WB5hwI/SCms1W8eiaXj9pdp72VVc0RhzcFJ39JyWNzu0j3M uL+PFvZFQ1/ixWEQHIF/OxHS3RxFidMRmb7i7LLhtHDFXT8WtffC72H9lHHrRT+Q7jPqjYZthxN8 AkXrF6q4OHemxtEf63ZZrCCZLtiNrtZ5t8/3yOzDhami1Kzt5PjrEKppx2vdx0nZWAC8WqhIi6qz Wj4nTNemYaY0upKRmTRI1mkjuFzTqOgLpVwX9b/ExNcm+UE1GaI3VbN35P+HaRT9ybFE/4bRGaNf L+tGH3hh63ARlgGLfYPnh4Ik8F+Lrr6BZj1LHQ/frp/yHYOJABLfjCWH5duDxeml+ryJYfR3K04y R+ZZqVx7YS2oC1EhuV8FmM0FgsIPXUsck/P9LQ2P97WRNfggoSQPg6dJ4slurzVfjsa9a29N9wHN IK7XExm9dT0+RfmcJ+TLPOHblEY79azJJrNfHk2RoYWHTsMIdsAMOtAWBpW9X3ZmX+fbPsCwnJMy rdLLI6B6duqjNjJqhUk9DgP33Jxaw9mSsH1xnlW0yS/sa95UvVlRhlU+tRzh3Ft8dB1vBQccNtdj NkdRGoP53mXkzdJAT8wbwoC69guHOc3xRK8lxAFrjQ2jzl+W971gTmJIvAnq8WdYNy1KSghNVnYe fKWl+PGP+9g0MiXv7UepQYsKYa8Hqe8rFVPCt6wXLrmQ/jvGiRqHO4k6240Ywi1vQKlwOU7ewV5T I/T4nyiAD7PS8aiTumVKNRd+fZvtloCq0HtXBAarF3QN+UafbIIkReYXnow/WhF39+bt9SkJfmHP 6UzisAhI61fSzQGu1Wlzy48ObXvv/rw7cnEUp0niXKi+xGGER5B8aTvBm0/0SjYaYAneA+vxSPcv wi6RP37HN3XaGSTZ/25VBhsUmJM/fYsWvNME0chEIzY3D9bqb6gBlyvKBkgK39UBlBzFrf9eZKE5 NqQj1X38KNYWuMpw8Dw+sJoF+5fyBE0d2rCCb9kBmNt2qsqgj6W8qDwzGZ+mnyoElmg3Z2MqgErD CIydPmrMxUZ/W/h59ZYrb3y1ZeTI2DOrNS1n40MVM3TQWXQIvzvybP6EhgBe2mOR9ltKaRmp4l1O cqr7w5+I+8R9/EUXSCwhkaXHTyCW5I6ycyps4b5EYOcjmVWOhIfuUQ1wBiTh4MhI3cfALC85ZMCw Kwo5MseOuXNihg8oSNNABqFB5uZlJqvOEFhFdehRhOUSBZJ73rxpH5dR/kCK8YRHXWw6QooQzfsT RjnDqxx7nkAZGlnuk38+GysjEeTWX9STgwOfYE2nNQl47zX7k+UVxUOiKifzodzZx/W6mEy2Xgsx gIPA2iGKf5DskddZD2wqXZ0ielLOgrb1/D7QhesVfuTqMDwWdzXeOpwM8PM5w+EiWtYCCoKNOqCf INdqX2RIQZBduPimjzI0vms15krWmdj1cr3YHKn70tdvPtHIDt1vt8+wWG4gZXbkgcwynQ3E4GUv SQypNXKikBP3zjwjXgjI6K19fJPCwuV3p1xUlN9nOU+0jxql2KzfgEUbnKyeQlIi+YuRh0BeGCCn IqIVbFSmX1eo5s7u26s7t+udW+cgBc8lZFkfrfpLtwNpP+SrlSbh4xXrvW4mZDhV53ryDz7FjIeB b56fwJgBONhlYYpUkhJpk4dhil+S8XCrJbDRnUZlOBZprFWF824l9BUl/tA1sVMKPu5lxmZ3+kLm 1jTRnnnQkNmlug2VOQhrE/2IvSGR3CjNuwH7PUXLMsxOc1+EF6DKZJpuwkQ92ZRTjhhh13rGQA1V p8EUGTqS1VSJDLkGXocIZPolxa1vpJ8okgH3ix3rNCyBbhH05g3/t+Bd0e/rCZXGvL9woMUuFdS+ aAhl3BCk7sdmPqh5UckX2wiO0BQEOknBcjOLnulBAY30YIm+SWe9kWlgKNnFMQIs5Gh+BL6yCKaY sVKYh/hoZaWRQhgiw5R2Z1ygklZsikj/DbrpwLB0lOwA023oDkoyupowxCpCgnvhsbaGFTGXwmPH WkUziIQ2axe7RAU7cQDmGnElgt7NIBF1anuIoJfa2WHIKDI0sCbFvcoaPrnzPZbeADfh8Snb1EdU z2PfSsPWgj4l1dzO3ulxTeJKWtvO/ME0LfJy55wagrjsdZLK8TgIevWczvItn36Uk6w7j7yPVtG8 CdtzKvm9jcdzQZ5v0SdFHKBx3MYiKJvlyBFq8nrvG44R2ryM+lywpG1A43U8qEEo48kWQv0M9HJE nEOe4IxL1ydgMKf+R7/0zbwVu6pCx4JO8PFLI+x6XXnvFB1Rk+IiS0X7TyVhFIHa4tUbDnHrrShZ RPsJhLxnFi8yoxEPoHmZa6k6tQq6qr2cBTqcvWfLLsOxS9ckLNPmhZqbL0kTVq3rwLeU+ernRCNc Ne0U2IpWn6anwlpdEjINfZ0k4Rs9gc9BFc3m3mQ+93Zj2x8rftgnb/M0dFAr+YcmMcmr6JFgfxqr er8iHAv3IrTHo4gpJgJdmmcnFDysfxDIOWa678XRNdcbiDuBMBexcNLtoOcQ1MkN1HFEFPOoGiXZ tPatd2/kf+YlWf+jpuMkAiz62C8cZCPtZu9yZ+KFVISZX/o7XrIJY17E1yyMVZWSLi0BWFyntBVf lFB/rUT95YOvTey+BrBBzESdFLhcLFt5PVJM3lxUfoqxmrLERSt52014/JnoNdJhwSgvSS3QwgwM k4/DY8h9ClYjrxc2J2PJZvU0MZsh7q8Llt/m1Onfgg+cBc18oIi7lOK0VvIdOq4gNE2bOn8GT80x XX7C+r5dCrOTcDZ9LHB3cwpT6TFtDqnV6ic48CYHODTdCar6138kayDSNJo6POQ8fmdUfQ53DjDt FTWbwwhMxQRApeSpbxsNXmcHZHDoyD6MmzC2ZorrRJ3hTe7y1WvqrVwDSqSOonuvsMNkMzSokt5Y 7t1G7CO8kGqXVuD3sKEfjsp7WuhyTnmGhn56Dp9P4L/i0Ck60t64o+VmOCF4XyxJfvz27DXXoa0p GyE09VL4kQY9eJT27GJX7phMffaQyxXU0X9Fz/BTirwgD19SMPL8xbtcTM/z0DzxI08Gjt2FIVyl /fkJen8o+Pn3SswQXO2wtst1cKj5CnGtjXlPny1IqF3QFfKV5QdRG0w/R5cT301ACz6R98Ea4qM0 fHIoO/3WxiAqOHHGmLVjMCq6FpfX6UkdosfMRfJkhS8Xpo8SNsuyqCLOpnP1uOpVy6nHdAN753mT SvUnykuGP3fZX0BBRTQ31g+Xh/M8o7UfjmPXrMEVGpWThhllGy3tXLlgAKmhkInTUfGSCo22tDR4 J13+hVjNJYuj5lBk+Q8rYLGVurs+aW5yxgWBrrcM8DQexyxT+5pN8esNF0TM3uDdNSCNOWrGErEl WWXsynPoomFryZKMr4BJwWrj3V5SKpSqq8qABHAPy1zgCCH3iuSEhllJQn+ughF5vGrlsSTUQDqm 0+Tek4iWyii2FUAvqrGEqXGCB6oAjpUk9qEmr04NyZuUTSIJ+Flk2QJSu8e3Ol3h04VeDZomF/jQ 4HTvtPZRDa3TnKgVpqkaVLQMcSek8MbwbKE+VWVQTacxWNxCycbm9hWwmSyj18tJ58PxORl1a007 YGqXLW6k2zwscElCIwbuE7XML6YEtaEzLFS3vz6BoYcRLTPHRHGQvk8ym7AomkTyHgb/ATqkjygA EzrdaZyfEbG9ABIGVKm/8pdmnRsFe9bFDE0yj+ndoAsXw+f3HUTL21ldLG/omb4wDF8OGE+Y3bNV YzLi7oPQp4w2opzqcSOVrW12gRNH3/wMWAhdBLGOqIjWYSwVuURE6MnCWCJH9qG+E314x2bKjg5Q YtueDPgt+TUrGDZXd5lBJIG3zjs2/JqYnqYPYMy3/yhsfWttsQ6DDT07EbpMH1fOlWxdwAhsQWZt FV7UN3+STsp7JUV/JnL2MDeSMqO85gFLSzNcEiFpIJ+6lyvIMPhKyuzUs9D+Dn6JzIx6fVVozVuy 3alUGg9q6ZeP4fOc+sXLa25d5nqB35z4EZJoJug0tGX3fF0/JbDu/ZxtQ/z2rAM4dU6jkNeFohLM 9+DoeOsrSU7kUc9UDHeGAqkNnWy+M/72vyB0ycSk7p448yixlYzQTq0SFhJuMhdYmO+sE1W8ltmo Zu5EzuOEUEcf4/H6RygphwjmmXKsniVhyxOI/X/KItOntxlMLSu0SsNnNHPo7I/wlX+rUXcQvuAB 2hMAzqMNXNjuYfPXHhU15CEf8BaRxU/B5ihEYDFY76DkKKFg5pkfCf9bc3sdQ0IxBZh/3BJJAPtN k8qCYAL0SyaVforPilczl5JXfFh8veZlX6pqfSTp3/BE9moViOeJtmi4nHKxyY3KeF5yS4aJneXQ jbnXVXjD7NllooKBpBCN+fqCl1+1P7OhoFhVj/1MTqwnYHX74gP4SCQ83TuC3D+gMtxW6+1RSRd2 HVmtn0NT0hZR+Kq3It+gilAgzo4F0Y8gwVDqxrOrXV1G2y8EWjXuYkoBE3SHxyZtCne4P8n/7jBK zfPxk7QR/BfmE9wjSY9qeqg1bbhqiDQtZra+JsLlv03WSlGayOpgddqM/Jj/jLlPCfhn+GIxL59C Qq3GXskwK+0iOVC4JeLUJM5exyIiFXnIwt3XyvyDTy+ZBhJiG7x4R8eUj8MZ0Ne8HBc6mf+YCMWQ Ys+XmFZWRb8H/Weyys2Y4xXQMeWaYkzvTo6fWoBe8NRhO2ZmaWvSUKV9dj1bQRrnnNrcUs7IAPNk 7BI5wu523X6c/ZAPPEh7q6wp+raKKKGzUUpxkuFZMVjiOS3TyRuS457nFGGD6enIEwkKFZ6CmyJB TPAEiOz7D9TsSNNfieXEqXk+W5OpswWsJf5eSwXTVW+irD/iRnTDOckATX8sEYy+gvd9ziF3+I2i nAc4t4d3MDMxLKf4C4Rj0VEa+0MdupoeCM47fP+dDHgadg4C4LBuVik873rUeXuVYsAwM1DllThQ WoalQHRLrzN4xRMd+6iNtgBhL8H3HDJXwddDmSLE5mGxfsh48/PFDglwjjq/dOP4/abOqLveuIpA zvF033j//C5i/Rr/W2PrxATpxVnhaUI3Ek/JR4YN4/uddz9qENevmaLWw99tMmhWv3pJx1nm7hvD 0myoBWMThHskynowQVySqIz1c8Fnl1Sn6VQaND0s9UNVAPbcmVi3+m7Av1mEFw47SKBWtzcE8dkO ukFso7p+ZKNzfbwhtm4OChIYgytugtgWyDIXuJnT1CnETivXY8ZFQs4CI+wv5HLp/2h6HTo+i3dV +o5CLhvPNDRZpwooWNBfoBzJpnkdYc6l6mPQiZleiCnqO5pcUFD/BQDTZn/gyiXzWgLBDHWgHucd x38dxoF/tOJUzqtj68fIU6HJP3tqPpPY4dgUmTq/8XDyKPL8dua9R95rQac1EQa8qWpoNlhVXe8V rcCCApFBApe68Ax09rVjOxr9izXgMBSXgrzN0l6IAfuTedwTFuuBHTjcc47S+Nldfg8WelyZ2tWM bSoIjrMDwYBaHdzePl1IMYJTf8LixFrHtWFW9Ll6gVDXZauA13kzFquNMso9Kk+jsQnqacaFJ5ZG K6sIM4y77S+FQe+1/ARVe/0Cm2jwqk1AN3qjl0WCAgm1qG7o7rkoU7KSBinPTVdyLJtygS+3n9yo veRonpoUUc7MbH3617f9pYuoO9TtbHbqb1MB8piNOjl0YXIKcyFUN7yt0ZxoRzM8GiJrDgmKH7cz Y9yBXdvasqqaZtGnMYb8RorWRHCgN8FhqXlNjiY2DciVinjXFpsZ9lDB4lFF7CwlSqutxlAOW+F4 bRUYSKw6SpEM4oMuVjQKZkFoVsn/sht88PlTLck9m9QMZj2TJpKnyXygUfpv1M7lekCHtfFfnYVY ZWH1I++vaImQJmRoNFzE0gK+0cfPxnr6n3NIpVQpFPVsz9/cd8kKtuHaE6UryJVZj+C8xyqSajoW pqoGnEP6YnvTBeTpk0ygWy7WBjYXoWItz0s9kg65T205QKoCC2fbSXnWjQk04Uba8rtZn04ufDnz wCj2b15N6mSpAb2uaKCJaWtzAjyDE6aCn2GgBhKGD6lsvFB9zxJBMP5aYZBJd6At9sE8Oubx2mOj Ij3UoSuB01Wo0G5wPZ/278U/JDkpK2wyucuRdc6oDCa8ETawsWHGAFKvvQm+cQw9J5g9uAQ6DcqJ mRH8XQ3UIAM8apZWINSt3N4Yuff6B2HTij9WyXrqWP4m/cI+AieP/dNcie2hwfy2jruDzbOPS8GD eNFZ+ROn2Bjr8SDC0/E7CTF2MXCdnjKG8kB9Z/UTS2StS8OqSKAjd7xg7LmJhLqYWZW2FlMCuJZ/ Ux/pWKmygdeJfzREnWGL+syLLtKBhsOPDer8r3T6ajfkqgevBQHyX0STW9bSDfobO3YBzG/m76dx QqD9j9nfHH+lQB3nlM5gw5kEW8Noj2owCh1GjYTs4ajkAYwkQ2iyw9bE2UDiiUrON0mUBOkAgzRb mMwqwyC+TxAgOrlTjOfJb5lyIP2Gj9MwJO8UfygvpJr423Z6MFs0jtM+3mRkdNA8EGSZRaYwU++y k6yyoN/akLi7UnT7rCge2f2a5cEY/frq/lJnr5ribQbcte3T0ClBIuxC/mynJ7iTK9rw/TP9E3ok LuLkyhT8jMXidlfuBGEWdtfmS3kjnF9TVD3cWJFRswkEBCbr0vwb2dD286fZDV+AOiFPe57Ppkrn ooAwemwHt4TTmKr31XEmqLANwXHiJHfD7dnG/Ab2hNm0jJw2B7MzfjgpsdCRgJcLqSh4y4oGOeT7 kkr/6eDLqmV0q5TsNPpFA2b6wd6+dOUYp3ag/eYa0xQzcAvncyi5PzIChZz8tGcz+8VSf1Pot2eJ YV0UzCzfRzWrwsAkwaD5jrOWUJaedoEB4KP4va3qb4AOOtlF7Tg/bm28iwLuFZ5HMmq8tMJERG1b OehoYSZjdkWb5k1CLtqd1hukvdGP5WHWDbfT4J1gIPfCQQ5sBnci7ATg9crlaiFAM4DtnpcYQowt 9MaIF3FN3uQwuQIJfKnoT68Vxftr0hMKf9T2H5dv7Cvs43C3XxoDdlvec429dSBP+cLRcAonmZ6k OEtGVWZiK9okkzFMse/l0DmuztHpixosTyjaXGfc8Ge1UoPIV3w582ksajrKTQQALn7UDYhyG7rZ mdU6RvfkDkFrE/+IJowH1WO7h9+5IBPjhv+aBuAg1MOJC7eaJoSIkZqQccauIMH4rxl0NU2LWL3N B5H30oNwaQIGaBSYnNWi/fLLupY7RZp+KnlDmc/Mnk+526zBcoPHErAe8oW8/clK/8sRR84lPyRz 0xF046mJeBMUcTtUYgU+OJUV7asji1xcKhyxN/5cm7Tn28QhjrprS147ffgbK1iY4hFd8lsOzTiA RVoeXmmg5i08haVdB2W6Cxev11k+As2umTlq1i8PZzfonrHvFah4U+lzRUNTkWLeNaZsn4vbT7jG ChzTviMMujRFW1bq8tyeKQDbpsIIytm11GaJL1Ft+ZhCg5eYwXT4p3YzWxJe5VyxkGKiKE/zTZqt MhjvbCxNa1st/BGhU8D7gnLoyjoBZAcos8Fy4skKxVNgiJ9n44GtquBLmz/XUaTUhtevS58v6cXP ovIbtSl0aZm4QMgMWiVMWgcrINOHH6Dm2SoB+9BisPNhxLJXeGRDs5TCDzhL/Qr/eZjSWlftIcO1 +9BGI4Hp0GIKAKwvJhYqUqzwTMWvlH/iqbHuRntagap6jLXlK49ROJ8bMobZ6IaYoveK+AkZxZPM fZM6zid7waOU26WVx8/YGoWlGTezqiiiHEAWnR7B/fzdle+XRDBHLzQwHeLSH1NZ2mqiq9wFlI0f qv3Z+09vK88At+oUYOFRMTaXqhVKUZeknyFQvRaXV1S1p3sAQegw1cBjOsBnum0y45IWfCTCkK6Q iHJz+CJ6HfxIaDSext0baCgRIz5aijks/1H5PphAh3W0KKzVg5dTO3/9sxomQKwYhRkEmz3+70z3 44ovPQZ0oCedxuDd/adv29uBaDoTYttjlFhxWRl/UjpUHmtlwISUPtZgEqOM3gp8c/Mtn89iGVCI T5zH3jAZaPWt6LFxXyjivTwh/DGBMbM84WvxHL3l2KbA1IJHbBbdGeDEibFaAC9s2bAZXRDev/Od 2QTts2gotf+PnhGTDTkVJ++Jwwuvrsq6rLkrIaQBIPrZN+TiMOUgbXyHFz/1nDvpYJLxA6ZmG14K DaAJS99479BkKkKIaoDo1S7zpAYtQQRSBYhZP/LnFoq9MPKnlC36ROSMLJoJZ0I8ts1ZkQFfkW0Q Xu2z1vVBS0uyk3B231MDXgNsHVmy/yJaA1z1IpmLXZltOzcsJDGpuX9pblCGldfH4PLOuJbzh8FZ uFikCibAMYZegJ2FWgTnbUVDqh0pnEIBwnSYm77Cqq+Rq13X/ZUVtlqWdzB5hBuzQavSP5Xp82G5 txj7jcGutEzQG5cPP6QIBXziBiSAtr/X13ojIhQKW0fNomhMETXaP19mzTM37/PF8A3urqPpX5E/ 7TLVg24NgHwFAweUoE1NsvpbvgxthMQtQUCnLKNcxPlcGjnr0N9FKURFSxDjRItMAX6PaR/gUVqY mpf+6F+O6bt3PnVLCijiY2VvsdRjl7U48PCbOOS/w8s2PI0qKXzUmLYmoraSpg4rJAV6PUr40YIB gqbpGcUjH6uYeWpoSnNKXZF2G3nKiVi9wzK68z8w/Z7ogv5XBFqGUGsjLZdBM0Gx8DmGFzeeh/q/ Bw8XOAV9fz2jmLqZ0+jetUFWOXNoeIGG3KG0BfNPzc3BwYvAxqvdHW7zBUS4NZNp/CEBoFmpJLg/ hdgzqvTTI+4WOEhPRYreymacVwiSrsMc3SmYhoC0r+TU5EpwtaXJAHFUQsAQGYSH598yaMSXqhkm eVgBYXLOBqtTuAe5d980FKebH7pWpH+3yzWPtTcow0g4sJsVNJ0HOI/xdEfVl1clRvwXTQeSMjc1 FE50FGl4eeKcoJWvBwL8fK3lSPFw/0+V0I9Hm7oWNI/dl/8uiY/Rjg4JokBTEzFcFyN2LcIszZaA 0WnXSz7Nzi3oh7dVANZ2k3Nm4TrtF8kcciBHwCqnsYiSZSPHrAW5mavz5f+8B9HX7YhZbCaPDyvK srGUFm+57KEjLd37mS6gFGGFbbY00pK+6xEBf/jXkcPbCdv9S4cWYZpVyM1B/GRoh6N0aSbLJLU/ bfg5VdIt+r3JxezIBirAZeNU94zkp5duWQm5fkmDpm5eKq9y39fzFKCZoPwiyYmZ54VKVo05b02V ouax9s+PqejNJniJza/gLc3RSRiC3VYOYMHgM7OhRvuqX3+20f8AnmSA0iuSlzmwu4vCsE9Ye5kv lAK3vP24rbtcD7609K5Q/o1dNi6ZqSpzmtnc+KnbT19aSkCvwhjhNtaTL+xVnnfDTdaE7QEJyYLi 8orkqm0fMO9EJt1QTQUnKMJD0bH7QWZZdt3u+H1m0PDD8HMRH/G0WjACTKta1Pqri1Zl3iW7rDyV 5U3LHkTkpEJGb2EZA6n5z2y6tPEbaNoRuOOOmUcXMbFMsDO3j09bBkcAT6uU7RA/PI/geGZRSHSs LmrymBvq7Pej1GGRnpHINUa3YKJdd1JdfdsUzrzTZbUWd3tlBPXvgufCQzxaOL3dYF+L0x9rhgAs 9KwiQmyVfxV40QR1eCNPmm5V4w9tGBa0Rviu7cU30Q8Yn1vBfQHhkxOiiadbRfiJ4YJrdZnpiyFi 1/bZsGaR+xAxRXNLsZ5otrqeQJTzqnRHR2gI2khBZ/yogHG2geTVPFJBcTYrZ9JGHej4GrDcOFRG U2h/ReMT+LnMgF0q8/zubkwYM312R1bEwsXBzzamUBjvcKmNHL2W/Y+t26mX1Qb56gKNngrh7Vvu eubPYiQDKaFvaSJst8kG4Ps9sjiw5CSH8YcR9Gcb8Zmzx9m5ZsXS6ooLS5F3bl3nOgdCd4lfpdNJ OfBa9hvNcB6OVQzrbBpAoVSbqbninIaMXumCt5mxfqU+GHcQiDRadwnjlE3Hsl6TFJ0aPeEsju3A orW0gUhRy/eBLTEcLDViZkzN5fV6jBWPdFZRQCNSu5LX/UPPfoJwPV179yLsB0WoLiH9I8IabjAN oBQQl9i+1MR+N9mLs7UyqQW5Uw+JGuBDP8ambByHUO5qJFbdaScD2Por3/1Qppf5KJ/nfzOxJTev ec0GQ/urTewYNIqou6KiaInfWEVDDKKHZh3q6aUZphAx1qizskppX/cNn+dRR5pXfRkKPeJ8wWYY rEdsjp8XT8CBb04jLbifYlJDN1UXxHFJtxye6ppNYvQLxJN6Y9EAetnygGQNPH14gHf2busCcUKF ChColRg4JC+3SvraA8K7pmQnqtZnHu3OhRS6IctiHDK02I1PJRCRW2bseYXbgwwekoMinjVNAevF CgumOdG5RB3mfwcvMZTT/NNQTh2bW1zGvAynSiWdWr0ILhF8KwynGpt5cITUXmbeCTNMrxEy7gr5 bA+7ke8bYvnCMcCZmNjOZCCqL4X1zAP8/o3+JbHbAskUrelzwqxMYdB4DJXnxfL8zDYllgWjg9cp 7Ay8j4FE3s9Qvz+Y5BOqgTv/WwbflFkUtFyGO63UmmT9+ROsobcU6Deyd4qSwOFcOTmoD6orHFxd PfCT6VShuNshAsJTfWJPkz3ApJEUR2u2IUlfz5l73rGg4bgWtXblsiuSAOIOaShIFb99S8CrUp/E +vjdTxyVFouclk7BdlSnEl0uau3RH6EuiDBBk0aW2jSd8+WnhEsJ3EKbFfXRHm0qb+po7xhUWMpX atheW6rKoUVIg8UJM2HJ9y3Dq0mg9A/6iUvM9d+Mc1hEzTWNR5ZE8tFnT/YzIK8Gt15oV6F76aq3 udk+GRlzyYvCSUU1xElr5FXYYQf2Otl890B97XunjO0N1bdlhZJc4PG54pprRPCYJ31bm1gSJlqR dPHVFJpQr635GzdMqbBKjn5CqysWsbwHOtjkh4+1LFm34SW+DHEqYb4RR27R/XVxvwv+UYiaG0DC Yvzn3ww8MvJj1rS8f5o4mlM8RPGcwHk23olvhleUwjw3r6611kj/E6ghbV2hoco4LxW4BRo1teur lNOu8TILn8zWAZTtmhxCz57n1WKTw25qmJg51gIek9nyn/SYodiVLNouOBcgAo8o7rNdlwAWFaXA ZxKEQSe7t5kKG6pW5EH9569iSla8Z1g0A2mmUShtt/3sZE39tg9OQd134n+RsYgwPK1FwPjVBrFo mJgpoSe0AD/NM5esOiN4lC1JyXfPEVQ9qFfR0MQmtysg4z5H2sO9lpmNSIuFnrWLJ2o8HaWcIbye vt6nnN7O/HgZvFLz2wD8q8Fn39UfqdnKkY0D1H2Pq80BvUHlvC8oRuEtv3JP2R6oqIP9kObSwlfP ZeoXOOeEd81JRrMbrcBW8xbLZS6ZK2tsbOCpkeoG8c7JiZBoY512vo5W+f2y9TfMwe6jkZjrcCf9 dMLyYAp8nx0n9EPPnqF+1OREgzyEyEOlHcWFuSECVQs+lSt7dxGuOLo/6BtzXvt9nNXVYFRBoqVl 5LrZS3INElvTpNkgovJJEq67RFU0NKQGF0IU+eZFP05URjuMmhNTAdTaDLjiJwhnlDKPHlzTYxSM v36NBXFkvjGROCmbC3P3+v+JKWUc2EgcjSYbajPbw6TNMqItRmJd8bFoaS1EsRw1748AK58tRuen 02EOVG0FeieEKrFTNkaVfkTexk6TSpWVv/wk3bGC6cO7s1JS/LBMjOBIeb9DEWRsyveNcZ6i2eKE gRuGg192WwbSBanS7/RmT8NTmHL9pyCSl40seFVucDqerx+xu0d55so787PCTZ8eHeisvBHuorXR joFTHAqZ5GDA0nvKSP+Sbc2Zsl6WjH7DATYlneHuYGDnoi3ll1w88acTiDfaupz89iuwhDIAjaEV QIVpY5bbInATJb1TC1IMulO3UPV6CNciS3O4DW/KQ7nwa/N/1pAT3TofLtoy+Zyps6CO6EJP3U+U zjAVopI4Uq045IJOZtxs0RxDEE46kzOli1OE7mTEqOMU/PHHUPwzjNxoMU9OjmZP2aPI5L19o/WL 8dswLkU+NK7GFhzttHHrBJhpp8PHBtMNHUWB8F6F0ooh3Abf1huYVQGjutxr4daVbougNQ2DMoYs sECugJscFuwrBPZpi7Z2sId9h52k/BVNVht7Ec4mavgZ1fH3Of40gH6hjYazAi/K6601oFKN0jgI re3kUbWlc4IXf5YShpRJZRXYgHkZvoWSVl9BtCmpymlWo/0JKm8Q4T5eVY7Kf4cIGuH6tvGSOuUO lbRVTSgOiweCujjBO4Ncz+tDCxrItPtMpnKXVh6ggCQ1hpOKcqP96VoBvuEjuD8b+BhuDrTLuT82 aWgN7A/f8I/4vwJCA6dJj/97rCoSVwIbhnBwONVm0gGAyflVKxnIEH9rglrUfv2+8JWekVQ82X+t oWkebz7J6tE36a7g193vWw6ztDWBd3aSvWuKhnNlPjwTo4+jEJ6kQmYuL0cCv2QrLwb6hI6PHmed 7g7mlEwj206jBDWlSVrTpm6OW1Cg7hOljQRLLDRquf5lYKclKUrFLvITiHKNvaYWWQ4TB+e6TkjX c+TvdTqdS8fEYNZ38o7yg1ydiEhDGxaZudGeOPtZNSVpAC1IFAy4kzRw1/wheYAIGorwoK/YpYfY J2+sQUL/QdG4umMtIswNHEUgZNwtIg4yHYlvw2Zvh4avXZPujtJWkZE8RqyKF21cHmK1X5xmlKXV Gyk6TJDZa5RDGBG+1WvA7b2VJOpYQX/cfr6YrMEby90kwjToEdv0yJJLhaVkck7inxq5P58kEodM 1krueHkrM8ze4fxTx9Pelbwg90b+rKOGNwjCgW5TF2D436kkDnzeGtfNL1IVJPZvQuOhd0KfWgim Ne9S0WbQxMHCwnTFqumPjZBGcMA91CKPzUpizyGQX5cW0BzJe2RYVtUMRYjJHjGc+PI9S1S2ryQ9 h0QIo/i7V/FA6nxrJ8Cl8lAZEiTgQ+djvaZr0WBAoUxwr+VobO87rCqz4Eb4fPcu8U/U7ZKfVtix O00qiAIPp3HZEu8AvSmYGjoooarzZo56gVgfdXl+sfVG/TYyt0U/zaGu7mKQ8FvKTtAUhgVy8FU1 4B6Y2wDpcNYaz/jKraI1O5cOmwEgBnc9YWnAaZDXl4YVHplR6witQEvxAskjhV2UMysQZRpHTj0G o83XbN+0WTNjbqcrB79EgCD33XPiFi4uBHZJv/wde+59jVyobTToTSR8PIjmBMOXemeEIlHo3JzA Oszy4aXG7nsuOeL81ImpGcbJViJqiSWEAJadHlQIUtdNbapiWskRuBh9eYlztll6U9Tvs45JY6ny aLrUVthVFeuwh8/nP5IfGSGgipvbSobDXCAFTdjsS4a0xGPZl7/jOoKZHVz1WQk1C2ZTxVoSZWvq l56DcoyERzVpM+Rn7gt1s92zTp59xWAgZ8Bpq8t1c2xl8KEGrFkZEjpGbALjOiyizdWyOX5K6f+W tAt6wxOecqj1pkwNLamdClowjPvu7VHS0L9Y1nU6sG4ItaWkc/YbgqSi9xKhEuoq85wYfaMwsDdT 4AGapVEx10GMwCRklUVAO6cxL63HN7a90tCuCiY1rq2rzJ8S6lPaoi9Wk843jpgpRjZR+0m35v5U oZBObBAsqg/56kohI6hfVDKxTlGXHutWXj5vWd1lq8irY9YKqgtyf34mi91JXs7k6kuGOzhdrnOy 0wdkD51FdkIpkmMEKR+19TeSZNmwEKaiyNtX0WT3DIOlcN+HES6vdkI9/nqhSAZjs5NgtN4Yigt1 +sGRuubdgwpqrow7eFh/QlqzN5NNs8o9YQSpOR6JYBYCzC9ojNKQYS7dSSMd786scB5iZTtmt5Da VcN0/WDrADJOdUSCE1P4rS9umOEZYvsK0DPCaj1cPEW014+rzmZIkxxpAamwcIv1xW+Ad6TbTuJn i++4oNwZTQxjqyfzS2yGYtk/a4Tvvn3ba8QEjm2PlN48mCyNMM25AqrzHAJvi6ZKTPwbUoTICpUI 5wTCVZddHdONUNYEJqRNo8WJw6ip6m/QtmxX308pHZ6BQKWwKB/G0JD8dfJTfNChF6vuaKes8ppE dmZQBl2dtnqZD2HvNChZJtx9hdNS1nLiSnY7zR3EBKkHnZbYCrCE3xD+TcTwTOekHpegj8SiO928 SBl2QyZS+WC712tap5yz7P92AXuPKezfq0M3cPd7OeRwgxuFYbKNQpltI4I8bwMvwrdZ7U3fXWT+ WiPvrLw8pKWmeqho7wljNLGZzf4kUCk+K1uDt5KYkDvZ236WKf4b59PJ0xw/CjoL3qInBgmku4fu OrOTz+YvxOkMPVAWSznmioP9kC9NfbO26UWRgaAGbPxSb1Am1xYHOOQWvME3hQHnc/GqDmnXoUzn th1YuOcJSWqO9R3oWXCr0euc1+rQ2GFiizKP4ZWLjjsLRR6oRm8R1n04WZbimQwMN50l5fcOHFDf QG55Lox2lGOVD0gUdXdPnWn74l+UNlJGjqJcTX+BhNkuZlWTEZ/pcfNz5h296np4feJIw4DBoGE1 E0dJ4gOSuX/QN5afS/xMgIE0P8QmAK44FByk4tpdFM1FFWqKCFNn3w83OcsuVgqcvdNaS3+Vgppw S7G4hBpO0VfJ7Y68O5cS10BcEPj35NyB9agUtxEai6azP/Ty0znbZeOMqAEi7CFPIJRpUS2/hMrX 9vdUHRjE3NCcba9+3tebwlsDxNPvHXkTE19e4UTTapFo05YffHAy957gtKpbe71ejOMHIO2dBKOT UJR19CCx8GoAv/A5nlnfO0a5gcj4gTzedBornZKTXQIeO1+ZTewKieUJAffdjclX7NVqpHw44Xs6 nxmPIHO1EF13OImN+xYp9iobzPrJbGZyLCoz+mmn5dxcprhY1WhYGcnjAL7vL6jOyWLqTdp8Xy3A fHL2S1Fzj2xy+24ASr18UKlaquBPAablU75b7QRMDxYe3OToKk4YHdOUXVv8QMdq2REhrrMV0Dds fChyBYnQ/bvtgp7XFkGNFoqdOpcrN/zq+Dn53YpkYwOi20EUhe2vARq8mcctUkB7BojLMLh5sTyW hcExhxZ313Xjd8/fihi05hHYr0aYlqzn+KS3qQLC9t09r7COETu3FwUb4jGkBP6mY23GgGeZrjVI x5GVTv7izLIdTDG7kQmh2OVnmdln6FwmlTRsyRgId0Rz/KHNRk/3BLYu/Bk3F0UhduDaEBeaANHV yh9LHVCNGa0k9n8xlrI9jg9nO+jL/zO3ZRraGNXOgZIwPtVpxBfouc4TgJwNbxg01lhmQ8T6eA2q CwI5xN+lU9dMZ5+AqFYv3d45xf6qrKFCR8OFfec4DXeQNYN3ta1ef2gAdi6/OtcQEldVPBeYsD5r 5M8qzYjb9ZNCPEF7CfQJQ1vMA1mPWdhbhwviBAY7U0fJraOWSXfhD64ge8ZGCvpj0W1XrHcSxTi9 1+MpKcXnXSszK/S6fvbCBQ6zrIWxv5YM9pjKemnMk44Qnq6KSBCP5TRC4WXFDirgRZ4btCEj45sd Kdm1cjYAVuIV6dZf+vKKwQAFZcWKNZyuGd0/3rz+bYvyVwS9AiiufqSOS94Jmlqs0inSyQo1WVki QRB6Bgm2prdvItB6TVK0/r12zRw+lSo9/AjVzLsZCB8+KjfhCSNZyVS0wLWTH+ZsDpJYVp2nrY2Z ev0wmslWqX4NxiVMQqC2zvznF9YmdCcdDK4Du5m7bOqPstpKKM146v3Wd8VzmxY4NlBtKimbxAEq 9/ghRh/2sVHMeRufi4htPX1Y3GYIwetZX+/KXb5hy/pAiAWjfAYUPBtgL6RQrpM0TYRfeBCUsOVD 7Dxvsl01t1U6T9ch7JgOLuHuRQruWfeF5g5PZheP6jJSmYMpVc0/jAuj62SchqZppBg1+IRgd5Y6 s+RS573w6vf6EYBGqonatowY4h3fbURFNTF9joAcnLs1t7JTJBwQcoVEjQTxS/MJhqM+NscW8kTf SA+7JRsOufwVdtP3YCGkLw8qnHpi4zO38Bc/yP8SukNmdTqcJ0ikwZSafKgX7vVCIkZMM/kigZqo ffATX0jS+Wr7Ri7PpdLecxpTTBxLn7nloNg8bwVQtpglRDymV68J+0pmwoJDHApqEmPnACpNXqjB ooBdsARI33Mv63jNHZ3z9mEjwr3i0n5NJ6BWpk1pQ2AuyaI27kbFxs/7vj0J9FeXtRQH5iqL/eoO chPU0y3WbaYqL0o1tgCrD2am54FJYL1ZO0hPme4c/jw25mvzjq3tcFx+bt/VCTg1zxS2+P4jRbUN 8oRKQ0ogyqvsfTHQqpNPR56TFR/EppdiyjdB/x/tKZPlYMDpzGDHB0erJ2ecDLOcWTN+q2jEnnZn SAxQkBn7V8GTBF/4YMZV6UI1UU1GWfTQCgAmFx6ztK+ICTCprZfq6gIbWxKwkNZv6aHIf4BRBDGH NLXJy/fS4+mSYJ6jeZBqhctk/SQR2JGaJb27Ash3fZafltfXiuO24PrjOn4f/zJgklZFWNlEsnAo Pk3rKoh2gTi2QORCF1Qy6E7KTLPyTheCBJ+P2CgTeeOpEcdJHNgrbOrF8sFuDMyqHPR59CYVbuSn oRfxosZmIw2vuDX58tjUM0AK9yUg+7LCwS8RiLFhsw/kKx5ztl4dHsnGeA7buxk/OIEtABeRuN12 roDECxWIxefLMOoasiREb9OxXAu+7CBJQaA5cdEkFnlZxdY/uJ2Wr3Dis+LgwWn3nEmR17rDJGQR mBhLCSLIhLZ8BqcK8kbin5eSvYBE7SPNp6sEcU8fLorE2KWGTVZs2cGhh3zIootPSRqancwkUYLt /5VBPxBVHccygcmHYv2PxdXvIXu8PA/ti8uPFwVmcOR3qNN7+y3XKOiFcSqKhRdgea4Sfu+TTliX tZ8Xfpbni6Tt+n9pqrZgT0rrqVehbpqCF+oHoaS+fkAP14rPeQKXyyedKsc3ZRwOPwythH3cdNVn cuVaQRVEiBfFxUJ6pnXmyZ/tePT8tos2PoAQiMrp8qjItSNnWkf6eLhri3zYi0sGlXX4LU2wn/Oe kTIh+wJ6lrY0/RHhG1EhQn4TQTVAan1+mnhxeEF3qWw3UK549Dozc4y6cyyNx2t0p96g5KurJexS 1Oy6h3rRfUBloiY09aZaJMtKWPqnfZC5P4cM5+c3tBfSs7uPv58o3uINXl5kVwobx9NVuASlQkpt IXH0Pzn7YsaQHQ/qzRV4gPjXJCCR9Whjuc0X2Rdwozkb9LkQFXAqMfRv8wybJ2jOXcPO3QvVmN7s BHrC2rWZw3C29XG4FtnsL8Frxn3+ZphUgYYrl7lSRIj+NacRN66SjFJI5GAL/WHm+EDhPy3+zD68 xiTWw3lRWoPdGIbPPoNMqIa1RVPDTdmEXlt6POioMEgov7ba9XdHbEV+vVVLGgKUh9Aaxe9NSI4M bM+sVGC9VIF736lRlp9UaVSk+4xvTF5levM/+ybLSQ66h9uTuDjAIVER2U7SHJu39IV3yGg3zTDC YcwuxyZEpqk7mO/26CX0jCrDlFXBSEHQAe5yXdiqclat59H2ZxGVklzP79Fi2B4AhXueNBJ9Ulmx Nk5sNWcxOA9Q1NlCSBuXKEx0xeK5Cte/SGnDh01s0eiYyuNs49VRrqUocZrKQ7e3AxAh40LWEvVM CVZnz/LmopKm38syV8GD56ujoWhIyFgvYJ3XgPlQzyxz+e/o8qeQ1OgANdhl2ngEK3RYiFgKb8O2 L0lv9wqXiMGGpP5JtpeaTsgOdV5KOp5g6DMODy+raQUBnssIoa213kie2jvlZYpv7U6MwGWE1I9R V8I76gkXlh5cS6H2yuYaI61zC8HeV4xJc/okKFFWXgKiY3Xn0/MvDYAp91cwXZSmgGGHzzXl7k6S vwyrVv4nTaN5jmc0VM9gk9jvqRsvmt1N3X3L6fGIFzCWwfE3gtybrHCZnkey8/TzlqyMTnjpjcqx ZNXk5NvxoLwm0jzqxK87VINiR2PN/93RQdtd0oG6Rv4pipTtgQB78+iAoUE05f7bGuHoEtPQy3ey wK8aBRURK+JyCSA+26j9TwGXQ1HteHyaTDv1v/oRdXuVriUo7GQQaIKkhW/EJRdayCzBJ+9CkZku KdEQzY5HxvJbQbarTm1N2ItuAPnhthRIVrD3YWAwntxNHobRYCTSnifciRnny2iVWUPwRYOMUHOw WiTrn+wcByrpVYTfbMjKjMnAt88gFgghMx6Ih2KuASg4jQJZl3KAG1Xt8qLqevyksW5BMRZiyJYQ ZT27SFncTDZjbG0VYS7UfIyDCDEs0T7Ttas4RTXew+EMyMjxaGnUB1QTTVSwJv5UQfsTM9dgbUxr +wOgLeIWnXTwtizap1jMEeGc1b1tDyQhLhtNdv8Kjt/OUYKrfYstQKNy1CvQsnyeXqIjSR+Fn/rd himpDl3EhEUpR4JMWv42VQDAGH6CjJwDL1DCT72TZHfkBOjLG8fpwN74ypjCmokxi6d2x8KOp2ya iCz8PiWNJ8t/UmCTa306yGgT8FHkkMgsMY6vMkNtKyqigOxEnZrOdbAlDVM10k6+XfvngTX9+wLM 763cbLTi1tjDpEUwCj/2U+l+G136rjkuubwXJyJ3OsdFkUbC70YEQyw4vBfuBI13qiuDUgWxUiJY P8iRuRWxnFGqPQ0WgmkfUdxFzkflGkLDUlE9mtuUguUtRSb4/EPi9NTXdyiOQr0C7COy/Z59gCqj XO2v+F+9FCag3VNiqz6AOkn5Zm0V21CSrfwe1MDi+B1X+ozVnZ4TA7XrS5D1FPkJdlPrvtlZKZpm /UYnPbEnHtThQ9EM4kQdVQl87jKJEE4RgKDaQi+S29qAGsrjQRh9o37mML89O5Sk42OhcmVKzLNJ H6aRnPoZfQ2Tq9NtnaTeht2To23JFkIg3lIugynw1hXi883QD3mC9lv4hzSzOMu9FPhJnVY5axiM O4F74+AP4j3flRykqZrr8E30Zps8kF6RTxfysiTU2Tu9FFXSVam4QEINUAaPsurQves8NWTYxAd2 3vOGslTJpPeVUP5omnkepRVBNHcEQTXSMO5zhEoFnSmSK0q66ZZotBKcWDwC4SSTNAtAlDQkKIT3 nv0C83vIyxzw3XOFWlse9XNJITfba9o1gmo/3/uayLciMvLBC9IIydKCi0nisgZxYEUg0xnno6uc EhUIac7Ozz+GrDwL0iRjL/CA9pX09ZJ5+cq6iXqZyxSqXsX5TdzT2nX8D9QKGPBBVr8UJCoEyNPg Y5lr+aP23jQRm+imTnqGiXDbbVtk2kwJb1Ph82SOgeR6nKpyQfTppzT067SSL6Ykaa5viW7wxidU 8HTXLo/vAdBETLlLNzZK2YR3SlbDTiP+bhUoFWHwiYsET2gwcYNWTa/rTyVVnLhuNk8MBzVFafM9 A32zUzbj1Kp5640WaPLNS9i/8n8pI2hhTBzijcKh+HMLkd3wYt4QvvMPUmeTEDeO3fE9TJ3PnQ6G ouUFMPyijuqQx4NBWaeEEWOGnQjUP2Ct3P+meHtXK7BdccVN7GwTlojLUwrzFQrzUB9nU/1PlGlW YAgnftmp9X2DHckQp5TlAeX2cNqv5OVSngb5rJyk6daGVfZlKKXSN6A3GcwcdYCFfTwhV5GPI9mT fjX3Sthg5komEkrrB7DRUXZ5Spcx+pJSwpDMECspGI7dmmAls70whPJZIvJyAI6DsKLprDGpEnGb HtZENP0fdDnN45NHOJHPI7xMeAxSLNDYF8MpvfIioQAuLklK7fhNUm1bQs1MGS1c1JOh+IDNyyq+ bsN5tHJ1yN+CuCkDHC8oFvs/A8QMC58TZIec10UYzFWQU/Fz/06idFl1fWSe5CeVNYVLBZ0WU5XC LY8P0AhWiKKE/+Pia3wNPaxbonT4g4ptNTLfMwfqYeHEcX0AuHuVZvOShMOXmLwuIUZrxm1K+rQG ggUTvLGShogB8bLfEXB1tj6BuFAOOgoYC0MVk52h1ynICjIFPH/CjyONBud/nkGo1IafqGk9gZ2y yGZTIPBoK9wnrvSQ5QS4RJchUbGsX1Y/cHMWzQwzTAwThxdxD5s9PBJr8Z6WXpkdTmbv9y0b2VTs Z80Y2gQ5VXVSFFdlggDsadtpfghXHRn4EDd8yPvg0Qbur28okgAlOBi4LmZ4sE4GPm2uiVGXTr8N hJOxOx1LGc8eTxaclMdsE7NqECc9JcOW1aFgZDoaFp3pvr6DeNDMlylkIPe4R2eFRZADhGMXPG7Q mPYeJscoXK+kC+ZQbLEJXTZbyp4m4yMkD/DlnfTkQF2nfjCnMPOqBhbRJorGzuvyamtoZm6Ln4Yk 2CLsk3TjZELozfU8IAIp4PZ+ZZlse5T0G1yEo9aTuokSWoCCLwtWR9Icsrz252wPJ4EqsUBjetVw +/A/l1fx7/99ybfqD6nOd7ton6PdBtVwwI/IBJaH7Dipq8cyFLHSKhl+JsNGJuskRYbOl6hrv+25 9sEbUb3DE6c4CkAKfyEGShHAzbTCOumiNbQteH/CSsDoC97T1dpRpGnCoAjlX3QBctWB8UdtMUsj Mg+vyBpeijAUYGZKZJKJ7v6C/+5fEwEctpq67fzhNlOQF9JToltLekGatZrzR6wfAst0tH6TnbgS TFlptM6EJV4kYHl/34j6NV5+28cvIDUtKgKoYI/O9wGdv+HPkuUa/5OzehwfvKT34urUVz+E7dYS TmiCqJjka+chcjJ0CtGUXebmQa+X7eOU7vSdK4Y0YYUw45PZUTah63Dm2A/it4qgAA2dIPpGfuN4 5/c6oLEW5iq69G4IOwGcAfRQQ1r1B00wCbXfDxylwIKFPxeIlnYSsogfSmrvyYsAAquEOdNO5fFD +svkBSSJBnYhvZ4/RBYMVqicNBllPzZAfWFRIwK1BnhI4NQz+yFdUnDmebsN9srggDJGRNoVZo/h IeTQFt7uvxarJxsNEvjwxTMVbq7exnCsVvPYXD7VizZq0s4FV30DGtMNdua6J/fn6HV8+TTeQYfa ZGWYF+3r9GpdijHyHuE7vGphBAqS7knr0IPsn4mx4oVjfY2iQl0Wp39QrgvisojhBIjKMC9soOt+ Ejq7b/MpqcBQtOqnmLXNzglXdVtoRiHWMVNF5sABlz9kSaQH6tQdpDTAqvb+eIWJcfvZi26bSvxH FbDq9jbFlgMS3WclW3D3XQFdpQBHrYj7KVNimgqKekpeBeureXej09jSrKh4ZMq20R+ECl8A+tOF 4VI3wOHbyxF2vXjTq/WteIHRaW50xlxNV+so8IUFjTootqIUV2HT2TufNjsCvDaMXW+iXNso5oGL znpOHHaPDTimWqzR6k+dLV+iQxDTJHQKpUhAs39F7SLpY2XiE3+8mMIHzNfHl0k1fKsmiIlN2lhQ bOhMCzyt3c9RMsCapfsgXIFvqPSOkc9QrhdpbCUUP8cE87Kg766h+7o0gwsO3lKuKNITA4suOrW2 WQPomTrHUv++0HwW9M7HqSGYAFoG66x+vFGpzGsFye5BTqZhn+FKqO8G4oqLGu8Tv6wNHen5DC/c ODVgjOAZr/wJya55nVSrjAGagLUHVNi1GJCQjTKqTTMTjxG+2k4dcqgfH1VBC+johOOUy0eWn0Bz O7Usbs6TAcmZGM48Jfh7JHfnjvmJIn+xPdLv0OMX3nH1d+8qSLQ9rZwnbcbbwQuVFHh0vLx64S0z Vz6iynF3s0evN+qx2dhexZiDA3aTRVZXTFC+lQJkL3PbjeaHpZVgQyYT0Pb6krnyq2mprtYz4WF7 /fdRD5774v9SS/YtRHetcxvqT/xTrfncII97mSG4+/Q5hDPUKb9sKXm6ymythPsjNsPAF8uiJQpP UoWgQdeTKy+Oio2Cd47HNr8av3RS/9JY62Vbj+XGR/VrDiHaYCPH9AU3+UfHJgjePH2HXxR4Z+LC J+KSRaPteio98m9Kz02i0vG4ECyUgERHtO4D5aBTZn6efJQPyObJXYrYJ16fkyQgS3yK71JnURjt Mh6XDvQ6XqrvXY0UYWsr6CupLpubbU6aa1bp70cBYkMWjG/1sdG9GEZdl3N3HO427RfvgksfR5zG 4Zp0ZeEtkujeM8egVxS4mcQvTDPqTRtibTWJOtqSHdCrQ5Tqb6IvHdl416T8Q+sQ53rr2U2Ia6MZ YrGrKjpvodf/lnFoGDqPwFbu+3rOCqZZ7R9JaxxyAP8kiMN9oW3vC+Kn3+AJ1/rwb7zzqyFxbW5I u9P0GhOAPVxPBdgynJTdgObuKKkklZfuNRyUv0TgPUqoVXjX/NWAPJFwic4yUKcCJ9qWX/rjiq4P bVYgtf7s/rhx/8Zfg9L2GlST/Qh9RZZSNfzHlkYDa9K1yNkzNXzmA5fYBOIgY1+zof44Fsm1a/QC p8UatgpH7LWWmu9V3b4DjFWR6j6hRjoKVSKh2FsrRyQ7AHwk4zT2P1m/WKtiskSCX29EkNQMsObT kPVZjjK8od1qSPVT84tgkTwof3ZerRslRe/OdNye10mWJxgK3V/2ctFG3TbdqZ0waiwdGXDajSzm seeoNr4WJTL2J1Jf708LchIXIo9y0dG98rFcRZ+kaKoWXEWmB3gtqxmRV3Bu7mfOLA3y8WTjb8mb 4kyiAcHunaEObg+R7Syy6UjOWwlSAwByBVU81E64fwGok3vigI0loJICVil6SPw257iyxqQqxN6f jfecBYsZvwVeiFAJo0e6b7+s7tGgSMH7V/Z75mti/f5d8U97JT3x8NqiC6zuPw+IX4b3Ar5nr1xo 3UMJxotAJPpgt3b+ERzNxuVp/L2wk346JrmJ+pCa1iXpIv8BqSk4KMPe331QCWH1kcCBfwj6f3Ui YZdCu4Y3rY29P+BcodiHtasA7Z1ZGAbJsE2ZqInrMSZsST2QZQziJNQv68JXXpxuiZts+O726mDS nL/84H4S2aC/m2VfzARBNSZpLyFu2jDRZZ5TtaZMPeI1vFzG+dFowMREnr6+wdHbACphCrCFbT39 F2LyKzR6UqJPLWjqngscCMB3mvGDTRaaQhN3RcOyyAtehCWNBjnKvoe1SbItyhtlN9URXkcFSUHa TxO9zjnanhf86Cq3LkL4PZSXPBdKddYQjrm+vG0FTBwqDoHtVMCtkjIvOjh0wj7XUKGlWb/oTmvW ZlGqeuMaqtfh/bTKy7n0frCj9q4prISF4j9Xnhk7vaP8mbBOUvHdjeXTf9RKdn3u/3cA5nHAXfyg d2gRZTgKnw5HzLiVp7mz8Mw0XdZhLeu7KSKacMaT5ephAtwvaxHnF+OHRyZdUX3dtgsVwe6ubXNB tDsGcWqSyrTQ8kqOF8MF+5CgYXYzUlpe4OQ0ZI8injFmgzee0GPBDkYllLpQUd8UdrSO1Uqg8PQm 2+4E443KCEFaocT+gf14hEUYcHZTXRBYUw8DqmL592eSe/Yp1yBDC+09HL+XYrjDHrYqDK6HH+vt C0oz6iBB3wChXQiiStbZp/hlrdARprkUkjRmkyvJHfrxCWcEvdoKaH/vVf2nHho3xDH3qmm/aCLs 7CmDuq+TG1xhKbtNUQoEdXVwIrsOKFZ1peBletO9QENxFGD+X4ufppfA4aOMAxbqPqmyzoGsGZBD y1JsWVPJimCFjp4SdMwdeGo0WBA06LoKnIKCy9rp9ib0Uie6yNDaNi42ZJD3vRK7pDOEWhtFdS6E BgUeUfu3u3D0c9XN8fG4Am0vFQzu9FOAEEPDuwp2DA0h+170h7bJexMXpo/OmtUtk8tZ2bFeO+y2 Q5SRnkvuMwbIxucMRMzvDi/wh8nMjaCdUqDviW2JsMwbXqcRiPkfUpWkvqyIycfzNUjnJM9Jgd/5 /V4iXslQcjcF7G4xZfR4uhtUtlWFOiikaaACADgUWTP1e+UPBlnhVS54DkCe+PoSWkesJ82z2kv5 BrkSFytkNsOmK/sPyJxMbw39048jZbZcm/CUq1j/zAWB4QOlzwzlhiVEnazzNfY0QsdU6xzp40mI 3sH7J8wbFsu0nrpoKx0/Z6iy2HXTt5w0b72rQ4/xSwCl3x2VM4p3rnclJbT8mfGGx0l+flHXlrTr zy7t1IIG7WVidPVZA60dgeJwrVN6R4MfvwYlAaScfZ3QiRty0fdjJvpY256MLVaxGQ5trTl5eorb paWT6tAVK/4q6lGY2984nm9Sp+A2xwhDK8tZVPMk5Mu9BDe595dLzO5fr+S2acvsj+ISW6ih4qDg TEKWFbB9HQDtTVZ0xz/FuZJweRrU0Q6fHV66cX2X8L5dIrDE4vMQnqUPVbbgBc6ILyPRNt4FO4Xq SMFeByWw5YuJA34V+aFj6VggoFcQOSE65LExfnnEk1/oVg7zxadnQuEoRYorlxv65AI4f3M5/qPM 5obDgHQHFcpSlq7/YqweIPyg0ddO+uIMN0t8di15EOcOnIUWFgA02EEmF4L4WaVhBE+xJd7k/vvx 6N14IRRundKiHx5V5MWBgbPWoyItZHs0Nks0NIpoLQ1wKX317BiQhT8DtuHgi2MEhaFamLhmE7G+ H2XuV1UCn4GR2K9l4UNBj/akq+2gFqHYuY6HsgKZCcdf2PYFoaxdXTiD3HeVmq+vFeNFwafvZ3m1 9IS7qsiM8Gi8RlvEKO/CbyMLIQPS7YPC8Ah8I7131n3SePrZzqQIYHoHiZyl4jqZzNHKDJJDwYg4 1xThwI/vMuJE7IRFqbsCY7ZW8o4qD+DHoRuY2dx+b68eJnV6JsGHC/a65cD2mIkJ9lHOw4px9btf 0YrVRvesDVg0BLeBPNu41zncpQzFfLxMgzf2iHelLsHFNyI34VH03w/K82qY5sK+ZNttr1r7Bz28 DphC/cQ2KV1gDN1Xi6MemqRunt13JQwHyW5dU4E6cYP0cRHrH0mfPSxV2GddaM/8o1PoStXA+8qu 2+HXBKA2V8U/Ocd879XGLK6ihMVzM2Sm8Dfv6mHSqh906liudZeoVmeZXWb+Ht6R9YReDqKX4ilx KONt40XfKurFsb/h/eYsHNAtNv37WHmHo+WfzuF8yRn6jnd0lIc7ropZ3tTeHP2u4o4F8Db6e8Ov s77ljjWwi57TSQJbvV7wgm7Y8aJgGehH4UA1Q7K/O/HywWI9dbJghpIjQTLXLlpgs+7oT1tpnd5f WLMCRTH4NkAiM/HmsYGGOqsLP7d765/cmSQFHd/IHWfmNqejSq2VFpwFCJYxCRPpVa2KxH+8uFsu /dc7vEdw4hUL1pB8v5FS1dwAbLUgwSQqAe+6uCZewO/q+k9By7iKGi/5FV6XS+GJYoeyV3zRR0a1 sQgw8PG3SGQj9DIdjkB5fKO4gPtldNqP67rZ3kNDAy2W2TzPGGJr3A7BX8C/QvHfpsXeFGOBKlOC dDrETAT+Ss8lMqJ6ZGs6FAhJgZHlVC7GmQ4x/OvbfIiWT6OSuJnQ/JakPLqxl0dwY5bnJyw0ottr TKocODDTkI+a9GG3V4o8ui/nxSrZ/PVI3NvH+LokwNPt2haSMqbsGAs3PaOUMkPKkkwwgrrYXT/t J0E+vhtp7SDuIW+bN1Ip1VmFQJ8UxAv431SAoV5P66Bvf+Y/Am5fDahBlqjJp8txqWpUfmrSNHsT 7e/n6P3NVYZ8YlNt0iWA40N5n/+3/rduuBUssZvds2fHt7FfnpdHGOTF3yRV0KMknHrXscyp9qTj dBBzUomc0f02RTuhCil09BZvBRSsT3DvxpcxODXGRtu1CKVLORLLNL2CukaR06J2Jiv35J6N4TBr VJ9xmKNjMxR2pQlri47cr6BwPJ5CVxahPdDeAJphnE6FpCaGmwGj7bVkNoYhrRtj4HC4UPsRfSe1 VfpwY8y6ByIE44JURO24QwZN7lvLRs8HAizoaHQGpk9fj0YtA7VYGl2hUOBTPW0lzmY/ghGuwJHR 3l0Pjq/L4rAn3Qte/ihv7x9JY4rN9xabvL5bQnsj90rXDd8MaFZfRG0IUWxyiRuL8KsdlrwtaiKL KDpyEyaUuR10m6H4tTqkqrKrhZ5Wz10kmbZ7cYZuKN0NeCqTs2YkmtEbO5O6032itATc0wBFzRHy yJKWKSQ8CD0RYwQmkUtDLiQN5HtFlXxLOBEZLYAc2N6hnDKoJQiGfCafdUC8U0VXhhwTGbHfr+KL wXMpCBo1wN1Ii4cbMavdvBr1Q7z8lTz+uPYHFyUzriYPIt0tdqLno72b0/3qebqLjM0qIPYZqoDb Oi6NyTedXNTcF4mPSaWyq1oQ/uaYVGbFHiake7D/mq7pGpvp13eYW0JP9jmgq8Rs6x45r69YTdhk 5zPa+Q40aMdvMafgZIns2qHzZHxShxcxfS0QPv3ItlZy1ELUzZEGnhB0vbLCaGKbGXPF+BC9ZfUE BXawQrhPfszTLVcCYmvSGSJDIUH8JotIF7T2duerQEIWvTEqIskvLnLdcAzlZzEBZsMQ82xC5Wdk UcWmPs9p8aRugzSVeJ+t/QqH1LWG5k2lZsdNfyIVNkcYKIYKkMsAVBscbD2q3DKMze/ZeY/RhvPZ xwijCH1SktguMsiaI5lUO0aIVSo6H06Aen35Us2Cl0Syk44lV3meBNC+Ki75N+8q8KTXtkT/9Aa1 e8gZXdjeg21WiU/xKzjVIlFZyrvNmxKZ6FBoM9psnaA2neQYuwHMcfidBFzG74zfswuv9hKKxiYC VzX81fwcl3MxFJcGjSAsNc8XcYX0jJxnYVYZwlvn561xIAdzx1vVE4nidEYkOR4gQj2SSfKc2g0+ wBVnz+jMO83pYhTg0cFJAfcXElaKd40dsqqzegN7Fz07lvWXl19/KiQqzLGa4Fb6OKNFkRuDMhdA hfQPWV47CA+ubTueUGkswevkLmDo5nVxvAgIPv7ex3sBgZwf9gLTblhV++Npy525E4JT8HobQhf5 oizpykMq2Bh76ucRf91TLZKokAkdy+R3/2pEu17Uo/vsHMpGCAlPZXYS8iL2U4ixc7GjvjG4kKXz vFU2eKrivTekcmAFOI62PcbggZOhyP4N/UMqw7cpzrjlnmMPx4Xa0TuZ65OQ4cfUXU/gn2qQfGPp rjkpYagAGa1WVYpF1HV+6IbtpCP+9ST7X138a7dZTWvqthvKNd8wuOYJ9onRTyNKJGHlv0n9HhEo Z/BeaTeCAyi0mWDZiC3ARyYoyccvyo/q/CK+FsbEJOacgYrRrNRKdHhw6H+ej8DuPDFpg5FY+j4b PMXo+QRjogbbjco+B4v3igV/UZH978YwieyOYizJZkP4vHTJY4oLWPj0DtGw9FcMuOamvW7NBQr6 /iCGYc0gPHt9p7x2GaMg7q4Px5H/4a+dPlMDXCjwAtt6Jy12EBrLUCf+CSeQT6f7/wxwg7ywrHM2 sm+nCEF5/JBwwWXS/0JhTkKLTKiDnvNqGzQMpKdVpoEMZc9zH7RAYmZ+qucEpG4ecKY/b2IJxJRi EshPa5a3LVlFxX5g0vE9hzi85XK6fdQJDCTUACt7xnDzlyjDBlAQCM0mXs4OChf0sNr/eVKUAHge Zp2yl1GtvtMEyt8CsBBOzzeXP8vBcoW2jsXnuG5PSstabX1hYVlH+w0E/YSa1ZnkYQNcQ2Uoc8tM SAiIEmhuWgA6xGLmy+kxg29C6usV1is/H5zFHMH9uRIYgrMc6LS4IQwA0cKTuYVEL/3SCcoHXeQW kBzRyHrplF4xGuJM8c4VSo/6BlQbzlClN+12GU0YE0FTeAinfSE/n0cRuBP5Jz+KAvOPeKSELQty cHJOLm0aimmKAUOBsfiTP60Spku8SzlCgsUhqohlMwIxgRC6V41vtABpbJ1QHMMwPbaYRVuti8f9 lSLmnvIGcaXfwl73sb8S8ReFvb5HLtRU1Ia6RqOWcoLtjHSpXs/av6+xQYWY2Jcvh8POov8cGhNQ xEdZz+QlIOKz3IGhAm2yl0BCDWEkUuIwnzui647/9dk6JHYVDvsgT0sAkEbew7FN8AYfvOYhXNbd 9AEhzfp1vDacbsDdLzDLozTWth2HwDwK/ZJVEVeGwO4d3QrPLhRTbnJeQmmsLDQcXBUHQyCJ5Zrb FJ2xcx16fpFtqgMUGg26uHoroYRcrbXy9oBDtEm4xWazITuYl7CUKaSqbMSPdcKR/cOYu3m6IGDB ul4MsS4NSoXJw3H9lis5xZXzoubio6VGGr6BGPLPZD03cdpfdRnK2e5j9AiAWHlfJdeyMMWPooWc DVDGzEfezhaEYKBa3YiUPfY1RbyJHms8IiW/eNcfRzw1L2KkDhVYnBj52dXaro0IitiQ9cAcNuBp xNciQDqXEt7VKu4WRHBCo/BWjLFPHZwSeV8f19gYevga7nNq/3otFT0xZQvPb0wyCI04F9vOhwPD WD5rokYC3yhADV7PkDA3/wlrN2mhMR9/qS0RRxTmv0JlVo7Xyl/chomAT3/IF2znFZMgfLNTaYE8 Y/9ty58bPfAaJ0Kuihki6qviFgP9u6CrmVXqdxk5fBnZsR/MZf6lPfNnxRGA/h58Yx/pgsEcOswZ 37N1Hjfcml8+jo+yRAPsBSR5xXnj2OqPAW+SBqchUDO5J1AROUBihZCVRXSDI/W/ZckEOfVjfeEc dzKRYT3gjiyA79ClY6dVOmcetjpY6inzqbFWTleSHRa0mfx4YWpn0Hui9pLAqAFs6VMK4KRsvaMo FR9FEmxb+OkvuNAlsg8vLNbvQDqe0kaLxh/nPraGU917Gf6nbpmn0ZvTX/0TtsUgmvoySKwjDHK4 74It2HiNXKxigrhd2c13Cx4lxyA3kBeco1sfTkKd3ozWwUaf2DDgVXDSa3W9HKyHNqwsl0yPMWCc gQ1+vsL+cRWOvwllkYS3AbsnFku7KlCVeE/sI+Cd+/WmoQOJZcJHYRnh6MHr9AShJLF4oGQv24s1 vNrnJPjOIWi8ENr2UeCYqfwxBy9CpButTdvJYsUCeDVPBu9hx7kj78+JZyYdpt797BGh/e/04kHA U5slD1It0z2bPQruFNsrSbWmMzCgKMz9nwIVy/IxIkMkNVIon396XcbLsr9KIhKX6zL35vBQ0wh0 qTKb9d+fI1ZGRJyV45YpqYsCAOObRUk+LQJJ9SXsSjiZN3NtWhEyYpHkSt2ELWye7JwurnCcKQhn kcqwuQuFIoB7RHUp2jQibkId3HUjViu8igzjF7ZcHfjHwhuTDvioxHzQFzMZiivTZP00l/nx+8y+ Y/o+HkNeUyBdpifzppuY/+AefeYv4kD/4KwpHDZWDteJKNZT/yDMzQKGDhd/HWGp1tOYaI+SVF1j GDVGUjzruvvSSJMBCf37qN3csW2a69l3es1DFEQ7Hb+c9IcNP6wDefVGN5FN/fAXguhNDIq9TUV1 tDoYjwXEyad+NeTIvZCThFVVZZxMAAo8gMIk7EGOlytw3/uj/w89UOUnF3hd04VvoocliHo3Cm9E 93m0WU4K0yWpdwaCtLT7IrU+LhQT1Iz7Qxt9cVqItx7lW/nKGnkvI/tvOwPo+Acxf04OX8iLSnJv XIIWv19YS1yV6D28gy6A0dw3Z6uLbBrv3N0eYDK+eIsCp0Gjv1SUN5XHlVsZjgndGN/5U3YMSH8r /b9MYuGgM9FpM5WLqnOdV8hDW4FQGB8TUrSEpQbpj6H9HOYX+Mkgky6rrWkLW9Ify58supdn/Izp 1f7X3BIiscfNUeOmTgqPorSccHlO/MTJdOCcEHKyrIR6GZYvtLCZ3uO8Lyxro4kUfbtoFm3ixTEM dxRjZA2FZmjnsFyXI5C76qVWo0RHF72Hjt+p5+2fNAESr4n4S9RQ+ZV+DbR28k7TPrrVcCrVS7hT Two0dfm+ltSndVh2XspQZK+8PvJanrwaZ581IWYdxMEpbXaiJteabLzFkvyyo/1ONAriFeJ/WJi5 NGHdUvDkA1blt8wCS6lpDiN595lm1a+pZrjFnnBa12xE9xrgsCObkZATXcYNOWy6tXgjiMaR/HEz tv14QCL9Uj6nLiFIiJXDXAxHQeETm53MFnUvHmo+m0omuxWqFcWRmng8bkR4Z8PB3za0N4y4rx57 nmTLCsOBVY0Wg71BMvhuBvReLEi5iMYZVd7gjI4wXMFfRrMAv6wxqjqsDOiCC2UjcBt3zkxAIB3l hBOEVqb+NDAzCTmGANNYfBMgZAYVWWI+IeVoJ3tvliYEtGUqk1Nr9o4KC9oASjCAB0MRI5JrRjvs AtO8R/qq7+4AUbn0+8hYpkXUJS/OlaUgytraYlPykQg/P/zVkWvC0fyj0r/NoSAw//AmZJk8djQk e69qrf3kig4u7Kfnwe2GvAnJKEn9bwJjixFsJbbkocpDzD2wVBMjw+KIgT/BbilfxwbNDgT8pGGJ CIZiJLxn6/qqXceGkgqEbYFdicy2mdYXcCUaSQaYlzkOgRsFaLwfbs3BMaWsFkbAbCWSx6JcxgQU Q0IbLCQfD3LpO3SKRM4uVe4QkhOzPHI0XUOVlF6HHcIGoDmr8myHzcQWLWtSjqKds4y2Ml1Gp10i /SeWM/1+aRqXEbIUh/sTF7dtzudX3dWzQM4VKaGD66OZZCJfDzblMgCurmfcsaMtzTXbs2n8hSr0 piB3Hqvc3HG/3wLbSDY+YWANJ4CgqIhwW03z0QA4G3eOVJGLNv5zkqaUNpHLUA+WOLkW8WUGe09p GAhU5Evda8tzhOW3a+LijEcCbBYZboFtg/Zl127m/+tcdChl4yLkS4bSCpEZoAS4wicA7vTUreI/ dNCRdPipu/peygGXZYYzbWp84WSb3u2wFgQi8VDp8vUrkPMQxKt6gAadQJrr9MUPXNQwG2Nv3VZ9 UzvwLBXbjQQ2KcpmXCp39Z2nc2UWAsfv9uekq3S2Sv/uJlBMzKoh0ND1lRzm+3/MFv+Wmv4GmN47 1y3G9aj6oGuiG+Q3QQ1mASRfFfYwZIt1/lekh4yLyjYSfsNTB1EVufZ3H1rkOgroLvfyDtC5Npr0 i+0AWpURlk7ao5OndJk19MkNCDQTo5mdzUYLfjwF3RiKc9gyj6vINIR9xWzFweIftNlNT91pdKXm w6LLo06ucgvHsVNNsp84xM8SbNnVGzxugjQWIdM17d3o9UIrn3mGoUdgLVee+6Y5RhGHOt12ITLM 79lmwdyRWFJZtti54Q8uyLQpfve/ZazTWVC7Qvac/tQP4IFlN/sLnzkh8J/7YF4Pv75XWjY5Je2n QmyTZxkR4kTE4AS3BWoai5cbRUwN7Hxm8tPDnZR2Y1fPzvNRwoW2ntjdHJGv1Ti6JewCFhwgH8jD hWssyqHCkGXFhtEXDTIuo9YgWfIgQHff03Rv3m9UyGAa43UBX+iXJ1xfjLWjCD0/zaEgQHScyxY+ mhIs5TDb47H5peUHecUQwRR8QNxPhJeddIBtzH4HD4OY7cGEUxAopwdFx68lyvjna9gaN0X8wU7x Km7PRKUy7deUQjKpcff8KQs5UU9X9hbzI+x+yH4+P6VRknFNzeYBkhjdU/b/PjgyIpxDRRM0L5Qu kZV4r1U1o2HXhWFcyjjgxXTifKz05Z+E74WOdnYFFBGnRWIVOY9RXd9K3DEsl0xz7frwGa37ZclW 5UXjxb9U9bRSUSdSCutX20bBqLbIoCDpCfdnqFO80RPyDR5iillDFjtbArCK8IjihDt+QRHW2Ilz iez0QR0i5ExYLYZhVM/BDQ0hgmqQAdor2A9upLSzbeoQXOwN0HNz9omTfsIDVl9T7qfkG0wxp2dZ Nwh3TOSMdegJdw9OzW7mtLbXhEEzqmBuuFAjOSCkwpqCsEN2RYmKYrmAmJFqO2bxZTIqg7+pGxSU /K4IbX13VMsSskzG4rsNC6I7+wREsXkYO2TnHfGxlZcXA/5g8DDu+UOiAi2gAaIW4MzVpzUJ6o72 /M8cgrOgsFlfQSIi19/qhq1l3KFAJ0uNKbsIPUD5GWVA9/dJmvXFTAn1PA3KN5CJU9hDilQ9yAT2 52A1aGWfeU33opRve/ADo9xBc8y3sBGSsS3LL4cUQ2qM/q1/lYHF6jA/G3JNxYy77LH3+x8E4Uiv kNKeNI4s+RiJY79hc5iJmfHly6UK1oc2FPlt+lyHpzA2V6zCKmezsk2N/BeekXBO1tDDNucEI0s5 H3Z+cmcuMDZVmNaex1SRRCfG2189vK1kkxQrRCIu6PQEFeHsUYpBm/JIISKymu6oLZxFbEo/KEff cM/yRjub6o91jxHw13oNrGoYKbsUzSk/7aao2hOTvwZAFj3ChLEjKXKGHV9nV12//oWlu4MyHKb9 g0+MQc3bYoLjXv2KNao+LdsuV8nv5pP7YBGIY/cwEe+NMz6/aUzM5M1TkmkLI3Y4l9QI6HMoVRLw mTUj64P7WXxTvcYl+fSm0BZJSo5vVSER+V6mS5aaA7xfUcKePvKupduPmSUueK62hH73V2tAkyu3 rnyMKPguWdzVXE6B42GCwYfu4Q2g9YOJ7kpPrpqfKm9WNFGuOAcXysBlMea5pdalLE2SsA9HQo/Y mDBFiXJLd5KR0jP+NDKu/N6u/ZYH7H0/sM1lWn2wWUoSVvYHwR4Fj+aZbEeJS/m7Lx1A2H0yHEj1 6N230CbRraJMJmKxg8u3GBmgmxruS6pdtp1pno2/t0PACPR/XE2Am8QSWYQ6oO2ceCnXadVwxu6z IOPYfXitiB3684CzzWek/daSnLbtvG+lpDOyoioIph3I9PY35pD1sJyg97cINaoqzxli2P91nE0K F7eTjsLCCTLPgMa5AXhoMkJ5OSHsInM1RCh2qI1P0IZWCkeOWKrO0YHFDK9oBd2un+h1lWpqfHA9 L1omUYb4pWxsY6mTnVxH4ktAq01c2mQKtCLwhAU9DcWaoeCx+IHX81pg0GY1+82f9/STdkIDr2P1 9I0W+7YXme8St14c7I8s6pXt4Hsqg9Axl1Rf28o5q3rqxJuoSYFOU4LvRhc9+F/PmkdkKll0AAsf Ls0D4yAlburtr33dWNtrX1ft6UzgiMPkt6KZJ0E2BDx1WRd8lLMbrhTyjRseYwScT3KC+xLGlF6z WJWnP/A1Z9gPAzag1FhSv2jvbsGIE1m4aQkLU/XAYaRuFPL6IiIhYmMFbRlbiTp3ON25jYLyqz6o piolI7LFfAjwMHFuPNqluIfTRnnFwQ6VyONi92XBJ2pi+X4JGdnYzlrBL1UlDbHXNQtOMh97TiRT X/agL7vqfGXBo2C8l2mBWsYdhbOFco27l/FULUlVCmRKr38X2smPtWkYtExMpqZdfSHU80ChZYNF rqc7TNHsP6g7kPc4lobidsXX0VnZ6zu3opuQjaSx7LNDOnPd2FTs200WBxQkiCurWNB1GrIUzqZX FHQyItMQys4td1Sm+5T9B/qyhnWpPNdNMmBzX70IKVkOTRDDPDt5ouxX+FnXCDLhsx5HadtOSmQf WLSP9QkTNVHJjDwLjiUqFvUD6vhGpNBTnE69khYaINPoYFFsSRv+tmzpzz5iVGg/auk9ZiwPuxWZ f/QejfbQxYSbXbfSRRu6fg2Od7BsjThj0yfSVs+tT1u6gmvRQJAqZnuHEGj82AL6l1qH/wEVmqeg cZ4KNldlufDEZjW8hr6PSHKgaHle9TWyE1lp04JhWluYqHXFR99p7s6WhnfXREKBC08KJmRouAQR H4wjMPSmJSOL/+OvZOPD3intFFzOJswCfeqY/eecPuKL96rILOHyo4iB9e5gTlvyAafmDmVCCyJP pLBV1TtU++rI/QexAhTu4HXSlyBjHCEThzlR4PgADao+mHI1Ueyq5DqQAkyYKvWV4MxdWLq06Or1 guWXqmFkFBoYRJE8JMz2qjHp5LQ4504MIqIT3ZBp8weeN6MsXDR0sDY+6GN4xOI6urn7Y7KERqCN Hz5SYIqRt3wSG8z40xvQ5rknhCi57gIgSGLSuu/RMk/EkVeTpznNqJvSzWdU4b/dMUGeCBt96mma qtOy/ixQKi7icChWFhxyy7LAtDkD9CsFWlh81W9ovYePQsphCSiDuD++xE5eyL9lk9zgK42NErMR JGxPpwg51G85AECRV4kHk/5RfMPqgI+hpcG1GOgE4TfO1O7WNvfDiFUwUPtEgC4DQfmLXud+yHpT op4qVhgj4ZOsHrurwzOMn7ZIVpm44dVN3xvkK3ewZrvFL+Takv7kkYnmvhlGE3IZw8oBAnR8JGQl BYbbeGvrtExTWr+0r8vAMbUnoGV6/UbyDFQx5HlIgv6GdXUgy4Sh+exnCoVFC3ll6VEHsiJMYa2N t6PWXpTN8FUV47BexeB5/tejgEfLwsp2W7MYPokdrOpsQaQNo+SYMQYpTSBI7Pw+g/OCXASkoYti kvYUZS2LlUjfP/YpWPVjx6JHUqvCd+DJR7wdrrg/sLdrS9sfixVGltaKlv8VVD+ogLPWDHYmJMKZ Jw3OUSG0ShQlnBsqtmVD/fMbuTPhBAzygBfs+uuNBfBiNccIzkYkaqbZQEPZHRq8O6Z6MzDjpIl9 a0Oz80iR9QVCVddUlazS6/gRqo4cWOA7iRhRDgUv5CA3Z2qBYXkFPD2fiTOKUmBRvKrzux51QdTC cQ5tMS2IRLLi9xuTO1o/HJMYUGOElHVCIHaZUvtmTBxURFKh1fcL1ljeu5f4SdgNsL0+bfVKiTGp 4Y1DIfBZexqGZlBY4crlq8G5U5BaOh02FiJhSEq1PtLHcZHi+9WAj/WsM0UN85V5mm8dx8DRuUS9 1n4Ep2K7Mvue59s3r5lkH7CpioV2MMJ/qP5qIQUH0mUZvuRiPEcYMfkp3dt2NoCILATeTJtufY7j 9o0SczMLg1Fp4lquH8e/XvlAX2ZcRa+IbtSe2mb5JfMSXyqBsdExk+MBFEx8W3BCPmjvfjPOH7G4 rwb48qbH0+KR0OyiZl7i4ZbZo1I+0nBAXBvnU3KbvTyd4CyiXHaflFEWYGL53A9hW53kvxQLhrN4 XSUChqvqfbx/Y2EwiuUWvx4j2MQZEcaccchh/F8hoN9SHhimaUVyaAdL0n9pc1Bs5vyF06b2BjKy UOo6qHGdN1YkUPZr4eHR2XPSw3yuRAPUE5bW3mVb2SqZs9F2L3dpBgtuvZ2+wvV62MM4/wDoRC8Q 3hZv2fLb9FkS0wga7YxmTwvwDlNu+OWiH8bG5AwjQDzhLpH06XwecVCS8MQrCAc0maBPC8janUMz swMDTuSFz94IvkpcgtwOXeVS+EjG8gTk24dN8T8l5DA0bOfgqUjo7LIC9JGr2ErdpZ2X1W4jqJ8a MellNDe5G+twUPnnYcHX6MJI1kJyR+OBxbu10hzEm04rZ7GJ2PASkflYsquE1b11+5KDMnDcaRxj qC1zq1CYcT/S/wNyk7bIej44pT3tcVBMwSTW0MMmjtPZQ0QCzr0glLYz6tUqARjhesSd1VKmH0nf Zn6eHSvR8yfiO37+M4vqJDRhnBn5Fu55jAQwCKlR/s7SvehkecSV+SmQvLxXWsTYBa1iETuGIM24 rn0AwnbSIcd5bHYo3WKaPJxAIr3O+t2eMDy3PJZ8+lMTvoqyK5oitz+OmESDV6mgu0GM6lzO6zob B2wOU+xDz6GzrlXJ7DLaCIxIdlbDmhrEsx1OAWYBjx3r2D8yAtUxFkv7YA7sU0ySxq0P0fJzb+db HPV0RNAIFRPv0lQo9skhQV6WmRaadh85/mIHLvCQ3CT6cFyx5nB2MZybWeP/+dCZxaj3UEq0ra1S mDDWzbcxMErMh4ddwUtsUdqsZRSYRlmBaebAdAHBqrd3kr3lWfiM5fEVSFI08M6Ntw3Zfo8Ej3Wv 6VJ/T2Nx9U1t1g99+Fg5CRUGUaRR/CdJulcHrGzaypL1ewn/UDiKkiiMJWR43BhuFw8YOn3vJuQx PiObp5LL6JboF2guNp0qiS9Qn216iUZ11MDpmYSykl3fUoypQJtkNKFP3uNYQyXAOAV26qirI3Z6 ayZ9OUFB2fNYjS4kE+9EwxF5Py80LjEqAPVLiY55eV1Tij8UbAa+BSx8sGdB2HRCqwmwAi0dMJLb 7U3uaYqy2XYx41lIB4dpsyRh1WCHqx0s0w/cagExxHUO0qyggzEf5uLcLwwIB486izoS/FJse/rj DyIfhQvLQeTFb1Dj7gRksrHlD4wFfOE6q1hMSQAUaaHCFO4lWdLCJX2apCBB72+Nxqcz7Bail2iU Qgn2xEiVya59v21myr9LL0jEyO/H8r6S9+yk1WbDpSXfuyLCjTp7qemWoXAF4syscOzyvxhaED6g 2Lo0j0yKbpLHikGcRdkq9efR+xKiJ9YB+Fuof02iBuqQCaUUTCMKi/4rp9waisNnWHa4UIbKdqTT G/PV66/BmDe4bbKVJjayfAXE3b/wpJip6why0j/nJMtLZuGx26O6NtO9PlSccEQFY/53B3DeceEA zq96cnFGph4KTiwCt2W6ODHer3qLI7KhKa+d6bCbyaP3Z8WdHs4oodqxG7zJn0fd0AAgRG/8x4tb dghzRS0XgQH9G00fEz2qlnpIy4y+y6ZrrSIr9y6XB8MdRtaTm52jHIofANhZswQQPlZaTZjFnqtk wLHt3mzxKVRpD00T0GuD+XkInrAvEk2J85NcKw93e8uhnD1gzb94wqK28ChmtgeyM8zaMGrAzvCS 5XVsM9g9V6hRaxI1SBslZO3XvPsuZgRaOBiyecWbT0unswSVjSbG1v0YeWlTX15ldEMud0vs5acl aUGEfywj8Y3syepUvZylMW6Qtn0j7HDyZNA0Kww60M5v4U08Y31zSJNoebQGvYvIaOUOhC+6UgAI 1xLNzSDY+Ea6iKV7GY/V7w7kyB8A8ecZskbcI3qwMJAe2ck3QblL52Gv/yI3AiUBUTD5/bT6ocak 5cwGWFTVSYEi8pWTBpdHeuaCfkRzPUyuOoHyb9L9DCOTrX+MkWN7J/d1KuSoKsxc0PLPqcvKcIoP h/4d5Vk6Jnz9l8lYhSNdXT5L612v+NmwuDowqZqBrzMkP2TWs6lUVtFjS2nV0QRsUdqS0aGNQYO5 usBdg3LJkx45ga35e6iwxSaHvUF0Y48NZASt2X0Uv3uS9CXR0DVDTyp3782NBC/Y7G6OXd7yQX7o Dszc4MUnoCXCdwbVZ+f0PBvol/Nk0DNL8Sx4BOBVLheta2v1UvYSqUVKR8wIC1cjorSiAqKvDNbe c8oAzXyhYorBlC7CW7eUFoxqfk8EyL69jJmRhokX+jseQK448+7//2ovOkEboxcEmHTzah45EkpX yCAt3rkxXLI/bDUKVCMyzM3ibJ8bRCcCg7dyytKAylNYPw2ETK8AH9E8sSn41HpZsJgmsET7KZfU 2NBNDUr8Ld6cEAWeWHoNNGaSzZK4H87VuuEhTf48Pk55vSGcplgIsO6lxmHaOwLEYv8mf2WvQrWC p1FpOhUv8CBJOXnfonnburBgHNURAbHGKMdytIv33Lj0MgPj1ERiSDlVAt7RRUpH43WPuTUG/07F VcCzwXhbOZCF98LGlFD47Vt5jP/Xm2h1brWZJTZXITmKfcjQWJLSSe7bwlqcI3XEzLmTIdO7Znga ddoF0m93QEu5l6d9g7rBdptAwHtKAvIlqqnqSupBfX2IThHeUUHvuwYFsi/7cejnmrWsLuJr62yq dmowO+qK974UC3obNpFK2tvC3Tcga12tTZb2wz+KW8IkHkQWiYXe+HjBmMPLHViO1qTUaVnH1nGT BYFPOqKBpYqv2Qu32jhzxO/zdHIqRznr1Fr4LBqJnC0R+UmgiBjeQ+ZGOH7iDir3qISDCTBrVP66 HEnTPFdMDo0QS2G0I+lQf8mANXruQ1QDA6tkVPy2kgkSH5NRTYMY3ejACmwqIb1x2XUUW2Z6nRSv feeU5z1wZ3iGhFgaau+hV1/tuLunQ/eMfMtZaJAo308ad1sa0a98l1kiek57JC1h08Ow/4eIAPuR pdAjRkp7b3sJEtdolFdj21SBBlJqQQHVALouOULcAGSUTiVI1F8jr8PBDlTplNfIfpdIYI9MCuGm 78f5lXelFoqTFrJF/Sn+/xSZmWA7CrQjfu2GFhHHPzo5VarWaFj93v0Ax8LWVFqm1Fl7mH08b8OA RvITedwvpj3uqQ/cQ62tsmFqH5xEt+3Z+wN5HKeeS45BbHlZhvtUNmqzOf9cCW+4gCG+ZetSaDpV Kc37QzzQzfGc7+1NeWAsf4perznEbIzyAe4YiXicq7j2c8nwQmAZMdK5E6WQJZJ1Ps7jJQivs/G3 8AgEH7KGCsGsboyGiTlQa4twiLDSg8wxQ6SrjNO1RMJuAQIXEI3p8p/Pu25+panZAoV/n4L7vtWH bF249L/U/uSv9fc7tcRUOGc/lNd72EMbDRD9l/7orAg8vPudEii16yh6mY3Ig19mTQ02ZL8D5hZr c6qZYIYeVwM6GV6YSG7oe0K2Nw917bx6+9faYEGrSOaENkosSJLl6q74KzoXvF1m/0ZLt9rJBYDY uPmcH2K9f0YpgVutJQrG6xOQUaTBjaZzjglksaL6KmubuxdR66iaX8sBmwnpsqQEIqhWEWY7b96E +HJbvRFLVRT3eihrYbyc4I9mbEoX9Tf/d/tUdqOkZOEDJq6VU/IjOi6RMDxtzp6+lJPMGEKcRrAR pbSnRq57Ee1rmBx4kHWWFwqCu83ugj8vzgE5dMrKtdavIDL5Bk2CaS1jr964NipkBhl0KayMnF4Z YylVVdofqmd82PoHsmWt/SLCx+sjnEdWdRW2Z735g/19g2OtzZcD+mhae5AzCVI8Yv33qspA51z/ loG1oOotZ/ucQ+eOImWWKBVF4Ze29CF0/pAQe1bgqOrcUFP/9hFLWMSpFxBkQsgt6HT1xazQ+klB 0WtWjJk5atFosTlWnKoD2W8tF4kO08cM2NrLnVV7iARqLi3T0iMEObs+Xd8SIt6PcQjUDoAfGDkw 69aGvyQrjule7BdIGO4NcPu+ebGrLTV+JbSArpZG4xkpi8v+IKAnvAiHlQHhlddBM3BrXnqb4KJW O0BH2dZ/n1x5ucrpTkhjxMlyfiuIhZ/6F16ZOEaUZHAe34E5SUDIvkGqhKfwfPvPNqKcKidViG+G qz32PDy0efBSoDmTCn7X0R9ByijuFi65ZCbsaZnsW3iEI/mxM4A/04Y0+et+eg6g5jf3+PEA4y1p dP6xD2sN40HREsCZ1Yzqkbta8fRGn6Kwv+VjAXEda9lzk86iiI0xGmnwmp5ZtzFMqeW25J0SnIMy RZdkcBMcMMP1vb5xj00m+6arqjxQRwdbDiferLd5FcSI1wu553Iopr7Q4IaoTKnj741Dr8J0jcGd VbOhh5RPFSTrdy66Gj9Jv/NiEUr4tOKUBVaR4C+Pgrv5/iLiU0vGNisl1MralIphJc4404vPFVsj X2wi7A42LyGpBEkbgIlWvK1GZ1a7tmzJlCb9Dn7imPGiiZZ1x6PYLEW3HF1CgRJWYYKJhVy/LR8R EOb0ZDYKw9WJujluEQlUE+c2cChJObiGeh7Iey8cTAW+9mDiOnF/jOPq46KUoGzOXolJ+84J20FC osFQDDR4Ua5ngQw2+SOLRY6bVWfGQLQulegczoj6GPK55tunPyjvoMRiU5BzIoHezN8Y9iL2UfUj axaZY0tUSX5O0tGiqKsOxDUwUssnFWo4mOUbpYoxUp0jevyPOqtspxjlfBkQiQeEpQirHTVvRbZ1 XcEik1RKi7dmWPMi6UJl9v7bAjLSqR6UaAwPvcqS8K0kSNp7CPX8YmJ6giEstY7z5y6QMtqDrOMs IUOxteRjVGI5WesGL46vLz6oRF3WY3cu4o1+dx3J7/SdxZaXwhzPxQaEKcTpu7n/ae1glLRnSPX0 KBkvwodfM6RgWcpo9aPZOSuOqX6ByH8Ls3wGxa+YeSYy6Mu2KXzTEM7XB8dpbOqrsh5OFNYasixh Gy6loH+r9LwKjjshDAksGgbmIGHYFL/0qDcaypktRIE5IYLRlmAVHSW+y7fdUw0hOrNeu7Iu70vL FVptvcMMP0a1B3zcLRdJdgMqQZPCa1Xg5EScISh+4MX+7FEuIjJ3rWT3AVdQ7WV4gMtidmzgwK2k J7+THAiIUbquutEbPFDyeCM68pvk1WMjVpG7t8vR+USbARx+kyuDOprFAURuuP+p+tYgmyNTr3Cd 6O+Adh4tN9MBtixqwcRxBMpl6hiP8ZiEqZ/hAmeQh3yn2pPGoMwUXBYqkRlMHV4U/5l19SMh221i DeeeRorjIc96LK9jPA7cAuc2NuzXLRmwZrPQcB1zWVzcSFBy1Sp6aqQUBocjA80V6pn8BEgRknnh TnL+DLoXmrfB/hW/L0u6vR/Z4M7mroNJa+fh2vNpEgpsH/1OJEufYu/aXtgbWYrAiY6X43IgU957 cxl14JgXoLjPdyTah7e6nzOjXoQjyK2A9aHFDJI+nHjvcB/RrWOfQNy46YKZjwl4KQ4380DgIbtB cdF9c5X+rMvqMXnzpldK0YZKjFaKOVJzhgGNGrjl3cTBRPqn8rVF2/rhfx0RVt1qtmTa4b6GwBvU h1NNfIyAS+KdLk4cz0o+ZDQWEePbBAu7OOg2ubqvHQUdzTlC0tspAJS0o4MIeARBXK3elMUZ4yhf YuAT6iSPqxD31ItBr3A6NamdOyPg4+/06nm6QgqPROxg8un43OpeRjVM2bFR/uvztROa4nkFbLwX NwKK0w6NJB+FJqlu49eDSRi3dtHH+1HcdAK2juSkUE1TBrHNOCAIPM4ge88JTbbbZBb+SPmiDdNw A55XREH3sCw4hIO/v5RblL/OP/7BjROOfkdiJ07uqyTsUHVEEWrZhAFTdXI5vUbG9713zKiWGtg4 TsrYtR0B0WiixhCf+GypGr+gCz5wYIA+ofeSVGMCEbQyKutRhzRk69Jk7YY5m0vuwASGgruoXnSl d/nmEdWy9n7NE7eyfsHpdkIVE2Et/Tx9iPqR4Dhey82fs1DsNxR4leRgyAs0gYXw36HPsZ7lBIJ4 WUseOgjQVzZMBXgzQ0PGa1BAsi3cPTpNNE8cnT/Y2kpjmpvxr2Ajwx0w9v5SiYLruhFf9CUT9lF8 nQrgwOfQTT5Mg+4jAp339DsFiDeupthCAUdx4LJffdR9Xuh8ojesmmxQq+exTc1Gc2qiH1wOpDwO Zcf//NnFQExLc230lDeGkNLiJlZvGJbHlo+GN6jdQFGH9LaPkcGKT6i2Ekdl9OCtRA7iHaTu0S+2 3sACu5m78bgp/rvLT82prMrAa29+cGWBkPetvQ6eRKlyhPzB+tf7S6knJKtv1lX+3kGSmuxjR2gK YIEyHqHDElkNAfGjJ/rUhqWPjKVyYmtsQXiQHpBuZdn4RbRC8vEp0H3Imv4xinX5fZnMWH5LAVuj j0nILzgoX1g+HUuMwm2XV0+fpnZYlMTeBESpgpnJP8HSIMV1vX/ZcXbNvFx1AI82i+M2YQ/55psd FJz1K6XmNFfmuPYSpgZfMQTW+dFdLa4v/uX+Zy1waS0jLLsTexthn73CXe4/eV6seTnFlSdb5S08 fUh/2A9/q2jX4nWUEu4kzWbywwwCh4x3DtIUvF/huK+kc1EMhUXpmKN6RN62p4a+xfjj8QETtIuj 1YXcjg8OrF/dlnEFmtsut49DfieEhlzufy6+DQVhRj/su6wAgAjsTVAWxaxjcHLyqE0V7cnqatdt CvMuPaJJqdoA2l/L9QTDqBkI9IL513nOkjdwMUqw5dCqPTta5QI931az0gckIwtIcEQzVLvBsfin c6xFU+IdZNoZo0aC4uOODODaDl4cRG044FRh7IPEb/AIHkED4exHxsnR6U6lD9as4qVRL9/Uy78k YXTW7DyHtjGtMYASZ9V1F1GfY3XsIb7Sa4DJZt24Ap5FnQ5Gy+V92DKV7eW5WCySPzL2QY2xlMx2 DzYdQ5GjMt5ZBP3vyrCp0+x597z9hynwvApc0A+O68JaUdes5CLLjyZMjgdGOo2ad8ibYWUDNBJI Q0l5J8SKpYAAv4fLyo9IaGG0hO8kCEq8fMhOdbDXrX+YN3AiZfmn7+JBsG7cNM2pg+fs20mIMXsR 3YtUrfa+8HLXQU3vZqtu71sHjkUh1elA9wbdsTrloG8lw/34Z9/6yuJAI8OdPV8dZ4pO4NFJrHwJ 6eVSSLtxcLQKjd+QZomb2OBy34Q6ssbA7pinq0oA6Kl/sYxk5k/5FkSdqc2hL49wa9IkZe97welU pjpSw2aUe+wugnJ8WDFAf804LM4Iu8U1AOD4nqOstcvUK6TlVa0q6o6rQH4BAWww+PBlgGAyUxM4 eWqOSOKLaDsyCNwCkjbFPV1Z8mRN0a5CA3LNeVuXDebBzl34UAvnA1FLTCYmyMQSFV1baQ9RYN7f DdjK9Sexc3nmlYY55aK94qVQoc6RUzV6I3B3kwP89UBmCle7RFIRW92WaeCQoclD4VCzfBvdt6BD 3kJ8ef0KepNsytzwmWSIHGtIypIk22xwC7E9ozTQlR+1NiZLN0pA2tJikHBfmNCbbH/SdQPMehv1 pHC0R9dnVBiMSQLeoyvTg7Hu31AJFiFv0XfcTkRtZ2i4e/kvLwJkVrULyxTe/0VrPrewjkmqk0Nh bvpHmE8DenKWNIitdzS7vVyjpkTVY9Ku+lBHsiQA+Zcum4RYT+808w6JfaZpSGf2oemI7wzdtzgQ FxXmU40FTl8I9wSPPSGsJngzz9QQNa2JbeEwQk325/DeIPvEfNHWR0CchuM64xfNtCC12qNisMyg 1DicYV825yjs8F28bweaixLwcfiM8f5EYR6MsNLWAGelCNTfSXI64tGRL9P1NptURyG24wsk+BeG 4Mmp5AEawXHlIdNn0RbpwseiBKsgjWStJqClVtq7Fu4QApNDSXjA9cA3CQ8z6C1TpKTsmm1aXdOt zUFAN9wxAxjy3uN9J49aqDO4bCtbi4yNS3rPE9Kn7RzPRlRCRUcsLZ0DfcB50gnetRAQ9Pc1CuiQ Q8cbYkLDZml6FQDJko9VlZar0SpdaOo0w879LEAiV9UBAgBs2zLJVScZIko24mwWExYG25Z+G8tk L0XjvwW0zHELjPi5rOe6JXDgz40HDfteLxEYUn7OpOBDAYeqIzD5vGglv8PnhCiw2/78Ma/dDcHl qHv+g31IjEgPFlDbjITisNwY3cdo2Ifz83NUCOdpNjfDU1CYrnwoioa5sPwtjgMlgaYDCuMqvpWj h1lvrykvjMDswFaAJzP+vOo1W6OvxcLO/fvgZBcxyJtkl1TSQGn8VOmZXpVI3c8paxm6QOv4A1fh Hl6HLj8hYpC/m0OJOEOO1Nqd1vtsKMzClg5i90Nvbz7NdgQBSFMC1nUJW/HBmi2IH+NgNjbiw8lB rpDm7getyG0eQlJ4kQcPN9UtHXB27o2Ojndwq/HyRFgA0Ad72wy1MSGzee0sd3xygjGk/J8u2KVk uGvACHXcikyxXPL+LnSzxpOFVW2YWauEWN/0skxQ3Wh9/OKPhSUImd/5jFgLCVKALPc63sUTrCGJ kT1rdT3B9YWPVzsYF1tDRIYGSL3e2sktwMYp7dDa68oos4IPGH31Xc3aoLH5dTXlAG5/h50deDcY 0iIzbArdza4c5Pa2nLUHLy/0ehlFK2ljpg2ylHPKz2YNHkO+BXcRVbNFFx5NThNVh5nqHRIJkb6o 4wegZra+xf6bKMUF9o3GOnf9JDTcqdSzkDYtvI+yFU+c8wUCjIQlKcMwOw1Du9O7MCVI4/uZGMU/ EapD90PWe8cHSgGgEAoHorABvSzBmNCCKEnE2SWxAyqMYQ6Jm3fFkHMQSGjqkLAiTNdukck2UOOk fHNRzMAZOUK65x3jhcQcjpXITED9t18j/JZW27u0PY+XwwHRVtrJngrTZ/PjCkl0xktg+M5x35i/ RZnMyn+hQ/447TAQhSKfd11kq0fgRJV38BxGvKfLelE0OUOvVswVCnv4SSwdnRpLCBGInOaljhs9 vjAOeWVcnOxHVktn1Ve83bp0Q4vs+tnVFxxw0pLTMTAOXjj2TSe38XCCU2+2DStxhnp6qldTWwlv Ethge+D5i+7LNZmclqTQGVj99//CVuSHMwr4vp5lFtm64Ze4nr6FNBHnr+HxYJQL6ykSQneOCTKu 5tQu4bJ1bRprgnCpWazPxesA6lEGeLcGrXrbj7bpV+Zbr0lP76iFQIGtYqhgAGaBQPSzKS4aMreE VO0lhTT95ti5nhkxECgtHLSV4w52WQnveIAK01dkK3zdTOpHo5GeIIhwlDimTpa6Rr1zBETw2dj+ nO33z6TytKEeWSa4ZxrojrSQlan9X1kNyUUyRRleaIYsRw6zk01XbuJqEIqc31JV65FdqJpA3IL5 7dk5NEfowZntwX8A3jaXjnwxw6KBg2Y2c+pg4yWDN3PNeWoaITt2VDH56DInhRwx4mfTb3gtlIgm 1NeGHohrzdS9tZOv/uUtB2jAWp4F73AoF9enI7BL372qtUTf3mtksmAtRldBtwMnIxWgSJ8PwzQM yfqwPubc/KpoGa6hzXY6GuyLbY+b+POYV+wPlnv6MGO8O/mctXYuWfeLCrXVphT8y07ugOH0v4mv SY1x1P+GO76J3xEd0rcRtgcRz7X3N6h/455PKG9Vhxnu3ZpOy2xZYXaS8E5/J1sx7DI0pid80ODO yvlCAIkusLuqT5/qV+oZlKl8jqLBFS2DWxQOeALWe4k3vJQPHNVLH8jcHhAvuws0Jcp4e5k8lv16 WgtU0jPfkdN/ERMXpWvnM/YmwV6VdDvrb56AD0hS2Bma0jma5V7qoFRpq178YETHZI+KbwQjzap3 9gWIWHnOvxnsJ8FltSIKgLWruzyVt9spqfwk5HhhJygq44NRUMZfRPoT+Fdrbb72dlcFGsDF5RAd JFHwbDiVU9D3cooYebNsGxzfRuV6QKddSO1/mMEwrKR8OnfjrO8zXsyANON3Vv9iLTj8L5SvjJ/c VdGp1InPXbaFyH/s4pqtD0TqQ5IVJClig1UQCgFYzpK3CKKz9rDzC26LnVUi65wQTiI7H7T5cPli Smg1ai6ML+T3phGQdgiwbVt9aYY2x0JYTqAC01lLMmhyTUxOGRKoh9/bKbk4xHpytB6xTbCP3Qt/ ILWu3k2o/AE/g5P6O4BY3XlErKUUEBjcvp/tJE8+MnWfbNr6T7ytKPYOzl0k/QceV2izEijFt026 FBEYRUwCYBiiNbfvrG0h3ObnOv2VhK77zqJGS+0I1SXf7IRb1zHFncGo+McT+KqlXMS7gW924jxh nEzfyRTzmbP+k1V94kmH681blgM0Hnp2TQSIZt97PNMIc0NGoWHcC/aTOv8sha3wolh7/sFFyPgH IhLXQdRr9Wu/aOfy4Om7+WwB8/izZlNegEyLgEwmGe8QCW3xk1xDkuPTaMPTMnF1qdA+uG6/4iQv c/mQLBpmGpsXZppeHjAdtu0mWoLfJsoL3yIBhb/AON33A0tqjOw6IIZsuap+TG7QqD3qUeFnpXZr ApY1xfbfDH7M/XP9Iac5HMSZoHdzvyCgxVhSK5JjCdu1NHPr2XPqKCJUIJiG+wjwEBHszkWaGI6X 1dRwikYmpfszmM2atxw6Wqd4gJS7BXIdaDsDUl0uiUbzKQeXWaO6nY2xJwfn0sfGlFG7wv2CT/0d 4kvY5vRC8KI3szzYPam1TYhZu1SAcVkCl9kUfjhVBkk9R+j2tMjOQtSjYsr8AaVsZcBVBUOGiNZe A+5SA/z+gaw6ngpcVANpahy7fCUjmd2iLqGKQM7o4rnzEqpHLRFSxXMIz/Dw5kOf4L+ZJrNseejv mLWpNXJcfetFSS8a0e65EokmHJLgIejr2snr/Jtl1aLeaM6KRi2obTaS3wQG9e3ZkV2rtoIKtwVw GaH00OKYnS4aIPQARAhmmjc3cKw++6gQBREtbsIGr2365tsfgE7ZHKfRzOKqjRp8pcQ7TnZwzubH X/Zyl6I5I3mjZPSqD/BlFL7MoAI/kC3datTbAhhSAHifGQ4VKWmz9IHYbthjK+7534kWQj0BxHUK TkarwxDIstU1WbSAntAJLpu/KaAcpdtbZs2VUG7eBViyuDT7M8xQGuwTeioiJOhWy+uSSIdRRRw+ Uq4iuAoXsVpoWdmVpB6KADuRGg/Ae2Tp9hzmWphNmEc8DNkgbohUtLWOjMDI/wAHhST3zUglhkND mAQTY8tmTXFNtcKYYN8j8UJ0M/nX9avkat377O40vCurU/FhVDNV7RSiVqpKxFRxtg6tCoiSZwK9 VAtsjMGSJ8ydVxceLBCBHzbua1xcw4558NORUWDOXfFgMVmr7O4S02z6mCN+PoYjykAQOcd0p/+o M6bPzfYhziJwBySM8zNmRl2Wu+hzbuA1EsSx28OWxaZVa3JMefsbHVPiKHtWVMVeGcfVcbr+Sfpf OFE6tSZWqE3dCLmExV4O4kEXhiWIKQeWcr91FzpaLjuDilrUcfAKMFURF+tkJ+guy0jL7rLKSns8 UHmbzIu9KrjSLOhA/rAgMJ+cZJG7pb2eUAaA/AWFb/nsRwF2SOLVoxtusQEY6JU+0wD8CfsBqCMZ cS6lGIjRXZHTA4c64fHQjdZLuRFwT1NhjasqOB3pKSIqkgfrOU6hr9sd1TgKVg/eU8NYqcSiCFiS 1TcRo1WnUYUnNzoTN7HmuuIMNoWhNfl++xOLVjFN3XpzI3fCVMhzIuCHWI5CtwUhS7KpEkz/5Qz4 BU0imYoKCQu6dvZvgi4zl0Nf8gT3MFwUsHVuC6ColnA+t3WLxQFAU5i23PgOLA9/S8mSk5ApiUk3 QB2cTgmTbwfcX/4nKkXVZ18R/aVQntt2QFzZqFehL7RHuQKkaaHrablv2TI8Y9VbcwcX6d9oC25Z moO0WY/GZU9ZaU2OCUKyOjdS/X/vlJdOt+Y1dW6z7EcsYWt6YJg7b+UHkjxuKTzH2JvRwHkygEvH I+JvK4vWQKKtniG7++NnQR+gTgHl8j1djHKRnERq4NPJsbEzs5yjkrRun7j5u9BL8iX98Vu2gIF8 o5tjhAEZ74W/A+nYVZtLW3CQcZCnwynEl7rdVutitkWQx9F0ky4/IuD05VdgZue6GPUvLb/8qmsX YHKV8beyN7NCRS0dUPI+EsHBoe33gNAwGWDV8kbZM9rH3FfTSe8PpeeE9uDDxRZHTf21IktT0o2T YaF26Iszq4EumEAhWQpEV84gErb9ewNNocQ0U89nIcvTRFhb9U0EYBPfkiH76gKJyDFnSf5GxvXI l40fq8AZ1insvjC69/5nJijYhoXkcQ3rOokwiIMIw+HbODLQJ5YoY4+z/mIfdFbrGqADooeKg+8Y t6ANj7LZML+4VTG2HLPFgFM1fBw2rUJzzPYHk7Mjoud3f1AgEGK9IsA4qK1XezFwwTeuPSyBzOM5 Q6nvhA01ll3T1KyMRJxQ3RHOarJcYbfYsDELbbFQ3sZe8DcDWkjlWdi9+/h1+D0VLGphzyxCXERs aFVOjq7VtTW2WmwTBMuqS7ttaFQ4OzK/ZpOLw0OkGATsJ3YEtzK2EpShDsGja8VjiNVEfx5dYSbN WC3RT0REWbSAlBbK6Cl9zBB9YywHtYoUl4fY6TBh2a/p+XEhBnkMVofdnD9SuPqCUr2M4Qpa8NEl yaYO1e+NFekF6RWR1Vu1Ndiuv52kfnZcajV/tz5361MEE3Nq/TyvKwVbwUgbtiV1Mp/leaZ5k+Fl dx+zYRd/SDmhjQj5xyUa+fzkX2BZuRS4jOxNqlC/H37vmaIwWBJ2w0RtHx7EkTvDBAqRqLe6tnpH xkl7zD28/ZYvr3lJzone2Ibt5O3/8b0l9GuBigp/Vg6CsWoW0rqV/SvHseLG2s4EuOgmKeyTVjgX oWvy9e7jzauq92DugINsD8xJqWjT/LScooT4tpXpkOb2NC/YB+ECryohQc8xNSvgz3Tt97KRSVax FnXjz5q3w7JdwbXoogB3IpCI+GfwufguNhrb+SoduR6PR1rVDZ+0vKsbz4kzDoyEKmp8JK0ku9r9 acKvTSCUqiOyRtWEcjrZtx4OCU/gxHRh7Ne2K5pf7HYiLc3nCqy2qiZ6QR3+QbyomxZocIEhyxRS TIhRfWTB47+PSwGIpeQCW2+c1Iwplkq2QJfRbnxogHBYb2YA2kzecRp0LNSP79dkrZn4AbPUlAwT g/EHf0tU+F2gET45y1dxeq0teYVBxDvVO7MtuBAkKqaiJ0glfAfRJ+o87gV3Qg0JVt4nq4OUzW8z qlR4wZDLnQbRM6a7sm/Xa0L1cX1cHegv3WDI6yZZ0qJD1PsHjcw3Uc/yHqCwVz7ArEF+NGhfvnwH K4Xs91NxAu/2uQbLb6kQPjFh+U+Dq6teBcQmtN0rfwEIUVV/bFI9r+rDaey88clJlRUJn/JokkPg AtB9a3y/StLJVR7egN0boYiukKgaFuce8FczQuwZb+lPIyBOVAXUKZRiR17B+lsXhqzBN4pOoDX0 E2Z+MheT4ToEJvEmPLUE5pOPFavzeBgpjct6qjjILmYWYuJHCDadoeBuEgsw21eoCFQ9toWLlun8 RILRuGhhrVRBb7HdCicaUSUJ2HHMqUw1AGZL8UhjPUzdV/YffIK3VNtdqAtiLUc8oLq2pJeoSl85 UgYJ1VXTsnaQm/BvAErMs1Qs+V7ce0OgO7Arc1p+dRtKD9PRJFYACaYldA+x+sUkooTxZape193a 9KlZ2bBk0hz0yncfZHq4eehED65YtzfyjmF+Q4hJJIdNnlTq7CLrix/pJ29S4O60qlMEU10rUbSm R1/sObeZYneaNtObgkSHdf8FHOt7jjMEDSJaAb7+P3ysT77tB0Hh8fVmVt9MHcBQUKuxhp1TV5Qq F7lxRI0H+6JlgihLCXPmM9i/HI+arjjY5Yp2aGn3OSXS7HcVJzfEcG/X0DsbpcHq8lfpUoHzvH/E 0d60Uf5XOK0bbD3v1UiHX9cXAqBZ45ke/rRgXhxVovdgfNBKtvfMtJFVxTpqGXtUd2+dUZEIKjxH PpOmt9L09t7u1mRdvvNm6jE19Webxb/4D13l6y2i6rpaoD+qJeNLvESGHfNWjYUFhxKLYZaUqghH CNBSLYUUetyBbySTkluqkhjNFnxWdAM16Gfz6aHi7pinYfDNrWLcgrhavH3clS589RC8R1zcsMlw sjfbBl3UsBatKb+HDSdQKfoLv+LoUJBrE86ktfaEvN6IXicr2+KNfPlc1g4PUxgxPUNXPchj5amg FUAzdvqgOAyeBWkp7JnR3Uq6dE+hHLCmItq4EM8pExJvWpgZZrLquJMH0vQpgKpxszWF0HlQWNG1 Ptv1cNot8qV3HYZDaj8k1faHkI1PLOTZJZpxgdpUb2z3iuPMcCTpwSjqdd/YK8pouOriqTroJCUu IbswA7EYpjR/tZD8KB9M6YDA1bJ75sKwu64psTh2avQnzEyusBN3vICsAKfjZ/WSKEK2WzARUgT+ KvN+yN+sAzfma9Hklt7br7nyAyIaae7NYrBUzmEUzhk6xN9EfXWIPDMlCLl+4GNSbFV1ikX49joF kvtRupn+elCXB5HEcE2C6EuJ0EGvvpOs8n4ptClof6pLFZbS0Jd3L0QhwVXgThiODfoZO2zSZM84 0GtJlggdXt7NAZscsczgza1LiJV+6hhQsXpqxIKKQijSNhafFOMZ7zKGl+h4e2W0kzkvwEqiPWGR Q6u10gNRT0SO8Jp/YlzYJBr/+bb21UaP/vYl32337ayWP1j5clD8bB88D0jzaxjRJgBvDwG2PpsV OIU5279dCyJEzP7YCviFDcZQDtTxzQpacL0n4rptc+BMSaEKzrBwife+9tI6I2lMjL9uonJcnbDq FuI3dGmpT7MYsED84UBjW4L68a1xZlLQ1hpYDW3pMyt3SdlrlJ50JPs/NiM5vaNj28o4eMLIl9pS j2qlHLpHai+M9ianfz8DC8J776YNxC144slxPNLdZCnIVdEyUbdQ8yaOCIPDNdguqgv0XcHIcixR XbZKP6CdD/9HFNRBbOe/R1C4AOCJErqaFeb98Bf+Rtvcaie87bxDoLMgCk9oJqB9HzT6DbDbNSGo p28YzzOIOkYxURgHuOIbFTIWu2o2eKvSwSX17FHqJQtJCCqYWQ4OeootOS22giy/HtIjeH9D46li OwDSUWrDEYBcp8Yp4jMU4PANX0PvIxn/RDvb0uLSQ1NBU+0xlVmypPtUDrKpUbbZ6gl2VN2tJ0i1 MrW6kzsHQC1PHXxBtYzHhiUfP56AFbIdAPyytLooMrS3kOGLqv4sGX0CuzvTH4Zn7naN2XQJl0uK zlaFSHcIWKRqzNYDmwBH/6j7SBH5aBjpYzs7ApbJV6WieiNFsTR6QrpiDr4/wwg6VdrkY/WWyMQU kPiQwJZsyj+U1GKeQYCdcsA/OG2kxZWwKXFqZug1OQDIf6ckjH4925HUi+B1htbnn6H9ob6UjE3L q+41gI+Zt6ff5IwCemDmwTLUr2KyY+U6VzfqIdC5P7Td3H8Ta7tlwncB230d4p2o43WUfcWd2IFs 5knzz8/QPWBwfrgUTNymFJRYrqvv8/HYEI6FRhElyXahohjB8LJ8JubpR0RTmPGBQcoaN2f0sFxR cJDIvTSNqNhQW+ieP+l0xPY9hg0yAlHyNJ5Q/X/qMTS0QF9S1mSwZXqDUiMM9DIOP77Pb0HgvRy5 GAV6VBgwP1dPK0J/QkOlTh8xGoZEWEDMcfT2kaghx3EBxUYfXShdsRDLI7HrrKd4qY9aRi6b9NBs 7k/+UMImO3EIlSVhI3HVXhiGm3ZfYnMrY8SjDHtVb67SFnq6KsSuymWu9iLg9RAwd7VcI82igNjF SuCjmoLwcLK2f/vRSoOHzmlGQOYmRCGnAIy8s3EfW2ErtHYoU1Oca89ZP59TJhshZ+rPDsSCFzEX r0PklEYjugvmdJEeKHX/n/B8MzkGfBMcJHySlQnod0XRVQp7h2RPxaM4zhdtQ65g8CHy7Tl9GLe0 kR3s5qgbrnkeJVmX54ybVFucYF4lP9yesqovPx5iXVMQRZGc3jkCTf//c/beKqP5BCmAM2OGzws/ c1AhMBt54DXJuwX7m598z0P4Zx7mkl8UIxiEBT5+/q75iSPns8gZ6CYTjaO/jROQhDn+nY5pF6wF daoEq70qjzB3KpHVz+PrKY70faqz+10dcjNx9x4Uu6xkA7xcWwsUvmVn93/QNfgHP32/8O+aefZW ppBj3XQyHusxIRXZrURtAEyCHfTQ9vY6/2gb7b4PlimDqHmdR/xloHUd0TymtcOMuyfUfSYGvigN iutx3st1QERNbNvRrKUmOiX/BkG9usWOzLJplB7yIJPbs47xZvgISIKglmgkescSck5dIcLDIp9i hwJ7Au8H6dFRfkpBNnivENEUBNbatj019P/KJRhzP0TezEUG8A7PEY2y4tIj8kjZOEAGiQR1bAZR hZrFiHUfVboma4U8OF/wXJHpfm9wGrt8UMeKwfWMCK1eZAwZpD9SaON/uWUXb/QO3zugdYq0Yny+ rlZVjMyBDPEKsu1xN8lXzMQVB+hBamqX+yi6x5Vv7VQHcWrFUOu5cn5v92n6NryvMN1f/RpWjUCr M93MwApisl7hIo5vtYU6lL2/JtyAjOKBfTRvL1YKbMl16I8ypzXM2JlxULC185ypJqJLG49lKAD8 ozs5YJnHlix7i7mLSwZtPzLclE3y5+J058RL49eQYrFHKgJJ7JxEmfui9Kh6fs3MLf/6i3WJAj3I iE8b5DRT6DztCQBOOYw6/AMZEO1kAC0ABSDtzY8H2qNJSMXyKA/EoeLWoa6k/TdyJlcakKMPRX1o EwWs8ZO/SvTA7OIZklPw7qmKrE+EHWcX1d6OakEdJdeCjdOGLKGP84eNWIF+YIyXiEqEUdGn8g7I HPR13jnS2YU08bGerZ7ppYMlRcwquSl9DEVVQehszWKivap38omn22K2dIlkXuFI1Cl0Rmmwq82F OfHeUXtj+MctoQL+Jj0k2MMEF4BbxoF0MZgfT0MPKB4C/UjpK/cYwv0I8GDv6qx1rJCuveHEQnVs M5w3Wi3qRpawU2CRowk+ufZf2pG+QUXWfcuIWLtUpMvEHOGjCrqhPE3mqEWdvy8ezlpfFM+wMHEV 9AEYPrjH0+UpRbey/WFVlF/w5MZGTawJRCLbck3KFCuS9McpLePLe2Mzg9mCsNtudRkc2csuzNC5 cnmlQJ3dxD657ZdrmpRZFSjmt/yX7YYzVBNC7eqte+1ZmLKQQ3Zj8LnImsXO6DyvNHYbGU7Qfrmr bgQiksH2x87LEnbtt5U3dPeUJqjjZa9DIOe1BcEmI6saRN9IzVXrpAEdpyCidVA4BvfFqfoCU7sO c/t4bO5Y3/r4Ow+DIwfUq+TBDBqZ85Grhpcmlzm4OWyX+A10WOwqQhZKvq+FnWLmeNOwivqoCY7C myym/geE59Kl7hD3tYXmtnMbMJowAy2pGpjJbLfSXu/twloEn3B6YMIgmpdf3lvQ+uEtclD5i+M5 vwSDi/L9OjKleARog7GYn4HyjMBBnlJLHICkD35NXBkv6xrF/A/IqFuNmsvsxwFp7TBj/1A4IqKj fWpRvPaqmgQn4pQPEnnYaXi0AtrvgNkbcPhXWlIy7QyZpGL+wMLrDa9NFF0r0VzAqrx78ywCSt76 BBi0gRarQmZDImXW9KK7hs+Vz4O+tPrCH2IENr4HnGPRIVjA+qm6Yq0felsK0IXi5pVsg/ONnaqu D9zxXZCPDmHYe5MnvAfODfKwbg1qLNU1CczM8C8MQPmYprAy9R1ZpD5IawMV+oaLMknQ/Hyfdo+D TIlDZUcBgBrZrRXB2++UmT0flPSeqq8tKNvYdUMGyL/VuqVP9JlskK+cXN5YlIlmmHtaqGfrnQQI fBP/4RH1gX8joReOd04nBi/K/ImhSDHiQRN8iAqtnBZIZrxKaZ4Bmjd+bBTek7ROovDCkUBsWLa9 VDEDlr0AOBnvEP6MKz2YbUuPJVvT9AG3Oul1xSF8kyH2IVISfhfr/MXTurWCGtNy05yLprvTXKFE Qv66tpvZmKLXtZMPfHflH15MP38C38uDr+onan5nCIEgbAuNIfDYsowPEli3BydE/EUm8u+pH0Se pDu0ltF+T7KErqHWbg7kPJ9ntyCpD+UtMlXhp5VY/uTxEdmYbEP63OMwBbx91Otqi3tO02vS0TQA +z5nuu6xJdqx4H6cFkvOijrjlGtvXHbP31TYIaaxuR4/WLTuVGVkCsxdySVu+vnFElusTNi5KEFA ZQmN669zqaxfiu/J7z2KBQKjUr1hU8PPxY3xBOOEtDToRNNPQzojL8kepriFWHVW4TqdeloX/3UL czUlcHd2O4pPhU0/0JlbUiMVFGO0phDVoPYdttjn4XEYJ3EkWbxNktOcZ9i3eCjHGrc3wPk34ek8 3QGXFxKy37/mPGG8KuSEXywvlWTHSqOoRJ048Bb+lVp5erNHYX/kRTtv4SSJmVqA9PcLYn0tFxcU ioFbS1+u4ZLmeYrf15k+fJQrtVkgVu/l3uoKVNAlNH13NQRKnbN9X0pBdwaJKbS4PcFjUFuBytVb fDblOH455pdzAv7YIdJaSIqiYYJJn0eeiI7jPpmfPstUQTu6ENR2upv0royHgJTdDRL79HJr7JPK gGz24TRJZRuwg0FOXe2KbuK7wTPTymrurv1VQMS1VGWlJG6aEQdOVFnz9Mlpe9MNXjy0m6r1DjGN AeYHXBqSeQXNv2ilwkkj5zxpdsvTpRESQcrO9V6fJufaeJGFfbN27bl7gu/GSPkMazPAqlcveBsD stZI7WX9Y+NZlDJsqTT0mRYHukMuH9IVYn3RgQv9ZffM8mi4UB7jPAFX9tPsw9QYwb7dfoFtRBZ5 nRdmK0M3whpUEG9L4XrrIo2CTY+CrESJArD/SC0kxDLN/zi0KEqzTIFAvr/ncHVyqHJXIRxl3oN0 MVDYAAV1uH7zU5RnLHPP3daIsr5sqJcGRmvkBeUQWCBuCUjEID26H4XN+nxemn2LlGAt04kaYS4N KIVVMQ9litx80kCFOOYRjXSo/TisBToRv9k1Zc2L0ubcM4e5KaB30KThkza0WMp0lAOOJrYYfXk+ UnUcP3+Br3gzg5xCb3SR92quQ3Y7qF7O1hUj16K9FDfE2ZO6hHLevmoLtyhh169NVBwJ6gC3hm6O zca7Uo6t8Iv6mh49xbAfrScOvlIV6vfXVIQ4bhz3ijXi0chZa5e/oyjNpZSBPQmZ5vK0gpRY7mll A2FY+gVwYGfU/4lnJC4vGpdq15s3G+tC1yYGhs/QY/L+XGQmu1FBqEXkEG+kYOmGCLE4cyzOjUaj +xsxs2iifsEJ44ZeQZ2Tfuis1GiGb7bdUeM/a1LcEKoRnUbffXQfUTaB5Z6Pd/6w3lNPkcYrW/dS 3Gaw/mVLb3WwRogdsmyR27NiYMqomcIO9MVIxnbtFnu2td5J3sPwbZWH8I9Z1QkUZ8syyzNoFlhC ONvsk7yv8WnKT1HJhWgp+qkQE7EBf/sncIdrdLBtW7Bvy2qwRVBoA8zZJpl10FXU8fn6cMMatZBy n4kf9vssX8AVa+i7aH3ugvYQYlwwZYSDKRkKzy96gFBhyFgvnAqFjTL+hBp3TtxSG5ZTiFJd7e0/ TeDJt/Z/+KoTtSa2ZU+UoIVuITZJjrjwwoqA1VNq+Rq6bAQEqQ11bSN8kPwF50bwre6OTCMJQz3/ S7y8rySayIYrKJuchfI/F8fQyFPuPS574o30K/K8VeuWoOsfZKn94ZFgexQSlsJfteYfghh+6e1q SapsmzET5bJR46nFzkCECoE5OCtwgFpD9M9hsuyzoCcGbxyAlfp9Ow9ADYKL81lg2gI0CqzrGMc8 rSoB1LvFRUlaHuP7LgbSKVMwdgs1ivdjaCQLNdfzzVscpJzlIRoKgywmEBC6SzIPbBGkhz8FiXWh 7OUpZE41PRmyxdSB8iv77ZKXEdJyN1hWdKnN1GA9jalb2WfOeOxaq4oA55nxdTPL1KqWzQ2cqAla ay1V3jHPg4ir01C/x1nfUDCY4D7G5tD2gmxLAbclcpt8VEzdBiDxXcbZugdEazhdGc6+opJJDSbb PySkdqu5V65vzjPdvh/luRcWIRIkgrCpghZsnTP3VzkRggEYpmXFQw0wRanwdjxT2tYsWEIyUZly G/mMYcG1iugJpRw+PwXtVOpQBYUxY3LF9pFddRo7SA2GFXfUUqaDfrRIb+64yz5Hy8PfTDV4XVda S5/G3EbvGEXAzxdH5mC2TALx9CdIxlyhFDPHNiu4H4ep9FbgwCoMzFIcLCOcCFuIbvkJBh6m4JoG vmzL691yO6SouG4Ysifw7LHAKJzxt+FJ4WeYMBq+4kK4fId2ZdP15E6vBQZ3AlBSoscA6QEIR50U eegv8ZDXcLwHVufzClVq1zlZZb+b5aY70au7gHTNNSvhFL2t5YD8LSDcgAjyNpzksLDQMLp/QxtJ tvqpPFRcVM8QQjy0IONd/3yWPx71FFz2/UhqouB1tYI13+N85AAJ97cFW8v1D7+/e9O2vWi4MUMd /DhtZCFM8cZNPmG1FKvQs3pjgoyvxlEbuOK6mV+h6HvCIuW7K+pULtmFl4bK/UMC3FZLxbeSdWyc 5k5idmTp8WlO3b7E3CebQREhlRFmMXTv9uWX9e7TgknyD9SngQqIIXN9e4V1MVWkOgaXrZgLvxxP 4KzsfnmcyAhdV9rp/saUqqRu+JrzY9UVWr3OwOtSUP8ghzvontJMkzc5EzldNsk2Y3CEt2jfpVLN N26yXzzKW8WEm3yemaw471lICRGd2fhExRHvcQAY4dz5A8I48rYfZLNkuvx+o+ZNs5BVR/NtO/Ur ZbGfK5TdgnajCAVHobIpBKi3sCTD4o+0hWj6OYEQGPRbkasRDFuQG7vptl0RaoMxqyeNIk2WCKuX PymNoRbO1OeCRwbqT18iRHUTWPbz2U5zq6INk1zdp23Su9HHQGdab+qrqR7B3d3pOvwIdcN+vAdm 1CLooTTcauSmqd+IrF5cTR+jTc99eg02YHUoCU8JYj8/dz2m8S255zOK981kgVlRWt2Jsf9uxE5Z NwFcNL5Wznf6Bc0amXXIlwpk0O642gSvr45gjEYAczxEJSpGGYuyKW114UucwP5xK7onkZtp9VDh VJtWXvDSanU+d1RgDLpLetyD9V1AB1Mtp7PKbh72QtyHTjRHCRDVuaXs7LISaLDXfIfBd1bp2w8e ukfQlB5XmKvWQvFopEZfgOGAg+ZWMzYrnePVIZ6XdJoKI8pweq8u2sGPDpyO/w+xL8Y4pXuHuLso Yk5n901aABeDqxkepH3xtIa5/PyQQHuAmnDuge0NGf9l20CwFzPpgNUmGnO3iTs026je3ueiUZVU 5b7b/4HlXS1DxhML9xsD46L18HaWN4ARKeMJSdRuQR1ss/UFh69fupl0gaB62j9B7iK1zWPzFr3J 9G+BK3Qgnft9b5cP7J2RGgto0SwXTlKiXQnikJy4dDaAW6Y1kx94sshHhK/iKWfqAPlgT7DX9Q/Y mt0Gk4hV0AaCxJphltHPt3Zb9EL2RKCGAD2UISPeW1iR3MuPSi1Dceoxh+zB2OL7IxvrD3cWd2I1 T4IIFVjcxf2k9MuoOTyc4XKlE4IqUMmKNXfk65masNRbmNVE5SAF567yOQaM7tyu5WDBle4EfK6J 41bQFy2UZ9UAZGjiLQbkF4RMTgAby3fiYDgYwXJdK3jjq4SOqn08X00NrIFzq+75/jahZsZafKme Uyoxci6rUnt9hgXJ8xJ+Bo7mM/vAx6+6J90mtg9Wt6IiGwrwtvvjvxxWh2/EzddAW4MLUEqjKx1I MFj9IPsQ6QK9aoAudQrC/y+WHbrPLUN6t6Q2OpTG4Zb/od2IPKhhSKRiAS5mfSDWpY9uGgpGBVx5 N0H/bbQEx7rMmdteuHxLOgt0Xo4vdcY8zprM13+/0kN1hhOlwFoNUnslrCitUJre8RP/UfvxVIuZ QPhDXpWJOiTOptT0fANMV6UYO3kqfQicfo4C9jVIaJbx0JVRWzrGShg5+L7RVnhL3dx6bQX9gVkY gKKxod6zbif8h7til0husP8/5rB2IaJJCP2tZjykeug3zCSRJEhPl3y8prG1NEgOtGyVxaCOk5ak 7PA5WBi2Vo3/EvHUViuD2MZ4yMtCLW68N3e0dsJTBnt1yFxLLgEAISSr3mHHh4oSUeOMVNLISPUy YjbPsciqadP0jMrNCcFCezSQrJReh9JSartXPXYIbMzpw0b9dbX2HiuBDc/A81ZslEWOo+ySKaib Xf2hizxLTrDf97+CZZBdzBviXTQd0OW+I3LOLDM4mY0UBh5SqOlvNcztJLH+0gXZUL28UKdfIxHJ iJOqlX33yph4ORq4PNYha4txmKKc6bxqpXThlz1N5brsL73BmNUQlqYyv5VcL4VMpaZ0KGkjBOSA lUkWBn4xbvngTlwlKjln4HR4l+OCXgPo57mfbWuOaVFzmnCWFFvi+4yGr9A0Fg7fgsO95mHhAHkD Vn5ZvYA1DoguY/sYwoeCVhw2QxM+aGGK4Wl6jxRGxl4AJUVtR20Y/rT0KQYCYL3sA8xwx7crvjdG 23LBK2ieeNhXJsOZ4CYr28GxY4IEBcrtqbWENjKAKPkCJHDou9mD8PFI4oFwPpzhFr1qWl12udbt 9KDL9fiWttioErPJ+430cWwj/iNUkULoN4Vch7Cg94kpA8Q47DGw0kVeQxaxN72eXsUGze2CNyMq afMwoZBBTKPBJSI5qawmD6qsYuFCOVEqpddRgZFEFx9RpBwlCQEjPE9R4oFD2l34/pD1eQJQfYNJ t4S3+U7fX0gvjba0l2+cfukKx5/9/iCTSEO3U06K4yAYMenqnqh4HKD2SwdbwRXjvNYpexHnjeb+ QMRHaHeki0OAZDIGIFl4XsMLEwBEVm6+8tko4tJApGjIAKrHNRIfKxL+e1ha2Woq7rfseUSslT7c r5+2YOkcFnQUOZCzE5vjOhMxzf7o7KOr35OpaH1wt5/szxA8FSRCWqRDotijvOizM6cV/5Dec7uC hNIw763vlbJhowIZI8dxLkoqCIeUNHrLwXn60osd6LUdgGbOtNO2BdyWoZdWgi60+G8M3Ecz+XMK 3dF69XZTCEjX5DmRiuBZFWfzfL/IDW/AIucPC8JR8N98oa6MdHI3kVqJGVAClQZ8we/kNwrZLW62 ilcdl35sPb724dyfkeg5IFwfnDjw9+SeuKZEkRq4zipKQ15540Xjf9hSuGi390qnFkOlnUaud0wn 5DSKj2xr2qjAGgSinWS0Rh3y4t5H/p1383ETWkWKkOwUWOWqE/k9LSPKb/3y9HkFRQitA1jc3Acc PIgX6OSe+kvDrPvLUOFx962EUn80F69HAISP2apMDuiV4tjQSo8cxNFRb4cwS+Z8FLKM0rmd+7Zn KNkqUynVmLoF1IXGQoQNGiUk5BaTnYMse6e7RTKMX7zgVuw3tr/eh8R9dRAZVYYqrT8u3gStMWRs 7tgE+F9gJRov0i4oRHav1Lx4yY3uqVKdRLHfk7Y5oVY3OU0JUzAYbF2CwEOArnm0Fu7dmyUYpb1a 52UPhZ+KdTdRRk/nSW5jsjCwjJU+8vF/naJSyMNdJoph1oqZV352S0R2Jvc7esWFWZT7r4I6KT+w fJQB9dJQ956OalSePstu/4LhZoFZ2o/oBN8EhwoU8xJM3Bax/OMBF6zBHh2jHVN22P6tTigs5Dpq clzu/XAVJTbSaDEuxnImFjIifD7PpWHFU5EXXQ1vmQg7FrFhbNAN2tB7I29RccV0POx+D9fLcWw0 YYs+Qp6P5SrRPG1lTx9DdbWRLL81KrFGGJkJOgV0utdYj8Ck16bjO1Eg4onyUx3n0kzdm1F/GOMc g5kp+57Xt0rTNZDxHF32yzez8Y4GsgygDXaHnAnLmr+Wg2IU0MaJTu3+QhLtbk7sBTJdttWha+j2 LvhJ8DOlVUUTg/q4AuTr5k5vseHSpLqfSmNXqrC9tmG3L2/eBM3UA3dhzzGcKBWzDC798GAsMEZ5 6rMycjPFUmkYXJGmnzVXku0eA74a0i4WKnT2IUhmML/EltjP5MBI8/l0Z9s020U4uh3QhAfpiSBX N2RkkoYEgEM4GCUk0QQLpC0q5EKa/m+SXe+bRiF7S2O/nNkboBsTMzUJU+Oe0qLQic+ckjEAn4sY sOb2X08HFjpO8BmzVUi8FsN2ohgHqDC3JxQT1c9XgS3Qn5FjxCEur50+e7LkLcAnJEqJ29q6foPH GZbp9QdBzy3eQG4PZIQPRkBLnfNZk9mR3wlQhZJsM2N6W9SAk6GJc9Od8q/pkCt+Oc/UJjjbECYw pPBWMQJdvIKpYI3zP9yCEK64LgpUAS05OpxN0QBHliNGER4ug7oc9egDXPs7YXw5XB7uVwCjDPgY x2B6CfmO4a6fjHvFQfNIJKXsIjmP5PkyyW6urdcIuIje2Bm34jxn4hVPiXAaTsPs0T+SZDe87BSG VL1eMZ83/gShbdouhXjqd4dKlPBHUBvpSVa0LzcosCgS7oIhh1jxKF62SWXicjZd3q2iJmyc+2zk G2Y9xHOjwltATCaSMGwnn4FvHaIZ/psJvT+r7ok7hoGvaSnn3tCtDZQxaHgqOslrbpThzlTQF9f7 LH+dnb3aqtThDHn+OPpC9CqV9GXaxewVQQzrNrSZV8BO+lyqouJmrlbczEZkt23tGtAVHsTdQWr2 3u16SR6gSgvInEnQDfJTI4Unkuy4Wz19z07sR2PXr2IUo78rQ0XRQgjBdiMzLiwa327mq5KGuHKJ h2P9M8dHYhRqs4bMeVv0MUlNbHvVrPRRE1/02u4ePu7ZM9vBLRA7Uv63/ez8CrCejS9QkEH3E1Td gqR4G+wtwJOqPB0JsLP/mUAlyaDpRjPD2alwFEynU+chz88k52jAJQ1DuSYtGgysjuvTp8LIHOjC dNJTVXFl3voVuEHmo3yvM4AJlFl95Aaeh49UPPbBHRYopCtSHdi5UUsRzxROeY7rksNxlE6iE/K9 3H0+F4oxBrMqxyldc4ST53qYGBnSX3pN5rtz3Nqrtsr++rHjvNVMXcH4BlGIo5yUZa3ezqhWXnN0 zFFqCwxH/rKiJUPXhyiCLzAdxvddRvGSmcJtk9OLDxZOYXHYvQNmkICcjQZsj3+zsV25OUYO2PTP iiJkuMMf8hfAqjDYA9E2JF64dpkBfI+Cl7nnLvBRxF+fFCuhBZDxQlWpfopPLGgqJTE+n7bhGicU ApPuTZxK2mSaDkgGCS+GbQjwI3r/UDkVf/sAHliLeVPsMOf7fTAlPTMIOmXL58TbCSAePCPN3d/X sqs6or4BQrdsQVcBO2bQGMiR50vHV6Y+V4Vd19mvfsFfYv0FapKkewQV8dMFmclc8ZSEp92PjAa1 4Z3svjMFapHqG6J8BFqyB2JOGv3Zk/+w9z1rME4aPVFZ/AQOrFuZQouCuUQBoBTrkExWSKdiEZ+C J/1zoX09NvylCwhvHVoutbIdMlH7oe4qkis/H3bdzy3B1Qtxj1qGtWcxJuABGv6a0JNKlfN8JCAk iqFBfLzPsgobPjBUODtCqNjtZ8Tpv04lkNI53iT1Ph3jy3pXvXB9kU2CLWHhU5KbD5gqrrS5wD4Y jr5Pn1Va+/wiRZxvK35O0STNBh6lSJMGazb5g+ThRNQj5runL8CZdmRnrgbso73sHHGsJbRjCwnV 85brhctPquywsUq0DgMpXfHJG3qEaSeohJq2Qk/smwbM8xs/SxRTQj0flcOzXkRWHSaI03GiYah+ ts57FfOZMEeYinNVBb9UHTbkFmA5GAs6VyO+Q/o1t1DIVwj/BclqXF45Bv581KuzNYFrc8ymbNXQ H9qrlYDAHoBHxt8uTKJtxvyFJYtM8N+xYhCrqlxGieo6NbUcJguWhPdcf8+FiWpMjSY+f6CWFO+O JIu55+x7eFWJCH1DSUaRJkvWW9KKbR95Tdiot6axOXcS2eR72ELBebVT9r72m70fm7KDcHum1qBo scTXYlWQ6npnLjTuSp2ZOp89uCMkTJcAxPJMNMEEJfV0Oxfnn+L0JZFSmqdsIeiKQBLh67uHohcG wy9EnfyLXMG/sXY2BnGoM0QiUFBWRNqdP/Ae3cD5+070W+ieq2yIZTemwfGjcX3cSyC1if4SeUrs 6U4a4XuYinhheYRTL3TtpZyaZkN+7gnX2gZJAaYqnuLcfaQwLO3CqQvqAIRz21GJR8CqdqgwQ09r UgB4rXD5hYPp5bbuDzNMnTu6kCJH7rarpTAXinzoNqZlYSzOtx+drodVH05pzZYB9JubMWh0lh7e CLQQnKaQyW30nxhgu3900XfSoBU8v4MpgrNbc1Wq7EXO4WkccnpRMOc+hXx0YALIcC0Sc3LgMLWv VcwCwaOQTJM1QoFF3QIUu1E3EF5UOsiYwwkwgNxBfQyZZXGoO6FZf+FZkQZCcuAZ5v+pPbxSldkk WrymxjFp67by985LtgGPyvvdbUJPzvlHm3WYcgX/notX1siRZWCzzgwJUW9/Lnjk1ISUg79sOc20 TRCCu2+5WK387zBdWTP7ZCHuIBAxnxHoVLS6NAURU7Gj4yg4V+Bm23odqIQ9OVi8ZNN+yzTvTcqe vNW9CXoDYF6G6F741dIG/cVybiAeNrgC/ECzNg+RZRcbRLBGYrs1+mmOBkaWVimJ/PLfSeBqmeLE MJcY/phVXxrlNpA/T6hvpyerH7xSN/0PH3LOd5dDLlnRHNs9XnkSu2phakB/l+KKAfgj9pgd5ekG s0Dqo0cdm5YZGqEevuuF1m6HT57MGLiwjUz/S36TTef0oyXSPmPYjqtKPb9uFpLPNS5CWrp+Dt5j IDgBq1FDvoURA0QEw99hh9f+gHX017lAWKX9VyPZpFydKNoddCY8t1qBpMPkvEMwkQQbTccUm7oc 1MyCatPVHzVJr6MuOI3mbEHXdR9M3yWbp+qZgIwzXYNjWmLpFkODXfsCYANEULXjMfLmdBU3n/0s gnjAybNQs2AuD8W9eNUmNjTN1MZm9aGPpVMJHAfVkYbD+HFDoK2KQXqA5lbPNCeKAyGD4YZViO7u 5wtkEFo/xGUrNXYbYqgP48c14DpRSbe8+wgXHEtgpzdocwnbM/zGKTDgIq6YVKCYQb+qY9yDw3a4 2G71ePwdUZ4GHDia5kkvsH6EPQdaVEORcAwmndoLVjYI/L0VwvsF8PXTgPd5aKyNBwuPm1tTN9Sp 4ETj821d7ETGFais6vD5LHP+1vFYNa7egzEkWjPLxUQp8sGtpW6LpWZwbwW8/EPvM5yTkli1/S5i qBl1vBnQfQMvtC71+i5Hso2aPQiy+40RyM1UFOd8GTynjTmypxPBbMkuXA+vWzXnWEbZW3idmNo5 Lb/lwy1g/lu3TRr7YURuiBkMolP7/8aQ0RkRj5Qrt0tEL7jSUhE0G70rXrZGAbHNJSd0scPTJ5AT CTtJtnCVr80Ob4ti3MS0bjVFfZIViyAnySjtfzchcJuMucthHgh2bb1ExOBDaZtmBq0iPwpy4wLI Lx6CeZL8UyU3p6r8/pSzb48iKcDauDUJsaBE6V96J39bme2xFMNeB9NYR1muHXYMwhveiggej6Eo kko6W/bah1dXgA4NBGcrWipgmsi7KzZnKhiGw+SFFifFQlNblie8nm2A1o6MqsuYvJm9RlpkVWdx 2QfXU5Ggg21IcXu3jeOg6OLPe4jlHR4cT+zfUtes/lXSLo4GvawZOedMqnqEd7ca0L1diXokl5df U0VALKtgdQgu9XxARyfZ/dePlmzs/grJAXeyU3oTst6mwyVPeYeK6WyITMT9t6yj4fuVQglG9EIu CQ8pvKSdcN8JzRrR2xXdMbYxrT6D9otYCWupjjL6RjktSSi0zPP1i6dTDbQ+aAqtpkvpA+VCOX8r PFisdcEmPsCrBhlv4PIAK9/cguuE+smnhDtAchw/sP0gnqKnAes/ldaNulWv72srqFaLh4bEVRBQ zpsnjBCrRViw+rGwHyQJP9p3cUaKo62NdxLcNqNEg5EMnuuPqkA5QOM9Mg59SS1cQNriwJjCVsLA ZoLTqPvCoUzSxIEFPjsu/F+g5qvGh9haAYJpYhBVbZTIJX9/7bDMUNe78VL0NGaVgYOHjXdKHGy+ Vi5m815CGRBReV4dBi1/NwdB2sruJTa9OUDE74j3WpZI4O0pMkANbAm5H5GvoGocGYPKU4+YQgwd iiNkzx1cGz+NhNHz86Vg0RjL/HRxrrpoGQi7yEXAUd3IQCVM9XafO4QVqk81sS/A4/Euqjw+A6VS TVXybmZZbJWGwqWQ5W1SgJAtMdLwLKswUSVfEmJ+Kuei07sI62ReNxjDuu+oPaksGxGlHGwIboww Uy9/UaiCbSNloQeJ3HFwxSREL6/THuhWgXxz+10pkWIta6kbqr4lkavs5Ec3sqswtKUBCe1G7EwX wBI7Cyyi/kFatNgGAFdk30ZHC+bDwc4AsY7bujhc07h91/wewgzqX/poACA3Ho7zlXQPegRWudE0 ZfUXlWi1NvY5fEGfcQXsYw/3KDMQ2ox9XF+jMt0sfxqbYpHDdPHOiu/v6ywnZlUDRbOGFuBb/kay 8EWgt+KKlb5Mqk2xF4h6DtVW+ug0RhxILsXCVLRBGct+ScGibSXymf6J3poq4M01/niz2J1EW+QP fsRkPFJbwPgUg2RY6/J6TLFcnzZ9AKWWhIRYmVlnfKOE2wAgxd+02LA22DggSdKZSGNjOvcotUii H1cvDPLPCFZz24I9gpXwnlCYzy1IFx8eqqzY0+JDHaVppwqBX/PAxCufAcQ2MKxJl+hQv+gqy++e qy5/usVvZJsznQ4At3i8cCfZGf/fnpf46NuszCn4rj+nKcQ1FZUqYevgPEl7idT+SqGs+Tmu7VC2 xGR6FamH+IFNqjaB9eiYe8P7k8ZFSnocTwvq+2N/DqtZ9h6qrb/UYuyNybaT1oLrjVd5pom39nxc kKvsMruzv/hwKyeebe9eWbYYzca3pb+Z0o31w6sJygYJ+1wKpNN0dKkB5pqZWgCKshpETqUG+ymJ XQu3SyUIq6Sgv4dBnfUjLmYq97jxEJ1rHVWQ5iOUnj9ECcYlC++JzR+SxEZRglbrUYMRqP0Nx7o5 qQWedhKqsPu4Kg0gEI0snCNo3pZrDWhgKuKco0UCox5mIKVCDGy7lX62nd6h4fRvdr7vqYwVM3qC W/PvwpLTmP0C4u1FRDhPfoHLSMHnPKBZpvJJXdh+BBsjgDKtz+Ks8+C/qwE/NQr8K90tW7wKeQOa znHhGmimjNxySVT7hfNbufAy7UXQKfAJq2AdppQZkzWwi3jnTTiGs2KufmBsJtK8+eC1NRAgxSUQ K2I4bIUGeXOAqzN5V2nf8V6dYEB4FCNsuchqqHfHrN9EsXLE5YckTdpzs56f7oeacf+64RiXtnnP RA/2R23wcWd1nab7JEjC5DC61XzD7q/r3gBTI4tVwnDcis8I/56MqCg12ppbdC22gGjlCzl2pDZB i9KI8GOOmq5NDC4xC1jqZxKYLiaCm6nfPmihyCpefYdoKghGsWvQ31ZZQ+L3CLDqFSPgwXXUMjID 0yowKCFEmJxrabhBwLjXyRv6iowJJp64n+LSJy0XeOExPIWUPzX+IkC7l6mANRtScXFbEBUP03FZ dJyCJYt6bXL0eibVNiMxyYr8zTWLrSBV2dpo1D/e0ziFvR6seS3HqEc5EUZ671U7/ylUmdP4wL9I VteUJJjb3aBWof06n7Tq4tGIAYMBpYQFRGqPXiQPQGlzyARuSaMc1cNZsH/DYxTyVRQY4NOxIo0G Vkg8pRDED+7YJmDrbPYmx4+IkIUV4OKgjVa5PoWzIsOIChRwy4qN4P636O3yXlNDh7PM+wy7r/pT wQYGRBVRrcijF3bswKWXdrOWhLcwWykTVYcGu3yWmruZkg47Hl8H7Jrozlmj93U9aXsO1jDz3ms9 +Xbq98mDgZLFXOD3ARhpRYY7cCx+9wyt6OtIT25KLmcOSIEXvmUlRrgPtjmKkaE4EcdlHq6tek0L DpcUI5a2uwlq8Gy9wfEAkHjgg0iGBybiscvX81W06pTKm+SOVkKgJokKNIR7joFvXKrLmvB/UC1U P842hkC+2v3dMKQa+AyBVbFBDHSCG9+rt5+gCiRmoltbyvldvkCXmsAS40ezsE4uqcpMVa2QtECy c8bSjVK9YzpB3yKgZgvhd8RuUN6RFnNYsAdZNs9HnSSqa73/WKvRhK7+cnB3grKpT9KgPURJciNl 80woZlDdmHqPZKad9TlvoVvSG6HEAxIDCw7vVRV+laLJhZ7iAuWwvczbPIIZ03qesedZPF8N/ro0 e2PnvgUFZx9nDX9+txv4XwD5kyU/I4QNurItYbfr9Ts/YW8YJmkjLfUT6ThwBC4YEjWWF3gTdTul ATYuGZfyHREHrDzc451nb1gV30rzm/DbSQkbUfNYEeJEKnTxeaa1Fs+AYPWWfn61vEXCkO6CDUvu 67qaKsvjq0GfNXVukv8QrA34Jo/lba4mzOiveSmoj2KbsQlQPSAdw4hw/R46eWbJ4rp5gMEL0gML dgdAF1iP2RC7YHbDOHn3KNrHQ7e6kcKEcm3+VFkwusfGu6VaPsvcg7ZxDLnQf7ZWZLunCpXQtRg2 BtQp8d1tt5mxFn5oZMOs2K6uwBs074+gIazYMWIl+RQy7KupjxpLC18rFCW9nESiztwBG+K/Yb7v Q0Is8XOlSG8ErcHPpTBB/WBd4PChb+kDpE3keiNtjecvRdg6w/8dYw08x45SIpDoLiAPzQ3Fqfd6 k5D9OnwWnwI5cVpcFf3TwxqdAl8B4OTzXWT/DOaIiAXjLP/4fPwK8hCCwFIAHbsVpbQyQgq5Ehgn tv3+uFmYPJO3zqoqbVjK+M0OmeH6iQF4zVHzh1p+gWQ5PKJu6oabsqYD1Lkhw5ekNA+jIbAV//0A RlbvTFbZ75uuwZuP+0g02pyxz3VYbkfyRs4SQoaaekbbKxaoD4BqM4rU+3m8dF0UdyMEPse1yXCw 2jLeg0uRp7dPN/WILjbXCHBrHjZMRMf+QwX0LnWWNDlID4e4B51S0oifyy6apzvtxwXw5g7xTF8i gqHsnAfDrAqd2NLF3pwrhXSKhdGkPg2zhes2p8PpI+0pdHGIB423Yj9iCXAcjyNPpzC+692xZh+T Qn4/X6m8B3SaEXYa6ajBMD8V07LtpK8JcfXmf9k4p6iBmz3YP+fEftiZl8eqs9SBQYHWzDyYd1wW 2aV3TLFKJCZd1JLdZ1c53i0ABTNFOhKKLKAdijQxjKfHFyCgnIYJykHzC1qqfadHQDLeS4MKX/Cg IyLIJbl/TKRB1/xurzyKIDg3z8ErLhTy3RQ2PWPo7C0E+bXq1o5RyLOHcbtPQGEgdBtHuLIisSlV PuFBEhBxFokZT1qiS/ioxkjRru/QhbANzfmhpuqc//2YoRkcytiQJcyY3otIYDundsqHzDwi6rXf x92lCtnWdhpqbmy4/mAvaVxp0VIiIhJFAHfQPQPrHTKrvTFliQBJ4vcZvRszIJ2r++V4Jo5oLoSX 7PklWEDXGhBg1Cx5UC+vrvDWH2kVEo8Nu0LmxVPzlpGBZxqBY1Y6+D36MzHIpAYoQa2qcBi7hl2x ynLrPIKuZzJhimKL0m6z6xAHSo6VPvK7m7Zrq11sMEdLbQj12xYhz3Ih96vnb9wTdnLES1sdCosH 0ljPygPSETaTbjW+pFREOcCnrr5xzYv6qYV4RRyxVyOkXXUSvy52GC7RJKvrCxn9SpZiF4szDmxB T+YY5jzd/QvotuF0BJfyl+bwTGdsIUaTsNXFcJCTKTRwbPyYVYcX7RM1obJuE1NxsHfhYuEazhQ1 3ZWBT/EQXnRn8dMHhyMC5mdmE5ygKqvWRSHo5UIm9q9V08FQ08pq5hgFPkwQnkwduo2LGBcOV7DL Bm5l7+i652Xz2Siy4jivABRpUxlSsrzS0vg4LCySwXE+gHuJKJywPwLJbbmrANSNDiVK9E6908hw qKUPCwu2QE/pW3oubkY5Qv22bmvx88G2OOW3pgiVFcQTRN3Yu/PYdTU4BmLSsMTdu/duq1OckHj3 2WeI+btkepbkxN8wEIJFf0tZT/tneOQ/WaB/1cvLKvX9AAgKYGmo8RQpzQwY+u6is2d8UYUOYkSv sp1uDG7nQpQIVOc9jwojGwnRbBxWgwKygNUjfLmsTA+BOnOm6b+KZekB5LiNCQ+ck9OZwo+0dTAv 4Hy+UfKq5cF4YzQL9GOpR5D2a5cI9fwm4J0EbGPsjinVf8qrr+TtXltkaiApSYFdDxn6FGtp2vHI x2AlqT/oNUHdT5K9ghMTP+y3MgUEIu0h6R+3JOTx4FsgKNVAgrhnmeNAUU7J7A8ujDOs16DZozfS Hf/DXzK6QC+oZihGAmgOLE+cGBaZ+pplhl73uWocFTxpYby+H9RvFYhhGMmnNCdJWsRUyi4JBQKk P30msYzDqBRJIgIB1wkM0WcI05L4dfM9n97vp45VNX0Qobz+PSIJ6r6vyZ+CZ79NQZDA51fjh+X8 T8L5rfLE5HX3XQoiOkiteYtCSh4C1dNGSTMDI7RCn1XzNrz9yWsCi8AxgRLYeLj8IRlT8zLUE7Rb EXU5xe+sWqd0e8TxHGaJn6htgN9yDISTe5ijRqF9eRuDt/w+iWQ9DOYowqtEZIubn7r0AjRI9Qae 5W39X9Q7NdpjHdxUsJp4GRws+7fL+hCQz0uVsy+QY23XKAdkw86S95Q3oMwkarBvi59TlgRxyN5t ocumXP7hmCvfus4IqNqHexOnSwR8dNQthh9kw96zI83xqfI1R/zlRB3QtcLfuVOXJOaWj4R6HlX3 iXN79Nu+JPuxU+kLZRirMrIL06HMaQFb30SiXI4kzFBKnLBcgFQT8NDgrHvPZqjVr7NB3Qpj+9f4 bCzrW940os/S/X4wM94+tlxbV53MphIgRkwA8QjG1xlmqfAg5CFFyYcOw0TukGSsoyzJzhkJvcI5 o8pNGrEEs8FWN/fzl8+WK5Ckt0HE2UhAulA+b79v7ckKYditgJtHf7zI9iAObCrYitzQPhMTdYxc q3MEQQrHU73UM9N1IxRGLiZ751ECH1RCmP7EhlLr9VeYWigD7nNYOUnozjVAfRQlDDMjqgRV1YbR Z2x2Qvs+YRmaFGO31JeDXeTZXJOqwJy314rKSMFayxlA4X3ZPerMhA5u59OSFC8ZN1vXbQp3VAw0 ZwBlae28rTOohXQsSPEwS0jvhLCcRfDKLiG+iN4KiZCw5th29DDag37Vo7VVzHO8i+ZY0kgGVRD5 cZSQOpLZstxZZ5CibJc20vPGljYMd2A3AScH5Sf2wc4OxPetJ276q/e6/RdzZym3Ua8VyQq+PC23 MGZ7DbLurcEmOYuPO5AHF8hCLWM0TFKYJWgWprHIEHZOV9pBJSLkvg5b/bwkdpHzt5J4+yzaTGnD N6oH1B3GCZCYM4xfnzAkO//Z8VS4Ks6EGWOSJaP39NdIrvuyWkvYXPugtAZA5V2r82g9Kha/NeLT 1iUzn+f+Raavgo6fVFoUTBSdc1zSX1+8w2b1v3hzcyWbIZvDhrqz8xbrFsBuVaWryGWVyXcXgHEg 161s2zlOwgLDVNJsLCRYEmj9ohMdpoZuQR2vpFayM9izWCJR4d+94LFfiEOR+3B9Iw/Lj+B32GZZ 6qdVDzRBiPB+QCnuOLa/29JYnoY+3ch0+q5SKrED2mU0e9n4XwH2cKHHYeTdCu9oHj41I5yRk63g Be/nMEfrUeQWbgL2rLbmp7Gw6l/cvSth4g3Cwex7A4LFkYD/I3xhwGoDSplibOjTAtk/9SHfGcxr CMCXjnBnr1sJWnR9PB/PmbG/WAqx1OIi03UEauXWs6hc97k5MqAMLMTjf96YJl3J9or7nLciqU/l 10YUl3iIKA9K9MyHUhFSZ/WmzcbbCAmQi5vk1DTdKoTXNsR6KxqPYQK45vSIdusk0vuC58bb0qSV zIcGDX3TF2gAdSyJjy0FN+zx8X7rdXWJSycPhNkB60Q1tZc5k3EedztAol7xDHNmhXhkea4znkzB GQklKdbUMblx4rWOO7CN167obHB4UogkXoOobu+8mT+USguJTU09vR5nwG35qbJnQRUzz+2HX3aZ GlK7YFwtLGOX4nmqw4WnrF84ot+20j8JHz4ggcmiJCXKOEPjbosbREMlD1Epwv2zNFy+figyzrBU wa4Woq958aHJzKHIuzeS3whRmNrp3O3v5jaoU7jaPs7TcFtKvZa7mh0mtjBUe6JqEOy/wWs6WiXr fV3HWpxYjQCW2n8zZBS8mS/pH98YpCk49XpzdvVSQp7pC7Si/Qj9EjuXnsyBUAUpUL/lLz6QixFF h9dkj9fcV82cGe/fEkPPB24KbxgP4rNwjN/sy08qmyNNeaWYsTgmRcu7XfYd43zHAli2NeIMJR90 FAKfGffQ9lHPpizn26Td5nO+0sm6M7gi+AA5vpHurQRjzl4psSr5Jt2SvwIQY9Cte89uNsDncJcc lml3+00zc9EAbcWfr2+e8ZheByFHQ4USkzOYjLjEyPNeAhmZBy15Z6+7MACPHTtwJh0zgACmHeRX uFMdxD4m6rBxFdgno3FJ/SDnFK0f+iqygL9eB9yUhkYeg6nmRwmMgRO4ij2eGd/RAatfAk5bQIGT 6K6CyLtUhOr126Sfv7GyVg2h6pzgg0L/iEWJlgqrSM0KcPiNhmvXn56RYBGpGfOX8eDybyU81vA5 zjH1YgjwOvH47MnI9oK4r0NOwL5trsdk0Bxgn3DxwWWIxvp6dt2vejh5fqhj78GFRwHkQpaN0Ct5 0vx9sUfuPizPVm1XnEwLjn1oH/hxZGqyPz3zV3p6D9nJ++hrIGz3cjGgfMcJ7FPBzKYDEqY5yNoA b6WWXFUl+TXJ+KhGrV4GFoPh15H8DKwoJl9wHkCLeod1HxLWi4wepR027Byo8e4jUbX/huXkFUcs gxkfqUWuZqxJpFI2CjwapVDu65kmkNM/Aqj+HJdxFW6gjT10bZevkK/kQF5+4nYb80L54yy9JjzS pK0nMJ90y7EpEUSCe98rBuBiZ65GNeoFd+Zp8UnSljdcjC6GTl3tMAIiPi6BfZEymTyjE+fyObyI 71O3KAWJTKgATiDjCIPI0ETJ8124ryo6NEHOz8H4X2TjKXwdwUZQWQjHjmDkEj4eJRLZ0/6padL+ lzuWY7UI3BpPVJD1IESLoc+ZfAjVWRvawXnAW3uuo+r4JpMaRBKRiLXo1OivN7rDxDlOLMtWNcLm 9BSABTrzbqFPZRWgs+eZkbbIvy2IIfE7rgXAK1UxVpUS0H9OnuyVneLzP1JSRyXG+rYkb6L/LmIx A/hQgqSQjVYCvWlg83tQyI+KybVAqPlO6DPIfLufsZNNSXBpU7NtoliV9bRf8Yvgi+SUNGV7xvBU zKEO9XWn4ruGKvt+UPvsj5mmxWOJPtIbyWavYCsMfwMSpvUGIQZNz+shfGwqUdVs1JAwEt7Vy/oa ntmO7QnzZlttwRicFs19DWrdEmJuuZo3dtQpvRD9hDQJczPbddjDeMUErVxvlw8nN8yljFNu4O9e r72itwDKBK1MkuTqk4hSDt1SI2QhT8iGVLEvgDxJNuwZYqsOg3Qkr/SfviHS1YcWTLyRbQFJ4uPG c2OY6RyN4XlqP0kwLkzacVJDavxk86PtelhTVzgw+smYBfBuSlYGN+M/fjLBP8V1QmwsNx+wKoIj n2c8bzIBHueg4ExzYGAqTZVsRWTIjJNebHFi/g3RyjiW0L0Pyr7iJalvxUU/ZKiU3Pc7u6Y4zdlM poZq2eG7sBeW+VMHAb4XvssuP4JTz3Sp446+ODJENUMLqe3LoUQDZB7jr0xmNlufQWKNwnuEDtpp hVKrt8fJ1i88caSRbowbK2LNG4BSaPm7M9LjeSW3jG8fW2Wb6UsvUcaA2SRAIk5cm1bqFvngocj3 5wSl4fmHGsW9FbUO57/KUt/pfQjED+V/0VweOuV6+hPgcWEC3TjCYTSLcC3dKh/1QPcy+2iyND6i 3lUr7p9n7bJtmIJSJVUQGQhF7DTthwaqlFwAjHM/i2C40GuTmB5DgQc1I5EtNygK0pEot/dhIK99 izz8JehFO9i6AokKCJYoRt71T9adW7+05aiY6ovr6coPTgmLr6uil40ilX5G7KwHDV+x7D5Axspy kJff8D0mhrw892j5jDCrtblEmVhCzhpiWqSTx4lDR8HDGZ3DcklUwRHoSZ+yUmclwWHOu+zwe88X Ien9yHFD45raHLkPNP8+FoiGMM/C5DnQtF7EpP4OLP13t/h1vlBQuy2h6Zj6ETnaeZqoR0xC1Nc8 i4b1KSzqzDTmfYolXm1CCy8OiiOCbGDML7CDPw+TeuhKZOq+7TI/CselPXviDrw/3AiV6CKEb5Fp mlQ75JOXrGM3Y8PyFXFZyVfnQ09PurBXkL36xLSdfxOtgh+DaVGxw3FCuy+kdMBCxHXFpx8Sjct/ ynBnLVaaIg+J497B75mAKWfqMeEex4WcDC/hWun/0ArW8qoikASpI/H94huvGg9LoumzohM0z6d0 uEcYGYQ17DT/0fuarwRPpCf4wSLqExgET561VsgSfMLZi+/qrJpnT0kE4rgbIWTxmowSn4v8v+dX yE5JCd4neQQmgmw6zqBj7soEgURf66cOJ5a7r2WaR54SWBtKMLBcNdwqvzNIZOaaOCVXwLapJbRQ 28xTwqkQ5iIQW9RTn/dzl2xHYnM0t0YwdhTYDM2gICg4pDH6vWE20WDD7MkKl27zq73DZqAy3HIc mOYWUgjWx7tvvx1/GI70gVcywVIK1DTeoYzaNxtWWAf63PLqGeVahucs/w4e0xO8qxCBaFaG5j6f JW98JPGks+c6HQz48nodflu1AGM3bIQd3OU0Vs2c25E7eDiNCWXUTxg35eFsNnxnMEzoN0Bff7Tc t/7sBz9DgHrZvxFYRakudONso+8wapTcPCVzqLD/wTZ/PrZkJydzQrufpp6OWXzwefvBagXlfPbG QVRCvlivsRMzwDwfxHZb4jd3E9CrQLAsFfzL0JZTCffLICoJeTiT6UvFMZ0RexX3b6p2pZ1wEpkF Rh9qqGTJwhqrj5BaXYgaj4EWz/EqEQimxUpDrGSKvttPzFgYL4gQC96jt6dY8Y90vc/VK9wbQwdj y5qyFoFoz3DghvidI7E62WrWprkcE7cslrkc/0f1AMoivhz8YJKVd6WKaEyNlG89ctu76mJdMukc fK/ACRUJN/KJzNfUgY5Bq8el8tndwqw9iBJehy+AEqvicNR3HVCpFZpFtY2hZwMP+tgBDr9WAUzh m0D36/GtH3NaT6PRgk0Nr/KYWAoM9hKscz9gD34r/3N+7SNV8Ul+QTSu644ZVkWVIQpVjwsekKDM zkNI9Z2HlaTYbnnqeRmKmejtBl5rRUKsiXWjR3w8GiU37TkQZbRJT9Kw055mLe85ixOUDaXecSwp qGUjF5jbQ6ttM2xncDGfK+xwzi6XKitJVx1lzDhN5gDa+REqeEWjipwtTpZ55hNnjENnHjaH3tX+ zHWNAmo3hBEG4g0RZuA1c5r65KvIaHiE0MnYyB+H2GkDAqhAjVH3dctJTC7NW58Gkkv9PD0RLC5i 4q5MPxUXvnr2FmQg9w30VKGyXy3bgXoJp6KSFCd+TVKnJq6+xjBn0oyTWSusuBFQue/jDqQWTHf4 zUxZfk8uRpvzmS21GlMRcZJnLm5/EcrX5fTYPs/2YIe7vzvcovmxsggbutHxSFuf7iDAn1FFyLl2 5OPnY1iM4JT2x+pzBDCqZ7dm/gXFUNgGHhnJyVMQCNS2KAbXxu7ZQhxm3SVf94P0cOHGdmo961W0 Soi7UQ1VyirQFgCmESNZ9LjbZy0znt7tl42yTBM2omXgsSEuebJLKA7lle6gIDgiNsARC6PDo6bY vOKFEliI1Zs/4JHbXgCdfZV6zEMJ1UmUehpcnoYRdtA9I8+Qf3A4/MIE9PazMoNDJQdOdUxW+OML RKsorGbndsnB2dc7Fx1JPMDy2QX/yBHf/lEfzF9fSHUAe8WP4jSiwclHfN2+fJbonFD6A8R9dwXR s+olYJD+S6rd86IdZBBzgUdawDBjDpTKvUpu9G+3Bxcd5C7crdDIUIEtdddg8EOR1nbTCSSub4Sx FR68CmgbJNXSuPoIL/GqCRyLyVsEanPNv+C/Cnm1Xdi0jW6MNQxrQRLmS16juEonoD4dWYuMWWAk lvFTVeSO3Einp+04ar/S9Qy1aQ0OaX7YW3sRCESZ9ek4GIxxYNpQiiQntDrkV0ng18Q4xehXM66m 4atXw1QqkZmpBc8tAqVwdkBkkumKL4/sG/7wvdYq+9CFRtT+yOGaH/XsFZ6dDqyBHu2DhqtM/40K 2plXfdRt61xWL9nkMCMcxHJw0nyP5oD7Ixq5KphG88t+u+xHi1gwY1QBFa6zXWNgEFDEnN4CCe/0 5pAivqXCRgUuCiue36YRAQKD/d6BGb3aWy9/XfPLrO5bs6OQY5RWOJkDW92Mpe+FTRT1+UkGg/+U Rm+ol2i/wSOkWpojStgcctRHqSWJmQLFJS61GJxD0BgHrumZivDeOh1+anhw1gJIgEPea+84d1a7 IJ3NWBxF9cBHlHnDdkBGwV7D5lFT4YEPp2DjxlUWhwF8XJiG3D54illAMwmPWhHyHesau3ZQMay7 FIn4DQrTyUG97QYHYIwGBeJ4sqAPodlLqnQs8jprQyBqfWgbt29IkK7soEBSNh+jrNxlQh6Xn4WH AFdsAuS5kQmgXKrYRSRp3TRVapSQ6xwoZ4QDpcXg+6AonXSe9F/ZQ1tPwdPSvrgyWJAWKhPridVz 07G7olQSRlOFkVRraWn43L/8S5LhhromSoLhG/8T9kAkRw68COOsqHj7xlsPpxNvkY8MVbUWSK4i mG2+NhAPCdGk+pb2kRSEacKbDo7Rivl2xTnftHv+i4tjypozGLGs/7t+XRUg6z1C7FcG1df9mnEL c4yXMT6P6zp2fC5Fm0q4ZadwNqcPIAO5TKyFFlJ6UuKxcOORze2Dnf77X0uoWIaHU4F4fTJc4HK/ dy80pLxxHbCYF0r6ncifp4jmm+q3gOfLcDKchiNTZwuwWCtDGitU3NThxx4Pm/pzKeEIaS7wAbI0 Rx6nZPD5RQybt0CgS4PasTZCYrqq45cQQqnwhsqnLuZQ0IuTyxVSb2Yh0gpa6BPc9/bDk5qVca4+ 4UwLkimIrby03S1LLr+0KT7fglmHZ6GEzvdQYmOl8mftPkVnGIIPGoSLuTGmDCJ6q3nV3fnvKrmm 2ibu/DTrOoadrV6BXok+iKLsgKBdnIRdAS15zjO7dCAuFk22o1UqrNei7dszs2jweoIK5hId8X/p 7Yl+4Ll5k6GVMyqWugd3zMjG1qFiJNncLySksQDB5gmD1rpRSQMpsapWBiJcEIyo+dymXomCw76H AP1PMTvwAF5F828Aze07VV9DhPYh8C+y3Sly2j5LbFjsbPcCpEW6kDcZZDmNCqJstE1Tq01TlKaX yZNoMHIzua6OhkeEA0CaDl7FBDIFOEFpeIeBkjkKAAMYDq6of92AVs6pJ931quQM3AVTOIQf6pjl CtCazUzB4P6iTwMScSRinro5w0JpFDF6+C/2C/kzQhrWNSoGlG45kU/OLZT41zBmg7PMsD43+851 T6D/f3ttnKt5yF/liEbCnjXIEsdvbuf6fYetBW/PqrpqBZO81EJ8sO8uEqwhGzavI6pedWNrC/bG emupSF/4XpJm9DK1FuWiWJ0PV+YtBNPBDAqy3H1LTj0UNQqADJQQxyshZIDqRsVe5BDzo9UUNVNi wrpRsEAe/PKjjDY5pDFr2FrHVH/YNtC3FcPMHuFI25Co5fxI39rNc+Rvaz6gXIm46yGMLtYaWOxX dKZ7LBP/3utFRP5eOI9APyedEzx0JjNH13wh9S7NBIb/1z8s9a/yycrZ6L72Fju91m9BPcjyhVEw 5w8qqCl4TZKWGQoykuDeUUn/Gx7fZ0Ol+Ib4rAaXwY5V/YG7fu62Cb6ee6o5psIKpivJ0AzoYjr0 E3w6QSF9aXzndz3UAi0W/AOvEhfmW8FLUydBEiLr8aq0vcpYHsQDvxJClKT1DoAqJrcRGoR/79g9 Je4TNOWEZwpL0Fp1Ot/OAKqHLYGxmn22UwU2IJXLZyaMEqOwkJvZr43+lHlRIAKS1nBqF2iptwZ4 Od+gx2l7XZmQfoMd1JHvunnitK9rekrl3EU1X4CZNMLc+WEQ6SApzFm6h1msJovGL0LmEe2piHWU zhsJdG/XXwsexx2/77/s/axgrcz0RB1HnhT/5FF0VC3EGtXYJnZDkcC3rAhwptKUOTA1kqRmU9Xn IjVAfKY+Na1VBEbEXOLd1cshfSuWx8A/jICuk2QEm1ZRpPHLY6xQmu7hWF4F+RUfVatSOTBGxje4 46xumZYihNmoYzTZucivdc3YKtNnF1auzE9KnxHcrhJ9HIo/KTXPC/9cbS3lpRb/SKG497zL9fHj op3bY8LP5SbQUt5wVhPkIxHgIZvCyEkkvTFW4OXYP71HJUQlUh91H7VMTK8wwwW16hsutqTsR7bz SLxGEiyV9r2XDB9JN48q97Abkk9MVRMVtF3A/wINKSgIn3uWuEjPX9M/kxxuqQXs6mp+XKO1behw TZC+ZtoC8TA8wUqLeM8Gh3vReLpA42PSMQUfg/3NzWGrcFLn8DRwxgUzDH3AafoxfZDsBL99ikvY Vdz9agSGpzXPgtIDfCmx5Y+4NVHfImQHulQtFBwwky54T7R6FPvKaxhRXB4DzJahlDatRtdYuHQk xU37XOIBW+BSnVeNYiLCU523nvRW7Oe3om9EitP2A0O3FNd3OWlg2hbIyOJ0MysgHzK/8jk5X89r sOXBd/9PxwVIqNmTZ1xczWZ98iKDaI5d6bqE6QYijSSs/F7jLFNvTqp9uEHrN+8i/S1yOtflJSrY /6M07XRSvn2tNj4EY4OohTXjUv/YIN2yXAN2aNIqIJtorOAu23bqIRweT7XRLLCWBAv3FwIVGumI WjoE+8BlSMkwb5OV15u22YisTGBm31gxCEbHrZh3SLRh5PYIL3fiG9uW7Gzrl3k7gi3vvDLUkbXk DEHySoem5HD1msT59K8hLcp0FFhiPnjVH1YdG9VOTBnjSjHiKx38oJTrgWUU9z17jFwnU+Pp3QWQ UqiRx5LLrUjON/DbJSuvWDQ+MasKHri4Oig3HKR+Rjup3Ds8BkgOR+v3itbFQr+EfprCtTmJqgkr BttLNukXwFxRrNwGO78g1xHgM5uHaOl2lkp2zwqr4/bKzYzV/AHghkVLKdhxm0JbTiNToV0F2k/Z oXz18P+7SB4yyQWXNKGnnaWEFO2fy/OVxktkzckduicjwFCSrCJVzFiIbkOkZIKgRk/8uouA8DbU 84PwvWzD97ZPNfhYZWBXvxMDtYP4APsR+9Tdz8KfIk8naOIya2SyPMStx2ZnozC3rX9jfyBd8+lO ifjI0qF6AoqRAu+b9WCvllEuxeDfeNjHsFOsHjo5aG83eJvmOGiE8iGSRRlQbtRXbsOvlh/agUEj w/iRm80dodP1mXuITmYM/ho8u8M2EpmC64yoxoDqW/zd3oDUU7cb3dn+yKv2CawcwmBmrTWWJFp9 1/qBeoCvqb2eCFLCP12l2dAgI++O16dOt7GfUR/0fjW+4lN535027KRtIju6n7CCc8r6qTuZT8iJ AIqadjCkUgrmNX79K6XynB1MaP2bVALpXHZG5M0gAJDPxw9La9GSvNNjLdXMnlQtZZiJ04IZWaPg t6A1iGysNBQbOOLOl0m9v17q5x0GZWR4QTK2UtJU2H/49Lt8ySFpyrsspd0ZtT6Ah0QR8Zzyrqjp 3WZIGKj1gSoQZC0HFnHRUH7SyLXhrzK7JAIWkTDMwaDg2f4HgMXW9fJHgizGzwUO8PYFfaaBBWzQ Pm0lmhFlYqkZC7vKgk2njgJDn/0ZauwW1TX8FGSJqghMJYwwzhsZJ81HBHcaV9mGmWOHmg5nkxZe MeLhJJwOUxQoz1z0Z9lH5KdGYOWuYfGL7z4Cm3c0pU7Mmw2IRRvT5If6yEpD9bn+O7+LYUwFzHia wtPtN4x1tqIUiEpS6XuoJVN9PeNqFKbZbWDXlpx049zMT70wFbRZhQKoHL8EOZxYIDCYTqutmjq9 uE7bfd9acNQ0XRjP5Ust/LjQkVTNmXztmouY7P23DCPdtH+92K42aGrvf6N6lXr17vWrNN9rU+vL GJp0MZLd3xOxzQbq9Xr3ttos4QfQFrDeVO8B7ybXfX3dX0lpugd+ZC6tTxAE8IoEkS0T6am26HKd owltxGmklw7tkzCY1Zq8OwdJnjq/9WyzFjXdEPeeZQ7reLdd3pso0s0wNe2dIeq3KWxfd4JVQpPu DtTVkRVO9MJ0efh3rtG0acmO6zzsQvKCLK1S4VtTt8fss77/xOhCfhcVfvPwM+pI72g1y6ayWW2v pg7yxgZOCaDMdiTuQ80RIu6AshyIwzyuLLuMX/h9fISnpqFzN26I0eyFrpVrAtDoyNDl+BW0Vyrp oWuPPtOTc6t3hvBRLqbNeVj11t/RSWgwPSdq48ZGs5X3tzcHGrzxJ2tc98YRBfKKqHRLgDG1ItLS gyatzLD4obV/qo4cqJmCaDN4obEt4jLxynKCxdihUCqfQKxreYgx1lH2Cc7dNhM96uYizuEY3Vkz qoWb44Uwd6ZZxNlB7/jb//BUahx7EG6YZJYHOltB0YF/1uOTXkg/CxEjaHe5XjVC2IsHUX4hV5Up QDOtFAVplyECZ7VJPwdAFyjLUOcqRzhFNd4KZeKYpdf+1TrjxbI56Qpq2FZys7rZxz/kFX0wA9vX p2j+FLAX2sYkr02GqRxo25Ge1L3R239AyUg+cPY2aezaKtxtNW8wP6+A7YjBLvYNEVzVEBWAtpl4 SrmfEx6efx4UGSnrm5PM9e/F4Oa3O4bdLPOMs3mPak+xgx12FlX/OkOs5gpFbfGhIZ4VQmWPGSmv f1vSYewt6j8Bz0YTZQg5JDpr3O7m3ZpbuCrduuBY/oIc4NhDUxJM54GpvUt9UR6LQg6aFn+EYJiR WaMjkzwhW3w2Mvq+kJ0ohSHlVjXVTVhOgFYSc+jwKZ+1DezhFmE6enECni+Av2fL3TzrTJyCetIq dHqTpz30eWoiSxIGLU+QkWTpWgUxTaWZ4OX5ZnQ17TWpj9REcmFtHSoMVQYMpQa0xzG18s3qSAjF jcF1tN3PrARBcnPCNAlAN5K/P/OnscLZWDU8i5YcNbmtn7b54knhUBWiN3Ie9j8DZ0ndUPk1wpNM W4hWMDYtaE1QKF2K7DW5Os4Bq+XJ8HyaWjaEVdG1VoW8ek4ee6bF55PbKZ11KBkp0yU6p3dVLEgP +CFA8RuSy1qXjbFz4wjHvmz/0dWCoXjhF/NYHgl+DW8MJ1F652mWG2WYq3O40xgmEDt6LiWRBQy0 fDiYMF5swSKKglQHAEzhH14TFMoZOuo8997FzJOftvEYOVAqFpY3aK+E5Vm+iu3/Xx7aeTZdh4lS dKINAflTG3WAz0jJ0stKdIzDi9ZRZSPnnX4/rRMREkymzckXknl3kQrGmZ90RqfFUKYHA61RRm5b Mmot4tHFYI6ov+YgNi3d5h/F/1je6Q5qVN8Gl15ymSad2BDNOnCJ4WenMvAioZQoODCJuX5mppV/ z2wdaMceyz8/Ikv38rVFqpROeurGiJTshQQX6Gy3bUdAsrAuynXSxtJv8bewevD0tzGTZtgo3qqw RtNpE3wIPZ8QSyVoBxKw7mnC90OVsN35QmoVih+jKEMkFV3hGoabXyojkUWKu1wGnDyfb9Tv6E/G D0nJkA9pH7TKySgLTze/JrSKJdQLABedEaK5DKs6Rf1Q/kCi607mQQ0H29li6zwvb5CW5ZWN4Xu/ K6JfUc9E41gfJeOx/X05aSuobTrV61WgIFgK0YHrV0aytit/3BM33nfp7atd9jc09fbOW0l+qGyU zZfoip/JOHLDaVpBOpSQFl8Spnu7mLCGGL6Ylhi3YX/Xgt+L7n+EJp3FNYaY4N43U8mc91QQO2vy ATYbRqybff6Bx5QBHo8eFJkeg8kf8MSzZ60EbJnBitZzjfmFGeZVcUr8NUJlRz88eTJ3ld1WUa7+ IrjBpZhzsy4WiQ3Pp9Qu8DUoKepBDQ+LGR1xO4C2xRcmYfPMAIVe7e2iKnIXgYpE/Goybm2mSQ6L 4buiOoNWAh/w608bJD5k/SDJ0npLNAH7F2zgrTKfc+aVjkbx8gh2OmaF4VnEsja/YAhO0xmsgP0n SxBf/9E4Y9qnfYzbh8WQMQJSafOe09g+1piS2UtSfR7QZdNeh1ciUkEqjjnnpQaxCAAU+z/V4eZN Klce01VELqHS6T2Y9xEK//22seqtjksb25sUWiUYuCHJvejed2hbsS0VS0oXfiuZigL4FS9aWjw2 /D2Xky/lC1NLV0JnjOiubPGiyYTRwJ3t6Rnyo48ibsd5vGRHAv9YZlm9iBlvUGZbSLwiXzw5GHxT 4z6aVCTqY3+TPdQfiDcuOm/0mFfaVxdNYx7VSJvCuDX+E+Qqvmcg17wG+Z4UbL7ej8TfZNmiaHLm BYssBdimXArRtuA6spD9Ju5eiQ8hmxP8k48A9C0NavhlooW51A6aoPWidFT0q1C5tkX/wHbC4FXQ 9kL4QxkfnxhL2yv0jnDvpAU6dJEfRALxCkS6iVa99ncgHHcE3kEKPfQDQlrotqbIZOiiEQ4Ecc9K 52H9Guyhk+gV6R+vjETgHhar5yB0hSNVsmz9WEzad7kxa0Xe06hPTfXeIICJLLjRImDHSqv8Gp4F 4G2ajChMb07DtrF7cetPg6rGIjqBfjVpuJwA52TnrQJnsJorgqISe1ld0HIyh0qQSm11+dl1uAyG zsSDQJepol+kZtgRYx/x6EsmjerpmCDxVp5fOX8zs3OE5qLRp89DtDmjAoYq2GAPr7dQ+5/U9rMB fkYSbbRlVleu0oMyZznCT/5VgKCjZoIKtY1732JQbdZNktoRlWAQo0T7kdreFY8ltCMzTRqq+Ce9 KPHtj5EBdyf/MO1oRMRpEj3N5TpmJwFCFTKU1DBBorUxr/7ls/o8V2EX9rjJVozFNRrKzg9RQHHx xfeVYz0d9hOptMgzXGn1yzn+8WWSn9N63RgsdqbxLEZUe7HysN3Bxn8RIP0BaAFKWGow8wdCWMWm AqUOqBf7+JK/GW6KfKosJCBQVIUU/yS6mYtx33U8FEJAHXIAb6l4E0Ou8xA7I2xdf1xdyQ71bJ34 ePiKfTMFQYnIUU95+VUZQq6fgTZK7RvpwxkL02F2tGS9oGBBewDr2orf/isJVakiGqgQDUCc5X7y 5cTppCp5cKRctcyIMig+WDLDNnGNuxbg4Cju76IBsW3WHqjQQIz2RWw/ljg31VatHRsYyA71hF5H 5IgZfYiDzLQgY4PgSUXuXSANLQT2hX7mCjbNUrqQ1DcC3wDDLdfq8mQcQbvF+lnqGuqK6Y4/JX+/ P8klcHxNkIzr1u0ss/wTy5ymZBNsNuTdCMJM3ujBhU9kWPR9nin1HdEnv2CKWNGzSh0UEzIneLzo GYvhqvfM5zs7BIyXwTwDh5n5EXyCdcJPp/nGRIjTLq+GaI6JWYJ9UDQF+rM0kMixDVAHVMOfbTQV EK6BaW5kO5tvoPeulRudJBs1Cw0BMAVawyJ7mZ7VFDjfcI76+SO6vfDlLTaM12paE7D5YWpx0gd+ 2GU//JJPlIUfTQsF/hett9kXNMkNTVysUuUrbfkrAPF8F+VUPvgAM8C4xX31ofyrwTbI1qi9XKbc hNohv7lohtxSajbeXg4ezCfW1fTX0zaCnlRIONSh8oYNVA95P156ZRCaru05xWs0dqbMwZ5FTCAJ U0D2fu1fAVTc29CJyShp8bffQcFVow4cdGQ7qVvSYiHQR/m4I9x5VsqVp89PMrEszXvssSHTfGTX ImUgR3uZhcZsEib4JOwz50TKDzvhDG9Hc4dzYUL2dMg6+ECB8jzB+Xt6K7nmpLLZeVGBvq7/O+eR BLg/bjIUKWdXQqpX9ItqgopYxEmO+52yscdKg6m0qvhnKYjfp8vaNgIHXcVXo3TaPgYCS6OlO3ch jUIJblcjmjY4ntVfLfpKNiGs3o3aCxz9c7hyNv1RXlJCvc0TKn5DO1LZQhypKL4M7t2d4lJY7nOi hrhrZwJz0uPKGTr8O2hQUUako7QAqrJ5wSIktsExj/JfbsYpzDY5TYqBfEUzKCzTmWFvhkVp+7MS 6uK8H8pW9tQh4B5fWq09qeV2KTaU9d9Sp2flBzEs7m25Yet/A9LrIHYHpb3C8nTkEHjcbKZ1BHK3 XnqMLyARuuhPwEsh7cMT4twBj7xlMGshzsTbMUgl9wDnw5l4Jiuzb8tlQtwTXjC0GyCX0Zu2D1yD PqQOsb2kfozzkJ7blVG/q/UGa4hBwowBlAgrDv+ctXk/zptVZ2nM3cvp2JThGSZntBzQXlEZ/yiI zeicXLei98y0IM+vns9Tp5XU8IvVJBz7bfplLImm2SlGQi75GKhFl8DTqqxRjI5kfh9+IVk+1DcY FKhCajPDnxAEYo0kCskbLS7EqfPBM/+QYJ5MHddJ0SzIv0i79cFCDfdr7Pm6ZQzjo5+qedlQ0fMC nqFaq1K4aEfFwrTpVy+7GQ6vsw43OHnOA65ibStiC6jIHB+Z4jcnWRbJPZZK3lnhAcCQCIk0wDGD yIJL7o/dgso4R7gBG5BcWZSc5ROzT3pBQ9PsABaW5nP2X2WoxHskTLN3EBaUE4MANbmozrBNE6+l l53234ZHqEiA4Lbn5bYZWjpLc6yZ5q+x3yE5kksrGHkx+UkqSVh6ZytNFfS/Ug0Hyo6G6R7VuU1H NRiJkyJ8N7qxiVo/taJoTo4PrvaU2yFxU5l3TxOPj9IEf+juqLq1taGBVtCYkqz2bCgFHXXWzbCD I5uk/NWfGqxEczjctgSZ4Frtm0aoCO0Ok1qPOaYLYSEyPFCSdCN5Lwm1FRQJ2A/xXpzJRm+gNJQ1 tZhfzAepOXQC5rbu1Mpk6gYXo11LDtAsTS8mvL4iWNobKbrWy2fNGUqItsyXp2q/JOrFnB+Rd3nU psGpd+Mctfz49pPPsyy33zS/39A5zoN0CDB10X+8MrN0wciwrICNJ3mulwz8fExjGNDjJKvgkl9d 54eG6t4xmLzKnZAgatyh8Yu/Dvza5GthIsr8zZ/W1CCaXLdT0SFarcPqLaZfbWrUr3VwgydSYFc2 2w5iRLWwKvhLyJcqTwyFmCMRQ/AEuK+F3pd9kG11OkfM+4/J33Fy89V/t4xYz/nFwHo3IUw304rB a3b3eaSaPPQyFBwyo+vRCKDRDFZV+RcJ/eqoPxjvEoo+/YjuQRQNyOyp0UNV9bdcP2T215qzAVYC kozscuwEn2xLTaveZAm1dMqpwx+vOVwD2ZLArcf31imA++04hDIovVxc1Mhzoho5TX195/QNy3CS FOtWxFEj1z/JtA32ZK1cyhWIB9SFydWVa+Rl1qq/KYrE1nGNGFtZNUawTcd+n3ixFaj9CR2CIGz5 tKybj3F5p3ktXVuq0U6M1MxEb1zJRIf4qZLMdeSVxOtGRdbfLKbHygPXCUchKZz9L5TfLpn+UhtY X3l/8f7Zuj8fMAsJiL/Y6PUxD6lcIqrJDC/rLDK9CikzPPjauJLrlWEPdj2C1io9a0a4h3G8mP7O 6QMFoDVfU2NVkyz9lQZ/ec6nE5FHt55z0AyVYLV0f6kpXnwG0A+rPFlpVJrm3gohAyJBGZozUG2s Fs9eGo0mv3vZlxpoUg5f1WC8u2B9TTdnaxHrNXsgLMMYrM1CdzlclWEHioNBFJIXwMWe5nS70qB2 3I/0Q6mjY7331jtBb/pDOnM87uol1X0gGTRCNsE3Gr233OMnC8A464NO5S2UsebMv/xnj7w7N/eD eX6FfNi2HbwtDebCpzf35FFq27hITBxqSLSUan4XSS75g4sCBdW8CL7MIAic82w+YkEVeKTvsns7 KWbtQlF10XJFlgRfZ/cmwqJXK+70SGIRH2XnYS362uQNe/eBco2ZGKiTBsRlmKx1uHc8Bvto5Wdg 6BtFxLsEm2K0fE1Le5Sgul4FK0Yune22rnLrBs2oADkewdZ/nnpdtvIke9FF7jeHeIlRIBMOw5KK 0h0nhF5amaowmqJ/hrB0rtqs60fTo9JMXJALAanokhIfnhqgGKdq0tMMvTKA9H2g3keEqvgniPcT WX9cDLX+wEDtrWCY0nTs61GM0ENZLQYA/WsY+DWPb8E4zOixREvoJmNafpplodl8WhC6kOj2I+Q9 vmXA1PUfMDgRr856CXIYbFqhZYXiiw8BZn7woHU0Lwyyr7IPusqKojDnWIZAglbPXGugzeUzNI9D HtfaP1TjEggkQiVDYExqhUgV9pHhHaoEr8vpI7X6FhKVHtAq4BY4h7kFuDs4j0m6t3si7rl1SnHP OGpqyVePc3hXcHOpCeCixkNNbW3NwJjjsrOlsoT1hHl+BSmkyhmx2/OL3/wt2+rYAVD8ZkMpSn9d DdlbKfutxopVnE/6nZI0t88UuoYVcvBSf4kkjZhHkLBfhWIOqwbEtSQpPHr4mjE/j2zXvWRBI+d9 q24SEbq8TjZnNwUp8WWFqwr5cjd+PbqYL1lTimCjfwlRp+04esQLONRyNykP0ccKFno+z+4J3KKg KTYnorj8o20R7l1BaXvd2T/5ircFEcwrSzaH+MOm2/TjCI8Pxkr+QLjWe+NaouGyPDENc8JhQUI/ qe6j2RCsIiEuIoX/UL+lVevSo7RGNf6LkcI4nQxf2myi8T0VaKb9yGZhnH4WaLA6WGSqS/asUq8L DJmOKIOYrD5vRWXjFO5MQS9F2F0Koqebjc4PRXfGYDg2VzBvNyHFcniuzYX16Q1OIK45Rl9DJoh3 wvbvEZRXd2iVh+OcBOdAAFtpga9xkeXJNPzs6IOAM6YTEuwNDuDAG5y9jwH3XTipuuy3MghgQGRE 4vefy/p3taCe9wCx4ZMpKB5Q2mId2s0d2uGUh6jAchCgBj9xVbte0I8+HhNsDpyEPZ15YSOd/P1v Hyq3rOhyxB4KANNY+anaopvoz3n80swMwtIVQyS67TqZPSrHguxyLEEUwo38uPxrvYLLqfOsQsy8 DLK861ZOWYQ6W4zqAD+E1h84//LxkZgRY6m7xATaJWL3346WdqRfWP1Vy1diuN7J+xtJ6dNhkp0t UBwJ6CHO8MCv9nrU/2z86RVL53fst+slCQfEDw81oTWbBqfmNFOISqZfX4a/NojbjKdXXi1qxVhr Apq0BuD5J0EGQEeRDJiWtTGHDOfPBroiMc7uVYqTZ3+qzJlRq1Dgr28OFVgBayvPKNWpCPW2md2r ESAJWdJ2WFq05mw79PdVgPAOPUoFTDqrQWzRE19hK+lI92/jPnq+lwa2jzXr1a8RZZdpptl0sTKf Szk5FSD21d+qubcJohqhyzTaIKNHlQF5r8ar5PIS1VUTZFshc/zBvP1eQgETGtY01izUD2JlcnnK xlPtCRshPF4Qz1wyXafDNOdjN1fcqvXWvnvipg7N31zcohlJ3uGxyxa9Ekap94aRQbIMXf+l2JRB kAYaMvv2/YoIwCNOq2spqopSXD/QIVMGzohf/VXm+NompRDx5hvoJjwxhdZcy9Hzk4zvKMM8CWos hCd6Vmq7o59GnY6KbY7Ste70mMJGML7R9aKtFxbFFM5Gja+aU6CpqKpbkPVHW6DhuVKG6n5kRdMa woOmwGw9va3YjhH2bFSUCzD4ZNAYAiHcGK1n/Mes8qZzirOTT2qBmf4eIqUFNVmsIzHpf6EY17m0 rrU52JOOo0GtzhxuN5mD2Y9DSMzZbdGjT8sIAiXXFiHgjlTENuGz2pdHtRtZRswOwkXdQyZoReyU oki0CsqXlR/kzPkFGFAfJMpVHvTYqS2D4ZcPtQUJQVWSyiWpV8U4whJQAduKvfGzFcYjSvu++9zB ape7Qg0o4ux3Q1RUsjnv//6uQ9uVmIc2pq13u5XvJDanHZKCoJTv/cRqpWF/2PuZAmBenvu6c4lU rZiZBD2PWaVnFqhSDBK2L/0wAdRXLBxsJPSOAMKZBZD0P8B8V7Ogi7n7eemHvsnY2VX2QNTAx1ZU 518SbSxlGG7pCMaw1NpnMO89reqHwqxzwxCmxENkyiQNkS/ezb3jyYa7CPIraOWEoKi7MwnzBPOu XPVNJ/uvRDOT4Wud8gAioMKz9vPWbmtaGw9m0yd2A+K7i7ImoX1SPjkUwvw80sIMBwyDpXWmlErM RNJ06m5Z7FvpkNxY9vb4vypf/eYSaz45BT+7yQIytHNvXgSw3aKAtlWV3erUYVeBqHNddcguuiC5 6WS4RGm67q4VDKpPYXN5E0DaecDo0g3d1g/pxgDGapMdJgrah8Fu7X6hSJwHzj7q+HYv6L9Qo3pT 2XT+7QNIW8OnKEeNAcAFVhk+4Xuqa/4lbfFHhRsuI/OeUSLoeZrcK09Um36sAH1Hnv582TbiKyf2 GLze4uXaqrkXCtzvpW8Y8opYcHqSUB/vsV7G/0QUt1MY5lWbvI7YRbQ7wBmfIhCCek0ybyw51E54 0U5afJNbR0uHUF/ZfNsiJ9saaUXb+OXUr8CSsVN2jI5KqGYAnkwZ1QQAi4qrJezBBiE23HLSZT9n DgCrShu2ngi8/4ZHsBKm0PwPiBHNNxunglPk1TfFXrNHMsTqVVoxNX0ltKQ4qBwFi7mAOLIIEKkV tm6hXCcn1dLEMQnxe0XGNUmTcFgSB0Hnu1aidH2wesI+TJq56Eh4LYXypu1SyHK/WsQfG+btOzXG ulGR9PdLFx7L5xIWkCFjHJGBvIo1nX4CeK741bb0VhC9dSdDt+1FzuZibIFvlBRl2OWQ/h1jLfy5 mncF/+jhXWaLhQAnSjicE7vpepP6LrZUXdmaz2Urk9j7xtHCq5Odj/kyDEh2xL12bAwH7a/eYsjd RFEMhju/T9hjVhdp+ubwM5CO+HgGRM+Nl/u2vvgnRs2svjnODCiZ+NmSK11BMpUscgv22pD4R5sc 5IwqoUUfYZ/odUI+Vts3stRSvVxIbXC0+zLKK9atRFq4hJe0axk4eMsdZokZnCpogZmOQD8fUSED gOR8mNyTp3u/i67+31PMYMmc9KE6WepvMUm7KloYL7V3y0WCbT9OOrDPUGi6ItcopA1MEy50JIXB gnMLPpItUcdutfcOtDZdOTNMINBCazf9CinrCu1BPOVJ1BPa0PxLVJ50uMET0qQ+7ntTzriD3dcf ITtY3zAJZnxUBfbUW85hDdIND4h81tXKTlQZu4cY4vce0FI/ncqAGUQshnGGX84gPKF8CIX98Uak 5qQJ0VNGp/M91iLR/uIlWYFLQAiA/8wRVf7e/DHHSIAtUvKDxrqQyOXbGipIXx7Z/1ryKlT0c2o3 ydDG6dmWaBmqRQPBbYJ/jNVP5Ps8gkL4vFp+HlmAD9y6lriXipEOP7bO3GitoDQbRgoyQvZz7AMO EA8jGu1JQRBwkZHdenw7Eycjbc4dGAlKZhHf+zapnB0+Vcg4vkyyy4OKFZjRGy3ccvoRlLdAOLfy CY+px0AP+iOKWJ5wbHYdr5pdBbc16Jz7ykgau0KXyq1FrEVS4oVLdMuuA3vc4FST0D0h1gRPFtuc SkQjc8tqiCw+lIsy2eXZXSOq0P29rTv40e7GdH/Yy8WVRYoEqcsCSMqZeu51wQoeBOAQouMOYgDZ Br4voCIKBxVcgKto+R+mNcSpqoR9Z9RINVxZ5NaZEDFhxZGwq0g3EtUAWoow4aNyiEEN57lmBTON dqWcg0rYYtNxakRHbAYzMdOkDdl194E+kMJz4OT15Gh6vzLAs0aGZNov8ynQNvaFoW11rhMrN2q9 j8Gk01FN6H4zmWGt2vjQiStTSl+Y2BQyasm58IMVBZCF994OuweUufQjUQ7XXsOM5EQLbLUtoSKW bVtBck50U5YwcEXEMiEnk4WHC2FwvKBQSxWvs5BsgTIgkc4VfwfyVuh3tpyYAkeR8tqn8eJf7MuE PMusaKln2Okm1h0reAn5c5LghPX1xl917NDOl93SsfGVX1J0pAosQ4QnsKoSsbzNuXBZxEYBhY0L NHJaw0yEmcA6eGO44hit4b+WqHfN3d0aWaU2HbpbhVhWJjdKgPYN4wM7GlZiKscYXHcMKOgtAj/y p/iF2wrEDWZDDkAGU+GkZiDTqnvG2Dxj7ruTnobPSofv49qDaDQooU2Rdnia6Y/igm4NCiOevSHo s/127+B3UMJsteNVBBt3BYuXHuTeOtzx9nzzoj1mOEWW/F+Pml9OITsv/cOQuXzUYaHnMVlOFiiU c+g5bXQ3ZVvESkXfZnS7FUGbzIbK9K110B8RHWoK40DHwNTNl16LQfhqCrNQWkDAtjZnEb64miZ1 lqaZeYfAaTJg7snyK1DEx1PGhDMK+q6gG8tBbVcTcgiaihvQt7sapZGiQ8pXb2F0Z1n3ZXSLhga8 4AtPZhzaWopakF3ZAUwqykDc3DQQqyRYzIpy4OjCuqCijwOmfFI56c3i0foVJ5o8P+HEMR5lfm7d apHgdw6zx4uNn9LALmRBLaPe+CZUBc2yVXF6Dp799beZ3zTlN55261WRaWxNoYmREnc5m49xJmSa svs8vkfy+JZpFKmf+CypRbThUCfTSweVmnA8g9x3MjrRCEfe2bFuOgQxNB/wHrf5GhObeMpPT/lV a98D1umuqg5x7IxMJA92Gvzsa/+KwtM/7O24bpt66UDeMKTYEV8fwLgbuqBQMadt3Xk8m0ROFatJ IjUAkqaraEILQZQryJBZTmd23USyIOaZmHQ2RuDGwWDOM1ZyGhqKUpdmFIg3jhz9IN5VERgURhQJ uBnR7yMMVjpqozGydeTFIWm7DHJRYdhu5R4AP6A+pWNbG42P1duvYULgtB7vB2atKhC3rEDF8rbL c+m+70YD7CalkBvbrcZJccY5g5IvhamUew2EJLg2sPWG3m23P7UrRNch7tW3fQMFsDKWfbp+O00d Kg2O98P8T7T2x0pNC53+G5eMtJQeGO1ZQP/wFHXFWsbEvXHsLT6AfnCPcStIAC604YV2lgSeeNBR jCRn8X18nW0qFsEaLseRBMhqRLNXkuHWJ066CRSuGSQ/CrC5jsDvbbOceka3fipNUoJawg4WK34w 26itEdd3xDwZjb59Y0Sh8HsdDGQZMRnARBAMREMa8BkxiLW7hQL8+we/jsskx0qm0H1uTkgj/ixR hJt05B6SpJQj5lzMTPUVWC2d/fGBqMURn7K56g3CQBfLEZ53eRGbzNDRE3/zHQO8emKPxEkBaTbt c6OPWN+XATROqEu0YKOrn0P3XnKDbQJ2f557OXf28dafbfGCIjOEMLakwHMHfKoT14oTGWoVwP0f lTIiRiQBJwuLMcxLhYiqDHiwkbFHsQLNX99ry3apZwx6yQBv+fZllWSDqMHdvWqtRq+8Gqer/Lmb SonwqfYRDEoxDCZENttQa9dLMyDeulHju3OeSSLwVMFWsy6ldPJueDpzfqPGz8q+r44nrbl3stgn BFteHmb8iGMvHPo6uw9Hh5t+vTztzmLVBVgxAAICqhxrncHLlfkmgrhdGejb2ijqeNGL3wd5j+SA 5YdYmgPcZPkBg5/saPKG8XrWu02tQp/KLqo0lB6PiYbFdXo2ysJAOuBIhjSn1phEAkPovXnDqV5m HQnh8/Nb6rtdYgRNgjrsSXlGglamZVqzJS1qmqG5xVte7EfX/ikTBZNkzJEQjWjF9DkbGBGB5zOb lK8FIRj3cjNr6lj1u0vijvSpmaj/bdhVBy2FhQN3C8g0xe150Q978gyHXf9nFto+RxTuo5bOjnp1 +RHWxWunDmBZk3FpDkzQiUa3y+SvbrIl9dj4cjG/doQybiHR8MLct+Z+foPxoFPCQcDuU1DJ876Z 4q7gBqShEub3WyOTerKuZK9k1Q72BM5wn9q7/i+pZ5Vh4jCJc3J65xpG69OmBQCVNgzhjuRBAL8Y +E+ZAFBpBQRY0UAvLI6oY4AGRml2TR7GmAx4C/2s9HNskLLCh48VEN7vdpKxZSI27c1Ry9oODkJo SB11survX9U7z6BYeoBUNMSLcpe0gWyCTOFxW/r3d3PhBw/Y4NXYWIs2YjmtiLshr06Olpw2j0vN DPIRWMfjDb+ChMxEmUnjFEGBYugPmEMnRk5giPFCyTBGmRZtwEvXWYrgU1HV4CCGbZVMilMg73L4 BKgBcoQ5Lxtfs+LZAMQ9WnssvIY4skcqYBYUKX0yL9xUi6tKpm14NIrJgF6Bn2Qf4phS/DJhBQG/ yCnQDa0ns8LMlTiJCRP3C0XNlbL9qheKW99Nn94OZZq+PCeyztE0kv4gHyxrUZpr5oqXtb7CysJo BOJ8aoemst2acYl25TErQKS1qcVkJx8hgTNuZsRF3b73+Mp4A2oxuJHnmntmbcZzwD/BvpCJ4Rwv LSTLnlxATy2HiBX8mnJlUo3Yrs1PTVA15C+aH8RLN3g66UutKOTxwixrNqSDxRpq7/tg/fN7uevi e3X0dXVv9V8AXhoN5gI8HinofCYleB/Z2oIfdjbU93QEirydmpYl7rXHenKxbAyQsEc7UyebnRna Eout09m4yurossYLeY+pBnYV5VbSGbrqotYZ+7jMXTdwVa5uvgJQwPwNMAdCW7L6M6+obmMwKgw7 hRdlwHPw/T0ws5xLkwV2hk13Od2SiWgzqcr2uSSiOjpsrJObFrz1u1fCfVuHrEVw1daLkE4MDZKn rLWo5e25dlQ8FY0+FwLu8UzPJBADD9ZTVp3XD4vrYKMfz3TgL0fsDutTqRLckQ0WVItwL61MCv4o C33k8VZuzf5S+0q9CqnelgcQRITscThJpyCIT41R2jVhRaYXWMF2Po0IsXVhxXEA5QTg7SvgTjAI rS9FX1XwQbueSBjq7jgkcRYUAJXEumn6Djq4wSP/MuYT4TPqy274zM9Rb7/BMI5H8Ge38OD3FzYs wPeUOHIs/6RInX9Y9GAFzvPOhU60HXkEhN4JW7sRoEP7KFtkXmfjzDzv+b1UFKbWtpmh27ZhJI3d qWY975V3Of6RdG0lu9r8eMM6blUB08KwYd4QkDARMu9fcq0q5v7f3MrnB8yHQQ2W2GkEgwtGuI7M t3mNw1fl1hAOnwYKwrpxvlvM5KrEd+G9uWDPnM5ngbo03yQloWF1g//ak2KT2l1THN0dAfABRt4t oqu+LgwMTk4ObavqKZj5S1IDBooB90IoHNyCOyq9ULfNhtS8ZGkC58UPpINsoRJLXoINLZJz4mYd 26UqkXk29TcF55/Dl1+xNgVxgppp3WWLbuL/Ykp3sUAl8HimiSJA0DG/B0PkSuGSkWd4cmU+MvMb KaTcqnPcY2Lfe9ZD2+Tm3W9YCJKw83kK2yhzMD1rzxaFla9W/IYImnCuwhGAsQ3daJrtNltvtSC4 apFFPOdyCIsqxD5aCSnktp5DlQLf6dQ6aizFUHGsoaqtrU+x4T+s11CMSU03jnKjdeA3mBSu5l19 +zvl6jjO4sALl5o/tWHdiTixd6rMKUwag4J2gaXKphCefG4FaDDDcgTO0bhvwBVRryf/PoYb0gEz /qHZys4J+iHnLOS2DqWH75sBPF8uZxlwhMzkUtZDB/Il5tZ3u9uRth/UhFF9lItrO0lAgDzeoij/ WMcr0GACRhm+BoXq7mMEc3FkNT67nIloq/9FbLBthQG+zEsidvkT4zxEex00Q3h16+YWu7DdoBgK KioPwxq1FzcmmaVfbPAHDzzctT103MUMVOFuMsw/w+YhW3qDB8pntFmyPoRrRdwIDxy9XZto8Ny2 fz58DQXQWtlo1VBo9drz7Yat1HgfT/6FD0V79XlFIUMvr1LU/cqX3pSOlmOJwkijNw0A6hYIp8JR 10tYnSgcf9WWg2bNAS3pXH43swvd4NEJSl7PjGHR3VAlXRSIEHzPNcXP8QKZHjuohju/Nsq0hDUe q6dQEHZ4MzoSnDPjSG5qYFwRfRc2qsiCPaQFLSCo0rLi81wTJMtq7NwsVJL+JmHZlKzvPiERqUCp AkISM32bfLUk7yPBf9RHa0jTEmxA0CRiggSX82rHFsx6UmQmG8XFGyrQyYAgxSTyKitqlIP14hdI B8qDFmUX29Ws8LkjregGRgUd7wdsTpATsIE8ZtwLs2ER0SuBDDWXMQhUpaBGGS3R3qYI2qPc+S98 1dqiejStb7hE9FcHAV07RfHn8+E48NsgpTsy3urIozHpo0c2ZxZQxyrvme0Ahr4VmAB/KlAAHsa8 fdrEcPajRx0ovnouPalk2hftAJo1EJKpdLtlvKCO9j+2v033wqVYzhOOaa0lyGIzCzk8qEz6fK1d Sl+bBaFd28rcwkZy0nBF09xFhpij6zpqk1SoQhJ8uO7C9VHQAIAB3diTsm/0JCLCydK3Gsm2CCXJ OjNvQSo50zOuyNECyLpPOS442tnL40m/eVxbw8lv3BDDB6RYyKVSJi+DgwRus4tq6zYBCt4RgFo/ sPTK8HePfvnVXr19Y0nhLrUvvaV13O3W04QwiBaR28tg07GEt6CQChptLCezVOhSIgMpwWWYSZhM NdtxMBbbjF7pTk2U4yKWr6fW29fftE74AG0kPQz+vI5P9PaFXSzLibiarLsVnGSx6gTQXUaGC2aM RQ4+XmYWquD8h8jcYRyVkERCch9RmOQBwEUjrxd5mM0QDYiuuTTKyH8gjg/gqHfl0OXUrF9N5gcx EVNPP3dk7zMX8v2rdxUZq2JM3U8AnovONOUqtWN3JJriUMgb1dml0SEnXqYQUP4lMEpDJdqgF2Hv lDBa5ubp2tRmMPKZpP2NehTUVE/tAHQ9Gt8cakJRNTf25/QVSulrwIyRyQgC6rQ+mLANwSHF36lB 0UAplpF6RYpC0a3k2RbhN/A4adWip3++1H5F0JF2mCQXugu3PwzJa8/AvZ116iYb4RNnnpUzJICC Qn9MIO93WDCnWNM/LEzCHkRJ/hXzoQc/+9pjGJX07JhkdTR3CIsVHFjl4F6Nl6QCaLocBk/7hN5G DQbpq2rUg984Hqpu943q1UL4fwPs+KhrPo8kAPu6Z5rec662vFSS+4GPY68ROAqlvjmNCveUYdqW Ykp8lFi2XgyBx5Z1WDubMXICdPhCPNxEoIt3lfaBA63IV5WFtjfDlC00PhpPOhspUlShbDG2Rbl2 nRjD0cDH0+7NOts5+lXECVqgtEjEn3rNNb9u5gyeUGfcS5GA7kU4kB5w8KojWWF4C98hMfNxQtXp KdpQpXdVgwYdExzMaN4kFXGnePpBQR+eu192gpq5OZr93r7bGlPTkCdj4LyytNC69W8sK20U7kSI 1+TQCoK0Hj6rRG6A0/ErECWKNcdRN9mCMuEhQvmXG8gnE8w9QN7PZYnOYOJBXJf/zF2Qj8cLZ6WH nsNZjzUWa0M2QUP1tmqEJAPF8dPkzmpkW27f7Fj5NwQzy8hZWHACJS4Kd4bQKYIlFGfm3RUjaRV+ KVsrK7Lwf/ZfeGeDIBGVc+PR02sRb8AdxduCZKiMwuhOoojV1Rj+4ht/7kZdSPkabXGe7GnsFASK IRwcYVV1t0BH97U+A3n+aWZjb3DxxD7/6kNZijp7aXBMx8Ot28hoAMs3uVTiaOyiFZ6DWPa2m7sV JAthAN9J7TRl7q4XfQVatajfJMYZN6KqN4u2MuhZr5smZITTPUk5wpaDuv2pOxc7EXjx2QZxJ85B QYfP1qHjqCDPfUvO2r1O6vOpLb+0D2S8KQ2VIAEqZ4+2kt/9qQUtg8lmomgIDfKG5FaUwuKrc8KO stZZlTv6dtjin8KXLdwl/bnW+setXTtgfoR38KqbbenO3C84zk+e3cvRtokFXbwYK1449I82Hcjp cPwG1UcPslo8zS9dBy0NhRXNqmzMdjZ1FXM3T+q3dhDzy1uDFFeK9kg3rAv6DphiyzZXHwmYAgRY bvlb5i1C/oNsUb7lR5C73ftmo+m0H81GvnmdEtH7x3TlwLrgChV7Xn6VP4uq7UBpZHE1zA2H3RCw qDnPq02m8BcCs+Ysp0ADseUlJcUdKJ5sUU07KJ+DvK0lkGQVBbo/KWgPoysmX13WCseL0IoYtRQ9 TL+G8dqvV8kh2j0q/ejPBBWn3p4qHNAYPhfQyhAc/3ajmKgXBM6dM/0g/jlVTpnoZhuyHcTaYlW2 HR786z42rIgQt4U7t3cb325sqsWSD/NvYvskM3InRy0iodAyUkdSilH6m6BtF1WVMI/bcykvHCNG bNLJCFItX1PBY0gT+YkdshgspL+OvtZMyMSGBTQ86ORL73LvzK9Dmlt887n//uuDba8jrQOOzFvA NbMPKDOQyuGADLQsjMlcMQwaM8iFm1n8rOWVCBYuxGc6WppGvGcY4KfZVbDWM8PClUDBmClpUmJk uddWxh0r2KUPDsHYxr2bH22/9abpkeCahWoN/+Im6ZLiDI8DRTs3X/mWcGE0ivEdrak/SxJp+SBG VehDE368Cw4Q3dTofUZlu89l+o33NrHgk5W+5Uh+qcqk4B+epiYGdTTW3k45YwJPWUmzh/A2CRPO 3EBB68EjesJYdJqU6LSkecnEPP7dwDtN/yRNDdKXsEF4wFd7my/EFNBS6D3KKa9PEgR/7T3gMpH4 79WuZ+KFyOODlF0oiWcUWk2+hrG+GvB0nKK2LgWx7lnZyinUXM0Jq3Plejda0E+YEkPdwe5j3IKc m0efQNwBn7uNQCPq28Us1wz+SKUj1ZCuv86Mdl/LfQ+6zmHHUN5b/cIb6LXn4I6j1fmbzAUKvWpK /vFJwqauBgFXIwKmP7nHIafOduVjrScC5/gSEBrWklD0W1fczEjUnXGA2gmXcohKTC1l16qcZpla wEd6+dffLMC1eFctPdPjud3cG0KE25us60OMHGaKp5NNFp89D2ikckbXbr6F/R214uQkpDtke2Wr ATTbCJK0BGoLsR95O5BkpuFyH1AFNSoi79MIqwWvEcXnoU7VlHfdavxu4ikvhaXhEeUqGwZbyAc1 Z0D3Q24cMz0M74FlXg8tRcAEzMvkfh+j9AVzmPWeZNnBRifvYzjJ2WI/JaEEYNkW1HQUcr0PIhVv GxkNeap9XUJlS5mhM0Y9CrPDM06PIJ2ESTGFdfkeASqxn2NmOc/o+oPPtUmb+5glwlJQwepseGO9 iUg+JDO/0vKVL7MPCMtJhtPazHHbzQgARK7FY3Hadl8OfZdq1+IA9dOFCC0m9/219GWBmqVtwtJh trq8SLgnVRXpkP2xJpQ0rJfPTi6gmt/ikVfzJXWikdvdkbJD3jmJNQR2kqfKXNqkOKWm9gczIfFP K2860QNtgLTYr65CGK9l6YxAonkkfdvz9JoLjJGTgEC/p2cTaj9mhbDVrhzWGsY4chogaip3magB lgyTylSJ8OfDL/5fCZ+Pmde0W1NP8emIArTse59JLEco/24kk490lTKCbv/EzdhGmk4l5OMH3eBO LZMBd3gKjhPiWMb9+mDfK6QEz+tfR6vkbfB9tnuEyFNiwUcLqP8tMip8DMv5aaOObDxA4+0zu6a3 Fs6vle6l7VLKOrDoNbHlNS7aFp7w5I7w/L4KWf8v2xEMGtmnaJPI16TG+JvTfr2t2F9VJQXe7dNn YXSuh0sT+d+SIDt8wDSMKP78UzXoE7G14wD5Q83aLXLk2G7MYVuEpkJvt2s5uWsiVB4q9zNXoptH PWeAipBPfkppRW4gU7VrWM6nj6gDh3s4V8Ourmb0ERmN3dVoTRuQIiMIKBh57jfmQCt2NaJCbI4l bWdNLV33rSb5Ha8qGRU+iE2R+BmuDcAIUm3prnuu8VLuOsjEwQEyw5Hgfs65WRNledPNEfgkN6GN LeF1KZRY30UYmXqdheBEEblFcRTIktMRnfwKgoaILH6F4pmvfouYUUvLz0+sNZ3hjquXZNMC9vWq 1DfsFcC8qqIDk8LJbn5TYj04geX/rDbvdWQ45enJG2wrmoD5EYI5Z3m/1jOMzLeFheh3VpJ4SMat p8+PCsVRUFAGduMTCHFXLo28PRVJIsaeD1/MmbeRQAWNNg8aecQoVqjX8lTRjSv/ADR79Xbm92KV LDQeNP1DrWUokW9JCqXmJb0YF+t+wFlFGeyk3G+czIKgeFKMvBZRfjfaWlPfLCEH2KZ9dcnkhD01 k+9p1i2nKH3CN9JYah8GieTC0GqGq1S+u4e3m9zh13AGQQOMvroq0UKq1vsV+XsScGaH3iUc5qux ck5/ErJKTMzNJspHY5vqjy5M1kIDw4eSp0u+bWmIvaH1koQtNDPv5ovUyB3OAeY9BrsXeXSL39P0 bAl6zqJzgOL/n2XylzuH16lcZEAdLlVMqYL9/25Y0y4wYlhGQiuRXiJKD9f0JEb5yrAPZiAYsJWD vv9AwXVjerIrK/rJcyE7TE+X1Drnyno5aCpFRVZKz3Dta565q/PMQr45PbKgqeF4GzkS/cuYZ2Im APFinfmBwcwyWHtJPedvF2SCsftNXeKLge/KIu8fhUWeZujxyMxHFWUu4F8TACq28q6LuexMiAMa nSBSwNQdqyWEbAr+z/ANe7Q6zNx08xFfcq2WruSPIsCaPl7IxrvS0/A+KEWeYowIVbY/bok7uNkW FzpLA+e95f/hucuJjYJwYtPh70KkCnLSCw5i4OYauaiU51QW2Hj3lLlNNRmZxGP9OKSRGt6grfmD 8EHhJXGQehZ9NM3pzFnQCfH2R4gmqy7MAf05I4cIbQa7gnItKm8bTL5s0u3peZavAKo3jYCjVkKG TgZ3WZqAyWe/Av+e9/ktmuJP6jR4D4zHtthPqbyPF6V3uoJJmD7iQAe7pVCNzgeJcWOHMN7OZynG +NhkViaI97kh4yRRO+oxLFh26XFCqbFt3l9dW/1A68yA0ZB73BotVOO6i0QSgTKm/FFvgE8v4vw3 pWeKgoPO2Cgs/AqOzvUxmRmAVTaihsGXMVjYIaDaCV/4JsuRi41CqMKO9KexshVcunvEftWiNhBF rRgf8aT55e3EJ8dJKiszjgHIsur1Gb52ljRl/SOEoGn9O8FBQkcK9kufF5ywPXwh+rpoDnhj0OQQ cLbKvXzhYLYuZopG1yuCU1s+vRzVzi9nMSdPuF54rtyJaWnQKbxLO27kFV4T5YuZ2pWjI+du6V6F BWi4p0kabYLdBD99e4qYNS2EfTcIFIcY4i2mlcTXDWlEpQJYj+UsLyoeRjNdzT/SJmOFMuYUd+J3 +cW5qoPuQ8QL+J9wu57FAVN4YJJdcRSsLfZFLAFHoHoWy4G9ZGs5kNdberTcSOVcEvTX52FFDgWC 4axinx4eUWysyK7jp6OJ5HgXozPsZAYexSRjxeZAC5GhskAAA1FA74ftO3bGPyJBQCra32RfAazq Tg6lI90lnsbNEqsMQZfNEJORoJtOngja6aQYHxy41/ijGcaXcGqZbsKkLpOc5hc32QXWAeXsKSA4 OtHXuOCD6tGYJ9e3knQ++364opB5mfiL905S9Ku3+7KT0YrN0ZC+zhSt1ujzJq2hCw0YUaiZj8zA CKw8GU5JFSNlbX4632am+uUJX0gzVD5Wy8gdvtzOSBfn8D4q7z2HUaFWuxb1xvEziS2eb6XTFGEX HbyU184CTr3ZJTqaBs1GjNK8knuc/bI8XduMISnB4vKZ0yH3pXbmJg7+rukFAOJEoHmD2iaySxdy Oce7VCtCImpRR6O6P4TDim4JQabFpvOVnGk6SnPD6oZ5JTggivwtO0cWVPWVbI/GplOEqHHcoWbM GuDmKhNEOiYjRNcRj/nJCqQ8Gc8OKqonTIbrrynRmTjFzvNoTkFoKcHbu1KaknKcDOubKyP/YMbJ NPw0caqRQ6GkyNO2m2WY1lNRKv2gVf36FxOd0/uWtrT2cANhNSRZGr3d9hDozVIH6yOS2Q89cpYk kqHj0954BDgteF4XhD95/juXTYy+EYuxa5y24gFn7yRoZHLMuynp3PVrtvSJHvvY91ZOk/6crc3p xdNgAC39vN/7p2TOM0w3BBpyjT8oz2YBYoiACLJkqnD6Aj5Csg9ZTuKHm8mniIMrYqpicKWBxst3 f9jD/jE9wBznyk+j1CddkKEtobgZeOuHTseeYu5hMaKVp4iaP9X0/AhKFZecPMA0EwHAHV8fBfWh wNHDm0pmURoyYTs4+Ct+mgrudpb0JGLf1ZT1I2MKs5BnXe22C9qIPKOXpwoI5UlbumOoWNtkPBwd K5jhtWrbWTO8H55u2ZX+gmAnjUFyFedFqBE8OFD1FD7dHmrpijGJ6Knu6gG0w1xR9VTjkX9ktwX8 p9izt9mvpNmgMkojA9OOdys98GtOMuwjydwjcYav0yi4j0rdtiJEzq8KiXppCoxUWEzoDmDZ7fEw vK9+jfHqLKKCwPKXQ4N04pTte5edEpCS8LYf6i7sB8gIb/xpeGR6aGFKkdv2LvSgsN7kj765a+OL o+UUGe1f3NpNmEMTaDS0b/cVPHmps01KhkTC8wY0xrE8fOiV6nSM0XXoNI01ytzS7g3LH2fDpGxx 7Bt0o6zI3pTDRsPbNlA0wShbLJAunewr898BLRWmvMBm+3fJqVPcyMUsiJEoHldUPoTFP8WoKF8z Os0OdIWldTgI6XMH/mhymtfjTWqgsvCFlnRjwdWGmSCtrWd2o+0ngx8Ta3XvxlFeOZ7mjWFxaa5o pCQM/XGizsz4rTuom+Fzo8Qk4slWltSA9V/hdNvCtZWryJbZ6xmKFxsSDcI8xzCKtTiPvaISRats ZEN6n6DFtcQqWe6CGDGY6pWzJyI+WskUBWY0wXxQU195Hl7Pejy64ydGUqZ6zpfPsnzycd0tvQYA ANE2/v9LkFlKl8Tx7JPsnYjtClqQBFYUHIwo40xruSk+yHpygiz2s9eUFE+V/ftQI9TBoibS2cmr eaIncrI23NVeRlIbaYJUUy/IxZg5XyNEzlMPWUufZzG73jdeqXECxHYdGvbGsRJZw/f4gXWqyAKI RJfIMYsJLZuISUmDU8f6OTIlvtzqS762ar0CuAwnggkVQB10NRN6dD/wKSfzFFAgncm2EQWiTtFZ LNRMX0+kqqbcdkrASYCpeON0bdGbQtuNTTUmG30zRXJ0LvYmb2tyZD9x578OrTBJVDK1jWalHeUJ ZQywEaaosVMobVVKCZoLo7/RPxTml71mjrnexAXB8YViOjsZBSidUE7cDePPjlnVov4ilXcJaEbQ 8Im4W+2UVnI82DXY6twlIQU4aaq+NuE5Qh1BtwIGLqz8RpbHVsoFEvQV5uW0N8vrAh89VPKQtPTc C667V/93g2vg8ZpuL1Pa411VlJ9mchyvMdOB7A5pObb1G7smtNrJzDC9CgBge9wF9dQaaOtQBPwZ BL7aQgtEZMtrwxqWlQC3wiwM9NZRb1osbpCKzK9Mcwz55WhvvLKwIYrNgwfU41PT2fAglMQFlCwJ fT0n4KYiwC/6JHlm59cfs9jGG/87N6wdyztOEGZtutLzuJH0c1k4A87RziDAJO7XyE50m32RmxB8 wBurrdUlhy+SBR1dIwnIFqF/9EvI6FhTpcRGuvLDt2uvcrV/AdK/cl41vTCl3mQMoUgnofdrm3Un fkordZxwhcstLtCKWXZ4IVv/be7+Sav39Yyojm9RqE2LQZtuCcsHf3Ag9wA6IQoBuuxp5+oPzm4d ZZbng5J9j1FdtNp1HC2u69mJDopNfu6UsFLAORItrIyjJfxu5xsWZ39MDgeKjYTM53hL89RqqtCz lGQfA39f66AdmJwhoaJUhscvqV5ZrH30du3fQWofbjChMDEeXngtx5EnJ5mW16VPwCtCkJW44+Us /OAMm0cMGZgFb66HWtEO5fTIQgShKmJifs7TvujsHi8oqsn5r0L9Fm3Fg2ZsCR+SCQqSZh+wRiVK 7M7Apix2uV/G9jaQdLsbQCWjQtHC7hUrE9hDtfAIlP8hqhEs3rJzY5vx7g1ghi8H5UONlcZWS0Hz odB9JWdlOf3Sm8Dtiua25EB9A7LZxKmVmVhO6iMRJSHgbg3VaYxk3fBADb4lHdNrqaDAS4PjcPHY E+6oh/4Xr7KsXhFsYF47h52/I17hwpWBbFnYXoTjfoaDEhXA5cQ+xXmLHwtm9OYQr79R1Q3yRrjD n6fV/V/mSWQAcYVzOqmNVIAnPdNe6UbwlcuE2n9AvMqDVMPNbhnfi9FtZbrFE6wlDFDGRwKSO/KG CNZiLoEn9l5BYrbC21YKeqMA69o9C8thV1rLcbCLD712uHkxe+yGiTgGLV5NZwBlnurLz9EysR0b U/Vmi9ezJ8/ctHeECMt5/0uFCuz8CkedE/UYGylZzRulym95GJ14nGGpKfNrPVAPpmpftuuzF4VK gCACvQX37wRbe4PRLFyava9hw7lNApUTDFzTkPrfu46BqXFvehQ7pFTw81pCekcVAg/l4Ah2EflM srcXNSt1ApWbQlTUsqwuutIsVRZL4olJV2eU46zwbdfNStvTNUC/NhXxdmKhwzW2XvbXRS4RQnsl Xgnxs8sThVNi6k5V3p6djQKNKqZjgiW//qaUC0fZDSpp5cF+7/GU1auDwZ6ZAt42pcvBhyx1Y9Me IC4uSpZZOkfhzoPpmFJIEkHVaOpQNjiT/fP/TheXYRMrm1HRjRab9h4dS/g0UtDScfQKC7+VvaO8 AuBCb/c8m16l1oYIW4DmhrNiaDSOVfKvwjVuJYQS0Yjwqnnye9E249qeuvmUavvsKXnrkQU3vOcf /TzZnoKCvkujz7EkZ2knzu+y5z+BweYvJRGI2VKVaIcagj9M4uZ1ygM09+Ae5mT8S8oZ1guX/j7m qR/YH+BvY8uUntRXFgbVeIW1n6EHwrOY4DNKIMPNXxva+rPE0YZHwRsFMnrt01JqHYK2xn9W5Fkt xuE3qmUQ0MFiAVUzLZubFnNrZ5Z1Kb77arSsfzJPcOYL+jfJa+pxC5t17h0n1y9ev3D/e2fpuTyD /8JkAFpjpW92RrSbseGXhNxNDHXWjTquGW9VTaZV2WEZe62biZfUy11PAC3RBNKjgHHKhdLohrqq btdfa/AldDh8sa3QUGJA0nYkCp3CjBvTqBorK7zTIz7dJYfnVi+NXo5lRx1GhZFrY5fkzPI69qei Xw8rXLswnp2YqQ5uIiyWXCUT8OMHmkFhLqkelkSehwNn6mOgFyyuKxARnJi9OHhKwuctW5XNx15e PQT2TxZY+sshe3+hsCoNI21L7vm5aaVbk1ALsBQqH2b5vCxsbMij5+dSdzFFD7d/DeG0GZh6Xhvc 7P6pBaASa+54DtQl5lNm2ZNNo3F8WaAjf0osMKa9MUFo6LAHR3JoXkr/T+LRjEFVjCoNkMZikgLZ 3ByZFN4D1SuscTWUybAlUY9CodE97Eg/PXwKxCxoARwZZSgpJtd1MtzxdbpXOGjhzo4pbHUOEUzg DrLYsV0D+ddF9N7YdNpiwCtQai9yoDaR6rkwuK9pNV9c4nSlP3A2E89o41LdyVWcNaHQ2K8Aer9Q PKGW1L/o7RxV45rbsDOCL8Oh8UYaBTb5E4UWYXZa4afLWy+R7HtwTyZtgoGwM9RzMLHkz1m3EMiL vN0gT8D40GJ4aiR+pr8uEn5GLLHXNFYsp95qd6ZFd72p964ZttPKbPvZj1LdiuqDCOuK4B2cK+BK OxFVId7rg0I/AP+RywsLumE2cZu/Aldp0XUvDm6irmGFEt7mjaTCjIgLEuMvOB8kQ7S+T3QuKMuC DUDVBHii7/EvZHQkRQh8xn4WDrWKrZ9nTyOPVfxTi9EAZjF50UvB1PSDzaMEJJgoitO+htvh/gVe nZaeqUvI84vsotb93Cht9PlR6Oy3Q9Pcy5lmcNW1tukK4qi/pMyudLgy0yo8tncmwxyE0KgwGR/3 D104+LX8R967fVS2Ne0KxzS2n0cIhFRD6ul4ew2EtjcaTQopjpFI9zJ3k/m0wa/JNfWkmrdbx2Wi jauU4ew/usO4WncHpLANCCkE9nrRd1rbeIfA97XjF7dkIQHiQxZ64DZuSyj3bLrjMNp3Gv3eS3F3 4iVbJIC4PND+jVYAzzV12NJzPVk7TpjuWFbX0Pq0QIzEp/EQF6rlomcoOJ/Gwb7TKjPws/jD/9KY wYnAWtrMQA2sC3hsJz3Hb+vxOhvTPq54xHWfQ7PXNsYa47l33twSMQSn0YhmOmbasECFrtB/+9Fh iZeD+umatthYqhFd1DsCWe3TGmo7F0toUr+M/TUEUDOJZxBSaTIuBykIJyilgbsZO34pc2MYg7ht 8OaifRy9tN7I4UtTKgWj6kx5hEHt7+cg1ElaNqa/buUC2YWTjEYWMmOinSW1/Rf7s3yYgdWLBhfa Bez1YKK3d0fx36Lnt+EitZU3egXZPv85Jsk0PN7Y83+qBJWeen02dQ0W6piAMX4+o4rVB0vgmRsJ gF4CjYM0S5YVRVQqZMuCYMK+2Oq6AlqGYnMq0FhHirmF7zEnYZZdgs/9i6M7gQ8+/7bNDboVrQt9 I+QnSfBM0CkvpW4JRZaNHllKNs18YtQFrW0E59BfqppYZuZTHYPWG6KC56Mc7g+F20uKQsslPjts O/fb/9sU7o8qc+q2uEdrUgjWA/7P5OxeNrAboIW36JR5Ea327dVdxl7tGsLby3ssslCIyP8MPT/J Zoiif9CyE3TJITLSo2n4gs8WCfCma9+Dy91khl4thInbCCVdWavzzAT6rdwUjgP3HQd7LLn5meZE wLJSOxFFc6YmbR7QMPCf2bVFnMiaKlz2hEtQCW6tN/QLbuCb24KuNr0xtAQWC0aVdTaUTxQFTmDz oLiGO/uQ9rPoJunjD+zcEbSdi8bAEogEQDsHsaFloN9HyHBmOZX/Hybl86q4B/TDFUK0f74p822n NLyw3/g= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/simulation/fifo_generator_vhdl_beh.vhd
5
613661
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dHLKy/nz06UYVZQugnMYVzoizdQga5eiPviTNijLVrfka0e4n10maZroENH+i/d3D4nP+6rCehwJ Pg9u9lMPEA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FU+YgOMEW7wHhcRbjPDmbCo7UM2CGV7VwVVl4gjrfWCjnM9rBXIcGtwrCocUfVrUK5yAzNllJcbk RkDirhqVsH3Dq1TEQbXSZIdRul0B5/DsmxVfyk6xwHMQT4GRVIhAj9IY2BPlyuQe+uiGABvn7SO9 708Iw6IfcBM3Akln2XQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sCyiVB27tH4McbS42COLF49X2klsN9rEOOt6PRuLABV0U4bnrzrPA7cgvCNRl5ervASr1Eq8O0E/ 6sNkTJ0CNnexp9PRJnvCTuVEqf5rDmOexlkysQSuvCf5I9IjBWrob+rfkQE29AHt4/iWieHDAA03 fAnUI5CoEWnbH6V5/BSBkNIB/STwgBz+UXKuJnqAumwRextGnYVz1D3Vlf7gpOMaqMaG+OYUB6Db 3wK0S+kLVMhec5dwYm6dh9Xy3uETRUEPZrin0TkQKRx1pvXxIoNFQSWapro5z2PdU5ClAC3zisqb DjX9vdb2ILrhSNByhRV2/IS9z0/lugc/VHH74Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qwVz9qepk3J1bxUCOZC+3ZtoY3qbZiPW1Bkyyou6j0YnDBiKN5Jk/5EfBzmR7Dqzep408KKnGwkg I8IQZRaFJRbCPYH/CX3nnRBLW9hg22xZDUESYSDyQPNfnaGdXEa/c/+VYUWHtwEjEQsjZtsNsAsa iQzYiQoN87u2pFro4tg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block J3IBWs5TG54OVxD3K6WpxUqKy5qvpCmAWVBYJUQLz+wM82DQcBxD0CAagvYWxWUkhwpAUzKKi1wO YUxr4jRVrxo1FtXZLUP1SjdxSbP9maRFzxJ3+/buydDsNIEHOXkAuAgCrNwv+PUWW+ZIH0FWxxIy oRAA6/AdlpE78/juksgpt/1tbxMQ/1EUHnlEY2bst/hSr+kuuOzrc/qBqnFFNlg/OTPvxFy6jd9h MBQXulj2wJ82hPCq7ScKTJibNl1EcNHRZZptGiphEEhpcBsvQyOTNq6x5zpcFGceUXkKqiB5Rti7 2UdBYt7dyuUuPqp60TVu2mLCEdQKcryOh0M1JA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 452528) `protect data_block azQoGUAH6Y4js7lGt5Q8UjSNz7BAz26CH6rxZX8MRNP0xNrQm02HLZOq6C0WiXDmKrCZQZ3B1zrz jLndjCYkYJuD7TOjFux5fq5YD2dU6hF8SF9NsL9op7xNJj3mghCNw0d8++aT4VQa/CvnE4IEI0Nm bTNq55sp9OiZYtNOEB3N9F2A3XT+vUQnBW3wVkHLF+TIasSeIZs3XYsKzRYNJEb3f2dum7/TXFBh GFvYvm+2AwT3xOsHVrRVQhI8PHBT/hqANOkWzvR/E32epQgVbA5gKtQwTassEG6cntrkqGYU1peO MPQ7DN0qNnQNgOxDicDEiuXVDVkGQuJGDrEE6uiNlkLglYibcLnEIlPHLq3NbIAVjhiYxMYiEV9e newTOilzj7aOAeuYt9WkWo12XvVr0umUrpo6QOLn+Y9CmKAPWwlO+LzPBK1k64Jnv1FS6hlHKGAx Yx+rRB6oZ6iCe0vE9egtL7AR8FUquBEgj2Lb0MMHK3x/R1AYsYPbUyqwO8xA7YvMToLb1Y8OaXQl khpzYCuQB7bordRzDCQLbwIVCjz3X+DoHuLe0g6k+A63AC7GpU8rjOS9EEDkFqQNVtaEmBoIL87J S3+IMjdtD8YPtEUldRHRe1r90y+r2T4dOrOcVryC3h7bMXvcn8ixWoCLoNd8athbMLgMPrEdhiaY uS+fLA2fs8j7/1wtnasn+j5VMfJXB28/c00n5lO6uMNfCyq4mht9DZEHxH9Ha7g67itMagZYGy1w V1tQt47mKTy2fFnMtU0sptlkPot39QrSnA/DJqVRl01Bzep4yq4Oouc+1fGBqTOWZWMOH0O0Kmfx n9klPkk9kRmB0MpuD8siC7DbPXOqKZTT4kfLNFRPThzdpWwuuS89ffK4MsddxdELTdGGoeilGh96 GsWJyGfEy9puCGEvoG2wjCRzmgxbCaSx99qWq4M7gn2iFOpEFv7x+Jwx/tK7X7IWaB2cMv5e/GSp 9jb+AxfO4uG77UEuIG0FK4o3Z99keW1QCmRGXTjdP++1MxO/FO5oZKIfb44yT5lQ6C2XR/jpd17M mHf0VRkbJiLjrVytZ3aAZdBGJQwBELbILX3kZzegl9xIBnr7K1ATPpdWfr+b92x4HaXNAz1jWFeR E6gCCtxfigCjyBnFSWsgk+ql5ZB5v7+TNf0mZORAtahg88SCVZZcVt3hKOXrnt2dxtj8Nqnqd4IV hWcRRN4ccZfiH4y3G7i/4MXvWJpxRusqfqmyJtmwPYxbWHRY+4tI/L5bRhB1VHqtrAONUQZr/jFh qW9HtlSA0vbKde5hzgXd/2Uz0TW+epdk3xrjc4VZDXuOQxHQT6ETAocAAiGlY22TSMWKi06ERhet 41pBmyknBlCLgJYWPbRPrjs0gZOnso9gbfl7XT+zEK+FHU32xFJKovDz/JihV7a+6FWWBcCA9gpT QmaS+XKhF31hdsDpyR8G/wPrjCnfngFCLZH3dAtJZMxZZmIet2g1Nu6no5bNKgioBwSZ8Qz4YaXI AJtZPD7tOP+Wz/OwsYh8C7FIBCKG7dCvZSqU8t5w+Q/8lHDh159+Adxi5/w7Ovjn19X3X4jijIuN ksT4zPDxevjkfoS4biTHhpNnseG2+alPuZEV+kOmEP26I6FuVRY0wcSyDE4NUKNc6eJsfMEDHXqP 79WEvaC7k51HvTQ0xgSCiXrjTb9Gd6yVw/qtlrz9H+tS+RONuwSUVEy4S/LGOy/sXCaJzjy9tV+M AyLcvpGPx3dR1toExx7y5tGvgnvJdv7aJ4sm5VjR/B9TNGPSBEksp85T5zIQ3vT0A4Kh978g14pw SchxBZHskFP5tlPPZMqafvraEvixr2urN0vZwYBVurAR62L8AnubkOL2LOjyFcTsoIxNTP6NWWnT p8k07k11IrGk49kqq4wet8WabkltbarqTf2E9RlQU0Ep4Nq63VHdlA/G310HioClmfmH3H/SuDnG AdX16jxvBEmljZsKx1ZcKhBYaHTjlEAEpNzJu3KypdKljbS5hnCGxToSddZfE/HHrm7Hlm5NLdhT XZfEPu+bXUAqyXyZ3L/i3UJfwsJdrcO2V3Y8SjRmxXrPuPkPuOhRZilwVkna/z+nQdSbB47LkVbs QxgCj5ogZwNKhv7VvXm4vjqyNdwxaQFgdL1mwNe6DoCpQQoDgDl/z9lAHZzIfXFXND5hPJ9pcY9s ZvMXF3lvUJRUOuBB4D92uvyeTnq8zLkiPAplahaTIdT/m64XNjVs9AIbBMY57Jzvk7f40+V0fTqb WkldeSimND9JhYDHliYipaPikJdM1+SNHTlbcfVXs+o+MuwJcFNClE/u21xGXo94vnhJBlE4YZPp f2xlOcRnaQT8WMRB54c2ovg/eDj/Hcw2GiVMCHQRKeE6p/M5Y7glYVXrJ5bol9QhtiTCZtP0Rvpm omnl1CfI1m3ZVa0FatqZA22F+jRYaoCM6MFN5Of3nl1735oNW51JR5GwVjh5RopiZGhtu09nr7PB iIDBfS91bNDtPyFeIl/l0Mp0Ieo4Dis9hl459D6XmBp8wM6J3Y+h4PZwCmBCQ0pYNxaJyka1UeES oPt0M0+gQeo6LriQWyREB42jlRCarIrjZ4heWm7ITJuelyVmFRkePrCaAx6+8fVmUkWk0QSdUOZc rObqWafAXeYUXR2v2Q6803x4RPYEtN2XEWiWqwV54xWRSfPpBsDjk6poyAcAgaORiSv9JzSdpcig H7AhEZf0Ud3v2w5QZ2oJhDBmkOz54zCRIRUth+PnrBHQHOhGc3RtvgFUwHl4MrO1az685pSBurm4 RQj3FEmB3iH34M5TrCd0KOl3y2wYfk+VbxVpQtLFbFT/cOISP/eUtoqZmGsuGI0QSXb8gVwdTZ2l l/3oaT821TyHiod2Z/BofR0BSjrMsFujoR61/243QCWNPynLYASqOyhB7KuuXnWK3zL4AAGLXhIE mXCAsLKAw6zFjJssUnq5PK6h+3tC7YrZangiNDYy0GCfEokk0jl19+EMmyhZk3IX6dj0Ruq+VwZ0 egxBvULsTTlXmm0rnllxqIiMm9gu2IHrPPJd2/Yavlse6zz6hsvBqighMWTpTt9RuUx08AqgckWe msP+p/XmNaAvnGk5VKI6KWnQFKJwH5Rr0xsTH2LWK4odsR1dBynw10nrV23iIe3sDAuXPWQCvzDT tH2niSSwP7yppf3gJW+9yMwm3Hc6tyeE5j6lydPOhcOrCMo3ckHsHZOnsi+hMaoysdbOlRabC60X 7OcOGIxd+p5H4VzweA8tR+xMm4XGyOYmY4tgw5V2Vg7ibgeACq8eCZ/MBo3t22jGdIPDl83LI3nA jiRcseGo6LUcbr44v1LpndEhEepLbh5Fs+XKsDahNsaWy8OELTEiZlL70Amb0xahhMWxFo5wPrJP E98O5h60je7k/0yK+SovhgF+quhzjx3uyABdhGfahJ+or/9GpbwLEIW74ykFrpnmVQKI2U1IpGz6 AeHQFw228GZPpYNnTYeolcO3a6lqGy+HJh0Hu5EAf41KOk0FAQgt4Nhzk/Z+kg1gu4fsaijmEFTS uARW8xTmBYBnSNJ2TP03dBTf21TlyiwabtRTXHkjGNJNIQp7SyH8+cOA/+aMRm/avzrNASBojrQ6 f+TmtzUB06sVKLNfPdZvELbCWp9KZI0d7aOPqhzhCu1s+L9H7W1lSKCmOUgBpHuDNM0gQEg05ILR fDZ3K896YukJWThfUHhdK42mkAVMbJEjlsqRwJ+t0BXJUDS83rA734ylq8amoUFHcy9LFvbcKN1/ palkgN3OcTH8AaW4/pWFB4Q+1Y7sVJSaQikWjnuEXMUYru6nsdNMB5fJpX3yEOzmgjjDl7yjpT5j ahibeGt+5j8jdyXtGyYak4XiiGLfPBusHY30MSP6J2eGXQWPTz9/Ibqw97YietIJ8djxTR7Zh0Fy lVxaLwN6tOvO13uajpM8vPYHC0dd1yiyLzwcMuvo8pFCS9VN+6PEAlxSPE4ovWSFfGKXCWHwQZl2 FlzhBkIKPQOom9Ujvv92+6Dzzan5wnzlIUZiWDlj2MNXsVscNOnKiFN/hcGWCAwkK7Jfc4++Ifon 5+hwxjtJNPS6sDwNPIag2oFmS70gjfRGthkOF1KpvOh7U+kb0uSAbUwp/34CKKCE/RIr13PQtu2Z /O56kWaaw3AuIs1uPZ+PREOBIJ999GQXEAjhOJF2nEp6K/rvGrpr9F8cspSvuW0j58dltlgQ0quI o0m5hN+tLGP+TeP1VwE58ZfM2SkbqGtsmvZQuPozYXtnjCiJsWVb2q9dIe88WC/X9t3aHcJlLyrE 9+6YdJOUwbHIY5Q+SysHwXL6z9EOa2hKd6nFnVcTMpjFh2jIls5bUKs1grR/VioB25o+twwbymZd YHVDdqs+MqO/fjEH1wBVr/7jMkil15o6vVs4UoEc/CobY8qklI36tHAt3DZcbALKcyGp8eOrQTRt b9TxS3cbIgLGIVZDzCZfoxObaa/FWGtcsozSwgz0xjkmzCWsNhJAK0pleXpjlhQD55VIp0sbTmw2 AR6t2cOSjnhf9SaA81g3CieZwZWB9cNU2tUcHSMTCBQlxVY0QqbzDZf6GLc8W4l3PuRPkRigsBIG nGVBTUpXLKxxK77eXm2h50yis/XCaYOiL1PHPgtdqYgJX5aSXOsoGiS8BmDgOfkzd5r0xZmjdxCF q5MQlISbYM/ppIWOFjo8VicX4KLI5IJRL7ZMWZgfigH9YI8b2afp3zI27b7mrbm1JUvDYYRaEyrw fSTcB8hGpoRQ3oEHj3F5EyhwzqZ7KKkJKKQ43gLy43YYb5025K2gjQhmeMOdKI2R3e6CLG5v0UDj f3UPtAabE+oJmFfauhmq6y4ccRtZJXDsWSrL/A+Wb3AUR/8Us/N5rzoE+8R0EK/S4Fy9djFabFa6 2Em8uyIXIpaXKrHBRfsq4Bbyy5zKqie7XYB6A28TyKf1rAyqrsF82N4xOter+HkSY+RaQwvFrE1t q9E2f1Ys3LWzyeP3F6EJVJqy27QWuuOt3xVrKi4SkAgOGCPMTIKW4tUt1SLSE9Ky4OqYmH9IZeLt 5lELL23rAj8YIV2gvddCF3WfGbMrF197i9qyjGdHnUSJCIJEOLb9/ToLK30S6CwLj7MH4huwv8wB ztN21+rb1Y8dwcUokf27G8KkLu3wry5BVmiHbtPIL/GfCKuGOpV+fOIWl5My9hZM0Ra4vi8LqXGJ 6ja0sWTSYAjpyPi+wp65Tq1N5AWCeSDYUuj9pABvnTIsGkpNVJc73ypw0jy1qV85FWk7zOTkoPLB 9pv7B9anCGulmP8CBVQF2UNmxYXTDtqd3AKnmJ47/S84vCuEvXL1ovywIvIoOKQG3f02hV7p+Aip U4yc6NZROTnVKvjJU695fSh8tP/Y1Zdb5Z7uA5XKz8bfh4nNlz3ZbiA2Xh3qR6j7mTdNAUJJdnx9 jA2YSjzE288mNSGMS517zC+Uoj8B5k4XHHj9rJv85ov9plg8xaPYCjImMnzRfoJCYM0djCwzI7yn FTxqCSmE0uRvJN1CN4sLAQAbYAJNPCA23covEOFUwdhkyKElrmAI7saznYIJhcF0HldB9ILCJbHC ms7wm+unvpBfU+CTVGIGaY6f7nc2nOxE4kKsW3jxgtdyBpeTJpusrtf5z/JWTuovb9Kjn8Y4Y6C6 jT2uZKFEHedJDoISFIjB2K14NUeB+/boEARpRX0jnEfomn19ZmoOpMB6bUm/bot3TK2tD6tXK351 O+lDpvxiz33e4CmzU0jXWPJd6Gaxbupn50N+YYxIIfGVQuoJZi/ArBU87KzDlQsLYSpGML88hqBe dwqcTY0hyU9t5BCt1/QZQnT1U6eTRmqhjaxflfZjLNbYCV9Qx5pwLD3DInraKjsIy9QPl0nRgXxL i9yP8pObOWL4D/kJE08OrZLeaEOXuvDkIFdYUntqZUdCV2hB/CeRXP5ev7U3qlWo+nJcwYDKU1yA SO+7rJF6RxF5qp2V8kFMazS67kL3xppdaXZBcXXCTnBrTWKi02BiM8ryU69paQI0ZpCXh/usioN+ 9QUuuuZfNQA5E4jNe5pTCykW4Xt/hF0DOIOx/MAnbU1LOdjX/Ah/r1MBdUKUHP2YdV1/2SO/1OPg 6wMdcjUNu45xzSPu6H4uHmt4bTshD+cezfBZI4Kufn8eSy36Ho11Vg2ZiknI/SeO46dsZIvgz20v os/JvVyDxksVFxPIU6hEl0LSRE17nRUpjDQlywAnH0woEB+hukEF3zzkvkvc1nTzaEsmzSCfCYWs h5vCCMpYdOw5OcspNKpOa2SVT+82YmlPFK9Y6GuXpnfNnRUFM+TgDVADpTLcdL4/oD28L3viWTar stoeamuEmcTOblNUxUF46evvJSm39t00VFXdYwTEdk5ikgtFARIVbWO3AYTMOSKGzO4rIObNTaDv ZHvpSYC/yu4ehffUIcSWnjkYKr/rtPnE5xC0gyRfHxiogF3ceXyco/AkL02oE7DcXCEW6H2SE2pi 9sSDma7J09PkcIcwGHoZVbEQq5GK9Tji28qmxlhmr8ean+8WYC8OZuobV1qMyKYh8mHnXUK2+yHx Y14KYcMisSZ9H8ze/+88kwlrQvpMs3no4DBu/aMAL0SB5RWcNG/FlFCEbRyZigTk97+n7GFt2Qog Uvg5v+znSvU280Ez3tGw+UlmJRJBEHd+hBlbqpSq32csOI/tLPFqXNS4uQNH4FchUwYbavYxIdNK +UN0L5lKkkSaTxpCKmS0xtbi3bkZtEwpj7R0oAwWxMDrJPh4odcLOdfK7k7fFFW6wlNSW5gdhgvP w6NAMZXLqKtYO6Bo7J82YkwZaRoDO5OeF7g79Krj3bHNjViClXQozZ6U/5QmXmS2aBzrk8w507j+ c6WEu7a9T53Ggd04iih8iugGUGI9oXeD0rhGamvnBHoTVbHflKFgm6cY54AyCdm3sA1wmXa4LeO9 Nk8Cymn0+saBIgDySGius1Sg9JQzXz84Ofvb7QC9NKpA4HI4wX0eyOIC2EQonnrQQt78YQNBlK4b acOIWzl7tilZxiApFVQX+SRi/yoxUAYrEx9QLpT0M2MOsN9hRkMmnDAO/8G8vjXCpqLNwxpX/oc3 x+N+i0e/d5Qs2k1kBE1ygLMu8q9OzzMOuUN95x8X2ngV2gszkoebBZWTFj+oAbV/OAmz0BqRdrvi 6JIVwqkY5dnFdr5paehWieEsqFZQlMva80q8MEfljNCUs7+NsY1GGjzetPq1Z27Djql9fvx1bDKW leE/VKWECjNUNGwYvEIQ5Ee6jF4xKs7mW52/c61b6mspBH/tZtmXMlWElaoRK9d10yaWXko8t4US beiLwchhKLBurEbpldgAlfgk+LU2Umbrczfpz+Isdgkbs2USXX7f6w4UQzVMqmIAPteQId/x/C7F QAYXRNCBeNn/toicrSDSKPfsYMHHxG4mpXQ+DXQFVmvwOLT+k+cAWTWOMNXNugIRrizPryeJUvNw cwknKUskSwDKb6RRhJuq6MAXh0aAhVd7i15SRHAS4jJRggdGsHq2hCHVOL6Zd2MUkSfzr9JMmwQE BP3OmVFku4eldVcxdCpacHLCE0P1SnKNer5HxF2H8qeaYycKaDcVtgaB4aZbDYw1QHPaLzYN+M2Y hJMEQ6zcsaj2kMqjhuUAB2cHdFTAEZ1Z+TVoLi9FRvVLYGGsqxm8ZKtVOpJaW1d+gs7w26nRxUjl 9PtC0/e2qKj6KDPr9oMb3zQQqBTfhED83RhwiWK/5atxUs75I7xCWHwITHsPjGpqcytYuO7JFfOZ M4SBHhRVqKc3FS9E5fFrazRVTdWA2x43LKcI1NS/VKbO5+V1IZdwxwjEe29aGCOaa/G7fTbP8zfc g5O0YlD7DUBCAkka55t7qpm7X2pKIAQ6pkAK1DQK9m+OaEx8x+5dxmwp5MGWSIIkE8RXp5SFDVM+ tO231WaGkPmKjsLgQCLO8mJCqtXWrh/tL3UcKW87eI1GLQt3ArHOlGOzn5eKMRR6pyDVGakywORP wn9KKqWlMRhmB6mB1SAz9wSkhDqjss8vxAU7c03Izl+dvfalN0eOJMRdj1l9iafosy8ahlEiUSgC meRYAlunMZE/SBp47f7IZPu089+PBjyUjkEWElulx5JAkgGQyzNOhHy1v3H8aVAdEVgLVCDfOdEk uSQJ9piaG+TDab2y2QLgC2HAePV28WvpoB25u8j5dCSnMlPETsL6xjzc8ljr9l4my2w7cz1Jdcxd 3HWT+W8m7V7aKPDS2olOc5h7WOC/gma/7jGcS4xRwQ1TfU7eIQUYsW1+pzet74u+0QrH2VBmpRVE JQZ4kv3qG5r1ZnJGyGUmL5LnTU1I0RF5/pxNiPmbXdjpfefkMSBcLxmP3bJtI3bjCObmUrAk6PRo hmGiaNOPsv+iOmpNW2LkBFprQ6tFsEKT/VZnB2ljtvOvnQzH0YcGlllDm2RVGQHjaekGG/4B6LTd LTptDYE2HK9TguVc09oIWy+tU+ENloek6YeFtusD75TkU3td5uruwjaw+Ym0uRcB/a6464/jGE+p qwfpXM0sZcyMOkMGNlwWYm9IZXWc9bUthvwPTT0ElkQ+25OpQWTbidCzBUS5vnrXrJ30c6YOc40A I4PHJFvXGN3zkUJ/KZw0skHruN6091EgfplTBoedXhAcNrRZ2zxOboOoSUvzZ4Dz11JXJfMAamLA bFOVDNeVpNtwZsxaj0Au8vd7ABfPp3dLwU09GbbfwaeldAd6VSYN30WNRA2gPdxAaaFMs0kMWvNU y+6+r1ZlCSfM40bNAmJ+SyYqGJxjumXjPZycZgLEJkEWkIQOONh5LkIm5Oo9m5ODGtNGYr62sbNj i/z0Or4BMUC6yg9d3rQFEr+4yqXeXcRH8TiO2o/zXT503lG76FwNmzmJY1BRqbXHjKgkUm8rgzl0 yH1gN04JEC0Cr34i3WQuCCDJwluAPRyCoKYsI2sKAXtpJ8knLiWhn5piV9USwTNwjzDB3q4hPxUC hZxmmed7NNlon/FvwJg5spiGH/NovjCv5WeuTHtyRJ9Sa+VH7OIF/TvQocHkEzhPTgMfmpKu8I7N x6h/wFPvzUvKBA4X15D0qHR2pTkRHI7kNm1aBOVz+/IAATYfMBSKXlaCx//fHaNfv4CBFlTm3Ve0 N6uUBb0aTH4kC+48cQOltVv67n6Mvz6xdHM/hjUW9gLgeVz3HsA/uYt1x3nSpFoxH+mkUt+14L8P AkiyAIoFmtoOiYrgEkKg6SERN170h/F8UwXagZucPX3BB6O6JacE3p8VjrSeEYsuafPzftX42H4d PtFCWuQI5b5HKmMbFOqLfhwYYbhv5v8U1h8KNKZdKgn21zJ3YGm70+C78nEJu9eaMs2QRSUukviT HofrjqcsJHpIdWVhiZLD5UYSWKpSZM7E3rG6MBbIJf79dgrJoCcslKGDTWSXdfhegvySpYx0FGHO oS7eI0QFMpPpDlCenIfg8Ta2lM7k8X0r+K5CObEoDnm5EdecHpjht13P5DfvkS7+dc1ghD6VuLUp ocRnKnwe/cUJ6qoAwlCfH64iNd71g7y89TV+ZYMRSEwt1twbECKem+VoLhWmtv/E1q0IMuYFHOWJ 5jTGdtlzxZMlNAx1LEqGOQJOunk76UjyDMq2WDplsBrMFd4YLJ0m/ziJS4JpTafVUMj3JfXgoSee EaT2j7NQwVlY/7nbbH6z3XHXwhbyzBxdhZ2BNOjn/JGMoCbm4qUMEoSoF+2X8MtbU7H8LSU1vWoW +k1bqVK4FSnbBOjMaaa1nnMbZRlVkuMMyUFXSaubszHCjg55AaAWuXYW+rlgejTJ/5yxa/QTCUpP mKO6BNlioVmTfSM1c39uc5iVHkVL55RmLPz0BN+lqNqHVtVucxB+E5mIQECnE/mCTh24Xzwg1r2R h9J2J37F5zKbNpMyYkJHDDy3soSSi/PcL1gtvo2ZUJJSiUYxpV7qAMlh7I7dhltsqAo2LXqovaUU tyiHNxnacKTmCDn7wdkrVHoTjja2U1KaITV38n77A9UJHTROpkuVSscLdsjAJ0D6zl+8NPnGWi0u vJiwvJqxcy6eQEo/2N1HHpFBFyu3MsyMIn12SNG+ngMF/kAvqAi4La+KdmhoBnA8YpGt2pJ6yT38 q6lJOOKwKbaB5EH8YT6jzyI8a0Acb1p8zmU/aCV3WbzDsS981k1Kx0CrqF47Ki4QJifCtg/KMx+3 3fv8oMRgeKke4QSEZbKLdUmOUR3UJamG65oFUgoV3DRx+EBKNcWk9eUe1qDgPeF+cYWvECUTCAhr Dj08Grms9yuV2w+ABbiMRqK9tcueSQhmPmn6FbOmBStlTXyEPw8zxkgEDwC/9nDf0dt07DTxcxu+ IPXiF2pq+u/LMZT06XtQq/n8CupZiO9hS+t7FH7qIr2AzhNY66XWtUTzKsR3uy9XUKO8yfXAsTPu erT89QSSIfh+lsbM40JC1ic7R+xTGbACIJ2/Rq90Zw6184MPVUHhVz5oHIJm0IDscfP63Pt7lqz/ IoxvKSEo0J4PjfmVczujTL/26LpQffwgAHXduq4mqZozvCGGHa3Ib7z18F52iB8VAg1MBb7TTTDZ DbNo7zoSQ3/Mx7m3dH8dsg5Yw/3gNqcW0WIcWeawmyXnRlBtBNYmKmpYFAE2CRGFLpXWqSr5clEN m6pmcj1lgYUjl/maAc4m4hj5Fb7j5E2YX0iDFKc44eJi5tPBAKbvyCFaE2AjnvzM6jTS0+0ibekt yKAdkwe6Xu5TZUSlkwwApauprfAUdCTwsNdM8obR90VDhqrU1ngtoGN9EGPbwZAPjivjXB3FOuPF Ap+S1JXyQiPTxYl3NexpmEUDW1EmILhr+fqfV5o4k5xifZgB+oLG508IvnrsC6OWNgs8mVT4C5SF 1nelcjI2twr3gkWTKf3eypzItG5eb2bOpsLh6FD4CuC6koyznYO5h5vq6th6+e7cyodHujLbQYhq N0nZjs2t5WIO8eEl1bRmzwI6VAl6tfhuNK0r7AMyt3daGxaBMV+cq8VY2td/spab9P4eIm/q6RLF 3QjOoDRNuY9XDkyP/SqJ6YCStwCd7s2zj5eKOCTLEq2ZxzamrDsA9ciAMtW6tRpzrngULKZcWk9S 2MOBmCbo/vzco23A7jD0+eWf8TtDn9PiVgjlu21FnTUbk1pmwQ7AsQ2wQzEKBD/4ljXXuFNDSHbr BylcS2Q5gBpR0I2//ccMD12OX4CwuMXjZuS1H7YPLMJlFa7fc/T7yxts8XF89c1ZKoICLpZF++wE /6/5RlWLKH0vgw7cD6emu+q5pCDr3VEYuTx2ZLRdI1r95RR7t3Z5TpUUU4C00taNs4wgq+3mnAd3 IXy/SCX5MKEWb77MtyfYurMK24sv18SCKYoAlw0DJhNH17BSGnYGY1sIJ0lEEWoWRivZ6qCbX4Ml gfW/Q+dP9k2BVour3rbxSrp3PM0kEYs1mog9/GAnE6aEuikeVw1OApO/UAWoeD5qxYxmC7OIukRL 3E2fGY73UwPS2z7a0568flNFb2D8DO0cAqIvDfHCCulNSZxXHszu8IlRYH0mTGV5wO40wZyjDSKF OZMyISvH1tXTHwNFbY2N8z5z5/vewWK27fFxgSodOaCW42XENO46CVfmiltZzx9sx0UNV5bEWh8q wv7Jtut7hgsO7CuTwq5vvk1R9ZZuWm1qezu0M/smMEdU4XwWPEDsBV+oRgmYUlmfGXzbvKCLTYgQ y87PRL+0FCJYc+MOqGN2U0bHnJOXElrvYUeaIWeZl+gCJfqkIAWbfwlBn0KYRal02aYTy6fMvRbd q5rf1gwJBELXLE1e8SbbQVtajrJez2C7Poh2XC/1dTRMjXVPXKnvgWu99BWljJDh68zGi4Hvqy6Z 8ly3JI4BWhWKPsHHFhNHxWyPswqxHaTrRQO83EX51ZTT+TOQxU/owhrUNs2I7qDkVISpZ1QMP0st nS/6UkSWQeyiQOuhh2lrBSVLjMbdNL/8Glx33RhNGDJdfeGalj08jDgmdXOyle19gvcScIRzkSwp xsShMK8pw9wGYaOitzKRB+0Je309pFQqTh0PnSDoCtB2FHP7tRIzpoLVQos3ta1pTUwR6KJ8oRXp 3T0gW9oJdNkFdOc6sw9BFW8xsxamrrjHSZt9nPgu2+6MCRRMLrVAL2yCtYMF6lWiQmqDZx0fUtTL T30F/RmKYqrdgxQ++n2Leg78giTdPlb5kBgBb8l89h/fcBauvgm03u3yDx4nHy8VUJG8iESITEsQ iFkU1PdBr9XJCRmyTbbcxR6LNdcZwr4ILKQL/rBNzlVRC6eNzvSeSj1h+ACcrqstPpaxSj+gMxCt iBG+hqHxbTuUGWobJC3a30szJTPDmviUj1dq2lFqYLaau7kZxGHD5er6wINpnxiUdB0SJZ7CjF0W j5nAODyptTyi5FgAnxQnXDFp0ShqoOJluAyLe9GHPK/4rGa8X1iqIV55SGUHAd5xAxaD1oi4msy/ Z4eGsB/MpT1Y0j6UPWA8HTAsp2lbQrR+X/Ly6y27Y4IQobVpPB+vyOuqD4lkyP3MF+CN++SnN8pn Kwq1IqZ4560ljF28EuUZ+vx/Ys/EA5+OwIlrvSc4fKamU65cf8r4kSvc1XYbH4JosEqfd8oaKl1W DaZqHlvT0cgJ0q098woIZbKtdAsQxISYR6K8qXok2hYo/+TjQEYDGIRZOoZ677UGoE5F4Evpbk23 ttVS9zy2EmZTyjQ+xS8dUSqTlImYcLZjHZO1CPgql+7GDfpLhJO6ARMK7o8n8CAon78HxoHkLKkj vF/cG4lqXrk/R7+psyFd3QASZ4fYacNkMVaoUQWmIHFYI1AS/v8UZnEvSVjqQLlyhEJPE4zOQU7z Em/VbnrHvdTXOP0awlgQh5NyTHQsjKjNiwy5TPGAmkxI6lZJu54c9cbPFEX3k4YQfiFOazC3fQ+c UohNBK91YcUqr17xD2izRX+aU8EfFQTGFgeI+WHChVrAiqLo7fx8djWyVF6cdq4q6pD5LcwAR3A+ 6+Mcn3/+zVYCLmNVEcb58zdOXq7D8VbnAn0df2Aq+c5Olgg77jYyAsE2O6gbX2zX37YGPynyb8ri /DIclsRxyH3NsMuSfz18zlmJHzunXv/9lleGPVgxvLjk8LU9LcdXF9pfkkznRR292+mVULNBXd7l kDqdqi2sxskVzZ6rMDig/cYKBfs6gjp1qr/hvE3CrdNmPXpRm/l7mvLS04edVlboXr5adlMwSJAn GsS9qKocYVGTtSgGl7AV/yJUEUW24P4oT6qfiWqjoxjN1tf8iZ8ePaOBmUNFbR6U82JUbtMhVDbH 16bx0rJxvGO6+tdGOhGO6tLaIFWZb+/pZf5cyyl8+UwdcSFZrEe7VODYZ7csquUDEVv5LmnFyLzL TdvZCcwJqCJou+RVD4Se2BnyGK4+szhvXjOJVEJH6hGfn39Slgs0nkmiDMBL5jiQpQ7mfV060zLw zqt5wOO6MweBe7GHmVc2b42JEy326bHVUJInMD498JP9eiG+Lz3rzSZoSr131BmpWTh0TcBw80VW 5JNagUfBju/xuv/44koqI+Bqa8dlLeNhWleeHdH5N51CfyeazLwM/PUTBevuTmEwbj0IdlJ6/IoR XMG9BibHek0lC1BkW6/potT6GkXbWZBNVkWNzYQcM0o/ppNvNLiLDgVUxpbKlDG3lk0Swmdhm+sr uMnJy5xuWXKisVTtSG0ieNgPlPy5MIBw/iXhfBeBp2mq4g9W5DV1k4+Zxa9zK+5QPJr6PhfIw5JV EpaNElPoyL0vsApCaUzSWBfrFKjlizGMkuLOS3+BlhzfMmXO+Oh4a+lvEtlMZr4Y1eC5pITtmUnO 3F99ozHFS3s+NG4zkgIteamEj6FU4d7sgLObXXqjszKDz4kFEMuitBP1MAJSSQ2mBY6YA8/gUDC9 C0ZHsPHzMXsU4p0gPeda7Ipuv75UDLLh1Kt74ZRWyL8M28FCjCU5txy6WmlKwb+DJ+guxK1dmITQ TpucqXVGH/e936oyGVQDDDcj6D9vJ6Io5CuwhD9v406/D/IDLOCw3++BEaV11fmVpPmruKrapydv GMX353A2rSVTecz/aW5EWal7GSEf1sxevKv6HEYgCLiDT3FPhMevTLH/4yDHUzD/ICnsLlOvPEPa DHOjclGuibV/jtm4dbBsSiC9M8WRDV1xCkbmWV5PnAMgGHBl71Nvbq0ySp98i8RTVrxes48Chaf3 j5ZuHscRsWL6ctIYruFdnPFsd9PmQ4ZG9XX2YIN3XTy3+k0C4pl/9oe+toYEAjxHT2ve9sLMyKt4 4KcMCkCn9sZS+mBMHLzUbnrmc2/Ah4EuUHKohRrJmXDxDluxf7z2/b7f/cAnGozTUqdNGY7b60W2 J8Z/ZgfES5VF47bEBA5xzKBqBz+opvDeV7jrCtq+lBJky+WCohtIspdXvtlbHYtKj0p/DcQ/cuqj Exi9El5+Eb2bYT0rHtXBIQTTGrJTC48sIxBINgV+BU4SSl1hX/j1W7Y8DJl+yqGms5LObEWxOqUP PAONvTJoM/y6sHgQiDZjDv5dAIgXHT9EAGqu06J59ywlduRX3co0B0joQao7rQiATSI89fzOM6oW T0EcojIKyLfVRKfdqq0eNViQRCo6HdqdRLFmIzFzbqkyNPpxeZCSL+buMDboUO0oGJUhqNLyoNNs SRG4wszLr4+WOWR2B2X23G6F5dB6zBZeOBZfXhiVJKui5o1xP2sTucbeXGqUCmaXWzYUWli0sPOk Qy24el7T2fPsac67osbgffmrmummH+DOfBOzFZSiI0FwD60Z6Z7X/JJUBijtqs8kGO9eul9JscPI 6b9mdgcIhGyo9UEz7eAXt2Es/lUSIdSR6fG6bUCm1m+RWMoDE6/zDnK1naBuAosxmp4ERSlYGEL6 70qAEcwWMHl9QIwNdQitn8/dCFYJ8fxPqPPbUBOMCctbx6vut9vtaovFGYF5DkzjoJthqcMApplR EPUk4rbwilD3GEKu/451sAPkbZcKvTlA6hGfXH447frJPCs7edJmAT/0AdiT7abTl5XGFKMQBdRH o2KUHJ0gJRNAkQjVL3IsuKtU6yPUMVKGqf6hiEkC0QZ61oA1Da3WkkBjxOpequ9lzxa5ZRRDNOG3 vuFkFWq4RIcLoYq0vJrqebWaChq0b2/5cPf9KJfvUmyWVmNQCl194SoZyCgt/lr1vEPFszuhKP6n /l1dvvWorKogpjNWw/NLzVGT79ZFBRdclY9InTDUezqt8iovz5UxqXgTKfvr+tSHNUgsmb1/e6Mf GUGzjq7zLg0fTuhFjXCk1/Sizgx9Gz112xMCUGBJ2wfmpdC7khgrAQa4/gRSkUYyT2LBsU3oF48p cYDNqqfQB3l7wz+TlSp9HzQPi7zwqF+lkX+C+uQ8evTJQq8K9Wgm9sSaa0rI+1utbWSv+mNETERZ fnOVBbRVLxAHKKDmNuv8SEIvDCeQLTd89XaOuATn6Qw5y/JnMJeDkQanUpu2xTx6C2vFYlgQD4Cf RTgdOjnVBQvtfhXa67czCuDxCqCS4rgT+OkK/0TKqDP5xovGvU1NqfJPGG9KopHE1UYCqZPNHu+1 IozGrSXFc0hxPEHRrCNf7OVTjGiQpfAJSvf8JQEhbQHefJRCOLGvVgRbVzj0R2UuB2suME9GMEr5 hw+bIvgC6RecjscFWRK3tHJka0X/7lE+4tHMCIIEfA7qnv4rSgfaxDGRlXEgEQCIjquqAIkrN8by //lFynt1Br43T+yK4651ajL/D2MTLzbI7wjymtRqG2neEYk3GUsd1ef6+toUUNfGPtvmS+y+6Ifk uEswixVKkdKposySDY3E+rkuFX2riXVHBrDRxaXyNbIjRUpT7+x9y5+aeS3vRLCCxRDUzzna/2DQ SJzN5ESOfGUtT0IwwKZhCJCy5Xcx3nNr4N3Uq6jrSDP3FDDpnDWrpJXV/NgUl5StuxC4F1QLdfk7 AqpAUkxwK9NOM0ENtEBAUPviaECGOnpTfaNRffmU/UKCfV+1xPHNVk3T5HL0PwY+AzakdnUWAklH HKWuqQjZL0yfNcEDXw2CZAb384IIAMmGIZqng4GGaqv8eiv9L6VcRY8TMwH8UK21xaDbcqtvkHZ1 yCdNFnan5zr+G5a3tiY4bNQczfoA8gsNn2IIftUwtlpNZ5N5hRebTY7Pz8qrnO8gBCAvT1ABF35l mSA+UgGytXoTofveTD8lOn7JC78eZBfXxXto15glDV7wHwDxjT0INjqbVqPELdvFuQmS1ukScM9T fDVoumIruyYyvHHcZOhKiNe5N2s64pbrta0E+/2MJFLsw1R76rFkov+f0QryVHuA8DOn+nhObRjt jJmBQ5r/EWkkorW9LkbP3doMaox5DsbrSjLB4Aer2gn3tGsH7V0uF+WZSu58elvDygmBEsamedDm qXcDZk3X6F2FKAOtF/bBXd0ea+6zFZe2t9RljSIYAaQk2Cbumz6zKKZure0DAnKkwFYKSbNMo00U JaA6aQpBtI+vqfjsxAWWqXSMPqUVU9kj1r9WClhyv0LL3H6HSq33E4TlpltlMs2FsOQWdjaeN8Vv dZ4mAYFIbLSPO6CS5zQ31tHfTMzC6NmFt3IiwX+Va5byiMWOGQBW2kMThGQcvNBXtJUMRRDlwgQY PjRb81pQeep/0Jipq1wyfi7le5GMWCw8ZEr2h/I3iezB+TrtHya4JDPx1sKTNvrJxdV7brC1emb3 GwvTeFq/kAowkSrLNb31uzRmU0XZHejkgqhPodz1XeMI1hETcaXrqja7CJt8wFqeupGXvPACEZqy dzgEmvtYnjo0K/+XzUqmdKa6fFNdwrgZi3isMkJFNr1NUylMmaCKtB4dltEk60kOjLLUHo7uAJJ9 uNhLjIRYQfhrJaVta+BpdXkU3Wm2FYkGAJNTr56GdPDYwfciHjsiqZZX+MduxXfaBnm1KaLJcByZ IWopNwi/DdAdsI+pazovVo0V6ioDMy5B/NKRZMQQuINy6NW6BnZAcqARcBawqrts+MejAJNdxnyh c8ukA2Eokoo6M8PYkW2IEmo9a+gtTXbbNC6wVt855NZqHGPI6sQRNGskDIPU0T84qtNiVt7m7t4G bRkY+HhVm8sBfojiOzc1r3zQw1N9y6JB12RV4XHiWPlVW5ilMzdCB7/FjpUZXleTTiLOI2nPzGAh +eEYiZGsTtPozR4rZ2xbriWDS2otxsd2FThgXGcK2XmyeWh10rxpHDKt/opg4mUyeDJmXpqXUBvR trgfDhW7+r/i2Qqe69PZ6GCWLEKzgpCRL2tu/mfE0UkGApVvT2WhEyG4LZX+2OS4/A+L6Av5Xr7i vCcpwidnpAk6ogNkXKjxeSHSVkPfMZ7M/oDygLeP2XDV27xQirGDRq4G+9CiQqfr/Jo4og7jsXTH hdn9YREtVjyfdcDukKYSHp9LcrcWQC3eJ+4NM0KAz/QOVpu9vTWMISVlzDDVCrQz/S5uYMP3Q5pW lWmDSO8NDYOfMjQSuL+fMyWSVEPZipJvAZ74htnZT2d9W+X4OiS0U3QL4K4nbN9SjpESRhWKQyH/ 3CCkF/fAONFwh8ALZf1alEiiAq9jdOZA1J2pCaQoSAX08bKuldslyT6cXitXf2MzLyFacCw+IZsB uCA12uImiOhanSd6xiHM2sSUMDP2DedLWK8ExDmF+gY87m5Vaxg03LqbqgIGShBHKPDxqOlTsKRj 86w+4m/uaCXZTOFI4piKMWQLUJOyxpFalbX2m+mmhXSmsnJPlOS2D4zX9xpIoSX0R4jWgA1PqI/9 pfN0ODoyrd00QudnkLjfjenVD/eOtzoIDZ21qPLagCYvhhgWb5aHsl7lt8jWv/RYNgHeJfwSZg8y qtpmUnwTDn7kp7EeoYaluDrl7iq6OZLbstsNJ0yYZasDWo5TPZg5q+n9LFWFCn8CUnRKXkncctLA VdukRU1D0IBJUYpdd/OIV+TUfdoPce4QV9GOsRM4OjY8PxYgsY9MspzlFsqDG6wJ82MXKnjvlCSm PM8pERIF4WIy09PxHjoAo3EC5Lz2Dm+cu8DT1X3i4xwt3RAmddJZHkg67M3dDiDnEHQ3MSJ9Phst zFGOMR8fQ2+RMrJrdgYlgXF7Sm7uT87WLPNMxaWJVvIAMf3qApSd2PBN9moXWrAeVNRHhidNPF/7 ck7p4SPGS/sY7rS7CXvYAju2Lc1bnqmu7maC79c/J3jEu/0741Q60mlNKeng2B/kTujW8gZc6yV4 GEztSlD5nz/nXHlJB4YPyYwc2LXdB/Z3CT3egR8WNB6VYhFcBhVP0bbZ4W470iwkgu7lLdaesQMU 4HSwwylq1imhrDf1c6lcoE7GdPXfP7mPMXJUM99uV/n6A2UajBP2lvVencgssjaHPnKlyPKbShn4 /3tTWLo+XVSwwXoGfiNGjLx+8HCPapMxPnWlDYvWY3Np36vADRqboBBXr62KWjLD+FtKwCNAuu7v NtJj2mUYgiGU//FpPMYWQo7S2a4YLa/EmN53NN9eGF5pDthJ+zv8Qul65nr/39t7b7VLDr9oOvjc AX6GGUVn8rUP4Rt07oro7tRG7e3M0ElK/TxHQBP3zLeNnZnWGK8P//WnEBJkf/GoVa4FHd+tzeeP eTErJUt7mYlTFDPLk09UVQsfBHCkNigbWN+X0e8FP4q9Ns52QrzddkP1qt/7qOu/JZN4XH3GRT7a tkWhY+2k8niKzn5FQF8bWSu/weTH+l8zq7RxW+/NnE6JChH4tRGo50ldfhT642Rer/ThUlJIBeTH 6UOI8gJ9CbvrLRPywdcop8PAfDqETNxGUe8EDxY7HTV+hFnLb4Vsn8/TMvRhfUb8LCZe61mp9FD5 zi1rHmJ+EO0YUbJ3mBOyWhH8VR/OIvTA1n5TvapVOFH1gs0t8gluxlKxIUdX6wHIm0MDiNVf0p4p V04vP+IJTb0/BX6EFAAyjHVYYUork6OOHub3yiiZIhyEYR+DIG/gaaaBue7/tmrtbs2eWXEQxVWL pcqqiNtJ5UFvGdndGc++NMlLTQkGmqCPS9Gu2wI+p4MfuriX83lNrAQYwk/TS75oCvBBNdh9aevy HidFt0rWrm0/bia1DQ/KXEMMWlCUfHzJPCbZ4sP95PvtEfxyL4Gs5YkEcHb7ZS1TOBItPjxbFbex S85emrnwV6KHw+tkNroohfvL0bY4O2u+/9gEOmEcDYNx+kdVBFC1Jv+cFCSolipiytcCkdZYnqQY h39NA/2yVqwBZINRTLPsGJbIAyk4R1QDpPJR6phgB2gVje7+ix9XYYlEPOpE3qx3smdC41OwyfFu o4JDuH6oOOs6/SrlKjXRBBhzawLPUZmE9+DpqO39n/hd5hKg6OqnoZHFZnb2JV6/Iqi66O7XKKda zZ0wS29G+8Ktm9zsnfyrVXsaWZlpYDG1pKwOVZJx0MIOH/p+31Mkm/QJRu/is6zCT1us+R0z1eWK PqO1RNojKjib6YRKyup20KdfmncQBqntaLhdYcj978Pm+k5xgjIpmAX089j7VKPQlcrlGtY58rJI KJHwTESdXJZBWboFDrIpPlC8fLNtfINHmLzU3YVPKvsEy50ScBC/0KfLyFIv/03L71pZ3jhsEH7f Egxf7SrXWM59j5XPjF8Mw6Lmp4vmuSpTwpy7J1pNK4SIpWz3GKWXgLim4+6qflZBh4RcGnM1wbek JJomi5kHdUp4ULkGyWjv32imI1cd3+dgmVlvlrhpEarR0phj33RCW2O4hU86DEzLfofcQpKgcVuh MhaUCh9SZQ5U8tpk2kOH7lPp4nj1ojRfYn4X3JOpNBA1p5y56ob97wvOBGkJPeHaIF6MF0JjNCl2 qdamHf8eOaI88DZH13rKYvfZ0wBCr6TW4bsLGOaR3pvWFctMA6PA8BmyHaSPtITy0vI7k0MX2rE6 nEYQ+lI62b4mmt7TYyzPL8RH5cYcnyZkEYdhYqP/rOgn7DrXV46MJqcO9SED2+BrDuIIHI6S1gCi 6tPGsPudm86UIsH0pv4D6FLTk/Dr/1fQ2Dv+2qrgDRDnYYmLk8yMUO3xKqaqW4b2tYV3ZDVLMlpE DZMwH6NRNh+YcKgmIijCIfWJ9L23BsgsIoqdy/3tpDHbEKNg8P8+cLlfoOTD4PQigUNTN1dXiUQd 4SBhJgqGaFOC+bdQOpA+ogyk/ZfHIvUjBuvKDej8dJu6W1YCmKwYCOjjaKKA9Zl1idc9c5+7lBK2 DcjMt13LlCZiEjDZlNe6oycn0heM7ORwP4RfZ8GUbYanpJt5i4lAc8w6M627hVGBA1kxErai1KCu RRvE7ihw7+4yAPI3+it3wZkbci22rvaG9eg1vPdBwKJCoToRrvsS0UI8BiOVa/bquoEn1OkVrRMm BYe44yAvbQmJ665SCvxFxNdMiuCIO+AroL4SgKVw/alvsfnKYwAf4DW+lsFQ29eVazGO1Aa1K73c mVDxEjtOeFSBPCNldEu2U9XrfXIb3FDJ4ysJQa9NVTnLadKYVbRkgQyjCoGg6jabdgOSRGn1Ulhq 7cn/1D/WdBRllV18foXdRCcV7sMT6TIKkg/VBmvarwfzZxeIly6l8PuG6lOEIExpxBleSxey0EPe AupHaWGKUiRvPhEJeN/ZnK8+1ze1q8ggieTPAe83ZD+gy5Ksjf+zQQ4SWb7Y6Nv4h2B5vZlHuKi5 jwAW1SvlyQezAl5nBtFseGi9+u3R1K9oqL1pMRo8tm+5faM5LNXS8IJhKbFZa4f2riU9hcBsUWlT 4UdNjaDZOdyDD2ScQ7Rpm+BwOuk0UW0P0ItDrOju3zeqjm+TSG0RfQp4xJAq5fSMwy2YWGI6Nn1q D1Hlq7aogjSRTX7WUBv/GACCEphNN9gc7L5J7u8FXvTabu/EjGA0ifA7gfJbepUiXudnS++wHkRL fB9dFZDAPpW2Vu+YB7FJyeMym1+aXt285jgrHSUUQsCTR3WAl5BbrU6/txRfZo2x5Zop03daIASG auErAu0QF+Swk8PHYMceE4M5Ahx57K6dRO/ifvGvaX5VU20gmDj5tVTotLHsxFyoJ/8HOwrxbrDs /M/4VUBKnUUan8ZUat/p8RR6wb7oJr6Yu1T21nwJtRB873LRlBgsGjb3D8OZ4WN3zqNbveSd3N7q 1WVgbQUIYiU2ka4bpSZtaWcZavcqs6ClEiXuNWhVuc9D7KQlnJGRuAolgSPrFMDRc72oJFyZMveW 3AgN447t7EEDv380075kXcTYSTYiVMYsZtEMjPwY0xiNzLYCy7+spNPmi0GDdaltWK2v+jImLND1 +OOAopTdHCydSF7lkU+TJxvjr8zEJQM6BbSFC3kLM51ecKSRGSMbmEx9u5ESs2NTSLSgn9hxRlQS elJabmTp0OwEQzlVhf9RQ0ZptGimsl3BIeknQHjWo+jVSIYeEIvDsiFj7ncKgdb0z6zzKeuBWmIk 0LB3wu5U4FgUxBt3PL3khOWvRT+eYHuaARSJaBaTFoCgD9t3llrD64QLOAx/MigGHSk7CLZorIEP 8BhVq8lXmHNBQB66YGxnuNpS7NdBYkaVoqY8okp7Nx4NYEiywxBsZ+iUuSQbhbhR73DVC3IBsyZW 4yp38oSfHUV9UiZQW0KQCLM8PebcjW34+5J8AwNKwTsbVyoTzuDjQS8xXYaqbZvLhjIHEn6rwKwV 1nDTZ/qgvI994waeYcTGKV0Jxe42f8K99vGuzaHcuwtUicxzlij/uwZfEzw1i7QeeRb+28tu65JH thuiM3Y2SZ4JdCfwPY+ayDjjdAH4bXA/WWTieP2FHZPICh0S/F0ffWZioSwjSN3hrFs+hb6ZmZxt 5m3buzj0AG89df7M5ZyacZauiqg6vTcWo9Rl6Ko8ClbyS0KokxO/fGYAEonvqaqOUOXirM7D1ny9 Gn8IlGuir7arQlP8NLtvizR9xuPaqvzzlHiVczW6g8cmW9eEnColl64wv0U9SFC0ZE+gqquRpsck 4OUnRgWMP3FUMx7Fh/Nc/b/5qaFbrmKpn9hL5OuytjeQHBbVNfRkn2m9FxOpZMp1rK928kVS/NvE h2m7NVPnomSJUOhDmdIg7TiybAJVn6LCgB5XbNGij01C1ci5Jcl75zSAlEMA8p5ctFZkZI41mT4K ZlfDnqQieSJtqGQTLLqRhEFkqYElNv74hctR/fPfo/90jfxaq9oA1H5CPE2IBFnRds8IETRWnKO2 6/iojR5lKdL6XpX/yYUYN7IXUIyP80I69eqepcregnP6SPTrChS6lHpSixyYZ5mBjDGIAakNyYc2 V9Q1mZxpmHwr5hIKqL26fmeBGzuEgTEPZ8J2wT0ymAxLuJYRBnqPTDP12b28wGfmwrSh6Ozm5EoN CoBIVNg0i92pAlhGAeobLFD/3pQlZipUglA7njildFJiNurGCrnkzRISoLQirZu/paQs7kYUZcjX EV1FGn+FOe0/eCpMnH8zfBspEQzJ48ZLH7lsTBg14Hgu4IPvtell020fcMk5KWv0aD+GBEuwt+gv AsDE0F7YRu9y9+qa6mq710LxHRCos4blGgH6qeEziM3z19xxe7wYwyB+tyl/DlOojHwsBBrIIEJ3 ZzBmok1bnqN7AFSC28SgtTc+rGmIX4IVabl2Zq0/Bou2gYOiTnRbgcuEjmrxgYdJWnPro6ewpFmm WVwvFeDGC6AcJ9HWGID/wiWwqkdMbPB+L6eGqeu2fES7Z5biEVtWfF4yiY4OnP+TwKUbc4lZq12y g0VcpaHG1ieKoDv2eiuTAQz81X7o3A5Avm1riI1WtXfNbLNmTEZhgS8JrjRsdNHE3aGbLuZjJNqj lYTwraxl1sSXP+iStBWDHzbenxadeM3mIb6cel/86GUN3K5JBXH2DyfJwzJSe8n8IP71lI2oLZMw U77yl8vYM1LQkEjbTMkgHZE9yqbhWs5PIYdkqccRTuseh8i33sIjyYu+/hYJIiLgzQtIU+HgdiuN 3x7yxwQ/CZzrVvg5XHct3+kx4Mcd3rXY86yPKUGqVEtZNT2ytg5j1+TMxFrR98m1afiEVcylplgn XOBt5ICRqZbW1oVMOyH+DFKcpHcDOWqATXVs7QN1jZZ7sDFuCYqHt7+vf5hGWy1/S7Zylv8YY7wY Yszc9k1EmGgLkd2AyNabDnOHjHAX90bNecBpr79OrxdYTv7CEKsfLdrBk9btEVegK/uY0ofAMbfM YJxsAFH+KlQuhOJS4yvLTcMXN52I70pOuMzVFAdEVRCwk8YaxqpjBho7sQx5pFw44GdDNycd4uzV 7Km3eEVDpOxwzCUEJC/eXsTyelBE8N2E7L6wUxAFmEF9pQ3M2lt9EdWxhMu9t127rFm1ZFc74zoc /KB/0Yqs5IiMZ450K/t4OHN9S1SJBAHFh0/le0xeaJO8iM/IZxu+nUUTJhEsj0eG/Bp9ffsB6QXx QassVMnUKSQn3P/5CFFCa66caWhNuLrl1qKsbW/8rDtPztWS4/zm/T17qmKHFY0xi6ubyXSpo/6B 9bktNHSQamv1wn7zz7Qas/zU1Ms61JGSlhHZOb2r5LeqpEyeW18+p0Y0Nsf38Zr6/mXlYFe+DKYA HUYxaR7CTpCa2ChclgjXcqLdHwKvjuX1AStBqVsOdt8PSLSO15cOIGpnMsr6SMLqbujq6kJLtEaU K0mSfv8AV2ivI79flwX+WcJ0J465hR8rKjjF/4KPIftgMps+KWa/911WRoakgc+JIt/98vDEfR6+ LS5bp3hlQhuWQUeriYCemNQ2ViEEM0Bx2fOxIM/IH/zrMrcrHdVO0AQPIW3skzBrs+rlicIclpkt 948crOw9RInAtf4w7NsKNrPyIZhcck1ZyFVLpNDTsF+uaAOv3AFAbxXXbEi7S5Iu9cXOZgHTcFjf wWoohcAEVNoWAh1l9wkLiPN1dGdvdJqmcdP/P+EU+LtQ2f+x2LmYcztN6LTUDLgILa5g88TmKctH wSsf1ep0hqw1RKDzVBGBJZRCyJDPbc6G7Vz6XvhZ6c0dS/j2RF3VhMMRkyZX8c+4dMxRDaOMMLLJ NQ67lXxiPqlBE0t+hQsGT/gKNLemq8tAP5Cbm1xZ0doEQORnRTvGWHnW7lYw02oJ50BspHyTtFY3 /ENnTubrr5mB157HOELiR6sBaKwzEcyMfk0hLjX5eEKEz043Sn1/1rRig/eaqqOyas7+jFRlU5pH uSrB/Layt4ve3RT+x0ECQHJBQuLNnJuGIgeBKPIXnK6ngQ0ifSQiVr4sEcy2S8AJ3BWEHyH6IbwC k98Tq+G4dW92Mu016O2Ll9JWdRtgA4jZIX87Y/TO9qh8++T5L6B17iKHpPvl0IqU//ROfKyJ5MWJ 4yoZXi6ZzEtag1xLbKdeENoqu+sMUdfqS+kHiivv3+btElpEilUHAlAytP7HnJzkiufqDkGYHpnX TP0zJT1JxVVF7EDI89vzvJp88f3usv8RxlLp0c2jCviDIJjq7mMlPt/2BdBO8qynfglMupUH/phu YiToC2+Yha0VNMklQbohGCZOP5pCseHRoO1mZzRcT1MW9fUzg0X+dRtc6aA3fcdykWxsdQZPXI22 UrJp7kkh+qPIia79JRIjrmQfA8w8P886yy6ve7qnqvZ44Luwwcigy8WL7yUT5zaeN/3jbwrdK8Ko shpuIqKTiimWm/HS97YVXy35a8EMi8aZUmGnlsqYbYcgj2kpJf2Q1+dFgxX2KMOe/raOAsfD1NnD nFl/IFV5RlotRYC3ftK7Vv90ZOaQyRD8soxZt2z6cPtjNSf7ZEfbDthk8f/4M1eh+oQ1F2hQ5diy Sv/iFJg3jlNXVCMBJMI9xz7VU7aJXSdOs4FnoDeq7aC4HRd27Ag4xR8rWB5ALj+e+aggw9Crdmh6 vvHKx1SzgUQGhb15AQcd365DSxbgemg9z2ewO1Joeldi0LJ19dzDdpTx8ud3SeBndIarX0MbfCsM 7BC6xtCOyOJWenrcvO6AhZRI0j2sGnc2KiXrVAAImslMtZc+tz7JDsD2jlNQFRWFK+081f6+CXTX 4lP9K/g/yDbsnYtDfFwbowKzf5B5smq0dovPc/yykgzGK8377u1b47Xmvt+LhFOcy5EYggpv5VOL RNDCl1b30kNtiWKruTiIQDGPB4IUso5hIE2M5OaYAlHL2kE4kN8tWM1r3TSfHCORYd4zKeJ1VmHj Gno81XezzeMyUCZ8eM/gPCHdUadVXEWr+IajCMfthLqhfP70T5Oi72BddtMAkK8aZLDDPnjnpRHg aO5Un/N0fZ/qMZDHXvawSKOGNQqjSUyoHmQlE9UGhhygKVTs7kl1Jg+Y6QhICTZY5BAs2y42xrHN pl3VLVyuUstAxS6T3GbOsgt7tJSYQvCXGbMK/ryWDscj67nk6NkIEb+8Pp6Tj1GNzebAbsZUskKi 342mXsDHUF5LfmwcWG6xghPmg424pZjCG6JfpyGyE9azDsk4+lvZuIeq1mQJwbhNspdS5nxTzGBd fgGXSBIrWOnC3FWV29g1iXzF5TlGX/ElDEUPOXR9yvtyx3wp3NWjtT+3fUFoM2YWByzuXL8bneRi 3L84ScSUf8YrXELUwlno5RDuFxqE6GJpNC5drP1L07vGVEXtMI2zfD/opc5o+q+zyO6xbGk5SBlg QoXkcRugW/rBqc7QaNwrpsxa+6XGTMBzNn/7T8/rRzJlEBrGuunBnBgR2VHc0uojTsrMia4vlpkm E8PzvGsKHa3txPuwHdXQgXeYmMpy0xvJVPfqf6GmG5SHHFoBTqm/0TZQ8uL+CdM5uxZfbkaDtuF5 OY1C7ZS+9af+pabUqomdeP0wzi48Sh0zO+x1S53jRzwn9OG9FiEPzC9Em9rax1h+7mbB9H1AnvJl V3J48CApofB4TudLPbA/6LUzHs7VcKpgGupy9ZTPuOvp/W7ivt++R5uJvhcnnaGrtH55VjauHYqi +e6zvLYFwcQvFJipqSc4CLQgyzzEVMr2euLRbVle3RfXYaJuOgHB4z18ZZzoTaT1A1xk6fgizFtd EIbPgfzpMtHUbztPkXIXBKszUCAQQcZMxvoki+iXt9NRBDVABA0xsoX83FFsr+A43lrVr5HrnJ0A kwd8d9tsr7YpOnYue7df4kfch802MbSwXNIFZ1/X04V/bSyKwPppCD4oUqgoA0cXHnCGgP8N5n8b hfqmrT7GJZh0NoQb6JcXa9AE8wTj+y3fAxLd6fUQBADckY51gwfsQFTrMV9mSQZQWQxwMFz+B9e9 2u0Abg7Ge9vlRbqZPjX/YT/6GOrR3Z7g/Z1L4dJ2gMgUdLwzprgezPUZSGHP9ZGVg8m0gDaJUkoY 95Rg/ArBlM4aTmwXbKjR+ym3q2RXcUiIl2/3evpoZ+GWtcx3wh6EeVs+yfJgEYoBxvv1Mp2MCXs4 tzvUOqh3ABpNerHXuvIpKKMobz/360uZahWajEvQWBuozYDtwa9sglWuXLCXoVqc1AvhBoQtjSsv U/o4GdnVo39AkQSAf0SM9BPk3PgLbsKcdEHDvEnii7dHXfXPsDVI6+Ok2tpeMYbxMBZ86wwcxRkt YYXl935hZVVzyHH96K3cuGikDFRenrbGYNGkc2RLFCxnOFQu3wVT1Xhj+ieGr/gVFHz+mVcJW9L4 9NpKVX1zVe2ZuSRAfDwwYyQHceW6lIYqo1vGtdcjZmSkbHpJXaZ5Wc9n+Dwr5wRTfrv5wlJdNjya 3OrDBLlboP3eLzV/O+Z0bcIesB/9xbIGPSvZ7b2cfoGgulnI1wfbUakIAXo0S7Qlswnm776w2aGY HPN6XzPLTkPEnmrzBnexL9tJJ6VrNUqiqxArHVPdTfjoEkz0Jw/MNykF3720vu64ten5SKzYkZpi t8s2AkkNyLVA8ixVst5dqFTA3e2K3MzKd+Sk326TFd+Ax5axOx2CmZ4kUCIhU6J4YQL5EVs7MDZP ZzHV+ybrCqwzjkZZP/A0oenparMkxd5Vgo7ilxa50M8+kcXuW2dv4BNQMYVbLrakWx87qx9fqVS6 2jRYhCvCCA8KmMQm/E93N3QkdNgUptA5pmtQBZY87n+FpdDJepuCoNaDuqfJ34ryARNOYiIhprNh Hib9Q5eL8WlihOKnz2DTRDOrpb3jWa4PMcdbAhYDpKR1Tk1ss8Su+AJIRoher+SI+xTXKCUyQIwd hXck3LgGcUdmrA3Es19HWCYJOuijxNfQRvkNI1HQv1xNXMc5Cmg0fZhxy1TVxhwZ/1aeXe/8NoJB TfN51PxoeBT9In3Egx+UohWdQT9tN8RdEkSrF1cnPujLOlSmh0LWyLhadU8XYVJTNagcRHmSBv/Q kYGlI1XUcoV38+8+r23GT93oQuv+JIOsfdyUitg5krYsT1KdVFsUa6ozCCFAHGgQqkvCAKuZySfJ LFhTNdJMzMCn86IomMlMDcwkOLypMyvFeLeGY3SIEdEyqhQ8owAIxLSXXuljFXlXWqDuM7KQnc8H 8PM6Cem/wdSpGXseld8p0QUJak8Y0k1h3/EcKKhV2Q32wIM8MnNMv1P9krznQ5VWL7pzCCoW3hSV Vkp/P2v3DK4B9+1b3Xe9CUmipFj6DuGctHKUc/QU2KRyrOvb2MmZPE58u6q9hVQ8BKZ37uDLnATT 4nIJ2QFOBKf1NadSyUg0UM68zfeIpE3hvDbsiNrYSt5RlPxwnlcrZUKUpUVwT4Ij2qBF9ng5gqE3 8lcF/yJtXdKhnOjFrXcmH0tcsKrQ/unRtf9HGcXsfumC2upNWdzUVztehbQDYf46L63CXdF0Y+rE trH64CZlratJ7dzUUVmw0ugtungTXfJSI84q48pzi4iGZBeDVHo3D+Z/S9kB2MjiboMdGxZ2Kre6 uWmjgD4j3O2gxqmX6qrlIKx5hj4Bg+7RoKhAfpz4lASPJPwOxmkd/jYMyJWF4lhuUrsnQzOf0B+H qaYSXjZRaLDkkrLnAONzuEGWjyXLPBQ76ZXOW0G9T9mXIFUil0Z8v+3rl7qIKOpERuzKprjhMvO1 2WhhqNxrh2FkvhaAvxkfsoEBKWyo7yncnuhC/xvYgTu4QHnpIZ1W1Rj6WkeywoQhGICg1/0CkOk6 H93JSmIpt0rxn/IyrZb9eHvzzOpkKa0FPNG5mRVCb0PTES4YrnZgD9rAc9SkRkTZi7e3Lg1DoYh/ GBYmjbGUv4zcTW3BsLoG1CnFvpaCLH7MtkbbVl8Po+O3q/rKNnF7qK3FGr/dkxFzST3ePhei0ecl vy4Pdui1xsieYk2CLxSPkj6qqkt/Fc5jrHH/i7iZXAFCcoiufWZbmBPj+r7g/S+D4lli2BMTmJAg fGlKb3ZZqeIRXWnfezP5+a2YUmHEZM9OsinZpqr2RXHJ/BWJ3w+A7QiFYoJ6WR2ojpSgB4RmcXmx RrUfqNG68oS+1D7+/wWRcWSjEzAAdXFOB1HKS4GmrNQF5F/jHGAgjMjXdG5YXS+418RHho6qoPs1 PFXwIfu99cFINwFfO34YhagfwGhbdZ3kCorciertuWQDcgZor7Ba1OQ8ydrH5D/hR1Kwh6PsLcpF iynCgm4KLnPmswVT1GSHng6IBnqZUDQoLHXeAX+c5PslccjgZLM+iXmgZkyrGKUdeu6MPMST3xaY zC2YKseL9MUnlzAoNIPsy6ULBLzbp3jMXqxkEBMhuDrzvTFQosd5a/cQ+EbXuBqUj8OvXbx7Zk2b yzPnGCczl7bKY2Vf4UbRMM7AJMrT/9c4MJOOM3iqxU0jnQZcOwb4OrP+LlNxnpueMMV2uH1qlNTO EDOnY58YSZAGqAqV2aVK86XhMXLEc+OyyAwatWuGY5XDECiXsHpTJ12SKPO9VJUIa6z4iNBByq+d eXKgPJ0qTuKlPS8R8rcE+6+1fP8H/w6K9m4zH4wSq1GxtPuniEbnXkAc+zg1MfZ0rE4yYAt1VbTw QD0hHqJqIRSTddPA6ph2ilEMr5lHBzhrn1PnzXZIPC8quEB7e3lQmQzo6+Ifu+M7RRDP3aI/tFiG /JEntXBZdhnkuyK3fXGSFGmjONKQKUcRQLHUJGjg/jR4Y7EamASB5+4EukPFsEgWOMY3k9IqxrlY vvBvWe9NkIOGQtf/0hXwmKGrgKxIIWb8kqpUBba3hnUzrF4QlvYqGFfxnIw9KVXLB2Rm+7Euxo+b FZQz+8yRLJy32oscTT0FmePbfGWnotjEJzucFjEn7kHDRVKmLV2Ns7LBYFfj8+ehkC4ZY7+SJJ23 sYBmnpzE5qBeiK50aQ6RFPiIjSg1JBHCk9RCmh1xuKkZeByi19YgtJQZ8HH8PO5Dr2soXkBqo8AF 4VzvWUQn3DpUksKHFyWvKGGCFaiW58QJcElZD3CvLgyOO4yyGARZ/sZzo/3CkQb3JKrpiYlyEF/g ZqmFySZLOIKRumAPtdWp8YqSGI1f69k8AwGRpqHMsgEcrVThVNde8BpvAYwYDlvROPBnJ2DfDTbg 5JRD3V80E15/Ha4CJQOhCgG++zY6VcqMZKEwdVkQyFgVBk/0HB1j+FhKgM6jTUILB3+LkKRe4uFw Fsc+zbQvb5OliSPhhpyae8vNG/Fh9kInulTXDW+dAJpLkoi7ECRnWgAfcUClRCymmJOBEV4EQ//z EkD+lvOvlSCMr2QYhRCt/ywZZHY1HcYvBabPyXV7v9OrXrRtQZ+qhx2EHHTqaAH9A/UmMttDcLT0 RD3MTbgTrH4+Z37zbJG48bqL3CBMB85oag5hRyuGyvS2gwaC2awjU2b/Uq4i3cOWfU7Jp+T/tWoA 0vuNiFKQm8Eq14kbnkfDeVvMl3p4wlcmNOem8hYhBPT0CvVtEs0Y+hd4Hc2U1EB0DqK8Y7bZrK00 Vw4FVtvWyS26REI0BfSdMqg3onN++RX76cRJr49THJ+1SuOpVlLD5Ugj2VDYHSl2oPHhCkQb6kuE Q4GsupoIi3lwqH/7BcBrQP0fXLAuuBCCawOe8H5IgBDkTqjbzyPBP1SZ1TbiKWrL8QW9jYHHTXvD sWJ63yi6RGkpD6R+rVbotvBed2PCQs35FUPjSi063/PCe/cDuE0+td7Xk8lsqMPUsXMFyW+zSaeS z1k15P3iksF+T39s3OtFWNzY1syLGQ1d/c54yEz0ClUJtbv4gEh4Ct8CF3RTc9hSl4f4jxPw4Y2T O1064cx1Y3Q3j9ywmmtDzPa7SLHEMbyypJU2KWDaHLXuykth5NAsmu3PVohgEsnsrfQ88udH4P5q wC6X9tJlREqEZEgrWzn8jfA7cpUBOKZo6sTlNB9ZpkVruGN57U+4Q2/B09DGWZWXpnWyHRPeTD5R JuVE8EUwXAinhIfggg4B0IxoTa0GNxkXH1B5A14dSWan+qVPkHk0gRx+oegYFmjlD6roAoA91eRB hXZyoW+4dxjJ0/aVFXZXT8aGnqHugNRCA1B0A46WZAjIVlaKhx5qAF3fh4UkeILthZBKgybsoO0k BquyDyHJgbnsrFaOBmOUl/6zp4j1qPXoFcPtmv9ljBhW34eezQm4Nm8KXBrL4Zb4ZPgyREgFeO3p fis7C8DAUzvSBBuJsat9/lxFH7mrJZPvXSHJJJV4dNF8j0LE7FclA/f+48lkXKRhuGPhS/D6SX7s T/rsvZR6Gb7ZxXQ2pLWxili2P/jonZX5vPdTPWJI7T0xxL/s4qp7ZrrYBv//1obK5sOahZ8320PX pVN9j6HcNQ52cg9PBHenhvKjB3IJPQx/wkvaGwn+8aDsufnvQoh8of0M6dMzTQChTgieaEU4aBVY jOyc+iAtmZNirUQuc8qvjNbTI48Lr+/khSrxU4ywM0/WIR31fMj72+aQz66hC9gsW+NjaXbb9G2F px5qp7oSBY82APi3PIcAGL7+8ywZf4ujy2s0HTbBNn6UvdRRhmePbBOg1SawJdCYhGCn/ZceSfnv WYmQq1rELas1hSyW2vlAc5kLMs8cI8X3bgDIFJxjCxgEYwrdT38oSplOnuWP8QkWFHbFYRcsfb1E +Cnz6nufb+ghtGh2CxKi+dSnucIKyUXk7/2H+k0DMuYzSUuscbOn3z1veI/Q6qKe6MmALSelLlRu LE/oiqPaO+Yv7fGZtZda8WEdf8DaoxvHwmBqP7GxYI/xdpLH6ZxJX0TCnZTZATGWRTGNEc5qhjkT tLN25dXLchZjszKQkDp+gX30gE6W+XHBvlDFcX+b2pxC3nx6x3Caf/+b1PVgzeBA1Trgq0KzTCvr 6kgwvaLYwtTsecnVr3NYwjlbpGmiM6VktkqWX0K76F33qOVwqZxWOW3fgchU4x5I71DMRjm31xuD nXTsrjqHKtss63OeQaJ0+Egi7RT3Oe625rb2acS7+nEPqRb4KA170QNBqe0oFNcBtH777pduJdM3 Xn2sXFH4IjtdJxTzorQRIprh0bXv6I9f6iMfKfgZ+Vgc6w/vTYYDJj00W5wmCxztPDhJEPJe1s+5 xCWTajNb2mSClTFl9Sr+ao4uigALYHqFL4v4Bouqe/cogIX6k++TAYvTsd/8blk8Ha/PCggDzjE2 mKLw92Vg6XexeQn4waM4uPM4Wktqfo139r5VQnZMC4JJT0gF1w1BZefxWJeTLAc4O9D0cmWb0HxD Ku0mznT4XxFCrwOGdOZREgqFg5rK/oWbVk47KqU+EOT2OK3J5M8FFplFA1b/MsGgwlDy2NFST/9Z Lqv/mIFucaUT1erGjm26x1X6/nfjlLwK/0dEiIxl2UMdywqxNUblyDEcPMNXgVWq7/nxRuQBTISY 0bDor0wlzNA4OoPjNiNMtQCwOrwHM6hjmodv0DdMy69wJanv10VAlB+LIYmGS2PeUtQFP/jTFi8Y jB2JLAIaVVTwMh1xUTV0UnE6RoIttVkHeaGr75wFaeMNJGgFBicX75G0I5TnHUUvRJvpmZHJPvsg CX/7/2GnhxErmFjz+KuLiBQulV+JT+h+MB1HAf6dmHDr2vxRRrn8hIU0sRDBwkbqKflDj4FF8/uz 1SJY3yNxK8VzAcDyJS8HoWaA7pSAJPYZwV40WwypZENeSTWbJ7eThdXn8XtxWzs+Phkw9IMVl15p wVPLwaKKbwdoTDpQdm1dMcQlC/n/Clys509LIirLvGsdBFmY2MRLCYKx/NIvDiMcTFcuZIDOm6JM FEXU2jijV1qShozVjBSOkfNmRTQewck+DsslltNhLOyakS7JhD691WsOzelU0wjtQWgrHhPZoHcn PRjFu7YlyCWklE4CcfVDV2W/t5ManAwRpQEIWO9I5loeT2sYdvTpxCqq49Uiy8hJ34/28AKBUTMk YQM3KW7sN1s26VsQPNeLBOk95mNLSdP6ZRScaClEWF0vu9EvRqkZuvMqsksuDqi0PGxZh8Yp0RD4 8CjYuRDSFyrFnQR8YG/TtUZc0qZa4s1309PkrgWW+xqi+yhzN/TUI0D3k/HPMRSLK1PPAn3biNcf cqPPclBDYjbpWq7q76cgCiq5pfFFnmDRB10BRr0xiGeUFoE2okL/wuPzO4f3HDxkVCf6pwYI6k3E EXjCZGxwkTqZ2M7Qz0wu0NMmMvYAVNXNmgKN0JPyz8pTrvScmEJbCZmF2dQc8HsnZSgLHxYuJENT W9V/uq5Rp/0KLBbjYk68jRqIkBexqsb32XBixm4g4JF3kK3b84QEIeqyqJFNmSlhvxVboNxHNBbT 1RsYScYeDfJBeWdy3Op9eUqkvbmulv6Ry+SbpdE2XRqsaROfOvJ3x3cOoXy5iW/oKiJdlJUDqcta tMqWBuB8yAYvz6NoQoYO9+8+a3eKbMUbG1MP3F0GEuHxVDm42WSqVQaFVOHdYvqnNA+V/f+DL+kE 5l2j9+Lb8EeWjvrVVe3sE3pBik+/AikpwO+OJ8dsXqHrWcg9m6PBkGAktu0FtNoHi7m5CczY3VyJ TlgWPDZDUfRD5tFysSNHdd5UNXevW0WyjjgdlgXIPCWRyZr2KyIEzNHqPnpr23QcdyjQiqS4UZph JKmU1fzg33BLwvIUoy59QBHX7Ga67AH3LZrYv+8TAiCpOpR1NLTvndgt/2dp7j0pHkcoG5d7NqLh imOfw3NQQGVZpvNPuCfx2K1WAgvUlz60i46T8z5KXp//E8/3AbMx2oCcGhlfRwI44wyJVYMwxtZ7 5X6Oj9ubJfIqO0bPBbmlP30WccajbvQQKnuyhp+X7FVi03uB4F8b8g8RVqa/0d1J9cDa8tHuelVE KpG20G2ldBHEGgklyzlPQdnfSW5q6Wi/xXfiuFqocF7pWINttJB6BhF/xdaukhmxfZrARKKtPnwZ ur4cPURNI18wlZBuHVSsjA7ntEucbLzDMOX1FGLp7RlZF8opxpXK2DeAPDqU+snixW1TLd/ufY+O No6dBFhb9V3KV269+FF3j/DeYr0dfB9y7DoajCUEsAMgcDheqRk02q5a7OKofPl/9BrNWg8fAhJ2 Csa/DdJyksp7ZSrOft3zY3gLOoOP7BEcG8FgnU2/dZBB+5X2ecIlHDPz5KrCGT36O9J8lIJ9UFE5 2kQjjLLIPIjfxY0Okr/JUNtSsiVtzIMIzvcx2lSRi0CIVYz401NvmT6hEatBsO0ttOEaTRDCj10N erO/8FDo7oxQJoWnCgTx83cZIDeo4R02NyLJCn6+olT9wAnJwrVjatLr+/3f6sSFtm4mH8yl4Uti u7Pv/+bx35C3KaGmZH/EhegJoJ2E3HUp+3o/h92fxtXQjGUSKKI4kAcl3tjCH1XFgsf65ycB0gdV 1D26cdRwiOkfNQF4nHdxpC0fb90wRohJX2mBpuy0e3Vh8uRoLYZN9PfuErv+eJuB3REG55WefVH4 5EAxpr+F/UANamr1YaPlUnGKbXOgFhfDe5ApdYUYUE/FcMkLpcHmX83D2FjWP44d+lB0wM2JNpHa Vr7lJCTnSdviEtpz8ZtZgwrCsjd7411qKgPwxbn0jW/0xbMMve8tZvgA4GrPmp6bqeGilos5SdpG VzFRx4EyHozgGzzRv72zn7qht/bf3UO2uzu0NRxENRtaETlJ8pPMhkrEpkr9Tt0T541yHsXr+zbU AiFvx7vP/aD0Y/wV+qc+/QzXoHJm4jR99ck1Y8E2SFDLKeNieH6dMRHNG+J1MFKqzd46T8RXe10e +sXB1JGA+7brhBwmyrtPaSCriw+B0LfFuIlG1B048x8E3ISnYuvXIyQ2Qx7rH9uBGrAqeHosth8p 26ovRhItNbOH4hdgh72GGds7O/MiuX0yDEp+kpVVgvUisUAd+MghtZ7xqkqe2fcE+lbK/WhDuCDQ TZHXsBNjHQqgMxOCwQZ/bh/lfwj8DhwbO3JiUPgmeOa+AgfmOEYN7qTs3iplrWYc5hvmvKLRFZYi VkZW7rUkK5OZ/3cOaIuABsh7egD+37xMdjNkDh8wmBLWejL/23zVnqCKuYT6fL+OqDDh/hZHw3vF 7AY6QqktBtnnrFd8Y4DzRAqI1Lhj+UrYIm8R/FvGVgrqMEHTkO7EKXkZfkmesA13RXaxl2Uk/ZOc 5LFwywKlWCtAbcxJI8qP0AzdlUeI4RkPPQKNdknKplYp7FGmK/e8T+UxMkSR/ceaoTW60GYnaL+C B+FY2efESr6M1OKtpvm+Vyq2aNDNna6g/w/dZl85fLvezH4cmIgxEzukz6AOq0ydLk5tDQGCCZ+J YV7cA9Dcc7AuhKzy4JYo1mKsvp7eZeGvcgpSnLjwErMUf4i7pQuVBae0CFS5a+A8Gbe0/ir9Gvpp /0/1jm2sRalqgxGo7kNM5dKOWM6T3IIcdMnc0f/q/g+5c4bCuSwgGTC0Owv8O+kpEwmWG/X2pVwW NQhpWPL7AGZ8EwhLgznNneqwqPAuKnbYgIBELKMhyvVK02TK57LKOfS/K+KD6f5AIlf/LsGKJTp8 sYNIV74IN6VpA4LxLEKGUpnqwJF1pPkncLlXU7Xgt8f1FdFARNVzOao+5czwfmF81RD62m5Mequ0 UHT+RXUvydb20bAHhv/sjotpt6iB9SOTzpkK46UWUfzwk3DgHDM8FobYOE8nz1n1C7LpXGNap3nr ZGhA9FafWB003EceAf/Do/gJtYQQ0emCealnwSwFIyzKCg5aSEyWoLI/c0Rk8B+VHQDppVtRp5wT zVAokO+KU6XsdNdwCEGlL0Y+hrF/8Gv01zQm5cDfVXNduyIaDxmEYGRfPjY86g3tzOipvL7tME2Z pzjWuWFrbJbl53DVnegtjt2pj4ZceAAJJdriCARmEdguLTbX8FyDWnnm/Z73aBiF85tbb1IzZf/g Mlt0infJpmEC6wCPsIkZZJrGjY6pMkZRH5AUy8U36ySz9nW8vl06LKo+CG2VhWnJNIZaR6sn67JG JvAueNTP4ZBWGIoT0nxSpYx62mRbt4Q1xpOd4P7JWkDPFsMkr0fQ/B6+wRTgf2+UcT0iHrdvq4aG G6R3UaLcA3lfbX6TeVx/NgSs0rxxt4mcbifxSl/lOXRXjaxGw3PAmThjLoos1sd/ZoEJ7v2IGAKS TN9b0nMx66cfA35vtLzMz4mLEMMfbkOVj977/fStHd3afFnsB+IFYzO08ke4gEJ1EcIc+rhRSFqy 8QA6BCc75oddHd/2yWpyWMUP+x7Xw3FMQyr6nxQ0Dp3rA4WkLOs4rKYPOQieLffhWtZC90dkB7i6 rBCyy2Qc223iE6ISYwtAmATtb3u2xTcrkpwcwnsD4SfKPI/JS4e6UCdGfr4d5jRLl+akQGLcbl7W BdMXSyuC1J94ESBU0V2aVpu8KYMYGUDVobmxvE56UA1JlPgqCGCRU/TWoYFUa7Yv89+YjVgMPMYG H8vjvHJdalekXXmq0XO0uhDlqZH+dMiwMHY9uhRurph8s8dmpQgFLTOOQBkKaFnw3JOHs9CzLAlC J8FR+K2etnVAWl5I5Y36mLqHLHr0zKlR6zbiejI6gpYRXfSJQ+e8AvUGEn2Y/eg9DbpOFs/iabDa f0G2HFppkBtRZMSpaFQAdA7La0YLXdai20YHp5h7vk8cYFBXauW5CxUJxMYuVXxxLT0QU5LXKBGd gWbJoPVrGFH47gHClsLHx2F5EhUfEkSlNSmbFvu5p01AmftOG8jf5DqveTRNS0C+UIlCUob1BVEo snm6CXyODth06iaPymUT1YUYAIx2C/k5/Ezcjp8Mzz8HYcuhdsd8zJSyAPi0lQkywQKgSUxO7UGk gXRe7LNEHcJYkDKcMcY9kppIDczMHN1FGjXMLBK7xM/6vSu91sgsJd/mO+tM1igzyN/uo4drGuMA lBO5mOobmuRp1UGt5lSRFF2QOtSIIJTS3l6pwC7c7EV2+csSEuQg9uAAy4Fhr9CcL1LLfXz/b8mK Cy+za1E1vkNU8HVN/ixY4vCW7UJ4J0FDYBjuWLDmoN8ejp1z9f6zretuRFE9CaVFC+J1I/AE74nN rGPuBiD8oxJEZAdgVqLmBumKytXHS+8jKj2D5PdggKG2YJSpoM0KiA9YLnULoMjkY/kxEwgwTeOT eC0jiIT7EGl8CpAsbD5P2mws/kK+DeKZ73R7TxRX0uj68pvhI/1u7U/pzn9oQhGh0UGNtzO+ENeF /xuzb54h4b8NCBwbB9zh4NQDe8/nl7wz0zxBOPOz0I8Q1ncLdJ9+KXb/m/ViX4At/enBkQPmHgXm p4kIaFVq6+vEqjHz6QrYyv29WZVqEUVb0A3MPwBxORPOQUhF3cGEXdTnxjLGzoPPT2BAaDn8udtV KeWBMVxbNcCndfRDu5d4G96WWdHC/FoXiIQBRDg3U3Bq+kI5ee5dv9eU+2W/BKo26Vzyzpsj1XHH PD9W1RXMCoTIo8khqmEvbf/pSOiqeRudTBZUzszv+f3YaQx9r/GSQb6Vzrz8BACctmIqX5r0l120 dxhkGlKegWh9++4rylnGp1MgiiJdf5FQVL+caF4+hoOvdUANhuk7Kv9g0HQ6z5pkTc3fxfjF4yTQ BcPqbTdEQIZehF6EphjAIaZ4rzfz5NtcUEF0FZHzAjJHXmGtBG1gurKNFehxMUGm/TArPThnO8YV XAvUOschnmzSsTB5FILRhW6dwUvf7DaJzZwHhIqU34VJq938YE62DN4vD25F++PzdNbv2mr7RiTz GUskn5rdgp/2tu9wbM6VKNKDeASqgtGwgxPFlQCZU/DWa1JFTfDPMqhPD9iT0vufW7/0dcf/FXY/ SxLrvb4wFhHnXwdMhFj9Wek+Fzi3yEqSa//o92qo4tHfFdr2M8Yd4XCHSeE21ZGcx3j6eD4azcCt mwKnWMFzde2urKeoHAELkV0qcZ90Vg+5t2CfLgNR3Tae91d+3m9FMDOXLBZluRK2Q+Mlx4vugfvt 6/P7sk3/nErB04sa3sdhOzJOA3cvtOFSjntfgKH9XTci5ZMtG5L7Z7yNI2taK8gskXBmnaRb6Hvl gQ4AKd2cKzFDk3+nlfgM6qEaNMYjB0ANCjgpDzSt9MGQHlHcI1UOgrUHrQt8UIYhAl+ePfdA0F/v 5qRDdOVYSt/VNSouQD3Bqo8scq53d07gxM7UeDDrrIBe+7MIHOEsTalkCyhLAotcIwkxb/i/G18d OAEc0KBpjSeJ++dbp/T/w4s7xuDhe26iucfgcfCUUEwqhdkAish9AZbApR2SzlZW0/xZU9WWk2vD Ad/Q56lhoAxh4KZTxS92t/i8ypNn1XgSoQM8wIesZZ6hODSHarfrE9yyAQ5Lcnqze61bJxoACK3P M4iP0lkzv35cfx+56ti2t8ZIeiqa4v9FwPAQZRgFBAoYyZRfDRK8shAoNT793+XiWW7VCytyfwEg JTEXYCfUgSkw0uz3/9MryZz4XYxACdSR7whyZWAa7P+sJawgFBQWoAr5RMo8IYpaYQU1ycmK0XPH PETsIKNYCDNvhjDZxX+XG5loIg7SQD1n/65uPjKxr7iO/hILtlb/2WgMcyG8ejaNrtJWtBS8POc9 WQBdBSAaTPT350BsiW1LLwWoPx3n/yG7DWeimop4+KIPN6nUdaGiPbL5vn5pvuPEHOu8Ca1ysDr5 Dgd69rBcQ3UJRXTuVHmvQ176wFsPG6fgTD+Z87b+BSc3OpeaAZyaY/KhvlVFxOnWmowuV6SxbcdV S7WPIp1pYaGuMHIwDyTbhkmMIm2hGCN3gDBNdUiuj4FMLNu2coLK3Ul5V6xzKYxDJ0i3u9mEkFNQ GU2aim0moBGwhYgm/BFCUoLw8DAv3DuP/gg2DyaxDHfMPcvqIl4dblyjyRq5DzjTK5B3R8ISsbou 1oEvLLPA8IbYzldwY9yW1kUuPBr4YPg20YxG61U9aZIkiqPmMBTs/BQXXp8zbQ4AdZq8gcTg8QU0 dfwWsTrzJD/ceXE+z+MOKldfLevm5oRmtvV9T8xxwt1O/5ZM7bXUeseishy03FMvo/QLdxscEXqR Kst5fBqtQV7BktampEtRZWcE/dK7FYG0U1FgaxfnLr1jAVDOgZuqrRdyHuNpbw2OYGKPoRLRSK+T QZ1Thmb9WJbrgTf2vZ//oKpJZeX+wFBxEPdfClRKuXg/aE6Ks65Io2N3rjqrl7NOlnNr3fOdjKI6 M1m3/Agr9NdjmuLVP/LhfaJcCKK50nA2+wNbVbxQFsTV4VROra3WzzC30M3GKzePFPZpWrcG1AS+ +GrnR8v+heZGryk+EF2Ea6X+cMNVqlSzvGHpEcUykYDhBG52bUuEms65gEmr6UfWZG2Y0NCTCyEW 2mwsFgR72KDS7pTbEZc8lmW9cJ0xr5DnHZ6D6wn09t++vQCBg6P3And0vv/tCUBg/prDZ0Ou20FD rokQkIQAxU6aP7AJZKEGcir8l+Y7naV9AV14Cc3xljyB3YjZMQnQ8Z7OpCT09W14A4woO871HYmX P5B2E1wZUzWCEnyo5eTIkTMz9taYWyA7xTaSf4gHT+O954Gr8YtGpvz8BkSPzOtuMSx4YsIjyHPe i+osD6oVzNYbrv9a7G2OGvgEhn1cn/NKRaWt9SutLPU9VM0MpYgX9JexEVIi5OVbRcFNpQKSdiok XYUj+woA2Sv6dsd/B+guxtrimhzsteVV546X5jQUOlcxOxZpM3BLow0w+RFYl2Z/j6GGkPD3vmS+ 8AyNGxueZRTDDhqngpZvkeoq1RrUimqtEUVtYkkw9ZwS5knciX0d6/M//LPQplvFKxTzXohy3ZT6 ecWOfHMHf9aaAkcba/KkL+EX+oAKdKbEjroLuozVH7toF9QrKpdM/Rc1qdMbsEMANRaF3lgkNrm0 LhKmYmTo5E7skNJJ/zRL6hdklAPu66WS621kTDe6k7U7VFzgvJgLhtfiJ1RLNiVM0LHOATjl+P+T Sap/dke1P467nbiiY0Q3GJxm5Iwnr+5reQvv2It9mAMuOoWo72jEDdiJJs4ZHbbnT4YQukF8RnKK sCiMMksgi54ZwkigKikc5ujl894Zxsf/qNpJryRekeKWorl0NHs8lWGUyFbpfu6TOBKiW/cSUhm3 j3tOLFZKTgCIO+NdjEnAJibt4LD6lhWbOG5W3gRWbkqB2HOv/zlnKrfEEo+kDPgYJPocvJ3QI4cm YWjlwS02ExYGBLi49Sp9C1Dxgma8zBZrDmMTJLd9laPmZxa/xQNsulLKV37cPBGVGqJXoPWwUXKU lbfhuiY88dxSD0x+u3zgPJ5+xXyALSxayIAtNjFCBh2n3qsg5hgINDHO/73qvy4cFrDNVKlfeTRA 61OUqoLLrwmW5ItI2VU8g1rwggxSGwMCoo+g8QTOFTVaBFPdLCjFb/1RF8QOHPBTAGFXEW9UAtuK kLZdUPxy4OQnjkNYZY1efaU4q6E86hxYKywTh2t5a4O11Hcf1KF/I8gNxz5C0WKeqL8oOGn7qsp6 HHAuSH2jAlFzuz/hpNn47f3p3EnFRHnFiXPjHyoO8BkRu4zSrEjNRf9ofprugLD1aast0/yEZekr 5+M1Q4sWWHwcqKZNxCmhIETE+G0kcdvN9edOd16qdVISMzht6O1aHSGKeZnf5sbw7kPk/g4hnScc +w/b6YgDncDoEgD0GYOc59KtmR5eHnNkn2XKtHKThuFoj5KZodzsKKu6YOppr5NGdK2VcfVLTuco F12hoM9gsDH7IlrBpl5kRpVk3QLPteaeaENoMtf7u+eGKLeQhahHuG9nWJ4wp+PYEBxoPM53I8gN PKKhM15rCZo6ZS75OUwICCAmkjNa2U/8ELEM+zGORG1L577G4wOABAWVFha78qHmoy2j0j03twoC zMUy2L+bgSBmiEfpX1HLLQqt0TtgClPab+iJqLzuzQrYcBFnoxmYnoLmZ9brrfi0xn1WubXUtj17 yBVq2WnKG6AVfBmfxC6oFrqm6l3/DxaBju8gHqv4cj4R8USqVNOQaWlGOjF3i584YpvYZ//CGNtL C9jvEzgL5J7Ef/75PDFoHRw/+zlPwRjJEUBa4ZFgpo1whn7UtforYAfJsYJbqraPr0brtwfSWjjU ttXfo31VnyJCBwpaAX+nknBzqBgABFouHMZ4W8am2Tm+SUNdEkQ6Fa66FLUiAsK2sA27dhM04jsS o8KUMqJri8wVNBoIaQtOxaKdSZsCisUJHTcUrZkPJysx8km3SQq/jvdEdfxw9Sr2Ijfubsaufgp7 ls/Bs4b6RQvPrDxePxNlsVklM490ijOUqs7XVya7oZb1hL2iBUNcgqDo64mqgJHY3FhhOZNKN2OL DiYzyO0L+iaTdJSAK5pY3tJHEbihxH0OfJpElOtYc1dGvk0l83niTPgm8/DN0wGOD0lney6Q9SA6 lW10+r3tZeE3A8qmRtoMQmhqt4kBFKvWa/adFu01r4gP/f345k1q6TTIySXNCr/KkvaSUW1YIU1u 8dxmbGxJkubQsviSJQB3odSYyyxm1exLjpAnRukpdVr2kgqITz12HtUc8fvMk4DPvDb+VMVU2uQU 9Wx/r9dnz21TvdN7KImIeDbb7THozza+2ODWOvRNtR28ZoCP3R/+k6X3+ylHsorXhAKukuWnRrHG FL6LFWFA7dnJ6/nPUl4v+BndoufRNsFUk0l6fr0oPCib5wGlnH/s1phQf+05fJspm1A67XLM4sW6 F2zawIXWSeAOy96EH3d4EX3OlFx5OXkXPgHmie8keCgTedqCKrwGmsmS6pX2op34tybbO/ryIbZY 6iXmlfEt3pbknvq11DMwF5khlDIzUg8ojwqxy3PvG0uJ8kC+PSvGJ4WA9o4qHgT3DLnm4O1qKX8i KpgLdA9IQvvT8qeEDwvtvC9TaZ3MFC0aDKzRfpRHULyj81zoR/1+tT7In3F+lPKnTeu/tfKtni/a QW7BSxUVa1VovNeArGttjB+wwrGDk5NOB/t7SBW4OkZaKCsT3yrae9ZVLX6QP3uN2qAZM7H1OCpi Ieob6rnJ6FTx1X7t60rsOZtVYGZv1YWQlqQ9BZg8X2M1eNwaBh/v1XiqV4PcDDUqo6yGMVOdXMGi 7HZqli0TAK30e+w99Jbt8PE4V9lySCjMLXXrfixqKctBR95p5grYLDZhU1RrLYv7nnHhsktNcZGV ybNrh7yuGbdTLtY9OzR6jMyfDLqMwdBBsmWMF/disFXfWK7s0RRIvTD3CB2Gh7rHZD8T96s1Ib1d cF2Njd92ZhjyOSJKVll6uZP2l3Az4Ir9wSzrTbiCnUG/uZBQ1vYHJovaaeJom1QOWUMNG8QQLhqW +UPpDgxtaYKAAWY3Gx169UDyUwiy5fxJqk6nL7FBKyPFwfGfd/h23cegeqtiDmknO7/IhoGXqCPM a9vhkL2bMgDOgaPkWDrV4AlH+KNoE3JNM+nO2ArwEs8nUW3y1Ojc/mJilNCdoXIWefgru7cJ6qLy 0w9UP4e4tqKgfHDDlCD4MuvZvqnJrnJTJ2WysU6ll8hWBs4HoBTHpL8FISbsLjeQjHA8q/eXOreC 3Xj46hf365MNPWKi3tIBTflMOOZn/R2aiFghChxb4Zfum/whxkIm4nIbZXik4uVB+5ob57pviVQ2 MDcEu9F4aVcUpE2VdUVIHsgnKqwMocPmyYV86KT4290tEHVy9VDPVBLMjLVtvWjTu0z7VCJee5OQ v23l7u4FO94X4YYcepUSEPfm5WM/31PyPYjzLltvgNBIvZ+YhLfIfqMnblAflQXBOOkdhhIrzok1 etG1TNvU8dURre9bWFIv92Iob1w5/RLvqwtJtvcpzazN0CF61JkX2YaXpefa+8mUUz4waYn4737s G7A1skX6FAcmsl1bf/xDdvxIXCytq5gPHDpF1264Ouw0FyjQbEl0/Or9xfyAEfu1IrkkS2HVLwG7 mCye1EM/y7vQY0M3snFKPVV6mIWI6tXAt66ySxRLfAd/poAqSNC2vuTMkhI17xPr4BsXw6IlpRCB owMeFfy8Dh/xr3TKra4QQk1WjXI8CVbWxzwKPopAo//i9Xtmkfc7rm+WoLXIh5VliseD6JyZkpI6 VwUrUcMmb+ln77hFeq/gflztxsoRE1C9bAt2DXUU4gRZlw66FmNTR/pmrALlTmbhikkzN6fl9YKS SKy4MejOzRhVha9iRWBBEhptYDedVqrmNbCmAQyhXJWEqIrApZPCSQSdXaKf9d5kiwwJO0SHbngz FXMf9qrM3PEnMRCq6bZYe7z0mXqcsKlGxG01V/K6Iawf5EG8BOY85OL9dn/gf163ZJgipLwq2hqN QefEm+YgqpSmiOG6ZIE2PhBUKGh96EYzpqOX8pozdDI+oewCl5LUHmo+BzE+35DkDw8VQM4Pl/v8 7u36dRBlkgHrJ3sPFW4zU3CLfiFQF8OIu+hoFuAddbZSSJ75daipa7UCnezxzsxu6jLxXKooesca lcF2nykkr0Uynh4FDZ+LnTEjIfSDwK/Nawupq/oms4kY4eAFQPmlFe+tSl0XgfNM4yvdoSGOSkNL lcrFhBGTBy5ciralC488G2Pk3VI9pxVkSjME9eiYfXku4Ji+P3FpP7ngayx1OJCqBtT4twQnvVdR dC9GDdCuw6CkDd7Nk0AT4RUkNVVrDRsPu5o6WDwBBIolioTX7nLO8V1bYSEDXqVHQnTj800zqRd6 YmGzWYIpefI2K0WkNSIahME5kLvYZcdXF6jvfII20R39EMuL5vTVWIzoMCrkrPY9QN1csZZHumNh 3Fnt4F0yD2Y5bRrHiK+8wwLepn/Y6Fpq6A1YFBB8SnR6LfoF8CRvGh1IuckX/eiyhWlASSWw14pJ wSysLF5989M+zQmWQHpwKEplPk6jpdhQj9ARYfvh+Hap0exhwDcFhnbNA31p1ZDNpd4ZbwGI21KI 5BnkCIiJv8uwuJxpFL+tEWBYJe2s2INm+f2hoQwIwN0HRgf7ioD+G0o60wq3vCf4qE5g953wATZi DVVS1KZG08NRdclOM4B5Mdjg4frfgJeJM7+2r+0OE1E1NS0vAqjdEavDB8Q5tjwY+PoIk5axBa82 E898rHVhCHXaqNQzFb2TjOXU48LPGe3BMGUysH5GNVG4xUxAZfzkAwnxYuPkTMnzpV0pTe7UuLqH h3nunGns60yhyjlAQfbgeYQclAuOBont0ds7guf5HlSFtvXd2MvgOwD1vYOxvo+oWO/L1/nlN7G8 X0whCjvW8hmAM7+FIopnGtTuibfmn9IrhHFvaijy/1dXeyssxP/k0yOvOeqdPgkIpg0p6iA8g5Pg rEa/gpb83bYq0Q6kFbqs3Z5Wbw94WJILavxTdhjdiWgmFZh3lMeuduRTxISjHr+hiQMedT6wIdys 5e1r3w8bV2jaewM610GlVV7k4827SiqXGr99fT6rBb2B5LswocpaCAOvK12yLXKjktk2NaHrymXC BIl8Td4FExoUXc2h5gdVUFZwiR+Vkc0OKJdDmGRGOMGXjcMwXHGdIEwt2JzXfCGkyg4UnQLPcTo/ LicSLOgvDzpGHFAmN6XS/ghPsJqWvIZxSSkNbQPR+9pfARGso9AIFtqsEIhc7KbT3/fLIUMOECri o3YpAnR2fJXtPoyFA9NirQ3jsLu/uMDChSJ/fu0kbYhFysjHoMGeaz5eFZsy27qr6C0UVmMbQhx5 VQg+udEPekJ6W+8aRWaiRf49ilakgEEThzXHGsbOFrvG3fE+S32hXcomKegwiOV58i5XXmius1Nz PcRZn4LQ+x6rCZ8greTzekvrro3avPr4cOs6V+O8C9B0CJHVTRztJda9HzVPT6VHERKP2S86Wlrh kNfNMA2BfVcyIC2i+bBNU1cQV+x6YfqPnCPvDlsfs+KCX6JGgDX/cljynX1RVn2ZAFO7wrwyVbuc oLqtNkKcXQWJHECdNzX34KsmRXL2nkfUBJikJ1rs1VHQM/oPZLb31mw1AP1kwnW1MAO8rqOglMgM N8IFY3nvtTiv3wkuhRzF12LRqwM5lEI73z2V8LzT7loPkVs/Ww5fJOrEj9e6ib68IRL4RymX0183 cD3p0EGLHpNXq39WU7TUpHcsGD07GMXwqMJZhGHtBDY8zYruJBJn0X8dtj8jav2OSzI8NbPZD+jt FB14N6WCr3F9+FtzXuknyZ0SDUPHVsa2aU/r7qeG+Lzd4Nx+yuBwS4SFMF1Rxkn0PsCps4P37+ko 8TLQlTx7qvQ4Yj54iGnnfQADcLeWgT+ikrvTlmEeXSH2y72Yjof4CvDtKWDU8xGntThTsYVFaFyB 3VbwoKbO0JGBRt+Ff9SpX2cP1wLn4v11sxnPGIKGKySyUJPRZhDJExPfhRgjnVsonvUE0E0lIC9U lqpMxs3eHXD5t328F/KFMKxVb4ync84VmO/1mCfxGNdvzuuAWb6kGaprPamEvFlDe6ckrWg8COVP rbY/QzCiyHK5/rKAsdcEGveuBfu+6Q/F/1+91TvD3q/EPvr2yHNRCu7a7eSJlbABy3CBAcmTSmdW vwV/44KgSxwZTRpKi0s9JiQ9IWqMAk4YYQ656bKNOjCu49D9BsgnUo67UXNv0ebCbVThwmcWhF6f T5OKI9NdgCwu07mcOUr1ErZ9RTNLVI/cu1yvqCrga0madQq869j5rFBS8vDn8TN66HAAYnAZiwPU UqQpG6+WbquKy9sH9dA+ZwwHoWxHgKsNo2xlnpzL0weCy/PXMJXqBjqGy450WFu6c3BYtyUJXXt1 cnL+RMuwabqsZAuHppcvAwhqqhqHFU/b4UwgmbEQb/7FZUuAoCluMGu0FHpdXJR/2oSoaZpwkkvq aC5sjkcu8dYNXggr73/HyuTC2WLAHsuGiGpXNTJdOAYS5OdD9E1x/U9XUIIJmR4Y8PmhEDN/pjkt glK1V3L52bGBCyfpQ7h8+xm0ts/7vOFSJdzsE0bcl3tJVXCupjpwnkPJuYiNrNvRs7z2/EH1FT2J MhkNYILwrG5PY6p3dgjVSP8HHfIhVEXdMHqJi1tgb9MwEBSgVoZKoBzzNe49OTMBgwKKcXsNmMKM tw2Izmfwu0AOS5X+Kn/pC5emrD1enQSMJo/Rzy6Zertkwq3P+cbvLzsc/pOJPU3w+aOWJnnC8ZN7 0LngGLqujqi+f2GCqUQUI4ZI441WuvEgJs/6FNxlLxraqw8g41F+kmZhezQNT5Jt7fdJfm1Sdoyi r6lIA2erl7O7IpqSAo5cefGl+CKWpB5Mo9LtsrXvJ7+XQaibBaB8kX5Y9ttelepBnK2mB88Hgn1O 9sEDlUvquOMaDy6YK/dY1w59yGmPj+VDV0W5h6l0y3xsE28TNsKxVpERYGLloiDeIBujXg0YrZzC IsemttfsXiwU3fb1CaZUTDfY4xKq/c6POLXlkMjfK5NfaL4A/NlQfhk3oib1D62T2mPi6RaijBUK QeCNzU3NNB5zNUdzlgzkOTRnXr1imGRIR6g0LUvP3ibCHbUUZvNvzDnfDKsqCn+WILbYbmvJ1VT9 KCTJEzoNdxpjl5/PxsEIVHfnfWCiumjwCwJDJDtgDrpIjgin2mbBF2tO0zJHcUXisrpL76pNgV4j EXvKHZaCu0T7UJW/cqhNaM2THPbNDs+EhNgLlu3YTcqIHEWYJs6V2eitl+23KqCEkCxjENCwNU9M EOj1QiXJcJcIb6P7onAk0MWnK6TPQiHmHp60byMNGGcGijPZDn+GBnO5Yrd+53eAA0c+K13azJ6d ZxFxOepM0T8wMdm/guqYeBKm4H58eucQhQI16Dhn3TU32K1kbR67PzUYdRf9CTkztxJRX0rl+tAt c1OVOFKI+qmWm1ajlCg3ZXn4OPwXhmIApFa3ROlBTJqwasNxOsmRTlY0xeV4szz/jWqKNct6bJ8Z wLhY9wpkvL+yjxbcHayBTAXzW47jqV2s+UNsispMTF/H3qISQyV8jx9iY2r2GXuHG7jHXObkf1ap 3TXStgNrgvHAFCIONLH6V/mJ6OGGCtqip4H5OlbJa/Tnrg41l1GzZN4/zX1H9WogDURARwkPoKEj 6oHBqP8ZTEbFe1ILZMHe2MUxubf+7yf9WEQzi3RkImLIGUC/TQ+fw6/c6QAOgJazcfd0x6CUIUko fPu47xlbx0/lRDZjMlA5Z/S2lNgld3uU8Ji7KSa9ufC+53zxQ5yn0fTeeyN0UiIm0Qb5NmliXxPu x6q0RnC6BES6m79DTdqVIXtxs/eRXfuRlxFo23HRcWScXyS81gWoYumxk6XgzDfy8DlpYwxfyEIo XNC8fJ/gXFAdgBkQ3/3wEOCLSAFtKRpE3uv1LANb7hgtWL1xRFzr1FRL01PdeLDyLVoUyUNpKomw O7aHglGYGkhLzqdEYAZ1TdJt9Mgy5v0MFan3cxSIhXs6THcjiMLAzVM3q3YsPh6iEX76xxzgz/o4 Q1x0mcXuzVsCMgCcI0RQE3z7YJrp+C3uWeFBqJ4QF0rwnrgS/3XAvFVxPk9S+SKTutz9m66Sy/1i hKFLlVgAI+T9GDoV70sJ7loog/451zIp6KK/6rIWJ6wpuUHSgU/XexWa7sV+uIDbUt+rZKPCkXjg hIE4aq5rdkjY0aqi6vDY06M/4sh6KaqAy/iCgwJOfZvO2mknvgOwyBzIgcv5FgcLycHkndAl+wwp LGJvboi98HAdXHXUZVkeYHmfctnSa6I3Y27GQRj0gV7er5sFY1X69Z+QxibSKRRcoVDpus2jjd0r e6oxEKQpIjCDhjiaWPJc4AAp8I3JOXbUUvUe4EYBrQP84fLuI8LuvAHoL67x/mpI8v7Q8dRFYtaV 4TC8iOEhVq22c+1vwrNKCcBDjSDPD862rJ6NGSFZJnakTPGVJEpRZbQZAxhum4jOJ6SBJ1Pp8xPS mbAOeSCkhft10vUpGfQKmKtn6xp8Ugu2gsqHGyHenOBBV+s841XCunPMm17Qeotfk0SSvSZhFp8K ps5XAZU6oSTcpOPMag+8hKUfzw30XyGXgniH1vMMyCyJv7wfOmRI87OKZt/3FYpJcfHm0u2a5xFd vLQBDsi10OHgMshm8lKG71uMUDV+aopDQsMElqBFYR1LTj7FIOX526N7FUtXKoRZWdLbwDWRfwZR S8QpMpE/aKkBfvDH8jUU9LSntDBfeuQRrmkw6pLxbSEFWNvlK96i7q5ob6uTzuf3vLgIyHmINBmJ WDv8VqWYamzoIXqx0dJiG0NUl/tdpYnkgIT1G/p42AXEfxubuMutyRP24O78DEHpPCrDTEGLUNap rqMv/A6c0yw8DMznRmjQukO3BS+lATt2MspkiKAuW2yXdCAfq4Sq0y23jxk/BkCGod88YMl4BJM/ zEHlrG+yqOpZeE5bbdHyAV+DFa1/SMcqNlhXuLODVma0kokB8jmJTBLFbMvXsi+dGRMZyYC9F6an 1aixQDoKOEMT37IZDrewdCOmULczXMfTgJyWLgPEO2GZ7pLfudaUedJ7cBb74IDeFRoaowqFLaze 8/Vlvb3accVSRQbsrfZJgz86gSP+TukuD1FDNGFbu7BhrMF8+Ih6rjdA32SN1jnhfWRc+RV5Tml3 UKn5yM1O+3fVj+j+MLmeXfWqvluuPHGDq7MdwQ6Rh0hbD5KhpZZstu6sXaOqr21MNEZBIcG8iFof zpw3keAC47fFLz8YyhcExBYXhGsB3kT0JEDUEzHtJzQTg3TPVXKIynIzLBiCKminWrWOynP6tY7u A4voi6I2oBmeZGAOg6LWZhB92c4sWyC+LnVMvcwceiRodNl6Xvv3fSMTUHRhZl/KLKtQcT2YAOVm T4082o1fcBdfRaUbh8TUsmRHhnsn0LIGyR63kR6Uz/WkkxAz+K/Ofe+i8OIU8VJgRKrh5CKBFU9R AXgN1XFBozaxubMIWbqfmvcuJC5Ft2xTa+g+VCK5yvdQw/kOJIrjHxilZi+02GnODfdvQdqLq9Va u87JpSChiIzXzCm0mXkaHZr8WJVl7gfF7zDXg8k2URaQGfgeTNKDhtbquFjr2qRcyo80nOjBC4Og b3UuamfzbnqECXDQ1Io1vYJkNFbkbsk6yKXNQbY66zFI+WIfdS0E2iPvvI1PgKT8HAVcmzyesqBX chPQtSAf8UnS5lhgdrVToUn7dWAFDqtyhkzithY9/rOD4BDcxPaZTj1qA6tWq6JVvJjyOLrC5bpz pd4IMSvc21L+PLL1UV7aYxtZ6oT4yfdOthuqnxJt798k3d795KGkHsVJ+Yx6B8jwkOiGriLT5Le6 AzH8fD4Gp5bOhKZIG4+A//FEPj2ZBW6iPiUmxJ8UHncrQRfp1a0ZaBLUXV/7U7QbkbsR1lufE47d 72hPOkuqf9E9vsoD0p0D26X/5I2qeCOk0Z/gvN6yRF83Ni4bnOZvwjeY727I5Mzis+x/CJQPBMJj 4v+AT6R/f/mDY8Dy10w9eN1XLeqxeuU2SOt3VgsswkLCQQzqF6anjlVDSG7A5lOy/etsi7P88His 0iG+12a0VyH6D9znsa6GFobwEpRtljdev7eyfvCJdkwKAqI6YAAlfd6cbgBbR1mvMnHKImRPToD7 7l+kZCwkU4Dy5MBtH0Zh6Jj9K/o6mv6Q0HR8dLz7nSqzz2aS7vnutEZFuKJukQh0xMb9/gjYeN8L fSkoo+KVOF7iPWSgAJ0a4kBMAipfwMEJNBOnan/GHQadSnsYz9p95Oq9hwa9+DGC//H2iYS4FHQb fNiGVSDKjU/C42p/e+De99IERvZiYkfbjNUNMbEH1huHUQ3FcEx13dH1Dov2K1Z4qXt5rj3wrNZw TAY7/0ScQXaHhEN+/ZknV4osry+oUVbtFFGjg9+XAGolaV+NrkFjTE1wby9X3JJQpQq0B2iRSVJD k8Aot4zDxGRWhwRGTsjLuumzCpPLpVWt1DV0jqRR3eq0ioek9yC23Hj7w8d7KsTQDyzZ3H6jA2s4 juiyhuVMvUE66WHKSNrMemJ2grXUt5PtEx1hZE3kRn9zVtmnz59KwkGcIqQ+xwD16vKoH1wWWZTI 3zSlrv7k92RjuB7irUow4OkeyyVoj5W1AuerW1uH4TfJ90k/8Gz10oSoeOvUPAszsesbepvuz4Q0 lN6/xTDHdU2WORHjyannxfKBThWOOXIKJpj+eoZwcBnzJahvy0oYHq275Ur06jo2Jsvx2ofevMqG 72vthb6irOzaiwpzDCZJ3eBAkMZN2RqbBgEYmHKRM7P8z5YIZKFMDC9isLpjjjMtkn/HCHH8V2oV oXjT1ppr8O19p4c6D+5ic2QgEe9K5T2x20axDjlNJaQIPdKpk/G4BVPnqH0zX8RG4UkCAbyuaDGi BYj9pfZQcvWgnC+wXG3T2BjZgpxl1f8qULlvbz+32O/TM1NGqlNQMJyWO9vffWHwEhZkuqWOGFhy hjdjnKbHRIUAJ2KpQvUrKMXv6tISVPnMSMn1DCc3Vlau9gpIZJ4gsYHUzgKiNkbi2HC/jw5hXqnD x3PeuDiw03eGr2zQCHpCnNIG1MsAhD9DEio8fQqZ0dP11V+OY3x73kPyiOeRfZ/RuzPEtzr/OydD 3vPVpUzrXj1dSvHbGHQcex4hhOISwV0o7LNqdlh16ZSmvyRIPrfeYD3bKann1rRCroAol9TZ721L zwLn3gtpupOxuFLvOf+Ux4jsDglmKDfNMpNI/Ig89iG7obg4L7tbmPyUJGyUKpegdbvkM3Rvk+8p GXQpjyZGWnVmUu6uECsMLZPHjU3IMHo7cXGrdGdqa1ThTFpG35cBIpQlHXSBkT+UHzWj+E/24oE/ oXsyHKlK9vl3vYp3PpT+FP2zPrqOCEIhJZV/gy6R3hEnUKLxIQK23OAjRWEwj7KjVyc/gxBtn4bc It3hq+ygOPQeDVszCLUvbNI4J9++T/ePKpyut2lVNtKowJXQkrpWIMTZ+43nSt3aInf4EqlnIIRG vg7Fo8W/3OqGzG28k2nPF4nwYEceEX2YISwRKFvn8rCgzbV1YiOUwh8Ak97XmJ8yunVfSBTR/Y6Z BKkinZzNqGNxHrwWs+GRK6f5maxNBuvpIGZCnmJsLJ4g/0ND8/l2OAv/O+duHc2J3Qk+IGexUZ1g dt41yV/8OrWXY2/vtx68Xk0X7TFkLYl6Tj2v/Z6yGExg2FvONsVBvXSEk4KEIb2daE7/iw0UqOWG eB+z7mMhiaPoI/9i4EZBrnITCm2g02L8rppJ2O0lf9ZUs2nUxCZ2A+pnXecYFfubEHUO/D6601Z4 3lj2GfKvVn0CTY56xckjcI9duyRFU5Ox0a2edujri9eF3vtNGfALCcdnCgZ4raRy1n2LvXvBQjJy CCiZwQ781FNOPchFpa3mNMnGU5Mj2bzXNwlMu0QlHsD0ghO6E9/S74OAJ/eMUC7vT8jscySLup9U ayQ2mcahRvc8XF2GsHtEAUsokh1M0KAtCWm/7YjtMet9zB8RpLm2BQBidYCrJwisQkB6BLYP+gtK ez3dZQQ6OhmyLkxE7/+xnULXbtUuSwVEeb5NWEUhXWFYo4hoZgcKXqZl1VAFooWStQ+3wtFIQ6UF 8eoE4MocAo7WTnyV3TSDuv6iczgMpY/z8UY/7m2+C5NcU2Ah9jrr/BTrXu72frKoMQcKrevNjDe3 Dih/oHE+5repqJnskjjc4keNFtuCze2Ig7x3RHtTethxr+5+1FRPJF0+avf/MynO+wc1lR3C0ZSX VNTcQyg7gEacFlX/MJPVj1xCQCyyHtLJBGpKakkKaWBS6wc+VoA37sEgPcGcnwLtAGSq1NkvIYZN HqFIjE3sLxmdJlrZWcNQnwO+O2k1YrkV9pjQAqYwa+rpSd98XRxBs0Dz3nW0g1/xFjh5hM9E5IdO lAEll0sEEvGjBLozBkWwWqbEcARMpe+i/uNw4six8rU50s1gjdBksQHz00SBEuu0aF+WqsQYY0ZX 72rjawrK3uEFRnlMB7lX0V/J5PvAngMY13GZegEUCcCc/g49b7nqbQH8/a5SIua892rkHmW1Cxhi CnWsf5dAuuJppMoLFxLDQmnD5OVqLomvsU0E68SFBaGclMFxgVLpWJC6up7tpEWvXOADmTeBtDDV sQEW8xr/Yj7SyI22jBPx2rGV6yxHSfLi3pk1ImgURyG1zNfudfTglf+xfzUIR37d4+E2IYlxUzWt Ceg6aStbr7myN7oSgbbn7To27gMVDdV1IYHX2vgM4rVYU9K9HQZ4XyPsoAUDk5yFwnjnKJfRJ6sj RgIhTXSNjUzr78M+MDvVeRRyi64MlFGreiW62NEicwRxLnwj9enIjpH4T+WD+LgPzQzXMWN7gHUY Ga1Cow13W8PZsYwty6cTJaKstMhya3u4rJIc3tAmydlF8TNEk383tmqkTp9A62Ehw7UNvdJiOxfL 1hw1GYzrSoBQ9OuSLy1jWr7cW2mLdw0v1WZ7IpIS4IV3OCuODNPKzb+d4xnp38y/7isTDS/Wg8/s 0r0+PDM9Wkzk9IJ+RcyGs9+E6uU9gT3zPxiYdgNv9tbcmIa+HQOnKe69GT1OSKqIa/K+ti0tYn9G DUQRlFgt6Kg420Frc4iK1DTjHlrcn4CoGl7+wduLZNiY7pMIyybTlAiEovNSPEFYK2Peb8EZrM76 I21kxWSGsMl0uw+5k3fdWBUoMeh/cbpTWg32Yv4BTYZHICBiAXqpoVEcghO/G7qwgBhFJzSLDjeI qjQ7SZC4e1AWjE8BPLJjuw94Dc6D0oGmfsYez4Zt3WWYhBy2iEG8boZjhhfKgS14/j4RImShjmMm hC3YfAKIawRzmD2M26fsIKd75yMpD+HOLwoQf9MBUnf4fVazygSPy7QvNmPhbCueKCOMPYxO15Ba OZo6u842gbzKHXMDi3DBSUmryw13tINpx6GvvPik1gczpEA1/H/2KZp7xmM5JpdKHAxQdWtka5B0 pjXYdp6g0CgtoD34S6veHGrLilHuCIE2wib+CN7qRPym54FcHv44vUDQAoW5hSfCyWdYzgXfNmwK blPm4lv2AuL88yDbyRXJONPX0mimiMkRsTBMHuZRFDtq9rNjMSosBKYCB7ELI9gyTiPsEY5QoWnJ ufDIgvwz88ahLFGiQruHMRq7D2ERL5cZTTYnNWL9TGJfBsKZfSrKDdN9/xUF9u/rdPKc2DNiT1TY 69qr6GFw53TK47zPNuCF0VvtgtPf1j1AoUGfPJZjGdoiX+DKC5gMhXXWokzcnzcdKdeuE5Ssm2X4 68uq2MdC41wHHLmL2/7fnCwmx1+zq06Ij/XUDoUWI8mH9yHIHdCEM5uMpGMCFaWpWEi1uataO4jK YuE0MyQhoFiEjCiM7Wh/Cm76Ek6FvMm9cUkMVgMM1dnwIiu8MUk5n3OC2q+AGvN7pPObxHyiP/mX SE+hfUyHid+/XxpKgk+u9DoRTFPJxIzP28VPMNtgNo86o1MLiOzndHdLYHLYQmxgElAOVGalyIJR TtJ+btEbj0NNh9IBeiRThkOg9inhNr+7y3Wb4krTYiUPgIXkDLlilHd3yOQm1Ro5UC6jhdzzE6FB FEmYgagNkSpqk9wvxbNM9l3YpztDEfxRLw7EbxAdUN6cC7sZpC004da/Yp8xrDtgjRtQXHW8TLa9 yPMsw7VaXYQpPFY1A5lvT1GKej0VuPojiqja8f3BiIygkyVoZe93/5ZHgR4FtjZt6aT8HIv7I2G4 vfZs25rRH4tO0iiBCMdI2MiQiBkwsohuKyA5Tbowd66F6V7ptt4b0Z6gIaWfdFeXAtizQ7L9rw9Y gYssQJuRvrOwnKYINZEYFlpsrprb+izzJA7+NPAF62AKo881BaWJiERsj2m7hYq0wlawXdq9E91n Q0e5uz1vBkteBKZ1SERvy549a31ehcXPCGbcGo90d3XYAEJbdJvUV6I/RFLrOmewI/bWIqWJezNz C434aRPJgDC6wSQuDOSMXV1Ns7hefjnw+VcprhX35Zww1r9OmlXswE0FQlt9Xc5Lp5KDeKC8zbVc 1XZY0nqdMOeQOmAw2v1nKiDsBqYp7e2I3JH7KERd6za3dBcKdRk69pGb5cm5HjQK3E+48NBA0RTo jPHNJtPxMd6jSt5aWpWcVkNCPRsF+jUb8kvlZsb+gs+m0zD2dr3zljj68VIKcU9gZeA/Id1nnIqB ba+4N+KE+DkuAeKS7rCasGljy6MvOkAsxL3Kodxj3pGKR6X6rwy2acyBXEW9MdHZmLDIaqq+hg+H lhug2hrVjX9LxU4F1OJR+3IbwRCfIWudu0+ghSkRs4dXOJXqutGEHkZ78Rc+xxGPS1rIw4tFFb0q tpqFTM6gk56swRNfjwT6lTfXco2zBafv+DIFVTwkHWgD9/+nT/FGtwWrVw97beEE/6FAuvYzDvEl 7XsvjzVmvcZZcrEzfVv7U0vZEsa1OiD2YBudwwcRS96JOKx8n917su6vE4OBZS+XadWGGES475vw W/m0iKEE+yVOinG4I9UCwkl+hWjAYYYfS8jQrD8Xla9CSiY3hM75d4rf+/7Xe9E06JuswyY6Jg2A 0QUUHo0E1a/pK/soYTEFCGcgYXRWZ8p5toM7o3rDlL6pgWxwxID52O4JaSEP4ZUY7d1B/yOy+pGH V9VJ4S8UcoruwL+1ZwY5s13Qk7sbptdh4/twZpLCJ6IRBA2MBIDzJsmhSlz03Vr8IN8u12ZZSYOF GRsvJaeCo1YFjcNDZt2pxKADxBMCLj59S3P+BreApgrxOltrQ8BFWoHuObYczj2KiIF4nGJlE7yE Qp5579gztbBVSe9MmPi1d+D4R67mv2FtI/QIufNGm8WUJSIhiN683rPxhjcDbhr0l/3WSMDHON+W BtjEMXwezELYZUMXGnYJpPSy+O8miI/lNckvK6dfBRMDS1gXivb3Z5bnm78Y6sIWqEhqcntRrjGI c1nUYr8ajiLFxOfBxI8snMxL7/qON9udEaCPnhn1JjceT6uLl/hOhcqK4tIS+GbLvrUi8WQEN+/S NHfuTiaJrbb3XzcdtTQCp/Af0JdHRF/Hgk3kPZPxX9X5/HIk3t7fNPJas6BXSWLol6GYVEzXZQvr mstF7fP+H0wBQI3EpkSPLUQswGzaUddX6EnvqU3DoWxl2FxvE50H4/T2+KmZ7dsLc7hUq1+7w6ya JR3SHWOBqh3oSAV2I7YITmC0B3eYKSVoJnxCFiAFkshmaXOIT/QpNkEH9esQ9tg8FXO91a9VOQLU hROdA+SgC9bCzwPXPo5aBbHyPwMIYmpKKKmWy6qYCR2VC3DbEoBILuDVTa4A2qIn9A2MgtQAMeqE hz/OR0moDEMGi9FmhCdLkblkwd+i2xQoijlgi/QrrKPLXXozpXyjE/77nkGq9M/pdTsWLkFyiHkr OI1QShTSBH4bNt5if/d5DD33kTFSj3lm8EDCowTheBN8ZSlqTf8E9MYIWgw9gO1SPKho6Bog1Ku3 l1GHhh/ypn4BuYCujRdySNK4a0Dvf0aC83vd9Nospk5fGUj6lvL2l/OT8o6DXXm/xHwjeLL7cH+7 6NK7ajk1urh4XiVKNNf2rMDCTM9mu6gXwSdYVs+C/1ZrGHPFDBlUQdH4wfwp6CewImccuYOtALhZ 0y79kUBhq613qo9IA7+SZrmKUgvuUt1fOtOosrd+G6w9r00qlNdEiD3WlB+Wglaa382QX+gz8j+a To6ogjdtOftqX0R4Yw4c2E7efM+L9RBjnOGtuI4tPUkw1rS++8u2DNXXX+wKmVqbNhrVb+vKD9iV +AUrq00PgK/VhYmsfwmxL1JRXFgxiWVT1XTadudku6Gd4WWuQJSUi7q/VtdAvFx5O6/u8e8LB57n Yqen0haxsICZIgF9TId0Y4Qosa//cggoJQUSYPkl1L5pr2uupqjnjbZJmz1Dcvt0yX+J2hr4nMBu Wur0KNnG/HSpU6mSg/wB+pye+z2ph5Nqftl3XvjjK6Z1t69fDgMkhAlVo8EzL5eNP8HK4XCzvsKs nQgHcioqLRRvRnbIEe7tMmB2I2C7dJZzrgk2oR8P5JHuCXtg+Spfq3d96cT0U/9CZR4Hl3KJGp2V vMyeLqXFauOK7eUiddoZddekU1AEy/MTRsNs+2PzKLVjLBgoOnko6AOJw6BMridt6OsjYCzhWjPW YleCe2AzHcX8LOz+mMXFnN6eqD+WOxws8PSvP9ekJZ0nYsV7sxwS9pXn/Ci8a89eWdBH4Qc53JRX BivwaIrbWhE5HWqwzR480E1lilHfDddUEJEJLcfF6AfCEowqKp5q2m8OxZYLqc0tZRtHtAG0hEKZ UURqLDvS17LQi2t7xtWuRE0oA0oIWYZtULs3t319SIGuH/0EdR/jOg6vV13wNbvQv4QD7EFatRGI iL8c6aMPTCfNQyyML2oWqx8k785Ve2ZcFAeHyXK6StRbQsfAgWMZoq9vH8z6bdYuuIFGLKM3/tD+ SdvcogXz+G+V/YorqI752cQNIXWEB+sBq12GbNtG1Pk4J9uQqpUV0knfgAmnRwLm+1XLHUsq/e4J oWPoJD3u30W6fQYiWXCbE+AkIOCtQR0mEjWhp1sNkSxuzlgVR6hei0cEP+h0f3OePJ5KyY/A5BLx HpbVfTe9WTXj9bybKH/d+d19tHn9N72MRfW+D3V5p66XuVjvKQe1VTbJuu/9GHt4AtaOwEAxRysY ZdYnpblbO3D7u/F+JQHlWNYaYJ8io760yLJWtN6q1OxxrN6bR0OwGcr1k8C91OBN5FuNjJqw8F5d ETf9wxekSo98YZnVuZawwiekKXM0dY0TOaoE/e1mUO4ZyIAyTnblINaISc7p14Ah0KO5yP9C1Syg h0NbK7C3WwEDW7154RcuikV5XEVpNuMVM+JWlnBnycJlRQMPfrFzHaotzP+q40bnrldOp72SF0wP 2hOo6ub6eAFq2/X6InEdNaNIpM5CfNDxwP4yJpzhjJ5U+Xkptc/rmP0Od620dXvFNr+t08Yht/RI ooj4kpa20ZUXq3P/1RenFmJyiElZOHbfJ0uu981h/ccuO9OzPE2stDhSNI9qtR621NMYs88TVxm3 ue8YVy1PqQGZmJfZ2TL2jUvutND+/AXbbChDl4aNFrEF1lrXZ+gqDFsAjwJSWmlLqwjXkO1Beark vMRmWOshwOrtELW99Sq3g/I/o2KiKl746tqB22S8z4sewXOEt3wHH2eVZi1bUski6hgoqfbfXZC9 p7OTPm59t2aL04UddBKkc0u3Rgja2eclcvKJMUIJ54ZEs1Bo3PLXGsT3ccZsmgAImH8G9u+9lmeW gYBfSrflP/5NgdNAn0y8vxHRTjENV+ZEwxH7OE4cYhXSAyVIHhBnJMR3dQOSQFrbHOBy881a2u0G MeodDJafEXYZqxnBY0iCYYzz07jCDwp3UEE2zmOtHFEowzzxaKNWM0qHBFuMm5WPuxqhNUb5fQHR oNrIoEZLkWfj16iw3GrNvmwvVLsnOr9UtTu3AP6L91Mz/0saS3lIJCnMiNqWlXBJqslaOtxPOY0u oOpSK6vOGTXnir5JMD5BThtJUVzT/yUlIuSCsbX/SxEVQqXUmifBPLOOw2dnRI8Ek2QFR/mwdJiu CVaI66r8HuvSpQrbySCMtVHRPOx+gAy9l7ZL9JPShWFj2uyKLeOJzEcxZcHTuGxEYJxvQhvp4WeJ E818O7A2xAzXiI2qTUVzXsPOSJ/JteYTj2DAWmE6XNtgen9U7rm2uCVr9J/oMu7jJ+hS9DfHmEra j+VubgrUZy1zkoPwRX8MBdhBT4+KCW6aLhuzdS7CVII8jo3OMTdg0YHa+QAdIiyDvKoev+O+ekmp U0RPDXugYzPOnhcbnJLI7kQ9wr4VpKHV9NL1bSrijtuPJeTvKex0umxdX1eQaCele2cJ70/QF73Y xLDDjosAcn4kQIGzwrKhfD9Ec4RH6UpohTr1Dj9XtCcHK+hpn8QJeWKW48txflk6+FQOVrXSI4Du +9UX5/zMKsPNhb9q4LygIIZnA/qvDgncZAitHoyPH8LS2oNBXBmJd1WLi1xp13C2X9lCEDqhJT3R wwsMtD+B22LDmzTtne2d8e8SebDxryGRmAPNn8oMyuwwUU2Dq5cEpV2rF3VyvlcNMMpcXtT/qAA7 gtaM8kNTLKN6xPM7RyVJE5NqCecD6hvxU6ZKycpfTWXs94SxJmd/Md8dZpbqvxgwJF/GNivp2/lw bJtoXdNEub54ikHh2BT3k/p7Ze0x/SMAsA9zEaCrbddnaM1T3oywFP/3BtnreRb8GF1s/qKPRj/A GdAR5HSyttGAU3tBJ/44KLWWB8d9JCsZDGgQUeW1ZKBSWesPvgDrMkoGwuhFy00pwTGTcOGWgnw9 SMuz4G64FrSX6GqW1Y4el6RGbiFyRu7t+Gd5GWeaNNvByyHMGk9dfi7TXwZI6iNfZCjU0dA6RGC3 kk6Ie2j/VrBy8gRTWw0VPns1IyH/tH37+eTO10iP99c6okMzZon8pAwIdFRTwNz5XFJaunmogyJn la6p8L31VvbsbQ1HSLORnzy9Ub+wNu4oS4moeY0ee+jjf28HPTiQ8hu/VBZUIVWZ8F/Cyt9M7MUs yKtObTV8opWKq3P0CBu4iL7xyGppvEppbk/7OCFp6I3P67CnxseZxoN8vNVCYJWe9XMK+AA+Q6K8 ft0sX7xYl3uTqNg6NO2VEcpfBajqoiIFSxNWZSE2PKUxsv1ZUyyOIIG8OdXHSLpc0miD1K5HyLAn rThTJGU5UqYiIQvxHJiOLuDox/f3KTe18/J1NDA1ccuHw945nl9VP9wg176JDgoV2vxwj1pJYkat a7yCcG6xloVNsRBHP9bTUQHYMjWbOTJXK8zAkzIfK+8c9GQl42k9L46LrrEy+Yjswdowx/bnuDsi iILlehwIj+duZ4j1F6BAmJ1npRpxakEgUNu6qZ9TOqh+OmJHh1bSTnfYBg5IMIVMmQ7uXCylvOhM nt/elQQY93ecUlxvQY4XvuQCpqSRRRrv3tpXtlDYX0GscSTgjIXIlO7DDzpgIRxy9cXwh+PVxl7F 0hwtqsYgVo9Z9jcQOBwvfuhWzvPc4JU3gOFX8OdHJZqL7RXUDOm+rsBKyjGOh5pBgrxTPa270RLO SqN8zROrFoiX7fIdXjv9WMVjVCyJN7pM7AUc+nu3ZTx+6K9D1a6su1z8EH2S+9gmFQS3E51uPigL WVE0iTULD+S409w0VbVYhq9Gp/j3cCPBCat5xcNgdvUfoHDPsQHEsDJRqOVK0gaq7ksxdLzVRMrn bGAUscmUQacJpADaIfVu3s8GrhF0dRwAmHgU0ehaioVrL7jhcG9da9ABt1nRZEP/Hp+QZ/1n3RQo BrCNrKbozBcIPX9DV7sgPtuYDlgqHQoA7V+6cUFB3T8Iyg9Vaw8pnaq9c+FWnK8tdKtOZ4msd1ts TPpcRWi85AZZRuOOUtGxUvGo7Lz2YPfX90w1Q4DijXNjhJnx5oC29cFmh9robvd2rmcO6LJ5dpRF hObdz/2/LJnFr1OdfhfEEOQzOZ44U4lrkJjnUzw2uT2szaxcSRzAqeQMS4s0osF7yerMeXMJzP9q y8472tUDiwhylurNnGmY45YyM1DXdq+oJxF08VOesg9TUDF4J3lNZ1GOdMNZtvF5tpIueWRL6Dgz WSU3UKRhZYtTkFeLS6ZehUt24Q7aSn2GSj2HavZaXOE6r02sUJ7hLK66v9E+3mhaG6mmEt3ZA5uC znCpQVpjgx4QiIxkG/ZN6xeIBBAwtwcsJmg3u9awBnLKoUkW1fPSzmv4LlcB2KXBfyOP0v13f+AJ b6o6Lm7Gzc+r4UlyAckNxihROrv6RlZ14JWcfVhGJdFcmitR9+vwf9YRqylxKsjVNuHdR0WrGlJt z+kiYbI/Qm0HTAw6AL3Neu6yEGcmsT3R58lmZGdaPw/H3lSu++CxEIvnJFoYnrgGkRLa3/iUfO+1 iq0phaoloIrK66DUTS/cq1Eb9BJ+SQyonXiafbtT/mACxKu3/etNn3cZ2AWp1s5XImaTDBHky9un q0hya/ZTuuieq4HvuOE751ZOjhSZoY1CiaoZQmyREkdBsVno0qpaM/O8hwC+vfhDgwig85n385Ld /28nAi59Pm758z3RRrDoU/RY5NsnznlrryTrfCjcsxHZOmrzrwgnnTyKCNEiLcVGWXdpDTV4dHhW slzERMfSZw/fMudtrTeNlhVSTWLEJ9N9BCHc4erUgwIdnz1Il8TCrr4lqU4zCf8d7FBIV8GKFwOs Br0VoVropMhBFzmvY7TN1YjO/6Wj0hpr5MKSAlTLoNtseIvmmW6t+Qlp+nIHY5KpoDyd5stuYlpo lDAcjOFybVlP0tK1PFj0lGtwdSqAo+gP0ULtqD+Z89XqjFiCgUkJaxWsTY116OZkeCV3mZwMBKSx N07yltLgruJJAHIn5rxwgW4vh9giMKw5KGF63Zj1dIcq3938Sjg7uwnDxBR84Hlm4VouE27TdAhO WBPyu1cTr6Cybb+J9O27g3F9+zNyNJCsoShA1+gtamid0CnLQhxm22Vc1coMXCruWhZxVPYAS3V5 D0gyOghDp6HBcxv8dF7H/G8gUxXSkyjKJrIPxB1m9UEJyPYMa+z+8+7j0xPdSWHIumGDKU2eSQZj HknJV4DLB31SAucdSHGKFbALzcP5MHR1AcNJ+igiucP5KpXA+0YNTqL25xmFTguINYUCPSBzZ8Ke XEowNaIm1DJB/Su8gS3vbthXoyRI8K0I25fRlfSVysdwgvGVS4/BP6OoI3eN5+NkIeOJLTYL9byy X8P2fjwPGmqTcwbyeBbWGgG8GpY5X6CsGlglSb9iosJPyNxBUPe79YRC6ricqZr++1KFXaRLwSt1 PoOzvh8NBIwtH9AzrJwzEUYh93t5dakXzNsPhVXze1yG9rB3+XeRT+ZOLKouvQxWjCYcD7F0dqc7 +DHpZAZKEdPFXM8uZOBD05Etb+hLHmWRB7f0R/ztJMtG3LaOcZjtt5RZTG5MNkl2XguOFX4iCvEZ eoOrDBMAUfABOTX/LZkQd3ay2zNxkd2QHP07VesKBsJveBHfYNTcDpt/l6NkQNV+cECDF9mr0viI C5uHE1l62sBq32RXZNwYFr5Fybk6hfl6PguFj67KYnd9Ab7cGH49oiP2Lo7QHPVQ61n/07EO4ipG f9u467SxrzSN5X4vuv7pvTK2Wx68+GS4GHZBIicehyYeuMrXhjNDflklF3aDtEYOLCqPSCVWW1nj LoI/HPjC7TrLgynDNjB3piuaTUC55pNkNRxePCxlaUC1Oz+7Pxw6eVW+H8uqQe/Wskg8YUuB+hPU PI3ljfCtIC6tkb1tumMdNnHPKlUaXLwNXbTWmVwDheqh6y8UnNTEgWj1wE0qt5kR+D4Lw6y4nL7j AeVxsqjOh3PJTX9VMw9uiUCBEQnI/v+2zXPOSJ/ECdp3e81JvzqG9T94r79Xh/Qqt0RMbf2W9NlR h+xyJ9tsxKnsCcHVsj2Ww70dsd+D26Q2VNBCp0QFdnCOTe6bGpQ0QsQD6o1aL5Mg343NV1MUBGQD xCZuTNrjVFa7OMM5CwCIDM7oLGGS40XZyxxTfdIi80oYawvspHtLW3HnqVAiFsC7nm72CCk6jUr9 wR8bgg1lsQZ4Wo/Ockz5FzePhcaBMmQL54PEQkrS0SoF6N2w3hymfJo+2KuSrRiaa9MJwVlB1xrr 5AYoSSsc7TIOBBkzXrEhbxPEiY0d+qggt4FeSPnuJvvA6cOAollRCY4GqlmMbvuJabrBevXIrLTc qdp7oo24Txd3andEI5JlOCToiObVdNzOU7bCvKb2XHNG5tqSCVHh0/HTQt+A1PVHi/H1YOAbCQPP 7Pg9wKvcMZqEspKAOeS/xOHBbSz7AJiXgw3j6LkVgJFSgOzH0EBkFSoDPu2FAl3AGLbpgUeGCmWB aHvWbF7GOqqZBLh342T9c5J8O5kYcylrezLTqViw//AEkpdqWJf1EQf2BXNSmBSvLB5vvI652xDt q4vazd65kXwvQOUv9HaMYDcfvCapwtJVQt/Sbnaq76bgkQ2jXi0yPvKp5twA/qegUkW9MqE225zC /ivvIEXrLF9woodYGc8hb814MZZ9rzo6CXFG/BXSnx9FgIkR1OFirlkq0ABWcR/CnTioScrAgHAh 90XfTYz0fBCXTG5gsX1r6Zwn00vl5XMGJcfP5ZAnTBpD0zR+UhhdVI85d+P9Eh2ufVadvDH//iK8 QrHba6zjbl99vdWpAKTr7vC+T9MtNZqFUHxK85MppTNZcZKBysrlmJwOLAuTNKwKlEpcaSfteRaM gveaxps4HZdwDP31RZkFVy1CKVmTICftVgQFtMLske3ZyGmnZDznQNQc/Vf2KITU7Ot5+8rLtHBN qEK+/4lHasQbFofcHLKykmZi8VMbL0FbMnZDGGJn/Pmk4KTQAzqCE+JgaajiDzN3FhmNvhB4wwzi hrsXyv0nrgadQxV0g8U/SwZaOgSmomtDakf8ERY2KM+V62VsmZjvx8Z8x7SRsewjlPpe4LkWLjI4 0tIYh7vVusOW7y7F8DVtCmEX1UxvNxe40/LggQC2kkYUDa1GUEWkcuwvblo4kmIbNxTPzpIvtIbT XKU9YR4lEB0g/5AmHqs7EThix3iLatMB6PDrTKHKmdy1uTvX1M0G+xbhjK4ROj8x4CgS315/quuM gDVqlAsGwadqZWb/c4DKxPm+VQQXkUr7dbmquw9iFBg0LE1qLbKc4vMBCoYf75XpRsLAiof6ZvU6 gn+00+QEVApDPheDwGQ/o7MKSZd5dbRulLe0oH2IUuMEaQBzvUNE3a+/IK3mSPI2LSjYMWZAyy7J rXBMdTI0YJkWZR/hkMDMmnDBNQarH9dmigxItNyb8kVfTJQzwnvH1JshCNScDYdzn18cHer7QKJ1 zbjfn3Hffy9boRfDaKOArqRD8g3tvrAVEDJBYqypZKLXEHNGXV70DsWd6pz+SpWbTC/DRHFQ3K/8 K1wIA2MJjjaoDaNvGfe2p27C638u82SoWHG0nYbi0391XTfVjAfARHjhlNlAwE5+lQmcH58XGq8/ LnglQnhNwC7pcfOkPn6mjWfoPZGKuCYGPjWYjZ4cDAfByIDnq2OR1YhQumPOYbSALHv8RojD7pEr m7SLmDVFj2/+wndbbOnEiKUmCYCui5lJ6wVPoVtInG/JfetPGSAaS/XOgUevJ/NIGN58avlLJgOb WTK7+hjedPEfHY/sT9uZmw+ovRaLJlKwKMVCPiTUpVMSu7aLOqkMsueaA32tvPalhxqcUUe5cKi9 v5MX8JY9Cc9Q3vQSfFjHVDK87coSUgAtIpcbDUPxKdID3kNFMYYwQX5bNP8IM5zqjlR+ZFe6hDaX 1Wpm1XX6PahHn8pL0uSMNDeFs/8t5TbXzFLgWv/tD0UB4lZgT4aypuyY3g8s6DkqIJix7CEvJyCG s9SSN6tQjf2fKlfYf4k6mmjajalmjx2j3bkGnPgdFzw7rnWAvLC1p0MEi7juqOLhTKObGMhIqkSf ojTxbNL8V6P9AW8PC4pKUCmKoHz8gr/TEpnTPkB//eTHWisO49JvzfL1rP8R4C5aV0eMx+SHELd+ K59droWUahylt/gIi2THgxF1X/dc0l+MIiRU9sotoCxumjLmHY2GPV+F4Covf1l88v26IdX0YFwB MzL3fm/z0xyrdp5klpdsCa990F5ODdKGUF9qB4fo/Dn6CFiXjOlZI6wf/FdEUVDAVHL3lteKCeLM aV0Vux7+ihwJfW5cOua4hNN2+V+v4tUBIdTCshj1yBMd6Q29C5rsaNVzkcRyCnJtPlCKOdzzKRfH GPjIOZNWiex3+olruB6k4ufdXqaDwN0VM+y/DocgWYLwJp9BZXxfekA2chooeVPrVX0KHWa1uGcX vz5Lk1Vnleu6yP4P76oSwxjdfLlc0cn72GJgPEPXjS2H8tPHNaobG5FFNv2nDIrPjDhCDxD6sZD3 KeOfsFWB9GX7hQ53X8g/EwzB4cLPCuktx1/BWT5Rg05dm/O48TSQOaSaUE+qF6nLQDdLUNWJ5Hih nn9zAJc2JMzTvjN2lbL5AuZRr/w7jjmeSMT7N/Y6pC10b7KpIxdoPierf6T5nsdbzDwVECw4WuYd 7lfUSuqtKrkFk/OQu+S9Xp2G1BEa1KGwfDQtmfFVDUwzk+k5hFJqkSrNsDWezoEf/R3NoVp+VIf8 7RyZPEUNmXwS6qEoUvW5iu5QhMBn5vMC818lVv+tZuNLDXWcrAxon5xU+3IptA7AQ34DXwRPZCt9 4FIE7oMKRoWuLoxXPu90lXobQwiZFCvSgZP7JbvcR3HcalDc2ztHVKdQFhRseXMhrhaae26WNpf0 YZlIeaUlBGqOvO50eZYkVEkvgoSNdpQlNLCylT/q+iD/hUCVDQJb3HPA+i5nYY9iw0sFQqdHT94O qG52YW9U4DwPCtXoKkbubue98/MEO92D76lZSSDVsVF1VUFLZ6KVblli0yqX4GKAf2mKVfk7nsAQ Ki1HQMmOYDHt4nc0oVgXGTo10qJ+g+hNtTQeDq6DtUVSJThsXOhLLWKCXhMheF02ncBbT8rqhOxY UxtfeDqGsCpLTPryH5WrWXeNOzb3E3jbq3FVsUsEyQZC/Ho37tQtUgk9BLESbpLuthT62yL0gJNH eEMKTHXJTXawfekEBYpZf4UPnSPkRTu6nDQrlYUiBhX7GM/fqRh2YQz5f5f3OP1ZuNgQN86972RP vv1q2Db+HtEh9IJ6LN7jbARTlpHz9iG5HfmZH8lV05Fswp4XXdsrMIeoVwox1D0um1Tg5wRdUTum dSyT+03qBMCh5Spj0GnoEshPwB5u9U5iDkmPIvNSM1QnzvluW024JLrEJswGvaHpzmFGowFRK1o3 /Q5RQ5QLRw4Kd0O/RpLDnS0xZ4kzn7VObT86h1HAp8fBVCFuA1tNcQj5QE4FwRi0rs5SWZfs0zte FZ1O3WJFDmf4zOV+6Wis4zcGr2SOeQZDl/OVWl1G5VE9DmCWsNSuY35DdX3LCHGlHHPIyw3oTBmH ZR4O2LFfce/gKaQ8JCJzakBVaK/o0wmPW5tHTqrWPWv8VJWmBwsl7woJw7unlUel0Zd7K2Ocy3dL Osh7zi93+olTKjvd97cSuQ7r5n14zhTZf0Tg56EqhoUHl55UUG5OUZMvfep4Yb2ev+K0ybd66Skc 9exIOpW4CFa8pVL7Neu3SkM0RpnqmUjsjoyCoAfCZjffI1r31Z5V6uMcHBcSBL9SQ4MpYMCfgOL3 tAMhKsNDludoSMJfTdaruOc8O282f/1bL9qivVOa9XRJYcO4Cum921WPzP4uoN6PsdcbxvjkYnjD FCVS0JoDIwu9x1P69s5ttbW/sV7QtyhVHGm73TAHgQHkGwYZtEwJBd3EKuopBS6670nVV4YkVlu2 LpkGMa7aNo5hWEclB5702CS3rh17ddjn0Oob5FwCrErIgCzLgwF3IO5pYIfCApQNXsfNGvA5w1I0 kUV7aeM2aWtq8T04HmFu0AI+qmoflS2ACpoRI9ZtkAXJg+cb4KW5eo5EV3TDaDFX/LywwCafh48X KuRo/c9M6q3UMGSkGWX/sB4QIUMColyGm6QIMmXLAi4JpNnH/6yoVojD1ZtaM3vWNMhM24PXNPNS eTfvZmMHeX22je+lfvEE5BOGGDrKhwWlauXko7I20Vyh9+BakXJf/Te9M38VoeVexESXuObHw4qF w5EE8dvUcnokqDD+tajDvOv4dOL/yb0E+ifqHPXf1hynCeV7asrX8Za8hOHbI4/e7YDZtJ8IQ65V Czlt47IBoQhAPiQgQ7Ii2I3O3DO3/ESg16ovSPCr2G3ni5twvPTtRmrNE3wAy2Jne2k+eWOsG4S3 bWFjTAJrdOy/c7qtXX30ZMse5Wj84DY1Zl2O/AxmKKo5EDeOGsGquYuTjx1wUeY1DAVy+PXWMSZA vp5nqPXdMhxlN8w68mHNifIxtMOTeSYrED5/E85uU2OhCGxaP8w1rDE1a4NzH0GHYgXSI0xEBphn 5o/YpUHE8il3OGk6UfMVfMKcqrVN5sCf6PDt26QbmqbYd/QVYfZfcTQEdJXOSiGQCg+kwZH0f2J4 XntRpKODza5BU5LCz3dWYFLbIA5pTbeUZGgD+gzZn1CZXL0AZW6bFCTZkK3r+bsWuYvOh6W1cDPE E8NiM2tY71RSuHhsyMGKyoQeIwV9f24uR8AekrHCrgIsCMZVufCDpdeUrLuxWmaWUBMPbGBXFjvo lGFlABTziv25C/O69kTTZI4vfM+5q1pJSR866hdhrAecKK87RKJAnDvNWds7xRXtXupp+pjgqmJu pl6QTgWLxEgrKN0KBTItIxfu1HvH34QKVGVQCKN4a+uHvpx15/AQ2Bslouay6Z/Wnh/muwcSvorw GwfVB3DqzovTLnfqZtFsMZYEKgr4qtElRXZIExpFFxcfXqvcMIDmuO3T/H4lkL3BEI9IHXMYnXbx z0oPP765+6fNRaJtBxUY9Fpk6QjI+fcVkHH/mUAuYSnw+VK2VxW7wiQZqZpL1mvmA5Ap923leWwf tDz4Dqn+yzE5b8DaGfcN9OdpaUKj9KIhAvniIr6cUHzM8ypEYmpMziGvD5XVhJGeFb0b8jF9GXcy I0EYG+N5WIOX87sF9bn38glnSi4ifxw2+4h6krOvXLENa4IzdjE4L+KvkwqzGLw8eK2MApjsn1td 1T81HBGFvAIZM30pCsP2Kvxy0QfTKx6lJiY7ZFcYOPb2HbDJ6lMw+z27bZ1rO6/1CKiUo2ZpOobP Rascr9yLotFnQRgHTfTtZREbq3yzXtope7Pn9nFm/u556MEgpcK9eXslyz7+sc83tO6tRpqIzLOo 6RB5N+khQylS2tovYNOnlc+Ig1jsXpXPIrD1HjluK1kcJhqwky4K5YBj+ZyNcYGJxPT5myLzVLYL jEkHdMzJRxLuzAR0xwIkB2kYbL/m8lzUykkhE9i36UTKRJKXgSteUliUxquKvTP4Gb5DlQLgQuJV J9goWOzeTZGrsLiRau0oSep5E0YPhUND+pf9sMKDvJOC39G6psTHf2gMZIe/92Y0xrY6QBM4B1EP RV8r4S5gOMxg+r68wHjgzn4CKggurZR7Obnxvnf7MlSjSUj+A8ouLeWNgNbdn8asrCANt/mBwfwC Qcm2wpsjE+gGS/+5bGhriYJ+97IGiblSDTvOd3IFJin8huAVCYs8fsq7nt0NqhgBtF+IY3iA2U5S SCCW5FfHGwL4HbApm0LSU6feJnTUl3f63iO0BUTr5ywBdPEFnpDwMzBMT0kCyHMOn7eViqzJslYO dBZ8b8YUO/65BnIrZyOQhAI2CB4FSYAyi45z1Zxv2rzxGIaJ+NiKkW/PdF1vQXYE8CAQTezpfJhO 3jClU4pz6PZNcG5JiEr7tTEriKhAw2KcL6RcTDRA8pyC6ZVTwfTFxqfgEIgF+gMrfhswoE3cuM/9 7o7PuMdSBw69bVU8nSC2fORhdrFuCKEHeOyJrM6XJTZafvYSn07UVfr26CI49UbcCkbw4vnheLnp PIO4z8l+HNVzoH73vNBVwQa+Lv66QugTx+Em5LjyZQg2WynnrBpnHuKixJlKqIKhtmgHWKvlLKyF fvTe22Bf72yvjC7egfsAX7T623DH42y4Rum1OFAEO7gg6OQVtzH96y6EUdEV4tlj1bVHDuD6/z/j DT08JRntQPK5Q0rTuAifMAN/a8s/fIxrhvbvkJJRvdL1aDerwgIUSAdYQDQrl+1IDobR1f2E+9Kq EJol3VbuT/7I8En8YSQ5aePqJ4FewC/ugF/43jTzuLY+fS0iQtmspxoqS1QaxqgP5S1np6UohiYj lviD8wqfXWrdsQPS1738T8d2fsF5F31N8N9SzyINxTvfecCYa2BfUXY7+LMSzU0LkdT/pghOudT4 59OCj80nJXCJWO8nqSxQ48ctRV6UJk3f4r30ICP75PdogLvQYymJZ4HdT1tCihYJIQ3WTFJL89f6 omisyY35pdoaeLgYihzwZdsWufxoLHsBp/gL9uzPCb3F7W/UkLJ0fsSEJ0tKKqLbMn6+Zf/ZjVwT eLqTJ8wCcez2QzXC37pm1TrnnqiROUZQfJsfCEeqQkgE7CKBa8VaAG9ttUjOt1RALYTyezuhuc1g aJJR5oGEhuK54Q5UZxbg0ZrrlX1cKusy3csfpkOaEtzTC76m8WGZu07ILNQ4ePRShWTj+MI0G2lr rRh3XTatpNnaRGGIpz21nIwD9k3Vjic2OVHl+0iUpLvzWIPh9DYQ9LuPMZHhhnHQXR75OCSccW3Z osthe4sES2/s40vSfWn98aA78qVSEZPpsMNMmzJ4WqXH16OJqwEeY39OQ7EMkPNrO7CDRSnQscxL OSUgrlx5sYuk2kLrOQn939yo0RYeM5NW2c/UOc98f63aCT7E36adppYvcwaIcBXDmNi63KLCHUgi z82oJi/bBjKuEO3cczdu0Fg/3l6BAHIxOgeCyg4I6x0j/h419WOISS424fjgiLsfYwou6DhM98z/ 0jijxl12LDvwZPcR3PGKFdYoTs6C1Dc81V1+Q2ZWor6YT6p35JNkPSBJu1VSIXqWJxvV9gErQOYX pdIWv+2Nskqqah7JVLzxBkqkc0a8G2uNhuV5cftACGOok1psFkwDcQitp7hVx45bcimu9xhVhNAc y51grUVwwscZ9kfFyb7t9clIj9ZBKhq5n/RcFb7XCVVYWG/nW6iqaF/xXlnvkiDOCLMco9hUiVzD /NLefyOdmBzTEAa4/c9zMz5c/JeOJC9fN8T9j2xK50QUii8D0nwUO+XoercrgeHG5gi+dGcwERTy e6iz1pytdrXeYfd8EoOcYdSUgOCg++uknozuUhLVbxaq6rjSz3PNv53+TfLvMCGySLkOy+3Z7Xso yTma1X94IChjSXoV8dIrkt8WzrNCMXaSDw86Koc4EHhjm1RygscUpGNMCLGvlykdhrwT0VuVR8km Q8E1GgI0ZIoCo0SztcZecD+mF9IEBPSAzeIPfGC+KvIGxm1U6ZYRyLKYTphIljbJLl6LywloSfgj 7ASkUeyXUzLflif9pqOItrNFLUz6FcDkkGnNH9aHH1pZaHwIXqcN/cCASmJ2ZeR2cJZLtt4W4xWY mzs3aET7m3YrDajDFEif3+sU6IZ4SYQ5cNVkx3HRPsO5mydzgsXXjL0I04p/r4mIKB9sW/Lu96Ja TKpAdCsmHfhnKc9rcp1/IAtiNMBs+MOKyDhEBJooWgGRQ87lWZwCkZrjnWmYUjZ2neuCaJYhabyl R8UdB7/uPLORkannm9iy0XvhlHoX+NfAlXWEvjBIB+JBfuZeF5nTQTur/pURPso1POGFcrbUY/K4 b3H1wFFcimaae5VijVbS3WqBFM6ylWiU1/SOKkxWGhjMIQ0hEk4uJqSTrjPlQo/1ESVgxzvJRCbX a1NG6psOWwwNaKu7m+92e5803MhL2w7ihQIOSYV1Sh7JavD6jt5a6JgwusAt65WHfXcYvsbDZZJZ o7otWJ+f6xAk6gGrUiureQAWw/NSWZgovvyCT9kNlbssYpzNoQQIiueI27RVKeOrEWnpwaI9az1j I0o4hhsYvzOquXSQ5KlHEz25VfV12528PhaJIN8wPvKh9b+vNs85DYp7hch4u2cJERgU66sel6UE 9pzKQ++3D9HI/wb12Udq5/K0DPy2RcxDZtM4B8eKRjb89x0F3fYgruK1SQAdJfddoIUqfHQkVp83 rrBNTnK54Rr4GpF2TOda9YbeKMonUidq6dfdVHG/uH3E9yZvLHCSvqPzzEWxYwchNMqrvocEIhuR AyukG+XWleO+uBxYm3XajQ5mQSxdevNfUvvmmOA3/VPpJaVoOLuVniNZZTtyyIWZC689L+/bGIvh fSxlKplmEvz8Z3cQS3cmJYx/R19MSuOFBFw+XunnbCHfntw2MoQRTX5GZkzy0AT0XlDOrljq75Jl hXIEXZN2h20s0llMoeWMYycbeVeq+twTjosOU/dUnZULDQ/GZ7IFt/xUAnT94+UINVM2Xs/lzhda 77lyQPygwmSjkLoMfBQxmZdc/m94Tg8qn+QOYDfagEEsmVjyrOhH97885pRtXT521Oiwmyyi1cnv vooe3hkCyv26ruGn0pfHn7cWWrOI/TQNO2nd6YyShi0OC6GTbjp1S2c26SQD33Kz/0x5Mu6zGoHJ aRJSBn5HS6x/qsXpa8ITA1GCs49UsHdBe62JyvEEha61maWGS9KUPxv/7IV88QqQ+Xk1eblyN7d7 LpRyKZlYnw72Sh00VrMOAauDNEd4AHumEpq9QKatylbHpeeJvoQDzq/UJZ07B3vkqNPH+YGnz68c tZB//1tj931OlbBBHkz1TS3/BxooP5+7H95f8lfU70JSBVwA+tZ8/Kr+bi+lhHkpAjL0ttyF5L1c wqk9BwqxpVryCisAlFO0Zl3qTgByyYBVVU1/Pkm5XUpWwR8JrZH1Mus20oqdJfUV2q+r1/I8JRKJ /k/SMNthaYHlOxckIiYaSjYUdqffJgDv4yQ+6GDTqP01E7gmGwDlBbBTuxn2k5JDc8kyP3Qh46Dv 8/jfATCC3ounILY3RIC6PANHCzKzTYOehGzGLgN16DtJvXYNyyyyuYFgnNKrWljkbOGN5IpceNcA 9FvfE/1BhFKUjWIRePPHfFU3o8gQCpCpuMOsn5rxW8oaAi17qxHOam5IWG4DutHX0zJP3euujW3g sOjsBXkwtBuc2B9uA4IO/c0o51AFUeKgeAAUMwebIQY1tn2fVy+1iVGqg61MEUjZ9ZQ/h1bdfss4 1dJT51+JKsDCJLqOSGn8uHoJpRofzudzi7EqICjTrlQuhQDGERqTuTXZ0LnvJWvlHS2QYrXa7nnV S+ERGnNmHgd3JqhC+Aaf+b5h/xwi6TTYl6qARCPo/KHZrLaBhy54QWgtv9LD57xwm7G/CTPQjlPU 5clhnKwRjEpQJMMeDhzGOdwfLv48J83S10LZr7OAbjlE7l3bEzwQMhI2jtWb98d2ZcPNcEClyZkZ 3imtbbAm0i2tWJN76Pe3LeV/1WrMV3dtLzIqMYbuS8acFWVJfwdluuyMAwJ1uxcuHuyw/LTCxAfY mVtVv1EQCIT/SuDa9Yyfn3qtao36yczrAqur94qkdqD1fhntw6vPjtvoDS/Zk0tFoL3XAZAzGm9i FhD2ZQjinuZFJo5ue+ef2KHaOCca4u7incfnnBCvhc25IxlxgHjaRWiVVpMCBto8p/AOo/BhJUWo 6Af/11eThESxpR8g5bjvC8Nju+kVIwxNtQzL3ZPEWEhod2tMLSGthcy4jpKGRu9+ztSwxMNhLVv8 O6QFBwTGjbepT4tG8qlD9HRnViIEz53V70l21BGZCHj4zslfDxoA2qL/EeAJF46tibAj4MM2y/Xs X1XS93VoovxiS6sObjT8ENdcanUvQ5BCRojdLHfZZ+tV6Izl5Je0gpWvW39aA3CCqOzekZkWddS+ EYubYE86be4jM15ddd+o/c1cf48zfWsL+KK+1rVeELw4rMS7GAZk7tMrIs7RGEvvzQtdeuk5NzH6 r2Xb+rrmE6iAvHUOpDjiM53WTTmQynoWgIccbq69J3zoG2dp5pHdJiGxGcHE1DvH4EvWI2VquWZl TXhx9BYNM9A5HVnLjpnWHhf+841SOqGIJZiXCB7oTG9Q0TnKZSO7zoX0Aqd3wSZJD+6Chj5BXUuK /1EUuCiKx7EcuIveP+zWQrDgNi56ztH3Z6iSUKO5BOSF5kqDSpyUPiwr9JBDSL4KvV/Ui3bOmmuI nXJk9IGg/CHrVwKMfM6IyLSnd636dHfe9X7nLzvWExIca5l03JjIolFN/OMKHWKxuyzMOjh4smHj sT7Xx45FqFS/rsvtzNe1HUf2nfDuBTHUpcifXj4uXDnC9aQXpMjchd3Xkz6Zxt6UVLsudYpZvBKQ rlD7Yo01BwOti6GZFDSTAjopNhlxZ1oHr97h5cTV2Vp5t/aR37rUKCTNl2rbYB+0NEX37GYiXoJQ bq5Fs+7AmYvUiVTzdj/Y1AYL8keSjYYXJ+ihhehDm1diKfKddw/o3Evo8CI2+bkjVWijmCBG3dKR C+m54st2/o2fDQIc7TYZ9GbHH/I1rN052dTtSLMlfiCC4jN75YtWO/J2J3siK8TC4Lx9GXa9Nxhd x0L5ufa9/HNzJohSnaeaYjwAdw8SX0RL0HUnni6n//zDMjS8s9cV5fPy1koQjynyZodUm2ZQpH3i MzG824GfrlVF8vhwUn8WK0I/HgkylvaB3a47tRAsj2W9y1/qs5mUO3OyntPtJEJLYhQhAEdhBTdn /KoAvyW6waMhaiuVmR0Ochtqm1Yu9nvPwK6mLREZaK3PZ1DhwZjkrS4xGNNUnV1RXxO3FLbRSI6c r/q2hA2ArKv9ZnCTxdf5nnou+UbUTCya9EqMQ/OyTD0rVfccbjNZgFmJWnFF/Quyu+88hQcyPRee C5oj1N9QNgbG2N3S/qikfDz3wZUYrwfHejfj2w861phEMmPkFb8SNdWiSKt+XC5yjG6abl8FnFsu 2UdRnOWb7qw1PSVEq6Vp4GjfK2bnj1ZmE7F/2Qhxkv9y1ZymSuX1WUHhsqbRkRqfGhixJjf0h14u DDowT/UZbsZuxPPuj6ZHYt2WohFfievMx3Qw+TI8winLqhEnbO1VlniLUU4PFbo5PLTEcYXVtCTd 70iH87EZainGU6ciqH8AlG4bRdl5NPkWJFyosQeks6RWboQPWUhYKqFKDLGDkN95pXxxKnZ+vlPD 4/0KoQFmwOJI4I0TNv/++NaXX/WKkRCe1b2ml9BDo2pqfDEmKTss96lLduvH35GehYId/PSevBNk qAs6cXx53g+olqnAIr20H/QgqcWfJhJBnutogXnBKU7/q8R613T6WeUa7al/TpA2tW7AQvrsEO7X DzWc431S+8hWDKKjXtBzwjbe+8tiFDrTCcbiUTkqXIs9Kqiw527HwNDS6Bwwh4jHle8yAyAU8Ec2 UunqZL+nk7Q5SrGufEVkvgUH2Nx4ED+6ikIDF3Nk1M6tpkafgNfCEi+cSLdcuIw3zbKOR2rWYylZ hKlN2zocnJGviUCT4feAwiazVcCmh5icY3cvmJeQ8bNI8Gn+BFbZkydFRI4MJpSnkGBaGRNeDrym EDynb+VXKHV464cS7kJ37NQeeBcWSUga73yZV86zR8gt8fETzxoyPperpBiYhq3k4s4budZjyAki 5ciACjxw067LCnTW1t+5w7598f+Psu37PXI/0JSQhX3k58NF/my5wBbsEw5nuGcy4xbMkxNZPnQ6 MN1FVbBK9Zeazz3DTiEULn1ofnPB0Vq/9h4FGzlTRep9+5p2Hz+sINOwmWFcg26bKDE7LVR4s24d iHQTWrvMHLUB84L6x7ijaZtamb8tWGcmDzVbhFFkYG+DO6Xl31g/m28bThkiPXuFaQZ9nPuRTAmU 29gd7qqfILOMhasBkT1XYYIuv0/hrgpg0aCIXbCtWDNgJZ3ZRYNOY4T09JWtcr7ZsdAWUPmCVd3P 3ju9xBij4E1fi35Oacug8ZobIC+Opi38Axfxr5X/En5v1tBc/GpypgGR5AqdBclXWzj26eQnqAfK rWCPjnzBo7znvHtwrQyFnQ3bt7JS30gFkg+NCEj067jQv8nPs75Ai05a1X19veUa8KLGYfkNZ6xE sYqPo7kVP+K4JGpTiXDBk1SBdqVgVX0eCtxNKxKZ5ecgxpOc86ZdiaIWtKhYoP0N53Sk+WNcFKvf mBHlLuFY420KDT93zqUbepZK6vmHGnIg0MLjT+NhI8PXX3Ay5e0otSIyqreOxfm+qHD0neCQXRwc OAwwSe/LVWqgdJ6YAY0CbuCLW1H+IfHQ5H1PdvBZdCbBhxN/Z2RAMKL7D8Z8EhwGM3ADSIIrscQE EUjRf6fxG4QDgADiYcs8+hpyQtjRhUf1Sy6yIvISZVcrIIZ4r1UyRnhZlnIo6AdC1iWX1EcYm9pm yocR04kyUuJdXM1+34cVMhxKGmX3CUlJ7dftj08Gpu633o0hZMiVcgXafrxN/6QBLmZjUaSqh8VJ VQoQsGTe0DBwT+F6iyzrxQdC2iZ+OQCgXS9NiG9VMsGy4qtLQ/KsZr4F279V3GFMpevvwhcGboVM njOez7EyqUoRbIBwwynbZgaTYFaDmICF5DJjy7hKw6m/usCJ0L/w+e/O7aywZcDaPqZNOPlMdbI2 C3W0SMuTQ0EAlAZ3L4ut5QqI1fhlPJ98Ts+3J/hTIIVN3tSvNKzzeWomPtVnOWDDX19N29oZE27t yfRzyqsn1kZgUgatxICdN/cc267s521jsK/HKqu/EQ25yBWyqGyTCX9H7ial+dkacQE5lYB4xAkT NgB/EXdQxpgSMfLsOaaYFEsvmfTy3s2c72T+SlLXmCCJfm3+QAltd7X4l+eJHIEsnZ2Z5eRTUIlR IKjnmhjBpIlGu0ZfVSIY5Mi8xAYzN3qhc73zfg/1KpIyyuPnShppMLEt0Lz8ukQn4xBTvG1z27xv h7QoYgvuH2vMBfRQWXIUqq26mdRp0Hp2Kpj/1HNvCmoS4x2F1Po/vRIkSeQrUHsYXCMRPD93qTyS h0Uj3hDATMovmwcJFtF22v1ULjN+5mJ0u7Cvdk1f8c+BmyEcLDmetNmgT2Uh9yswPvFwePB9pzHY 925aWjdlj35G99uSjDyHWyjuWTnrWzv7Dskkbw0YvUBtzB9uPU1Px0Zvj97PD4RgMr5SMCD7OI+2 mI+vSFaDDuhrDWY74MZwzPD90BOgkl9Q83zWHhWOrswoxSyR39Uumu0SBBvUvSFrsaqd909iyVam bzoDLptTdv1hFAYnD8zdnjzQ3hW0hsxPYPXF/LWGMHySj9xL2PzOPWh1kHvRVU5EXTthZvqjXO/P yfQ+qpIzcacPJUROq6mMNnkN7REyZKA40d6rFs3ppmubqlpS/S1FgPI1XmSqUeJMVREKCTnk81Hu a8dtc+aL2NC5ivbTNpQgxR0H0pnwlzDA7V44/Q4LWe9bNayL76yH3Jd7lVMFG/9cJasQghI0OJn3 syO8cTmeEuDR/TLUfec6aNfab6dvcynLlj7znRdQ8FaYNNo5bbQ4Hd2eZ4gXhMNzrxJsZ+nBmKcx PQYdZEW526ailqJS5tSpaNd9yYmJCnyAm0ywvbwp81G3Q0B9qIWPYr9qIcX7jhZ1Kw+HrDw9anV5 ojWTEITGypwfwlxHiedFi1UiWN2IEGmHqTTiecfC3hsZdFleICACXyAwv8iJbKZK8GOXxy/3f4B/ p08kbu/yG32Taw+WfhO6IVz14ogYtjCjIhF2UirYn5VW74OZ5m85cYB+2sF3rCg0fcC4MjINf7aM kPZCteF1VleQIpfTQdTxOHW6MAFzYa+yWGk5GyIEqynM+QI+aBwz6KIIU7YQ3ikVnRYurdjAKtIs RVdThqVzshZgxRuA6l3GgC1Qa6DYU8w6RrUuAka1Ukt4VN9/eMmAAuBMpQOKpJiYobt6dxkqqGAk /TINQYJdAyAAl9O6bqCiZPN+4WKC1OsO+drHoWw0tj1vMwEbZepBbzkfv4OWEEby+yJfN/axOdBE s161uoasGLGjNz6ToZHDhfaDkLTlFF3PCI5XND2BH+8i1Mus/Ed0gOUZzpJGqrnK1fSMEQYoExxS oe9+4WIkXpVGZL+3UOe1Y78wXxWVyWRznQdUdlK9vBeRh7NlEnU34xWltMnWWg4wuMNetjVCZMSI RKSiw4q/IePQ4FD3HbFJjkAIUTy7nGlaDhk1PJWTrNL17eausTzR8Qeqp3Z4QC80mPqlrMBPyv5h Z11NVKA5EE7WgmGmWfF0WMQbuaKYjQ2eQvyaG1fvJUoDUASBx+TewxCnaeQUndoR0+O00FWQ7R/u +gMGIzHMdfX8h4oS2xtr2iCr1ZoHL8shPuofPV607JBeYRXuUdpE1DCDMxfHIAu9Gow9SD1irHjj xiZS144dbopr+zyo2DLyjtS+suOfe5JbtXJ1xgAckk66RAxO+fiYWfanPrCwWVJTXMrIHpmBacQg R4ChyW4BG61nZZ+MIbQrKfeEDCj0ZCaX0lTWHKBC9Q2pkwY6hKK9uvVB+s0xFn0nPK3r8LECNdJp jsEhcc/fWBPwYPlBC0uZt4LOZHS19M+uMJtii8ZIw6sE+ZSzDalRn9Ai9ZVxeq6C+L4dG5ysqP4k Tve74HVvBWcSxPd7r8hd57DwVfekw1kuNNxNEr68m1HIDLZekiIlP7myMEkxbI9bqkQB6Lhr1eCU OyhnooMJ5ITkEMwPupirXW827Y8mlS/NAbCRIROrsloeZzLYkOQFxLtRQvfMTliavv3pr1s980G5 ahijubnHsXiqgulpRD0zYOZSAooVr8mI+WbP9CfpG+2RIjt7e0rBnDInTOWxYtxsVZMqklzbjdO7 rWkgHNiZenOjebG2ESL3GNoqVtfhMgo7IZBMhaa+k05W6pXWrz0hjdD4UAYx/h6kvdeRIyTxBiJz gt+nfYuAI18Xw6MEWzoGnk0lSjTlQ4zowySxqEVYLVX27lIbTdcDsv7Nzk2PI0bPUTW7KfdbZlDc 1LosACmaBd+AdaBORzRFIpMmUw5uUImoidSXQzZNPiR8CFr7SYJa821gt5UC6VW9Yn+Whwj4sYV/ /EGGHR94eAf01UE+3xPxcxdOfECKomvyHK5SOEINdLnbBtKrH4SMoZdS/W1me0M4anhNLo0s4HEA PG16K5HK+Rd4qM1nXajckDJJFtP9j9px8tSgTMQsQxMq2NC11BMLJypUrOf01q6ON9mXYLAcb7w4 FQ1thhNbyF1Wz0HYeY1thh0Pyq8mwpcrBr7aHMPEmAnAW64rFqDuRqjagjQ8c1zZFoak4EYLwmN6 98oFGte6hE/x0zojrw4LoIniGDMjqXknf8I/BcALGmNPWpkEa8r510B+2AHgavLewAoXoha45H7H ubnzq2H/4AJQKY2whuysJzl2dZ8dU/6HRHrXL2bvAyCID+W1F8DlzoojW/x74apF7LXcTVa4Mdfy yQ2GJl5ss81bcf451U7V1HSVFbMRTif8mhK8uOGiSeU4B4n+JU+AzzG0qPUNsnE7XMlB9BfHwS83 QwicxpeeuqEBQtY0PMDpxqJzM5xDXnePhoWCWdMajNu7pNHhN15oNvgQT+XX/4H4/hmhGPvN/sfz fd1PYA4oD2WcjwxnDb06vVo9L8+wagrY3c1EbnBGZ+kywrO1jGzFOLpQSMI4AS9QNgcI/pABrcey 8B62rLsXQ6/STYsUTKMdsqL5sMlYyCKlcMrttCpzFZWiWtqIhOMc2E4N3fIVyrc/iA3czpEzM/d6 bdZV8qm8EskfQ7NXRmAhCkjJsdMmpeT0sq1gkGsCmx57EembHuzabHSDZ24fRPLIKrf03aGEpYO4 yGsiFddAWY6EcqVpTep66Jykt0JF3a4hiji/zLuzgS9HZf179fmy3BybYymQTveMo3AoGioX68wv 2SlqV0Oo+Cu5tI8vIdomOACLVkjAu6BSozWPrzxMNeMpda99CThvFk7UHPKm/dLYtNZU4/ZB0cmv 6qDbHaKMO2cRgPAk62ujbx+3cjpPcYbW7WAQZC+3dM1/h/1/brMm2BGiv3NjIzdRbv3ISoiBDQUZ JdalSKTEJAul2V9fEGe+mKbCYUA2Q3S2gZyXAXBsVkyBgNZ1rT3NGjy/f6JGT76Oa1S1A/Sd/MUn KV25TclmD0roLeVqQ6hg/DE1PpY2eyjKFlzPEg4t7tvztl50Ixj7bwzKMYH/9mOEGsR/wc0Ng6jM VAqYDdioWevwdc1sPhRkzIeR1iX8C6PDvyqIARnpV/MdCZ5lHr461S85oQBktqttnSWzMjZmYWlG UOEw1o/hVlK5VN1XYeCFnm0T/zbzke2fpsH5JnrRcrfg3IqAsXG1S+PfMo5068UG0DSMtCrx1vm2 nHKfoOmwJehfaLxcFAA+DeoInfTW2fPV4N1K6Yk1KaL+bnBIYvvKQ0Xl4fQY4mAajF7IZNvq3gLl Y+Hs2B5xUx1qarOX6uWPqH0+SwisILYmr/wHanqlfXh3j09wCWF0y3f0h6q5GBUI/nA6q9ypBzKv AsBg2E2/ESZMrsiZJJya3Lf2ag55owSE4byhGDT2QOWtOoMKQ1a4N5SMqes/6R/3xlGihu2mYZR1 qR/EaUUo0VItKVL3mzMfDy0DICjvh03rBjHighALE4RXSUiRF7IqzijQH0RSP3V7o8NIii4riXwT 5HiuGKyadRKE92HqH1sozgUVNJR/APaH2kZ4tpEgcqIi6kntA33TJW8ylX6y1EP3NpKuBngGMMmz A1qt7iIfj7tPOFTusKehze4Edka0wq62UizvZYEV9rjJQzfWmKMFIf9ecERjkZCkuB7RJcFkmAIi K9DbXXQmfQVkhFM2b+q+zXUVxQFG5kgaUKbOOZLTdw5Ebb2A0lQ6rrz/W+EJU77q3yxAyKhe+1xo x4c+pw5ArMP9vFmVTvuEaICZIFqjq4N1ZOfwvMSkBS2glAA9+2+NjtDNwhEMPo5SUlLd9aX/7pkN Og5uAqcBR7OhSVsYcDHRRm1AXWP/43GiMzj+/XeKJypJofQuuwDAVAmqq0HAhq1jkjL8VQWLrTxz M9+SexrnriNiRrkAz6G/UKwI/ikLUnqNM7lpScqwRgOmCXbtdROE6YXtW7jKTsGhH8Ilghuiu/Kc qVIthdOQGty9zUeS6H85xoYOTskTCROsA6Zu/6SBspy8/OqNjTghL08vphUQLE1HxIMToShWUqp2 EoPfJs7Ml3/n1xLKxQR+MiS8SfAPThOAKQH4+D2tFXpwproSeAgbZ3AbcTazo9uqQi3AW4VuQFXt s/gKZYaV/BvG67MRIsy1ML2svSjeaTP3V7NwFCKBWgCuCpo6OjU28Q/N0sEOpKuci/ehgQAMmEyO nf63A7yiyQ7zfi3rdgOxjpNi1BGa07qT1EsTi5HIeHs07oAZUN43zzOrWqZLADifjCyv2jvxiSPS 3G3HVsWTDEGbyOPTO5z5dWbdoLDHmQFE3+Brf33MXeJ3cA6uIRsMtaV2/pVYmdRnZGejIFPlEKm/ tNysoqxgkojHQIYPfTv9dXWyLPKjFaDlGxECN3UWcA9cvCh0k7J79ABK+jd7bSWiy6VI7gLvrpgt zHUr8AmWpNOGSu7HkJXrrYljtf4Meb2nPPwSuzVeyqupHhtTOW1H2SARRZ8Y3ZJHZu2Uptq854GJ eJGIQ07kCSoovS7W0hi7iIWesmTkoVMcLTwcWiDEnRXo/D5D7K45XJMfSNYiXxApvHMaz5WIjxhK Rv3ia82etrzAraKqlOHW9gRXq9+4eGBA/t9SSN7+2UE2yPH3hhqZFLgYTW0KkCliDpVPcyV8u2u3 jUTJkfWlv57nChfnXHxDUrEoj6ps4tNvcbDxHf/2E/sFz8H6O/hGPjg0CVMSk9ZaUcw1w25K6MDf kf9B5hZsRiquQTnol3IZfli0ZprVKFKnffwBCG5/g5o3Dm6YchORtkOJCqyp3zzTYoV0OZriDCKP 8M0smDAbMgP+m0x0T1oh5Mz59AMdVdqtwmzednu9jpMcMFHdWLPmSCmkxNunbls3FbKWRwcoGwkq dcrPx1zrxwtkQGzOA/1QD0RFXJ62Ra8U9xfUbi/TakkLosuy61b/8OUfgIcmgjcRuTntI0yqc96N cLmfK8Kg7e9ktgDOX3yJ1wMTThFLOFObaiEWtPysZkMlkbvanLqYNym0fPd7D+0NGpIMaXAEZi5z H0XjMhMmXOrCmQZ+ImX9Wimj3ctaF887RkC0cNYsGIyyzUqZC3fe2PvgvA9AOW+XhR5gKnFrL6Gj t6K1bcBJzWNsJGtpZOS3sCuCUDbSBvSCRkKJAlmzt0vHxe4O05PgT6XYdoYqmoGPuJWWybYoPDdj +6EDaRx9JrGdz6Wygb98YEGhgChcDtQkanumx2zbi5Lgdqoiw4h/KyYBB7z3K1hLUDmSWVgQwyHD /gjSp7ebWN5W0lcIvdv8ToOl7Apx7M/jBbg00wjZpQpjMUdra1ygKVdX2Lvcjp8St54GVDqw4IUn Qtag6NHvAiBhN1mGxva0ri+SlLG2aWkANd/FZ02oVHl3WG/NCMOcFX3qVW6Zo0+CdGSmQQ2rOu2v YAPNEgCmggbjemal6VUj7Lp+vUDP45jBzM67DKmGm9I5Tq8YAF4TOwx+42ngqf0uFaNGpLpPKe2J psprQodS1g8WCEGWh6ZjfNFeyUxVKcGCJfTV/OWjA4s/z0in7L0SdeJ2HHok2PoPLan6URRQ5FXH gs3EKcfWMSYjkM/8XnBlLpL/Gtm/BCL2qteuJ9lyebciIfUi+HSfpD1h1vEBCKBWlOiw7Obr+CdS HCTjjs0IoRsU4fqASTAFFBBfDyjYnvFZSuEXjj/oR6NjvUw5iZWmSeaMNRVAtnSKyVNDN6iFI0kZ TvWXSWFA4cNr2Z3UtnkHOJDNlE01lzBg1PZR/FkViI2ylNyuCFCgwa84uISbzOibGFCNhrQ5vL2w 7XgALjVteM/yJt8ul0mYaT4mQaUOdjgKCMWfKLsCudsvMCHLdg/vIyvEI7KqW8hT6F+iPrOTVH7j vEa64hr/yreDKDFaGF/O+gZtXYkFcOb3nh8JOBGnJ1ZJFsub1twhRHr3NxfI9TrdNaQn/netTBGt B9V9RpYCErB1m3HOSUpOyvzV/Rsm3f7rykDFrR+umuZJA5WhltTjGMn4TJG+7e7szVrlqyhiyrh9 w3WXMre3w2cP2zLlA7KbT2R/oiVY84xh008zaWL0B2tZretvT+lnTYOio0GuIZPd69I0EvDexHic hGxHuy3VfGARLC0w01aRUXKE2LiCxruPJoBPj2qD86WmSXL/qGM/B8qJlfu9AruDeqy3MIWUSQxH Q10+SFhkk1vOaL4hvcpEPDd3dfcoZgFD78arDPR8FyrnXl1FxB/JfzvkTMxvxazeQvKos80WPWbO vHA1CpW9A9ytrtr2v6p9qfEzQeaqWqzT6wBi6izMBhd0ua/t+YBCU4CFWEO3+Hx1MSxkdKlR1kLO BCWkq8usyJ15R31Zyq0PPKwhbfZSZMN+M8ohx05tolX0R+6Q0mlwQ9Lj45np2BD7svq3hdbcCETb QGR3qPB5hfZctq4Ez2usbtTSe2D0+mtD11Daeyc8cI0ZJVsW1o4qRitCVCeBVRKU9aDFWU9fy77f QHdoIaWENg+fbciz0yS1XYoYxac4pBzrfQDOjyoz7MD65fFjdcSYfwhysFa8q8bxwkXN1S8XJYJt HmgozNsXtZ/VH6UBG0qKTdsfZPAQVGHvAB8dv2KkrfwaJv5GGjZruXuizGXTDNMuOpM492HhSXGY llyX/62eNWNnnM0Cxy3beFRK8o86D6ZrT+j/WEpN6RtNnVt703cQVvMu4wjerI5tTxNad2f7ai2y FPYcv05ogm9TuVqPAp7ty9kDeHtQisa/u7uF8+WqMNaUU/72jSXAGaYxaSr2m7rL3VU1nNWN5bUO aM/rOYvVtRKL7Q9VS2ekb81eYLunvi00fKmi5qwWU8GcV8aWud5JhWo9bo2e9GhB9AphT5dV/abi t5eiKtfWW86kkEnvZdLi4am2P5xu3qNDvPguCtziF+U2MEJutOGFOpoFkFIoUTONojM6JzlEVnGH EUbemBtpwHlX6M3nUWDP0FZUD7D2KCOtp/OpFG2fqFFlErmf2CNiKgDa5MKittbsrYNez8n9kw4I 7FJTuh4+0WPbK22pMpqlHxzAz0gT6PDymiNMp+7KPD4eFNq5g0ZG7kalqrEWCQG1XS/owiKzxlfN t7WIykYbx9Phlfmm9skQSAkQdbZlwIe+V+c7apiNkhOdhMtYTyEnYgHL16C/5FMnHAYniBu3d9zo DpTR76kIJ+N2+pzA9555GqbD/wR1yfn3LavCIQjzg8bjlSR9EvwLuW0O9WPe16kGauvJFUMNIHfv kl5v78ck/LQxNzdi4Oz3sTrR0nTNaKzGFvxs0s5T3jhnvqz9o2KusDMNDbHnkpSNDBJ7hzkF39YI H9mr28Bp1J/JTKP5zICLlhlwPRjyv8V8ieBqn43klTlRAPtU8QRx8pty4MLL9NNze92adeXTEWzZ 63k8gUv0S90aviiKzEGtaVbs9tXfbcaTXEDgUlDiRqq/pW78YI50bURIE1T0vyleR5PoylVrk4Mh /v9fd7/p/93Sc1gC7G8wMYwbdmSnEoXt7fRXCqr1uygkgcPlPo8A6k+hDwN3tIDhz8kKewDqqNFR aNYAtNVTy404eL9JCHruEFRa/3B0iF29Lal29QgiT/d8C9DzIZuEfWrtUFzoEsom8Q7QXskxiAD2 Y0p2h52bKQ/BWeIgkoQMeJh/Dx+DhzslA0FeG7VZ1abisRNyXBEPTZKc6VUkiZozAb7x7oj9y2jd cSp/zTlJCh8+GD6phHJYduXw+DYUJyEBvzjG+jqIILsDz1gWSvXkb+lsPcojBrjklWooSe8zUKiT EsiYyRJlF4K0pC9DTMD+fCxg96rjC6uG4rqBiCfmUOUBxe37mNqzVIUzxR7Zvw4AG9EBc/mJtoxc g5d2PHHBfMv7xOhwbIrE6bsDr3cTZpepNOjoV3vcN4uOzaDzi/FVOt/YyksbcQfIL7KavxO5XCqb i+/oI31/E4U+2YpnSX42T1Xfos8ifh1+FJ0XbKAJz/Lo5UMuiD5oKUIaMqI1TLKv9Gk+CUU3YqmI 1Ya16/tTLcoZdCsy53ZKCGNLHyad0X7l25XGzcRXJWT1SaPbWF4t2DQBbg1cCBayJz5ZkccRIs+7 5KvsTHlv38Bb0z0MKQXTLYNc7mD6dMCLUoedWHk1B2Oqllin2j/ga5jtWq0r/sXRIutqgh+L/lAE xVMko5Wb789VQpcNhYmb/nV8l/37U/Bd2qPowaqxOCzB4h1tB56yPDtQ/1CCJdYjRxcblCkpMjGj aWvzg25B2wTKgGLDgFYmzJKpXNyvdy0nvD5hWWPNogGqt+KUZmQ/o0mb8xiLYa7pPOb0PyZQSLv2 WiKQFWn09yBNfTfpbaaAFshF/abf44bVn/UdxanKFcsZTpboI54UWbNLIJfnCk4dMcsMIiU1mjfj KRNvbVLzpyviufmoHzU4egw9PdEWla0kFVvwYfTTd4u4cJfd/qi3Hm7tQgsWPceco+SfuWPoTILZ UNvsXHH3bqrjpnDeaOD3F46FPhQrvB4H/jUYasx7R3+r8K+ervn7mT74nAb3S3uPMdtk2SaJtiLG OAgkQScPbGKQCwPH9HYF/AL5L6M+aOzUOcSgAuAPEMWPwLrcFUCjO4tJcOgUJu3j7w9uRJT/wrGo ABVdGdCeu06S3PTJJL5+5qPiwudXKXrFACq6FX/9TZ2nyemj1JfjvV2zJVErv7WbOChHtIuIWH4+ pvLp/2u66FXjfbOHhVc9Y+JnawKjWse8rf1fTIr3VKWwyqhP3wA8OjWDRS2kq4cbfgjARG+o24Po quDGKr1fXorUf+DMzL29zAzusGq8EcjiY893UwZVvEoYex5f3RNJ09pytU+R/spgPieEaMDZmtnw IIRuBCUCe4R8IncTNpih+sTXvGYb8rW09HFsTSe9HQkOh1wzQMUggZDpuB5KfInW7GpxUSmgJ3gp 4ix+gNvXTTZZXCSGbkOKWAquUjOQKqM/9e8Qy1WHlFH+paJtfXpBeTF4RjN19RiTflBm97arfMxR LH55U9XSjGQOpWsgaW2hjix+TwJU8v1Iako6aRkVQquT98CjTVE7GIOA3A4vNcJF+OnTxlHe4Nqh 8dzlFH/JlLiY6gh4oNka3tz/gwIpnP3hAZ8FweRRr7qiYP6jUM2tkatxn3vqF+Xq0G7BbUZJJMKX tW05afeTNCG/61liKFRxDBRNamA3l135gxPe3K2PknjuBDCXlTct9pDjuASfmDd9u997/+KokugJ gIwWHWXxCIzXVApRrqHnAf0Rqknh6GXgtMao46uAlASOU6ODOVrKEFSf5eKogioxSqBbVglCam+u xdLmsaDp8SmeVgkcNxM0HWM9BanLzS1o21VtLl7chPNw6Zj7fVK0OtKFDQnVCeVmYC/ZxDvHaKe3 RGhZLNXYf8eM43RZbpFivSBcu/JGd6ger47HHLpWvOujQrglvaowAjUynuOgy8r+B+yhwZ12YUgV XR0eUVUPgsTuTv6HWha2R7zbcfyMkdXD6OquW92Z9AisduMaDujDvUUQfcMUtmVWXwklyAKISEgQ QWsfNvelOHtWzLAnkNZe2fLDCiHscR8l19AcPidYYmUj47YPLuZwTxvHxYcIaMr3WiNVrh/IOK8N cri8P2GVRBO+oX+Tm5stBqMtM74QdLa++0n+UksBB6bo9fhrXYiuI960EZlEaRtU1HH/SC7qsfNt jV7voaMTN8DIn4DBysks8/bw1HnmD4AB9DkANQf8P/ERVdgI0O2SiVBIDx9m8Gz+3xij12CHhyPP 5IqU6zRXIs6pwqMiwmgtwbDSrZZIpuPL7AQLaRkdqUzBUt9TJA2uyMllk/Ai0zunnlLaE2knLo9G KWhomL49giuwVG1tl5VASC5OyZoXdMDyaGDTvQxCrmQEtjYxFRxmf43RoFVtbQq3gqnx13r+I5yg MiCXIOSyOk4yi8C/7aWWRfA5/vsvPqZPLXK5Xz+5aikjxKJx7l2YlQArUMXrmNXHMasGL9mjfZ0/ MYBNn/hwZUl8T1Dc1X9kKzSwiwd4wylYnAKkJiCWZBICn3fWMO6uOUEsR8PuYv6D9pMqOMyMHXpr AntnfzPpjbcopjemUan0ve/hk97+2h+3AK+rkUdvAEaJxHxPegvZZYrNbCzDkrB/hpU1W1WfPli7 ddJiTp90soA/xLE4ZrLgVz3QUIslfDYTISZScyovCjWeWz5ER3yrulCMoK5bp6thJJZjpaYEVxku uT5mX+fMr50RdkGVJScyhm3/ldIFow6M1vgaPGzfpup/dkBZpR6f1428/UGMisZ6m5OCKPo0z/FK hzTN6BzbLuy2dHKs+EzFaUAFHFV41g2nzcrAOVZDY0XrxOisuBuF3dHN4MctQ1bA2YLvL/ehMlhU TzpR9Glu8KhEi+mCj7Oltfdv5aSh6KhhXicKALHUsNlTsGB8VTVpICf9GK08B9WdouNXZ2qTppjX wYKvlEWr6kY/yiT62yrARM6cu+IeuHOazGw401QlRAKuGkZK7HDVSebJMIpI6fmHQpjKWrEA18cL ga7cUyrUXCnLd55Zlf8WDI5Efc06FOgpbV4iZ/FR2R6+pHq4nhzf4WpxmCl+YwM0/MG1kwsE4O5D RQ1rswv/MRz/FhB2YG/NWMN+oxfWhRpe1BT28tshBDfx4c3Vg3PSbDYWfUWHaW6CLAzOVcFgxa4J MG0pYvEHs9ClRZlzWiryaXqRZI1tTuHhn/W/L9z+V/qyDbxfqytFK6/YND8EUN7GdfSsVPldZkhA 6AMGTQlGch/0+ecst6eG/uUZRR6B6b9RYf+QmJ3eZuWNQecN8LxMl9klm5Jol7EJRNiHbBo5pHhx gGhpdMilaVoVnu2pRXebAz1QSWIUyP+nqdTQcGYQlQJ8lQwErhjZGKnfduxeFesGU9eJh60KHOzM 9oqJqI6l9aGt+8n8Dk5gcc14MpgKNCHq/0QZ4+HBWZ1xtPyQ0IFSo1k3Elgz9E6csVSOgYFR2Qoy Mv4Ot6ZV/pcUYsJ87c991RpzpbWgG/0Nyfj+wfQmAgEN3eCWxrp8Dq5OYBTlvRwNrxaDD8fTqN9v IPyt7FHtuqS8IJG3Qib9KW3HwyKaqwkP0Jbz1/cwE+oa2UHiT7H/+fbh6Q+D4VidEdXse2inJYDL LE1b3IFEUVqAmjBnPOUDp4s8PBnzgs202vzuWX2zfdV+DVhSm/zwkh7wNghH3JM1zBAhyg8okQvE EztVEAmfzMjOf/npnXy9FqBI1Uqz52mwaANUGGgU3Xyw+3wpmAbLuMnkKlNJ1fgMMixqHzKUyRMi nPyOAzLIxicIh/grdEIMN5e9e4/5tVvs4bOWrnYbv2tffuHgFDwKA0CQjpeeIpZ15V1SpC2W8mF9 QDBZ8g1t3sieynoEuBRtIn88wna7hKzuIs4g2UlegRhzBUCzPG1mEaPXiBQWT2XwuqbGyaSoCoce JyE3s6qOT2e0aRdSklc1id/ggXvWEsuT9xFr74DKeO+dlK3rpgtEug/St7LkdNEZ66ba68erB+lL qej5AIbHdEN7EN6sWFQFlTzW+qYHlNaVC5asS2NnnsuUxSLjPSvox8Vn1lWuVTWlV3cwcqMnmdkB 2JbHmr/Eg77K3a31jj6lzwIYOSoGUb76Cngm03v/zno03TeQE0ecT0OOK3/HZFxlIEmps4OtjiSr 8YQG96RfZcDKZtveteGdGW1jnvf+JWCtIX6FCFs7jPuCMkn/msV6aPeZ5QcwryvKu3pIAFuwHD/o JY73YkBX6L2jWaxhhpCK7X3tNjB0PMthoZ1QWA1kQ4Mme0VtSep3HYJkC0EKQB1Zrzino8oe+FgS Cc3PWBY2dneFK1luNWoqW/W1U2ny02z70N9kLkg/pyzgQM3wNUOrnLaHfTpyPKDVXjoBZwFe1R2t NbqZQsec6k++szPPlMI9MkbVyykOE3BDpxzpz6GZ430788+NweHoWnNWkAOP16joDXPOXUYb+SDQ iPGjbHEd22Y0vNTl1hrifqNHQU8FQrLyTXF15sHLNUOZM5n991L6tlHDBTnB6kHpw4z4542CvS1k gZ/3EsD8FBGeGbidCPbljOgPy+H6q8PepHXxdstMKf1zgR46oAsUXVdcCJwCaE5WLFhPOXy/gcGv tTQ+0A8Q9kCe5fESNbqZcbfYqsUq1oFrUgeAQoHg4JcwLHeQHJ6jzP4ueBMfCWFVq00Vm9ZQuGj1 AYLJ5zkHhXBZRV9jKKOCBV7GQzKu0aXnN8kae4OMJeiZsvTI/+ro8/okRHYi0FeBmyQAWA0VFI32 YAT8B8et6KZUH1VcCui8iFzcpUt2JgSrsHegiLpy2JmnJiPgpO4FODGxeFUxlherpWblnCjfurJ+ CwiNEE7/2jCukcZQnP0fSYFo/GtDLOpZ5czQmdU7dehKiDPOlT8BB4PnhHJtc8D1ZA7S51EBIEr3 6CXbZTaCPaCfNxWquEYuf+91V9oZVSaQLV43nx6378pTONUgPvmVcYAz72ecDUw5VOppLBgRZzKj WNrY1GpaiTDYD44Ovr7/650Ias71zh7tiaJ7IjHJJP4yfb0bC6oiQN8e/FzecXfpmM/GOrFwC3/e e8tkWqhkdwy6a/z8VGKLu9+3Cn2WnqMB0MP2lC2FeMM+rTTUd4H+9mU3KhxqHidviSEM0uxYyjXw iRUsNsgFqZYPuOd19UnOzg2V6H0kHxjs5mJUU0APa0yMkQEKIQ1qUdCqK9Z9RC0m8b45KiQhrIwg 8+Xpb5JHarX3RKps1cE/ER9VefWyD2qQokczDXTAfp30AMgQBNCtvgbfrDWJg5IJ5aPad22gRoGP lokKQCn2BfPfA/vU6SoMJQyavlQ8d3+xGSGovvlBsfIRhGYfw2HYtTqKLlQAW9gEK7+K3zFXp3nM p303TdS72tpR/v5e73nW/xTCBnm1XygeR2YWxnx9dqR7NFJjJ+mu6honEd1GhQbyGvCgrXGXqomd S6RSxjhD7bx9LzTW+CtkxTS702uodcjiZuVsq618TEaU3+d+RMhYtmMCwv32y8RqGnFfwTUFjGzK VZXqJ4/r+Bebwsy8LeWB2f9YIH5f+IHlfzbZlXUjPlZtNzQJnwd2kvvLOADAK41xhfFnbnSstkvD bn7r5fzRn9tyOPXG5rQRXIhnCLyE46qWjTG7PS8y0qBQJMjPzLrH+Tu4bkxKV/6G6dHSbsvDL46C E9haTcY8UPUZ0hdwcLt1Q0HVpRw+OPzvZQztQXQLGiia53XMpMlt1/XWUc35jq6IfTP9nyQsWJYj kTw5Uu5nVqqOTcBdeFcjs13lAzyPr+YjCBwsXjp/E7WZR8P20OgXSWPOF2uNf42q/a5vKsEEGT9Q Jz/LcTDxrTlcqhcfMFF2mcZjLw0I96b67eBH59Ywbxkol1+zLdtW/ob1XTnKHThdLkfynxldVmVv ovgGq4O32UpFNGGe2juRRNMkc9vkubWO6+QUUKkXMRV14edGP1b4tllN5w07gxcbmeZ8Sv+yh9kG aK/EQKYs9PYvUUajc78Oohz3T7WWCEkZnWdyYU9tCrQqNkHKnRIlS49dFTOzWNXTL/+nF595oXQc KI3Tc8+o8xn0SrLWwzl/62rWRspGoQfP6LlbFmOTma6mPvr/f0+B33W6T4CJVacM0oAbeEPZaQpV TPdIm74fOeOlqkzb8sSxNxSHOIAYTHdXK4mz2zykkViWenQ5D4xe+I8bsh0oGK7EQmmy94UwvsI9 8bfwdmvtFPBH3f3bK/wn9sbOMaptG8ede63oaizkhSUf4bKOQzWRmIeWM5gVTerkfdTq+x5Xix2F Kfx1FGtCO6lc+gyYpOKR/7JgC5Hms7ZzjaAReLngFFZFuxMNV3ME1nKM59ByUPeDEChgl5G2bkVy zJwgOkBAQEniuZ6G/YM9yKpW9D7GfP9b5zHvrYgTzwqt1KUvosiE5+xQG0XoFGJ7UUAwqnynlCgw bn3HlbOkJEIUyWTkgPYThLmG58aphHxoYRg/U9hbsAmXRHD/GoJnezjpjopCxXYhD90aMWAnXcv2 rqubvLtvU6gTeQLEq66HAh9V49EZi/dpmcbdRhz52FQepHekmvTdhbUYWIsLuhow6T8cVkMUn0hm rYMwu8hna3EQyiKYC8kX6KKh2H5GnJdw9zxgu0wMx+gbYJ2yq6mPULl9AYl80hch99b7n8yUW7Hf f0Vetpla1TZpyvzsLFlRx8wCe16OZaKWT5bLU55HIn46RWcIMw6xHDy4IIj9D5YLxYATGU7sltNj YuFOwDceazpcW2HxgJPYh/SokU4fS78TGQCvDbLzFEk7RUxK38C/TJ2NsZ392Yk5Qk7C3766SDvs xwVM1CEHVV7LcwEd0mdMnbjqqZPbRQNt3V/3DDz8znmBoQ+HTzcGCDSKoSAo436H7oI7ouhOO5pd N2Qv5+pvvZGZdfRn3J9laa5suJradpluvonQUC2W7Bt+3JXIZZvdYGM14oK+eAALB7vvJoPqJ3un eSN6ggYL8O9bYJy9TjQ9TloWSvMNclyQPER1qWcIeXVO8i0/XE5BVeRzuuQUKw0y863mRa0KFnuD EFJXXcapRMbV2PkcjpcM5v8xRetXVELh5uxdR0FS+vfW4lnoRMNZfdTVdi/LsqquwCz5UZqKZwTI nSnnI/FEbEE5I3nRLeCsNytuWoCfWJPoEAiG4Yz1Ga7DzIZLOmCRuAYr3Wyt/PQQa7/8+enzg7Xs IS8QoNCOL07dTwkkdzW/DIDqnXoaO4XYv60gvMJqpnES4OMMsSeuc4BgcQYyes73zwUtyrEsZdEh E/vFhyniml5loxUMPkMF3gH7YRkq4zul07AZkw5mTVzwxvxx3RWftCaozJ4QrNSHEMZWGOCgkDET No8WFokbK+F9+cLAF697r7GkjO46jW3d23OrekN10ZCgZExS73ShKzg8yeT+CA39he7J33TC7F0F vQJTIOakFGZDAO4O8UeIWtGX2xFTdjzhLNnXesr66Zha1clp6Wi46A3LLO8/IZ1QMMnK1cIxw7ss MQnwUXoeVkb+hJ3/MF9kWbgAMFFYrrYaV0FrKQxAEw1VSjKYteZv7exsNI36ycbONmFSTJIkvCPt 6WqHNN0zRKg+4wbS/kqylFwq88B27873yRFDccG3PARyQekxJgxzUEP8ivdCNVt0l+wCqwtGTRQG ucgrZ7llScZoCJMfRx5HBUFfrDE7Lr6CXsvhyS5A4LglH90P1WmD6XrZD4u8O4Lbh8R0pYMoxS+I n+FPUW2dCjH6VWo8IyNFXKVPkisRHQkNzBDzv9kzlWwkDPPXtQvz/T+3Z6hbptxtjcd3ghxgNmqG dfR1ZMjD/3QFy9AQC/OvmY16LliNJwexgvhoZNwENp7suLDEYr1y5ddiTuEasPdxxZ0SXkBWLtKy SH5BsMIqeYzsn5PCkjPlDnCtGxg2u0ac/Y38QmApgATpU9UVqyHUledLP1cu8/N4JBQZQZJHa8ny dQwpXaviyrnzas7hruMhW1WwXe92uCrM6GXepAWbAzRLQ2QKHPmcfEY5OegrT/EA1qFpWz7O/gn/ tE6gWAI54Ba+YVTUUnwyfppRh2mhIJVftzAFnlfBcbP4jDKB1ih10R2rPDhXbFlws5cEEng7TuJH a0pcmMzbOFNJEw2iSv5w1BPosXsMfwYD0xhJgG9z8ZiUufWUXLdSWqsKzrrmGMNgVosa+ujFV6Uw /O2U2GSQLaaWKl57cgiEld2WXPus3cwFhgJsFNksH2xaWYdY51IBkaDrXG+Enu4HumDBPS8NMmx9 0NHODERXFAL176Yvdk7cuDU7ieheQJDifmc4wTz629zj5t33PgHYPdtzurroXEpYtMAfCRpeiSci /gHf3jXLoYSVlv9D98ao50ExTqDmPyMG9yOsyOUcN4S/VZh+9zzMWaphOH8wJU/0lWY2893geW1b 7orXR5nxRR/9yybIcBADb8lo0gLJFZickjg8rVChHhIdMmruvBxieh9yOKEiyXPVhZf+ARjkdKx7 zPmkjglqvo68oZ9dUEOzVT+SB/wDAyF67H/9y9DQkf3Bit9At1tHIbVlPZ3bGtEtmZw/55I/xO7T 2uhq7MBRttuhNmUQ+Fmuf5yNGdqKTg5wd9LjEktkA4YrVomOaTps8mTSN+pYB1j9YTBxe8OImtxj SMVD94TWaIELfS/1D4JAymCC8gzMxIuiaxIZ6juhmC2yXJW241MqvRS37Fnd9ZCwnWIdCVKn7MPI skbuZ/kGDKqDwAFEGgl7fVbw4PO8LrgBMLOt+VL6xruiArxkj8R8sClEVrGjcYirRG9KySQyg7fG 1cMU0TRFES4hi/OFmjCUZe9838CY0aPkXMSgnPAWVLPxBQ4Yc9WQMXB+RZ8YfU97LU0MXE9fyJ+n b6dA8TATU07nCi0qCIIZUDxHLrhiGf7JSjYP+WgpUbdj5KpYoClKRspbFA9CIKWYLwN9hYawaqwf Jh61GdaCNLGBfw6vJoXe5XQihMIVreGS79NlBJPt75DNzcVRytPPJ5X87Jvw/tWRFd5ljA6iqxmv ztJcKj/1UthpZ7zjbih16OeXdLhCefh8ZGD7Dw8Dh9sXSGkb31abqGSTqZLghvyS7EFjhMr9LlkH /rvlXrAbmw3b+ihKq1EN9JmnUcrJqcrj9mAAW7RusxXd855Ca/lhqLBd3EvDwXW0M33xb2CF4FSi M1tZeBdmXqkGgGyGPD/uRpQOXN1LxVsNCgQtv8zF+6rA5hSvjaJjAgwH7CkWCbz2EtpixiuXj8fw AvNwk3R0Ic9z+rLloEgGNn6drfQ5eLkaEtKaEX47x+LXOE34hm2ReFsb4Mil+9wkfjLJ8u930zNY G3u2zntYz3ruhYRkamtV8HUQ67S+S1kf/OT+SCW92/rG72Av7QImlDuyBJpBbSEL9KSrpjtd9aB6 E6YyXbE7zLFp4TQSfKb8H0FL0MEMZrg48Yb4DJD8X/9m+90IDViK9m3uT2Joi7iLoZphYok8v+wA wbunQRHsLAb0yH2o4iTJg1z/t5R/IIHyPx6hWQbFrX1mpYuDzegHssTUYGhyCVbCfn+4b5V3Y8xS Cb2Ada+4QCx/l4Rdn/dX7SBETC+9Ytm5G06mAKEQEcVjxmhSpsnHpENj5bjv9xz8Id5YxXex9C+9 F/x56r74VhVKpaV4xWY0fgJUKLod9wgJ7w7dXd5hLC3xEozpqlU5XHcItfeKMXyUpHKCHDOy23v/ 4/IXeCikovyFGS+NueKeiTm8M5kad3YFTJ44KEfh+E7Ip/b1dvTSfQy61WSRIdcEThPHuEK2UV2n CpW1W08PftLM5PVCL73itf2M7oTireaVlO/eveS5ik8GLzpVcaN1nT689xBle1Mt6UfYsoznsdrP Qd9taP88kM8St+wSOXaqZFxpQlrFk/0j/0BHskBCacDyF6NIsXPGw/uci0C1v2TKa2dLrwS+ZICW N65a3ybVbqWY+h84RK3IbgE45DmssuQJZRmGtYH8mgD24K693XUKQ4SLxKNBkMV6xky8MuIgzn8r P+CGdKbnWTtlHOb/DusYfURA6WsydgQATRhjAgPOErvjffUs9ci8gPPMbVLiDImWlVin3twm/oZV 6UHrMAdqWr9YmB2eo74ZEWYfWt9yxxVUQPjHp4CVGZP5/8JM6BNBeOB/Psy/DjHobw5IdLEZeMr0 Avwx/1SvGPYXYy+Ge9CaiOwVYKcflYbrHjI9qbegddwKw9IAHfod0C/ZY2GcymiCfHTYKcX05CVO y9Kl56KJMotDxme8h513wnZzZ/ToR2FCyjZak8PiDZc2oMs70MlGD5O7VTLktD04+5Ouso7yogFd ka1kVylzi09yVjTsmnKU2dor9CJq3/M16txCtzy4sUP2WD6Awxa1uX+hIjFiyV/zJkzuUMNHgmvq T2mc+X+gTmH7oLhWflq99E+dSLfrkVCr/5ly70NcLOPy98kfnSdF30u/aObMRODw7vhBk2DXNt0x C3ZpAwzt9JEKfvzQItyhBls0eVKMQLV510LnHr/vhVeOjaTTnr8DY8FS66beh+77T/g+iUTCG6fT S4ZpGGvVJ1stbhhWr6VWKyeal+Mo7s+q9zBDEiM7QAFC1XTzQ5ik5k8jPwX6nyih8pHvrD7nNVgA HpAAt1NIdq3tBw3FTVm4yAFOVKt7l4jvq11rnzKajtOJOhSgGYwLfiQYw2933TlA1n3aJm/eh5Ne xMPtC3KXunnW+eLjqleJR66mA3j89j+KXRtDUR+OW6WxnZptvJeWmRF3dtfoxzaXgldyDGu4WTCN 4IKTxRx5tyq7QYRFCvhGFMpe3waDwmomQ2O9mKXahC4k7w7i8DNYgZM+YCbpVmoONdSFkK8iXelv k76XIkqGRntDbvUukP6Dchnf4y4AWCD0fX80ZcdJPJWKVnJlyJepx+69orPD3WJs7D67wDAStnjQ uqa/GtEIkEl11zlyFXu9+Pb0ChEfaTbmiDw02XgoMiuclwB43iuAUbS9tpkW8aNGh+uLmFUuOub/ cqB+putbTUDEC/x1PmeJpDvXSLwsQsI4/32VA2rkJsY17F3XW/HLM/JgaOOGcYfQ931k4qOu4Iyc TLFp5KugsJ1TXbsNiKqJt+5cAaq38TbUrDf1VmO7X/SKfZknbPYthYLcsz4gs9ALgInEJHDc0H+y Ut8T+Tj/BgXksc+yVWJEbAFCeK7mfznJ7Efxb4hr6HA+LD2NqHcecWza0zIeO4wNvPrDghBIvO7Z lFx1ViL8nW1+R7TOxc/B3bdcC/PfcC/+mNsyHAQt9TGFUVH8QSgksGecAHJJSyXepK2k0beDJlks LiNxTUbMBEvRgv0SedYvn4ewFl1uc1sabzl2pnTlN8JhEn+5TRAAPqZeiP/rlpgxGNmC9nbV3wBw dMvqyFVOd/q8VtaC65xv5zz3DX97Xsg3HYPVwSX7IPww2nUw3fK4kfl/qtkQ39jBGc9oR2IMVJZK ldImuT1jSQGR2k/u2R+mCuZ9U2runFjklDdWKot64VeOlcYj104PZ0OqxWVC5HWs8OH91kCzlR69 HfOH81+aaMQTmurVzX6GgKCZZaFm30J6TA7GZ0xLQL9uoT40TJyQayx0PCLvUCkw8IPSQOv08jS0 adc1PUhxjhAifkw8J5I0X0zRUyz5nOQR1jPJF2JlLTLn12f5kzsA9/vj0CL5POGQ4ib4Nd76dpQ0 vY4OGbLi4KTIbXG76dJRCidR5loZI6+WwF6M6WfWVK4No3Fx3WZ3qeSo0ka5hla5pk6Xy/BUlF+z /wXCOACnsydRdJY1QXip+1XwrheVz+zKrXPkKnA5d55Z5ZP2bfrBPaEHI5IYnctPwCHsHcRGF/6f NDnaMsiV2DeczpRGyHv9XraDtKWbBh/flEjA97suWM/LrZOuXdZaxlQaE5ItatlWFvF3ZvRJnKqR vA1aKPIFoWJnKeMtuO0VTNdD1FV6JgPQxRih8c7GgRcqnCYCmhoWKADC8cRwACLyM7/v09gmdS4W hAdsHPsOIWp5sZJZlh5if3sgQkh/4V1pSf54pAVRKBwGbcS3i/++roH1vZvTAewdIW0pu51U4lVt no1XNU+EkOWrL67cUKMI2C4vKRINmsmmXMJDNVKiOnJpwEcJcXy3J+Zla3Gs69irfZ6cBsUNErrC Yhg6IqiafDJHaawvOzKi8MaUqkYmd10SrQ2uh0NlZnbuVWyZwBnj5sUCtNa/nFDRtBcq8P1VGYJ5 xK4LsXi08+G8wBwVeTgPc9Omp0qCBxpeyPYBAt8I5UeEzVY32BFaeAIXCuMI9V6qB84R2Uk6Ckjb dcCeaLQSpGfJ/JqTf50AsK+HKS9/0WwGZ9+9zUaz5tZ9qbVKdnvKJRn7lXweDj7tq+2oL2RHpHrH GhizYWl0nBSKBB7X1a8sjGfB0kP/7AOcLj/1FwYdwAbKFrRQqgUubF9dcCAceXzjrHxnAXhXIRj+ py+dKAkYnGkzgIlD1/smgctfI7uGOGP+6WosyeCC9FVNnx7IIGYq3MEBLY/sFaur6z3Rff6kU3t4 33uYxLfovp65Fe+8wJQR7c6KSSmDpBvRM0e+EZODVvsx/cZUdu6jAWPdgoJY0Nq57Uo4Sgbrx1tD ktvFksHkIJ+Tln+6p/UENQ17Y2dsAWTOYAaIC6WF5vwq0ELfm6fLaBOWt9pFscRz6O59X4OpxXVD mpJRum1l8ug1mMRloBem7SxJD26Dl55RkakavXCcoEUaFVN43I+VUpUEZXglzeUImknCS0z7CO0m KA5PaBGhIGbmwThob/hCj9ZVfbMZ7oaUFFMHLEppPVb51h+DSMVeIToI+K3fPi6Ii9wyO7TE/S7G btdEUpkBX5e/5Djnt+rXv37rtOiUUKrjS42zAudpreY6PVi3+sBd9f6sYBWiSBqIlJDoPYMPh8LY J2WuuZMTJXyHKJmv3MijTCj1rIvzEeFzRbFmXD5IJfJFQXBHdxoxD/gTfuCoBQOLJeYxdyYGnBM9 EZLw6M5u0z+EDuXP32aGgI05lZqpOK8+K98almiV1ODbzksvCZBlg9noJK+f9WzKc+430sX6LZ2U zw25kZMXDTM4Yh3rcywxpG1c6NFSu2o2hX6/TtqbxPRC9gh2yh009fPbIFZbtpb3qVRXtg8ojx3c rUisy8CFcYtf0nXMP7YtEmwFSv44KWEEQdjku7MHP4w1QofpvlsTFI4okNJBu1oqNZd1x4h+vjDz t31fm0tpIFVhbfjHnw4/jQdnR8xPvHzSHpRjdFFzVcrHKlFmk2Hjg/npukHUk4/vCIvOyhxgo5Jn WmohOi4rYCBiUWoKCRnIwhD+g1xpVslY9EuP8TpGxJScsXUdRTTkxnHtJZULpMCIDv6NOi9xvhUk vAg160bqMjTWFBCLMOJ/usbv4l9DKy4JBnBY5lQV7+hEwec54PLroFp5HSZkC4MjFRmm98Jxn2Sf N3J1rkan6CUMGpBBfMZQZOwmPpwW/aIoU+rKGO6a64QsMUzO5GFSmAcdzbc21M9Y2P3hhFzmRQIZ C00NQEc0pCHhLO09qdNl56ndyFfENhFSBoFQLlb6vSx1HkHNPqHNlcQ/s1RznxUHmiNfYa2QbM1N /mnPfyHn7lK9O1dAwUGmfRpkVwEilgINh1dqP1IBYojfm8JURDD76oHyWmVWMlsjzBdqAYzRKHhg zsFT9pDDrHSS1nChN2TNwcA8XNIG2hjNBQlTaBchmP18AOnEGBx2kC8vk8WHHwZrc3j5jA6VHYml mTQGwHC7y21wlWWAdugIpVx6Ou0rUBWoeQHNpJZ0cgT6Gt9V3yD4QfCfZ8NmMF/84HIqwB17bz3R sRDMZo9RoKj2XNdZRRQ6Z0XLLMqRpdovVfSZJdRfvDFu36oQ2UDQpgd7r0DmIg0Nj+njdiZj1NSv boysUZJHrLJ2EjhwYmGS4VlJrUg03ZmiyvmdD/aCLcJvvxt7zZVL9cdGFirT53kIrxp+kjdtKrBv DUrz5RUzQgwnCknzBNTe1qTYdVWNzME5kRFLQDFrMAfMwvudeUzSRyr3ltv2oJyP9mkdrRWoLuyR UqXelUrcw6ZS0QAs4Bba+9ocPkykO+qASLVr4Hd2hn0vCoAqPUO0zHyOewvU3rKI5Kxn+yHIZd4t xc4MfTgsgiGcW9AzzDjnPEDX6+4vFQJrMI4Q/AFYYgxNSF4nRemKkMgumPd18CrG1j3awzZGEhiG KiVglpgog27IHlRYGTrq/ZMTLj3hKc51KkD41uRg9Jur9cxtopd7VmLhhwsr2EHy3lGGUCzbKut7 7EzVmwlyiwI/obL3CBfk7GUr/nuq4049Ts0DtosWVhG917WVldoFCJHOGYWWkLuUur0vO3xn6C6K 0SHwMc1nLQJAt+cK6rZLhZNd6dWT0g/0xv0K2TVAppMKQuyGqQc6B8EEuXVcVOtFQsrNbeZJotdL FjGdIhIx8p7VbkpXnEzYYFN12E0kRfOgMgyBdCRCS1XL0avqbADfyHeVaLGypPjYthDOdtU9/K1S tg9CixFp5pZFgBIdq4btDtQNfQqadovfR0aZrEWOShfrHO95NVWlwH4XI1ueB5u/CTyYbwWo6RQF Q4vXBL/Vryq7e4+5idj0mPKyL4p6azpr1aD2fWbzjl4pwTefoutj2NYNFRFssRXsuqCkb5qlwCy/ dHnnUlK4QXOOY4wUw4ILUXpxo2jInZFkoK30/2wSnJkcjf4dIBYPKSV6mg/qJ7/5bhp+3+HOoUbj 8oBQT38ot3ZyYC5TxD0/IyEu112JyUGZoClh5XrIDxjGVKG9ZCzi5mVdcCXS+rcmmCAsTKH6kuFJ GTEaj78ndvQ5AQElw+XxZK3Ibd2Wki8Oyypot5+pybwQIp6ZD8WG+LC6tFgf2P0gSm393nOoXotB fiVEe3g6O/ri7ChHt03X4xs/NzxXFGXGpA5+slLytYY3EkYYOgl9WDkpfj2FJB6Dj4s0Mq+DvfRV VpyFgshqy53O5XGquNxFbfitRNPxa9HJtXoNOR2s3m2kAj0cVsknKAH+EtEnDtXbNheX6R3WGDJ6 Tjk877mz/zVVHnSMrVkbGw9Hn08fqJC0jB/HZEcguMpcIIQVJ2cAGCw1/5qEIEsuXKldGB6Ss8zV Cv1d1Z1ccQRAr+aLjWKdn+peUGLmWrOWTCAKzhQ1J+iotj8u94mxIFJHr3HUw+ls0h2QE8jVAP5O Tj9HG98lSzkEiJaP8dFqex8dKY0SOop4iUZK+i/7ZcNdgpkfdcyGYhaJWj9mCiwjAW7Hd2NfJ6O8 KI22RCEEoawmF23A3dpp1Nmh8MmML+3X2OXxuL65PEqUfbXHO02PmTzDQ+rGZJ0Y9MK+PnKARM7A SX2z7IA0R1U2CPNKHtqCDssvZgYf8dh+iW0SOENkfm0lZEUDFFcdNdUg2B/b0l8WZZso7xxCZwjN poz9LsyVYYlntW6hdk2bF6V3S8Rqj6q+XvWOCka0RuhRpXrcNIFve4vB0Um8M8+anu064VQSU6yZ jTosIrj5ZnXbGOmUQcE5bptCvYoeVLpJ/8pcmzn4czybuivWQ1lzfg7h4Dp4XH/tHVmHTPVgdWv+ M53AlGmaEZ2TJDOzuN+S9vNVQfv53hoSe0UhuA3lXkK8EW3jT/jaDR/bZeTeWAld5tIeqfJr2eI9 ME6CEjpmfWIDSg9zZrVpPeQrhW+Hhi81Yx2U2ph/ue3Apn0jbuyxQL6uFAmMDPZFtvWP2YWl2uMc kWxkFjsCnyHTiD9Qt19PSK3iR8bzdlW8p3A1FieEzsJwsrARoYWowog2lZ9UjOLziDEjWxuI56Md DoTTzBEtBG0kk1GX/xUZieMznun6/KaiDB1dkQHEDW27dWbr6q5sbed3jxhLiGqKiHZgZC4F2DDy T35+pI6hDjgExA44oHzSJBnK1EkwVH/86nKX8Vx00PTA8sspwRns4ryOnK0tS+9U0bxLkOh1XfxM 3R87fajcDeLiguJoNbh/QOrAeBLxcdVJV5XjTcaLjxMlzyu8kuSHIO+EIRSoPECDxVSTCTgu2zkO cw8sSXg9HDl1WV94uO7h6MLJsI6nFG1DCfJnKIt0QiBxKwTRGuK2XJGYjlE43jgZEElu1iKfQUdg XgExo4bZ6eto6t25Mk2XbQYnZQoxrfA880Ay8wl/ulsj1IuhxITl/2WFd25SPjnNHiMk5uCBnIEp YOMcmyNaoPl64ZqkZ4uwWqxMPxg1eYxQECOysGPkL9ACVzw7I56xJvS6UfhaG1eRFll5gdTaP9XR Ca629lSDKp4ioCaOaB0X8ch+GtCMYtnw8lkflCywXQ8K0+rk0Gn6ksg2HjL2vhgj1ulu4u4OJtq+ aFFno0gVIeavy8awwcfk/uEqzlJffWbrFVgBQIIW/fdtf4CUTWPnjpkD1TguhQ5avUSvNfGFVw4s rynsHI7Nh5CiAlxlCt3rIBFFlIycHIjugiLl/n1mXzTNGi/Y0y4Am+35yfaff+ZotipDK7P8D51T WMdO/vc1gTor6Qct8QZLi38rCfhUn8K8f49kJlCHhjrhxzJwC98Blvi8vfR0QtpOlUWrTlncCKgg 1mTIVuKZ+oa8U8V8HUILMNdMuo+uwVsxRB+yjwbO9otUdmeseSZs/EAPobN1L2woXw/xA3k5vk5s 3RPJLbKhhcO0i0hs5hvQ5TNLeXoD1pqgMn7qfDwzyxH3Lz6t8ThMVfuTPgOKMyYDvMN/k52Fudln 15R+NFTP8Q2Dqb+hTwmUgewPRCPGui0VlNs9QGKM2x2214/g+aH/8L0VV9VTMioaISUdatYPpcAT NMGi20nB52LmzcsT94SPiAk2DtSF2QIpVVFjgMs/XrS5MQYZF98D20KWBBZTppFWJAUz5ShK+m+G FLHTC0n88ieyYsulHzOWt3FBoWORqIiEdJ8yWuK0dX8nKpJ+XOwzHQVAx8mzc5tblx0pxVsSK5jC hTfb0IKWdcUgvEiPo1OZqMTOrZdk28FQl9omYbErlDPGJwopsEp1ssV97+AtaCgXd1EOmjBqKVec /xyk90nNhX5vSYzSVja8Is0TX4DKF/plajfeoG7NW/OAzCfzf6BvmnmGVXcPRpSyFAbUgN6zkuxr E9LJZRDLI9d+eLAgI79yRJmCvbtZCbhBrAOqTsmhItfw/NPKff2szBYYNqM+KBPRsX/nPra9JQm7 UGnyGK2WgpEstzNU17MpW9qvVx/sLAJfjVsLALF2VfUsZSIo/KTIt8GHTIEuITa0hd52OcMj2X+R 3zOi6R7W05mGj7fq3dabCyxaFdBthlJGuNT9o13lV82WxcIHSJuh20FaRRg6fVgw8pMiRbYDerwd dZ3mD8DLil4eZeOL01rXGAwkoVVhqbxAd6RAa7398uVjt2msC+Eu8Sq5tatFDoyPxzfc/dDp0fFM R67PYgg+pxS8FRrVGhKNiw/ap3LEaambUv6SpNfu6HFsVqsHTFb3vRLqYJXYLKx/t5qwyDhGnDM9 /sJOnXk4H/ExSLX5t2Lh/k677tuqhEcOL0uJyAFUQrVqI7Kl3CFLvf3K7UAZgh8/D40euocKcuVd Qe+ChXNpZpDxlsMy4hd0hWsMPPDpm/cImi3Ckwgj06al2kUfOsMHYzXbiCsMp4aNP1xGBPFn4C/l qSCOPRhQSQFXBk+pU22kV2wVmCe9S/NQELIrjcsnepjB1rdjKWCU4DUzJp4mcaC+97wMud26zu9J C1bulYppiO+KX30oh6h9qryi4zUwaPwj0YzFBAJ3vYCvuBwVcDPKUyEKS2xkZS5uR0VulWtJIoox otNrFaGI2MVYcHxRb5TqJZjXlHKaT0cXJS/784f+uygRWJYpQD7jSDCpOvGE/bEiZwqtlpkvAlYJ 08ggG8vcoS2IpY8jgVJpZX/Atx8i3OmsPXF6SdqlfxyoJhFYzddBkaXrF5GfW3woeA79qrrDl1vm c7gvl/9SYsYeureHeVzJIoNTIQHhMRzgiWKc1l0ALM5PVz+5Pg4g9y9Rt4gBnO0I4pUINvHtO8X2 2XaSa55Wl1YB+bCNs+tAT6IL54IWnFeOzuKyuVcoa7WM9CLbqVHpuIsKODuif+GmoZH2h7PiToDC CsGDlWUY3qlBrrxqRFaKVgJBTTJspJn0rhp3MeIrEh6ZKbIXGlqX7WoSkFCDlOYSHVg4XYq98W2D RA7jTjacv5o5PCzG4aUmBJURo3+t4Pexsh571jUdLDIKXtLOhRL4EmmHcThCnPi/QnfVD5rWVnoJ cNiLlmlOBRAEW33zOTpyVmX5ouhSamwGLM2w5PsMvPiGw1AT5xkh3jiqCv8KiA7om9qNWMpFyuLT 2betOKROeio7VtQ/JHs/b2s6V5xFPrlv7u6IANCgZfMWQbjGCoouobdPL6TSetYIB+ftqV3xvfIx VeNhOEzgqKkOyzKFiFoPy2gDdYk81sqnvCb1OboWamhYjuoZfiEk2fHuiXoOHUn/3n8+JWpd2RS2 C1cjQCNtHKSJ4QnF+fZdpV56UBu7ptZsPDAxL/ITmKHJV+DQfRLVEvJy3gdyUoxMnkt++mtdIqRO WaQ6KtIVN0E9kTU/IIxhvU7LpivXjnknclCRdbIP3zXEdT2S0og/Pj7oX0JQHTFyRkywJr0iDDKp +Wzb7wChxoRpR+DlVYJJSKQsnrQCLSVq/8nUS3wtrQHtzuYGlHY9jtiapXKnwGeLe3hxplgJbT3T 096nKWDlSwBHGoQQoOvZxsxQbtcf0hKIb/MKbX1mv//6+IhqCRQM4EOXNJrvb5mh0leHUUffjjV/ 6/GVRL7yPYbstFy+8jPwOZoRYdD5KKWyNDoUe8XtXadFggh0xyedMR2VEIU93ZpH/L4n7sDkQaAb Tq1x7/lVaP2uRJHZUTWctEP2Qd1rJlPVw4JRoqoYYx9b20Nih3WbcFozAuI3u7SkceR26dWupUy1 VqXUoNJ4njnOyn/dttHYqg1PMiaSKDEJh78ezPY7bj4ltpKp1+RpGlY9NBBPmvaZwHAg3CE+1t8j +fvhISjhb4IAtaogO2p/Y5Py14ubOpqq9wCZVFFuhPikHwRRm8qjMoSdPsW3G53boFezmaparvPW 8oKs/o4/iYsKJY5Jb5Uhq40ZOx11OBvTbd4Ku0N8TVq8cUGuZwOTYCM5ls17NQwqQLR9eOVcnz1P KCfF7sYk/gq2sM1TJGe30vF5gPDvDdY8CU1krERsW36atSMvDHeDiszwqVD7A5WUFoT3lfStgwo6 UlM1zRmC4T68GIxW7LhDXJfR4BOGamEcV50gn2OEtliLfftJ2su2OBOxz6ZcwcJCkccm2hPWExMI aq62fqYq0C+6EnIuKPSo2mne+eMEhlpE1Z2lALj01MG5Qukr6tUhYpXhDcM3gT7qMOzSWS6TcW9J V7Jaxf4SI6ZB9C1v+YCfyfyaiVI4hccKD+KVUhNsXLPwuefZvSu6/F3jGD0XmsLsAtSz5crmp5Zy vPSoW3eiarMrbcY01Su9Qt9ljsFAo7DpsYcTde6K6L9lYWFuxPCaNAKWb3koHza4U8z4HbJNRvnw M4P4fb+SqgLnvPd/wR0+eWfp0mgR0cZCxoUWdTMVxk+ax3z3QelnZG5okYdp4yPBCWlABtSus6xl g5BVi/UJeRw6yU7ZQz9LH+isTm0Xj02mY18iRy3vQqQrjnhqegayYT4Nxk4ZVsPNQeKsHY3e1SIm xGoGpeUHlGY3MBCqrf+u8KCpW9b/xxyTGSmJNtRsh9OpmiZzQ0cA9vqZA6MAB4nVSSS1jFNYld4C MXmkinx/4SmlvG7W10XfKmMI9wc0ZS5qAu7ST0pHIFrx9xiHD6uGZ56DDfedVPVjHHnl77mKWZb8 amwqjTwgvTv7TMnqtuUqFqazS37CnmGdFjOFRB7nYGqBEX3+yhACJbgcdjhMYrUxULdx+K/izR9K kBl6H4aOaqedyyV16SWInz1v61z9KmvfPS8EKrVvRDa6vQLJQyWmrk8y50kfBvOIYxvbQ8Bgk96s RktdvzQqGIkZCj1NkOt8pxkBopxg2qiPn3DFiVppFqXfQ4pJ2HQlL2s2EPyWJJHXB+hV85yUZ5kz is5pRZl4783/Do6sDT02BT2t28HG71TC/fJjwyRi1F+pQz9CiJZScO/LqX56Y/jqVWIs4p1t7yxR KeQ17W9CVF7qCGQpr6q8TGXrigNruGWyc0qdaECk+IggViwUCwsqOqgKNR+YFDI5tDArlOWjsCAu uFSIa2CadvgWzMiIrV28BiS3Xu9dOZsUEvrkhRs62BsmF+4gCWn9NxIHtIkI6T5FA5KKPoGeocg0 EZST6IPqdVtKRek8kxRaHr+KwxTOenWhGbVI3eVaQmiFVFc1R9QwXzLLf4YepRH1cu8hskFif2po A8iuHCcK5kilo5Q5vF2R0Ho/IavgukuDTJQ8OS0Mrei0CxNtemwGpVGNT4ZPkxkOJSpENN6OPwPj xH7KH1Gf1CWUKtcX8H5siEisaVTChDO/nA1sxEYg+6l4rkEyGHo7c3NLD5aqWscw9BKUdD2g7XMB fbgPEirzbxvP4V/16QQTGisxkB+bmonV586hK4vzXZI5LrkVoUa3yczciG7uORtsZVvRRwLvD+hK S/guxCftfkbDMM7C9kqLhXUxJ9wA/qEyuJ50ua93u+TjRUP7J2RxIZeYcGLf1C6XiMxXkinRQNny Bnxb2cho+OmeXxZIWNmg/NwSr6r2QbY3UzS1KCrxY2Ox/I49aCmVPzaTGBpNHNQhvpiinKQ/QzFI k6SluhsDxgarwayJ/LYhne3tyAPpu4058FBTo7CoyfZXBXC9ZeZnGq2cnO8+l79LmRNyH+jODIl5 FS8AinvlsSJGQ0DkfLyulZ2B/261deoxyC/e6y76B4DjsQXYwNaNfdsj88348smHD2YJL/N76psl 88ZgZ48jB/ZVBQTw47K72ulcYPyzvya5cm3XNXXggXYxtSlv30OaUlYdUeVL/Mr1tsOXg/gaLBMD Ee0pJ82gxu1EbWyUpi+U0Xs8X+vO1iU2YxOjYXiz8kIgiIFBly94OWFgvGMHhdeEK3xs6PlASl3W AtEDiS6INY6i1O/vo4MQBHFM/FDDKL1yaMPbhdAlvNbCEZj98FcT2qTj4sJDO3aj1k0eNxfJ9R+x rJgKV2CyfKC5FOf4YdZe5kyYEW3UpLbuqR5rM8Uk8R0KkdR0I8o6QxFHA7WcRNwsPo7OiclJ/BLM bSLIlsmZ+30nqlg6JVYbX0vnw1xUTNDtcp8xPlDJqbb8SBWPiVNGGBWzNehmTKC+laoJ++7trK8h yNvKlwOhJEsi8Zdlchhc0q607xBg8JDDzNzH8cBm9Ru8nvoP+X8jkn3xND0hakn1OPxhNh7efJ+9 T06e4In9ZMaMkbq0fAwwVfw6s5bp4se4bm1LwLjEec/fFFsWm8Y7YmNMvNRhIG1JNu7yN25L+CLY l6P6bRXjhxxpb9DMZ9k7V5WTJ3WzNP6OonHIAMjYrspJiTsEUtvYjllr3KYm9U/3XQQqcre27+C/ MBBze5CoaiDyO1qOuOUkJZT3HDQTa33m32A2TQNpWPvpCl3hCcVYm7mR1EkITbcVQO9SOlA4xCr3 LkZFkWoMDAXiE6wOqOxMQ2+5jWTsCqw6a+o+1bhOaMTFgaHQ+cltFpqBaQb5X7rvYEg68dPTScKS HCPHgkQdwjPTSqTHOanWPCklpO4zKvM4401kRNwVqfuoP/ewz6RrD+bLrXxiec5W/fDEDPGD3dtV ScgQlFAsWgwaPMZbORUO5mec3KvozsQsg4GK8/tJUlrfOs+P7dVRTQF7CaYK00AzgTAcobAnKp4/ P2N5B/HOoaCptx3HTuTq3ep5cSq4H3OVwglYSlqjGdNeOLlY/kkuMEH6agbl3/zL+7CfeloLLMcN z66SqMsBY58rP2nW7afNedH2Ne4MGLC6E2Ph04AmdnpJEsvHGc+l2DehFD2zIL2wWSx0uHdTq6nO qz/0MedD6BgakJb0KMl+3ddVkhW9r4/BFsADHWTAFQ7g4ncyTCLFpmdKQLCshozOCbAMRyknRtxb bSdJyrlkeSMZiAgHVVJDrcz64aebJwYPNJ7shWPevKWIva1HAYBTexR7B10cYq7EwVZ7jFGcE+3U PYuQnGyzNVblnOwuMMZTGTEqXQFkSr540wM5KOhymAzbG3hmE9KWLvHmVB6ULMDRlxbdmWLMj8l1 OZ9RLJ7bfKVpQNsknmEQQfqTeAwRLIhZ4Ik+fqL2hEh1wvmo85ycAPxoNT+vuAmVmA3yPrZwf/kW nesVE3LUNHMwDa+r4DQ0Whb3DptFSSdz3jQsqKfLuCVNnIgjlikXPY4BvMXqc4OKfjHXsb6Sn0Zk RCJSJ2R+OA2FBPiYQya7dgz8iTErT7GyTul+rx0dP3fjG9W0ukKQ0wXXoeB0Rj+Gv7rFwH5Cbinh i9kN32lQNC2pOhJd9A+DcdCJBs6nYABG38ASfTemprZBuQVbyXq1Pxf31djr9BHi60CKPK5Fj6qp xEnyrSFQ05/Wq/K04oDFyWwggI6+grvPVPXKe71I3UHoXrm67Ou+pFIOoxFinyavY2pUzUWHweNe QsBc6hA8rocKWDoXIBpKuO4WGLNxQhgzaC3GF94upwlgaYEUOqBq44OJ5fsIZ54W8Zn9bINuZ5Dj OO4uEiOdvA8SVFTyNV+LgKwfyg+sZsaaSWAabTDzFtrh9thFri80tY7f358bVD9+1ieY/On8gWxq R/lGU0gVrWtJWCU/hcm+mc6/u5mFspAP5JBxjm1Fa9Ru8qx9l+JboQFnxNSbgit3jKwnIXbo8c8P 3fNKPrOglhroXg15VpSWgEoAnmGr79jEPXU6zyYlbRnLGokqN8jHGQs8yQyUEqE4gEUU+1SQ8zrv FCHKUHhxAkJATlj6OQcgSQpMqCmsQYXxg73xohVKi6yuWyN2txSFcF+dFYhyWuCfoOmse8ji1tPO A0fGZ/7GgdgVCoNQhr9jUSN52N5Xoj/i4/FJ8uJ9CdpvpnsWrhQO2paSGQzoF+xaAU31EdbhBoK6 xp6vsYfw/dPJidW93g5QpEnfhEAxrhEmYvIF26mB8M1LfqVg0MYywUqhHEzDK4/T/hVi85/0/k/+ o61evSHAyN4A9JZSEzTRds5sFubI7c1yg91WPQspkSJI0H9wuLmgS0V6mWOsT52kzQvbjPu+XvD6 AczBoy74PZY6ziacEQAAcDvxitZDh7fzHMQGObjy0mlxdx0ow+prpmR8lVBIXVpKkVA0mq8HGNfv mvvq/RRwRVqorcpDqo6V4Y4fi2R/wlD+/VlnwgdKDH59055HppaFDCmwNrMdh8o8XzTnAeMpK/4z NYQwW17Oyvdl5mg4QbzKtkq1lmshuDZRhvAsGkmGKFoGpbn9rLuAL3rGjle0kh0dZfLlRluX/Jew LVex1h8uFz43MWn7xNlHpP6Pmc4h75ue+WFdzPuVDyURVZwxcaOp38uaCYGWOJnFPuWGRfO3dIQE 0cdfuXIFKYRmVItFtSD2XQ+n6AX9umMyVbVeJduVyFzGfgYdkw9ubsZJqvuW3sdrCA4OQO5Mw4LK jD54GLgP7N+ME7g2pC2R5d7WbLkVjMEaOEr/5kQoN/I/EW4MserGQIMp4dqmYO/mricTQJE49Rg4 H/w9GEAIvDY+IFajqaA2SuOSlPuzJFR8Wlqfa3TUok9y7VaLYJtxuYkAscVNqg36wtRffHmmyV8l xro5xvR0zW4vsTgoqyphAreGStQLMyIJkLvvELsWJy/TAuTPLoRBL35WECP/BIaxipDhhGqpKecX upaVAc13Zh6aDu5EfUiebgX/VnWFzIDrEPB7CTrHEb71GytMHqOZch1eAho1BD2czvJ8Jn1YGtyt Qj/hhZ3RPyqbvjs8TkcRAmmSwZcC4qo/eNur55YWnTI1cVQFKOB5LWXwpN2uGB80JyEMtZ0sQaei 5ihZRN4DTvbKRlpi7UhHV/I/Z1IXcosvfoLfKZE9EdVSJ/l3v6pkHx6rtPB4LDHwDTP3nZTPzaf8 hITicM48RuhHc16eICl+FQXSg5A3lLcmaky1sj/KRPmD7hD0Qx7zfwnIMXZ0lmG5XOrwm2HbOFix mMkCHVQ/CC8DG7I0GKNew8pKGgriN90XEEnGWz+udyIVAiwiXbSa7M3BKfMoDl8tU/64wCVknyVC s/+84AxAgm4MLrba5A1++mtnLdjkYF4SGt/VTgYowchbS850sChYMt/+xnvstirEcxoODau6oMud EpAlHKZNGFm3bcEpBSDzDCuHqMI6TuuumvQSfYhQXOl+dQ4efYpIz+W4G0miJ2ugMXehYKwLrIS3 pzlx4IsCehcnhvabrwLsFmC/k6bTD3reVpABNyXUNgWwqPomuqpYLuMOFU+47Gfx6vT37fhHSbyC b+mHE8ViOFoj8hFO/qcpcDiXIB6rcqoGAHRs4/+1DwdvE+ouis+s2Ceay4U122ATep+kwV9lpsAv xYKYg65nMPdT+su9oXvEg2CgW5ldCakw0osw1PWHXRy63Tq4JoVCBXC9HlRV0NWO19cvLG9b3Mlz N90KBD5KqvVq36sC4YvzTcwwKbCdP/klci56O18CQPT/QUELrwNNIcM36Pcn1uwq6ONRBi4QW+cS xGmNkQMZ9sNHcocyRqOyukQc91dhNL+fGDoH50RpGGYeWJKk1fNJ9v8b1agbI/3iZuStY+34ScBM GcO1ZDH7NOPjg8b/p+EwDdRIvAvD27OU1N6lSvRMbauHzqIjqEWYQe/m+1LSmVjYWq6sy2X1IS4/ gIHmrKsCwt4bq3gvP8qP6BrSWDVv1ci6F2V0uvq0Re94amXTTiOQI7L5bq0zXATpM4YEA+9qtLH4 7xwsnBccv61MkKkgCvdWECIT3RGnEkAGX/8JTecKfXNe7jZEa0XrxlJt5CJi5yk9dj/RFJjk0n8r ov6/IIUn29dF20Js++pi+wbyNOVMB94VY2CCdwtK7Jbi9Z9SAKDmDco6smVnjGtdNNUFuahXXw+j GGh4wF0hNOuRPBF3jYfyD1Ylsw/45clKpO6RGQFdEkGuASS9A2lsWU3zxuuXoMPY1nGz90UDmKn/ zfsCMlhoyaxXPJ5+ulbB281jyTBSNrtfn6eTrz9WtWUQ3UTryxwHTCzePcwBwshvEhmnCeqNUN6B blqNMrjdl1FxOW4m+v9KzLMBnmZ8TokDZPj7gdae1GMhBMxbQHc2N/Zej+r+b1AM+gUm3FNB7YNJ oqobYPbH3nRPVx0Js2X+yXzIZKW4BCg0wjsMrREmA2FvJqyKDr+3TZtr9vszdsBqY7xMcXvUUgUF v0oYzGDfRi1GoZex4dZwLYO3n86w/RgdReiQndPKNI/Y/+zOs4uQ36+8V4N6q703KfBwZoAhMppL Stg1bMHmrY2pFYEa1yUT8+kwjtLFlCe7u/e2yHlE4iHalTmfEbdQPFnvB4MSp6FQNxxPwv69F2FZ 7z7CEcQEeJ3LUlmr342yUwX46gYZ4bL6S501CnoRXkAhYUQxIotlwyM4bsJ9MeMbbdufRHENXuct 0Iv4il5b6vDmm1nlRrF/9D1nSAh0E8B9o1I+SG/IeX142Q81wfrPlEVQqDs/eDN1bePVkSgiYaXZ wXv9p85BIpkm/m4SA1X9Z58Jrt84T5wUlYL6mLwqx/FTSsJpGkYdGNZnOiZDuILkKyz1FzQbov8t Bz5bO3jMuzWMWSJRL+29tEq8v36BNuMCVJbWNqNYEi8T1w4xCb+YVAKfh2O/FEEqXwGBJ8Db3bSu Qb/cWCZ4oHywVjZqA8dh77aCi70Q0omyUkyqMWnON/Lw47jpY0K9xf8ZqV+YHaak6lghUyq+JeT7 X3Fn87lNHe49UFigqj7DyqGAmaRyu0E0SaqT6uSwW6jAXo2RakQT7LzHUYauEnlKL/eBmNy+G1ql JaLeCjQ5vfsDRhzazfax328LGBZ1UonfkeaFIU36R1jztFwACb4o79xVjuslgGEL5AjMjPHoN59I OULPVdx7oWXfxEUBkFvkBKYO450HFzcHiZIHelM5KtboDmMIJETm3bXBEVDdSQTEBRaGPFXgImyt 5V4kMbLKs0GkBaZkh1PDCOcv4Y8zRB2P8bitTq1Owpvww29HtbEy/8udaypKUhD5Y8nfIEEEjQDw 4O9TapnwL7qG3KS5JnGts9VaiLypMJY1l6dVtWGigdLmgU2zcLk0wk72A+FAeon3+/u54kdtt11U /r/KZJukPIw38UTuRHbPiim2xQN8lTDt64KonQ8qnHD9ty8ZK/LXEng814amQLFN11MgLwYV2L+f yd1DC4WiqbnNUiTS69nFcxHKM2tyTZVdcuF1UMJQvcVA83nxHq3kuKu1r6vAEecQAJMcv/ubEloq sUvYQuzwotdNEwsQJWWHPfy4Nj+0iFqkvvvPh0g6ceKaz6zDErcP2VlDM6SyTwfkly+1eRpgxbzu R6a3qk9T3/IJIEpFo4Hq2pdV9iHKyso/xRQM6EAZLUswuPjRYLk80P/iMMKZ/FpQOgTQqGcueLSL KvGXx6rVVaQXgIQMNxyuQ7N2ssGNreFL0JYQcnskHt4lgpf33S71Gs51plkAA84E6XYoDAZgLcPQ 4uDXS3fDM43S0TSJTS9jSTPpHM1GIzkLbwSi7pQX71pjDmWFFwG6jb1BhGZB+mYfe10+AYVX2qjB L36jOakz4BOL3rq+wL4xtofRMOC+/q3nsrkT9gIEm+yGGuc1ieEx1vQhHV6UQqbfb4Pt15XRA7wP kJZy0hHe7DBIT0UNd/ao5Dzg34VlseR3bL/rYhKd+O31UBA/4bYTJgAWhBnmZwBFw0kk8H7ZHkvc CDGeKY1XIHtkTQqe1WJlG115Jr3bGPDMv2lhnFkoY0JT+Z+kjuDUCbDp8CWDum+KwiEogMzBrO09 CdOtcWSpGQ1BmIZo/6KZQotRnygaD6G+hJX07u624HkDLwCwMqqlbmmaOxpQgdbTYLHpcVTXrp4Z hQDusExRiZ5C4QAzIMf4lyk3gVMN55j4kQGyWJBFylf7M/vI/kz/Vnwc9eETik8ZxM56cfUXWxEm BXKZssJ4h82t2XPehpFNUVA8A4U/whQwzO4XzYV/c9cCWz8+zaV9xL2ekqW6gAnXWFmPLhSpS7lk hOnMKs2It4Md4cLeST6mGOTw1EbVlGAmrSvctcR2zFuwAWdkLRw0DAMNX0JFAIbr3ziq82PlogCL iVaEiakOculVChIam1v8Wj9d2+Cu66U4JZIthWaYDz0qTYJ7HYoHxt/NMRcC9rcyHFuvYPbxNNRa XPxKTCwyb9nyPf4XIrdtVqXzaXiEYhFCqrDQKs3Makt0o/lVJykYG95o9FFqz0AQu8ilPwOlQXDR AUhFQDxbaCIEGfIT7ICby8vYfLEp+8bFJawEwx5j91LXNLC/bFOY7Pm8+IsJhm6gkQ9BDOacV7mq EkAJYsAMAzJfhHkTifMjkvKaHb0FqPFKDr+rROyargJsPcluREKKmcWpVAWS93XvEre4NLp68mC6 NJ30tsWvab7yd4pr2mVhmSSsUomj7UXOGxQrKKHBa1GjI4R08Ws58ojRfHNUvUrzoLn/EL4Zl9ma 8/UTz97jTPJv4R21XKp3U/b5zCbQvfQX92Cgu3tqzHZvWphAmGIMzW06Ed/E8ZnLaSy8J5vAbAjr BW/VzsWdit4whsmcTO15NRBwqvgxzjH8pnhBSoOi1yYk8UOa1PJM4VdRlKXKoKlTjAqCUZkVkHdH U/N8tth6wJOBmU7gIsZKNkqnC1l25g8qhYDYiVp63LsUSOvTv9j7B9qTM8CD0hCSopHU6MwL5IWm n6w+A8qhT1dSg9M8rHdY2XTvpDJTRg0eI7uMdxSO0fgcOzX/zXfOPRBVxw2T6BnS3V2JcD1aSsqu WWiFl4VbQnYHI7VrnnAbOJd4jC58HWuo/Xre4QKcPJ6DCMMd1/NJVJuYai2iree9uutQB0k+j5TZ e72tb7DDuT6ggnY29FkBBBdBm3UPy5UQrhWZkVt5ed4dW5k+Baf0RkRzp7YRyTqWuKIYR9dCKzTr xaq+aY27X/q6pdN7Kj3qTe1ZD1jRd4TaUzixRTyCw3rhugxrC3MiLNpD4GM7eafhQ4yL3MZuwfeL 7QEcajyhD6HT/cn0PEL+k7ZIr/y5dVu7iJHmTkMyKgpCfL93qWzkYGeOEJrYcol0yQLLXzOY4tUJ Ijpba8a6UeKSfOyhq7sA8rTgJZLbGiQGwLsBkD+e/iXifLpnBCUOM0tpTikZZ7f62qZeds18YtsN 5GIZ890/tF7GD+ANKpPqZ2wqvKz/At/yOJfrLcdPUlwjs6agCtqCC/CsnV9UJc2ZIReBGsCUO7uo GckeXls2IZZOx5vn2miQxMvD22wiNLfrFki4EChM4db/Zv+6Xj+ynpeJKK0KhnBFNYcOByez908I 2gpiTuHDU4h/aKQba+SJUAiP6hQonIjfaWU4e8SIHjkFCZvjjtKgu80jzA9oeFgS8k7GaETjnRzp GbHQiUK9yNSVboSvi+T2ErL1dFyU/W6mj32AVSSCaKZhR1AfUKgDYsV1K7hFoAEV0MBJRKysvnXN yqiPCp22HaoAlp03zJonP/SpZ06Zki7DpkELxKb/Fg6mKTRueUUoMpwnRe0SIARP6Af/xHbCfcXg 3/fyMgCX0pYhrFb4rbK2lWRR67RzU3r444TStkFB6kAax9VNyrRiSXT2DAKpAyxT90YYyoEubbjj MK9W4mBDlOpQ6GBh2p0VVs4LLEPih1OcsNtmLeQgeAKddwf3Aaswx9ykdIXPacRpESlrZKOqEI6k b16mFFLJ/O1mWbXWrSr/hQGULZzUP7Ys4HX7pqES4rloo2euHkL7ynycBhAR1I7K7Xgzz61cFdjY 3lQFpA3nfbV/pHisBi/pAU0XKiYFq3dVAXwihH62oSy4Jhb/cr/OsKmGIECSvKFLgc4AcKnMbLoW nx5eheOu8fxG90KYELLi76ID3l8P16+QUeNK55QT7HzttS7wtDDOPElhFtJ2VRzHJ6sTurZLersL GSyJjnvgMmGxaf+3jmxbB6eK9fe6bZNxjgY4lMZeuLV1fWaaW2fa0cYqQjGAde5EztEl8rfprMp8 2Cgnpmua0+AyTm+GpZy1I6GleWO1VdH+/4RrN+QUmfkR5WRafj9pk9GM8qO5B7i4GClEgYodFk3T u8jy4cy3U08WpgPKcHsszXza7/HMhWFvWWnWHoRckZ5djsEsmOycotIEMd/D/b0w3vMOLbdwT5wC O9Nwgc7S9jRLbD/YnceWTaWOKDtNjn1+JqESHS+Z0c+eo4CtcF2eLYN5MRHn8Hpwk2BUkiDJNP3F YOetFaHWXBtBz5uYQjPPUS2Dvnx/0H+QMOgGS2JWZjmst2Z4Na1ZZhR37MjJws2cjWeyMxfNlZNP CcG1LvH4iQfC3eSp2Z6WD8SpRkqDahMAlMSmhv6O3jhucAP/YeY+m9WE45pEf1qtIb1fiCcm0+Kr zOGbH/VkRHVNznWeRuwNw/PoTV38QNRcOhJ3QrH82uQHeoR7zl1bHN3ad99Kf8X+/DRJ2wvNbABQ +VW1eYZzQ4cMN+FyKhF/XjhtpUprghTzcuTHlcxsrZsHKBMz2bdJ0hIisuGAWFojFd6eydRo3b8q a2E1mZiCCNW+AgE1LfXv0R1GGorPZbSmBSYPKyPu1TdRNgyfRof1EcEiIQTmiWFWa1TBMtS6TXoO JBJMYRplbkZ8h6D4857ixBh08YckqqgrW9ZP4FGIq90W1Tes+BvTGGzUPnVArNSYq3CDKgLEBmAe 9JhxoywGqk//KDw5MYrbTSQwjYFi597iB4RNZyTRC0J1HDdFp7yS8ShEUeNDv4Fhl5eDHdUsRNjz FCTMsxhLVI7YjHV83TesqOU67Niwo1zVV/tq+86ex3Dlx3WolNn+AD0kDMT3LC4/yOe25oE6Y71E onpU2zgtfmNgdD1cW9D2Nfienl3R74nQTmFSE14r5JU1jv6laI6iQ0ooQV1q54IhBSVnH+bTSi98 O0ZROdjMVBgXFmaZpe9141pcFLiV3GPNhzq+I/uQHDTr4LUSHXxlYCswLWBOLsedUuiCWLaCZfpE NmxsrZtVtIs8fbUrPCFaKa9ah7WmM2LyO5NtMb5IH8khPfl2gkmsJ6F5pYzsG94bxCSAv4n1w1aj FyYfR8Rg8rbH2X6qcZTSQzpAB5JheYxDEcwEE/HFguPwWMs7gyvJf+bATJ2ondHx/xnn+Ajtc2XN YIMHA5BXQhhtRm8pW0FPsP/pu8cv6MTZXEqSf3KET7115wamQDYq2LMR7c1SvpEnU7S027lbJjV5 YX9QgZ+/ECOopMvt7FP/7VcGH4YUn0YXbIptTq1+ERS26ooNxp6PFBNtHF1gOXazeUcod/DsXipr /3OBSYoEfOifNBiXwcQvQMVtP59Fd/3Y/NGqHctnndKFQRxQLSjzojs69zljRhcG6ygirTiKUDqb BQSF+s3AE1VfTWqZtnOAc2ckQDOJYcaxHSkzwwBShCYl7qXt/xUtbfuB3xeT+gK1xBQV6LBsIWd+ 4Yq3civ7El/uPyA7A2hvNYzIQL7uqGDnDqzXFKdfWecRsLtU4YsjJNh2hEUKGWJj2hOVzfuF5ci5 HU3ZhY1XVEyjhWPtAe5kYL0hggfibcEVIdTu8+4kkPb2bLcqTug3e93Hz+Y/yP6P4SWL+gi0X31D EHwyN/BdIJPtlM2yFFP0cVV7DC6/PogRM1YKLUDkKIHu6LLKyeY6h+zMvvSpya38S+AmxS7ftXUv HX+8AsyiDfV7psoTPRc4tIC7LdEdpjl11wB2fRi1J6FPdayejRamPRRqjK1i3xKJyibimEEFCOTR EbNBrt7SwgME51IvDejU6Okby6QqG1BLoCXiyObDf8dyYNMk7i80d7VGKOroORMQxwnUwQwf7a7H td+27RM4lC+E//Cz+ENOAo03QzCO2W0/q6izBLUdCNkuigmZ3y42dllXTWPTAXf2n07Bq8xe+HXG cpUtJss2ONGPHh2pmPTMoruW+6909uE1eJjFCdC9h7t1q4K/KwXQnutShWeY0LTk1GmFYNjjWdzo trUd5JWWdgWUT2MXw98cpwhJAZ3PJfgBdVBYvUvYh/wDV+A83E9ynHU2yv7RLtvT4sZ4RUFgjxHz oMplllVqSehu5VTTDebexNiweWXUuB1IVUaCT0x1r7OSVbgHrH3LI/TUBq35SodQ7FwGsF5lojCJ UHvQO5V9h6UjpSp32R38QtwrrD4T+wYFXG2AsrK1v4kxtdZ6pk3Fx4QtqO8WmLA84r7yLrCfrhp9 b228NefgjJ54q7nKQkB/4iJfaPvMVAS/cn4TZKsHWTBX33EeauE59n79ZFyVkUKQS+bMuqejn8Tt nC6ybcXcHVAe8kmKfVvX/RlEI6BPQVSvl9o8OUuuR/Fn1cnvUjBeGqXyLs14BLl1G7Qu58uX3Y9y evv0VDnhlBIw2wphYstX0K7CcgoBc2fUTfO/y0Mw62mHWkoQToxgBosqDY9nU2+Vp/1vAirqsmPj 9qOpuX8ibUVxiODaiEwuywrCHJUNoDlSYKu67c4t1dbIELydxzdtWe35EdvU/Yi9CJyATn4+u6Lr 4FbUBLaQRll2dV4ZPqVvR0bcSX9DyecFp7+tbEMh7U2HcYejK+6I4wyGl9tLVgklEh2thNfZMhEX lm1UyNa6Xp8TYNiJRt9OoT2RDkPtk5c794zidl/PBcseTLz4LWJzkS8gLUU35JqNWkU+Zxoxe/1l O7FnKgoS5xLJ/bF6xpcFHBjxnBbM4Wz3moNsUnNyup8+/V7dKARGCKImt5oRLAhH0C/qheIZe30S LezbWAM2NPWjW2G7xKpg1X+1zNtnuTTeMOR4P5YrmpRBBRvaGVClnm6Pn1iNL/pur25nYK/8AAKQ Jcg+ARDVmDOI+YzSkXM6bMPKYKLK3wudLS0K7yhlxz/V3XxCRGn3ZLHVgd2QQJWMSCswFoX3f7Fk A8sU4/smJlp5+KSj+0StYP4S+LPYC6QEIm2Dtt5YOjW/D7RJN4d7i3Cf6kGQq0YDUNXuCGW7NEiE oEVMj023lYllDLBUMVW5Cp5OVUu7QazPP6hMdaPotKNk0X5GLrBNfeiFFSvMtAj2rSPWrapVTzsv r8OqqtqLya6kry8fQVvqSGCR+l/V7w4UC8LlwazVxCfr/Ev5I2ty1vv1ZzJMUKvZWtAR22kxTrEt Wru2QsaI22nBhzkzmeKY6WldlmOCBOiAJHbDbyA0uMvePwT+/nQm774MKIADVX6fFW49NxPCekS+ hrXVuEM9qygToZuMeDVcnBHlZGecmLUT41YGQLf/8gY+HBZVHEzYHFStHUWVa6oTeB6KtUXo16xd KqKVw538eh2ZFR/eYJuaEvgZ/XHBWwlMKeZyAcvh5XjVibVgOiCEpDK6LHd2ra8YpMX8T3Rx0CLP YGhf2Rw1IB8EdEmfQwhDCU/oaH1Vz6sEDLxHmHc/qb/tvSiIvcwucqWzAIciaytTZiwH3CsDbcvs moXzNYe05ijc0jdoJx+mQyHuJI2xjnz7qi+Mkw/H4t9at18iZYSvy3h1JyQBhJhhbaZ+AdHvHwJ0 RppeXhQwwCQDS1Ue6Yol/PZTaPauptNgiHvddcwpwh7bADpoNNeP4JFc4wTE+qE8ltobeRYclL7e d8rY7DXggYhDQfpoyEL9odtqC3YtMnuXqbN3EAiDgOWogiQi+PVBG9ZpYTu8GxNH5b9N0whTQWtO X8swNmLLroETkitlMOnceFFz9udMRmYtwpti8vNsYJK3zn8rtwgt2w87MSG3ewvCC35voYUj9Mh5 nd8OUnRreEei76TgghhRqYlQoWjFlrr1hKB8amDsMh5AT0xprIRGOighXLDCKcnZuMfS/nwbAKhj idCRWFJKopJ65QWK9sjWbS5qUhTQf8ZHAleiNZpCe/ORBZiIb07wl0XqkQ5G3tg41XgvEtUKN6dx mjYezcPzzBMlJ8gFZm6NI85Nwt5c73ZN8mjm3B5ie7TiLOuASV6BFjf+ZOJ0BJ00+9cyltqsmErQ FGXNNHESMElQY/+I1oIIkbmBxQKLMGLbdCZ6GTaetXONhed33ShJqdu4rGdTOJyZ+WbJYnPsB4g7 S/9qdSR8KWrFrA8fcYUxqsQhflBI87aC2WjWJcYGClpzS4X1KVZEzIaQcy793NEU359+lNGmtg55 4wG+LQq0kY6soYqvgU1LdGKryc2cpJ2pcHLaiYpzF9evlgDCXkHQujkT/m2i6c9w7+qhLqsOOSDH aNQxOMEC6DlJyila2OOv29IiB1yUDW+8euMNY2NbNM7xC0ewLnQgT8JmU/LA/0kGYCw8lNsFjZnB tMkngeqBFJKOL2oIYvXFPQDGIwjyq9gmOeNPwVV726xusoeNkG4LKizs01htinAnrRgwTqu1gu+V nTMarM4koK79bJAHfRnqcZO+1ttPnohYWKW7S6z1zjQ9R9hcQ28kn/Dk5DDKZHB+0NzYhCzslunD OgImg14pxukkgMYRvKqnbSWwRBKaJZbEMlRQL6Lf7wbyrfHfUPvipQuQMgl8YqB2V+gqklCcID4P h2djFqE5oQs/qnm0WqEd7IdtR+gyUSxU345H6A2shs5yjbgq7kFaxsUs/Vs5KERRsPOJzZhQSrm7 cBbRnWu/pSm+7FFaq3/822/4RhnOzgQFNpQgbNzdUIrOs5qFYIORhIehkwpU7dS2W32SsBUcQciu QHFvnpeyd7riYyYrkKYJ3AjoItht9qvtrV4egoohVH10rm7JQM/dlF1IelX/alCWtIATVnB8lB0p 6tuUjcbS1DF4XWwaH+Q4rXcH0FY9iU2Vvz9BaqzLETCxr2g5dYJ51gKkQRsPzCAwawoeTiePiXvV gPAuBVgv4HrfEjDqBkXBmeeyDA1S2m1CeLrbAiabI/av5qwsb1b/VFZU6Co8oWWzZtRXMj01QUqV t+Wv9KkVF2xS9lPEiFLTmPIldOLIGXaKejHeMqA3F3SG6ipefc4dzdeMudEwktgxqRfxsgCrAqTq Mol/37RHsDOvMMv+VYV4fJAJCRsNeyHYsVIfm+QFPsS9/kC3ujsaDIc3UBc5JJba9WUnWW4sBtBw RZf53/UyiiCeJ4JcoDKJGue38IqyOF9o+bwPFaLHLCxbakYdqxMCjBLoigu09DNXb+Ws4dIA+05Y byoTRspLmzpFtZBDEvIR9LqkEoyxs4BE6vsjnb71EHsoVxwhvEY6wIWLaSUqvzI+xE04TuujsNW8 v3E2BZV79Beh63JbARZghbbqd2hYbUverkM73zeoZEtPrh8/iOkUtgF41MeUkbhxu7Dur0dT8Tb3 AFaeoELcx6eD9F7q5xEU1+fxNWiJ2qljp2F+p8CJ1KcTJ2a58xlagYmKcraDRvlM7q28GfzlY3+J oo2rwaZ5YYd0JcDuNjK/2rh4BeAL7agS5NzqKFn8IWg5y8JUmTmmWMqoyE9Ozw9lJwDg4Q0wVnwd 4IlFEngX4DsAlXeQNi4D9ehzMxi0Sx5hOdqw4+VoQMg8jxUF6prGkbiqQJT2CUsyA8OjFxHhchZO d4CmsNFP0l0IfroGerQBwwsEHh8r6R5Ie//7e/+x+gSUhroVvJc4fe2AjouO6Mh9YUJrI5krU91b YNzS/SK43Q5Rp4cC9us5YEL4NVm37oOxcVwUZWyQyFM0X+YZbiuDYveP05TR36Hy+bS3NlEv65z7 GEzVkP8IeJnnrcoBXWouVpcnecHtXNNP0PC1PjeXXWY98UGUu99bz15LNioxc2FyE3NdJhayiI30 mib7rApXz+mMpCCw568UfY0KZYWA5e+6ZH+OTxv+IDw4XGhmk4TArbMKBg4vwrmcps0WsHSazG7/ t8C9i4vMlbAEqEGhLBNrtr7uu5mZzx90IBuP9/HeM16pBaSObzphJ3c3ppp0qUg7C/eJ2vzL6VVs nhcoYFI3IvnjO1QiqVV5fN7/AKeLmxx8ap+s58gZYCPbZeRuo1mxNo30QCq6c82IC/BfYTmabHc1 hNBuVqd9NXGUljOcgPoDTOVWRi7fGpQLvNO2sN3qeQa2w9TW/MZw1lUgpWTw2EbgJdmQe4LJPmdM CvgTvCm8jZiL+ucsAPvbB2+BMXA778Wa9SAAI34Fv+AqYG2UHqpCoCjeQ1axHnPjItB1FFYbIwVo rrSLnRiRgXmNv/5DQzC10zR0ciYDZmzY5v//BJ3JG/lAfu0WrCeX+5Ka+zxC+E1A2szEwslKZwaT u/9mbeyKGXRopk34mLpSdJBuXBnfwU+Q5yW5ysLr+URNFIcdBesDkXHhBnCROGZA8uyCUC8vEVn9 E4SgMx60X9CbguWiQ5/E0hLkjZS/Adc4/wsi9Dryafs5pFTgiwzD0x++y8E/LOYqJ3dQFsHPNtn0 7eU/fM0ARkQ+K/mIDKY1nGrj1Vct0EvecMKgXgXo6NMDmsuTKOLoL3mVmpqHJHc4OYOct4ioqgW/ U3zpk3zVooqj9tDdTNhWp32n0KjeSj2xQE3p8t/5alqiDsB8j99XM6V8tZKnq7jsrvlWgYH8RMs3 9lQvEvhVbr8yUTNaxW4fcN/fU5JjA17+f3/NL+090OImn+7D74t9pdh+xQFEw4UX3Obi8GosLFeu j6ui6yB0GolOQSd5PgQ7cJjZotIo1D7V0lZS40ckH2C1W3/QBrGZgD3AIwzU1KIwd4hZhNlhigKc i1qeduV0sqRfplo1p4kPE0iv8QAwNdPnGovvOJZNnzcHbIie0TydKOFztU61Kx9DNkVegy/sckq2 5PQmda/0Qr2/U2fhl+04mdYLOmz8dYXnAUjWF2nrlTD2U1a4IDraArimknmvjuV269GpsLrKUTKI zLNU/tUhiwBPdK0eMCK5JHBriQbzegbRcs+akBFSlJ92hByJ9soxCnVik7IqHoAW72xA8fQSbgMg bPtlCK5VzPlXi9O2upXaV6D5dXdgIp1OSKV46y/w8kqQL0Dpvh6bMHjDGHuKTgNDcPmp71l2LAJk ChM1dGNnaQKXRyzMIri1tlhP+hs+70WhiJBkk6vMb4m5K7djaKF4sgoq/wNFMG52OVblPm1vt+os mhtuwbvJ5MZPoJmnc+ztfss2CkEkVYrHFhWiJEtOQh8GhwtleE5I6NO4ubbCrrteENukm9FbedRS HzG5klSDA8H0kMZVxXsLfEEtWY+QGVh5kgZ9olWTyDfZUCdodPY1WlaaWvR6U60qNPATYYGkwGct NqLVMqRcyBJxqsFr/rkDXmV8Byl60fcAi+cZwIRdGsSXpgX0R9pwbM0aB1Vmds4rgSm70wxDzslN W6fgL/tkVfx5hriOkuR/bkptMq1K5DC+bWeZx8GjP9ZT8gWWCcq67G0ik3KsQSjKQPQWoEJh1r/g zkYFDBqN5tN7IIDLxJppeW7tCwrNWNyvlqCiHpML/er1tzLe3dFRbnZAWP7yZTQ+s7WXmbnBYdl8 tYSXd3N951ugt5yxBLGpHm+O5nbYakeVulZZpy2cmt98ILFhAyAv9hl2yNfgxWfezqU+VUYjoAyW sVcD0YS7Nz2UKCCZ0OBDYaj5qMk/vEoGiPC2jb2tbGyW8oFJyW/rM96xW4QZ4PV/P3DZswMH9ALg 0rOsDSh4T+4pERG1+8X3O6hQoejvoWEuumEx7hzLiWFjacxnWApNFX+MhpwnfqjWB4+Ftj1JjTaa lQNROy5Cs5qnuakkcMRyzkomxjTaBP1prfEeMQDZtN5pCUirYW8TIPrV7srTPvXWj3SJvzgCwuYt RqXSRJo7NGt5Id/toGB8ysUIwQJ27eV5mi1e0YWNg3D0zrBL2krKlMAhtaccPQ0rqCwJZIGTg+/u yYA5I1pLYZqB+l9QWAV1CRLwVObzarUBzPFwtPofM5LhcLm+S44s28I5O2pN7Np74c1KmRrRwg2H dQnFUOgaIIxxnSUENOtaicD8Y4FQhq1J0OXoKJv1/JpOIGeK58pqWXAWhRmqgpW78O8kf6l//4ze XGYf7OZzI0AJoW3sQZA/fjies9hFaeU6IoS1invrwUken8G05+toiZwWZC/9y3SWMHMQQfE8e5Wv ggrAAIeTKqugqs4SyqeHKhT6L6C+yBtkIFVLLDHGbzSfzEt0SV29/zHmkG7SiKxabt4rpJuw0dEX sUCVC44uA/rk5coh52JuOxr2k/OOyaGrju9MnYfA4p5PiofgKZ1rLyvUcJJ6ioKXqI/KQRFKh+Xk LeT9M3nko+X4BMjseUl+MjbRifAyUxG9glfaY3B8C+Ka3oYPzONms72+xEAbdlXUlikyABHdbGQd eeKJArOppJrl3zcqX/VaEyHGYrD3mmZRoFGR59hNuKOqbHD2XjpUBZZjJ7yV4o2mKSzykN+S8VVq CIjzSghj4pWwTHhrVyrKfJFvZJTIxBL6KrNBsMvlrMVdAftg+nH1rjxzt4OrLgP/83i8wEJdLuAU ykPu6L6kaW0cOoFYc4hvt/OwFVIKLj+9fcBGxYyTMFPC3JMK+SfRV+tI1kctanpURILSxHraPVmg lg4Kr9ctGXu/5+/CRP9n7KWMo2VIVbQp/LvXOGGtLyzwYtFN09IoJ2cQqJoXiCuPz7rKd6un49H6 8RL6CxcVkbIFK49tJirVirImzqnRqb/i4hw5ywJtpYruYwqX0afq17lqsqAGSXXWT+NfTXCQ58Gy PKgjbrvmndWmm6f9Eg1cTOtzNl7csrTePIdskVpqziqCwUv1vM9k68XXBkoL6w9QZZiCFcQzVg8+ enUHYppSlFEso9LPS+hkozGoTlHtJFJkQlfEYo9ijJ3paqJYMND02PSMA3Wln4T0zJh1hzuNVtiD I2HAcWkRZMUr3OzPHLqC5D18Jgzby02M7yZC4rvQEbzOVXhcc3l5b4sLBaOG1Ulz7hphtDaE6yh7 ewx/aeexhxS/Nkm/eW/zOeokKbXrJfLgccu3HW64hsaPcgA7mlK/rFJFVJXI1CAQXq3lcQaJhnnd HbZtLRb4SSBlH9Zfc5vpZP8oW4SrzNeBscOBRNJmk2pnuUbh6xhQa89KhHaCsw8mPa4iEDa7/RwO BTCcld30IQXLiOUC0O+UJAPDArrX4NJ5+TMqPtS8x/oaie3eZcb6ckPWbIgeKfHAr4IjQ6mPLJXS bnpr15TUH00YVtM2JGNzhSiOi4KIRWQs/P0NWbCFV7imDjbj95KWYkp8BBuVolOEiADQYYbisfQh 9UyOWCAAGa7xv2lzCTpzFlgQ7zbnd9rQs3TBcGCX+AbE5fldDN8pOUub26ECzJaorv5UG4fl6RZj Mqz1YnrjR74hibG00pp+o6v/oebMUPK/oB5zNyhze9uzdMx60mbbCUMiM2sHJsGD8Ho8qpXolW61 MyplvCEfWhKN1HHvniPMLYGrzpS8Ir+Fcc7j3YgWXb1g/THLtmZMHmCFylgvzTCgNdA57XCL/YVO QCS9+itkUhtcjgMVNObP1KI7gKj8jZ/Jx1gC4tPFjs3Jx3N30MrP4aBG7MUhXYbLa0HCsV+zGw3l p9ICR0u0VKG1I3pqJMr8Lb59O4CgyIFrwM8413nqzV5I4SYhvomlNolrkiguCHwzW2RQlIXyxCjy YKjMcEDGzRV2gs+Dq9OAHz22Sf5VIePTo/bw+AgAh+niyI/e3pBFuGoq8qQc2TaKidZpxh/KR0w2 jLvOO/Ll2LpIqia2gVFlO9IzQj9gshXl5WZyw6aIj0jy7dJHqOZBxhnHmqbXtO+R8ExHpmaOIuj3 FX7QT6IILgzEerbszXsmVb4BS0YbS3Hpw/ICoE5/ayrIrKrH4Ccbh95TgR3V6UROR2HJ6iEBODvG iS7PMHGRBMmws5FM/mpErQ6djYYJVnEpuzskEG+ZJ5aMQn40vdffzb4b9IeIiGiuOQjIFyp3g45G kYLc/O4H+taWZeSp71OELlWoAgy6xpJf3dEQIqVZluZ6b3GwJ0wNKQ+ROApSizi1urfIykjk5SfA zh7wUCqQe9GTIfbLyxAFw4BIYT2FtM94gWLC7LgQMpi900vgkzcECgIvLgk2pzV138NVtG6nNc82 Ra4O7/3Kx8eMh8xzCzgiKLja0QD5SZj2v+IMaX7xR0E5kI9pU6TXnTwXnA5WgtdP+cGvW89TA5Ln xA3PG33bFgZ1XcQTLwlmLyYbNfhxIwc9zLfR/RA1Uoj4pAWy/xIYg42XZQ5HbeNUuLHKDcxzRmab zZPRc875rbUWAE1aPfvRhOPtFQ6iTRXrGoKBbV5NuShWdriTtwU3mk9H/uhsGLjUwoQMcve2Olw8 h6V9mSSQfBa9CddBGfaSQz7tAuAT1p6yb0l3xyY5zcvEB9Se/k/T0mU8iBVwIDLp5jtCnEIlYACd 085nYMjFUGWI/7uUbNQYmCRREW/q6YDPwsuc/4FvGdORNA3FEHRkIIh6da46bWMeux9W6kVLM5pF M7ZPX2dy8Kj01YvBy3z8JzTjWOOeIcqWGUjglqArU+rhdsL7nX42cAzpimJed0YHE78YyY2LtkBP 5vgFIvQBpguCgCVsMp3vcBQLBqDzRqHc9fK6bRmKC6PC+Mw0Ul8dA7knuQqO90VdDFKH1mVsqQRq 0BFVfoaTWr74XygupiP3+75Iyy9xlnCEhb7867wdfD0wtAojMgMDtJz99zu1/QneT059VebYcQbU 3aPxJO/P+2lxi/ExK5DMc8bLhBxR/JY3gKrwFAAYQntH2vf/80f81dS4gzIM589WW2xAd7L3RSpX g3Fw1tl+ms4ruOvBhB+cXKvJnhPqO3QHgZIjnT54nYhBdIjQqMGOP4AyAi8AXXnUchmX48IqUN4E WSmoP98lUQ2Lt+TGnA9JXbrM9vuT1KfL4Ng7HrtG7EX4HKsRXKQE0lZTJU/x29hxXudndoK5gj7T 7Ey8w/gR74WPWGVvNZK4QzmrJATX2Ef/8L2/pXgA7pHsTFyzLe6O0YekfpMFmPtONLciwZuvPs+h omN9CXzCFYNKBV7nWulMukjtD6NTamoeKS1/8TvJSBIrkW74o7iIkYyfDwfB/JAo0I4M3s5x4QL1 diXofSsPfsbsdx9IkYH+NAqLyL1EfH0JNnP51Ld2EgjVdgaqK4KV65vofGxFqtNqJodRKmKQtPwR Q6MPl1fGnTzE7gvwEPzk5OuqnE2Woh04GC9lwpPacNPNo+36Cs8yxRRu15Bp4y2QxYO14Nz3Nfcw PKzTd1sz0PBDGlp22dtb5zSxOsVr90OWAsPORWjCcCKgaMazb92QjiVSrGWsayZqaJdA1PCZWQZ5 woujT00QRVgfsvYHOiBdAeTyUe825bQh2iizkKjI+UF/g4LwWI5qfWSq671zobs8bbsDlHVMGm4P MOEFJmtoiN7FjLf4izQZzcv9A1FCupL0OQl0DHZWBRASXth9WSMmMT1PNgHIvMaaItsqa3v/3n1m WWHjt0HNyuPm+1WbV3Uuif73PVAZubNrfaa4D/Vwfz94KGoTTN+f2NRmOPw9koP5Px4N1B8vhm6h lR7TiAYmBemhbaTmOeIL00tJnS8FN1/xwanpfhe3Q9qsM6FXd6NgjWspmd5xsjtzhsSWG0agOpxE kUJsxveKXtXSZNO6H+/tQO32FJLObu0ZJIwfVubippie4Vx4msAcaLknzyLdaDgpg5rPYRSAUhMh Dwl8KAyY+rKCzo0P1ZpbwpHDpvo9PUnJwGNokH+Ces865CX7krEhJ7L3XCFQQuwID0SkaALibpAi wYB7IDlPYkq49luMYz589cQgOj4lKnOO+kjSy4Qj1CJXohwqGmYi3EBZ/DUvsF+JzJc+HiqX51YR T/WU3W8seWBCrA2cVSf/mGvPAEToIB5BbhVSRIGZolGcY89A8KcdDF+c294BQJNXf/RmjtlP8MoP /VIm08ISStR4iygqudcQPFOvleYlzlMSSm+2xUdYEnpMq4Ges1OKRZbWiKa3S8aifszE3a5VuDKe ocg79eOhYsJSrX9KblAQjj2FuNwpg9Dpf1+bnib/QwQ9xrGEWCZhBJtrTjMMJy5F8mV3KaSl02lT L/hp4Sg/Np8ZWixPo4ce2y0TQxUUst82jaUxuIksOj0XZQQYLkadYRZXWBmDhOaaUWzVy7HDQvIh UBSSzNSAnbp5tkLY1gM6PRzxjK5fAYNjoE+2z/409y0P3aOFwxkhe042Z/q1fg4VMpKT8qjziDDF hEq05HrUIV4iNk2uAftglTRmTDu8sJOuP9oHm3sQSJYU9Wl7uSCZkQxwG/tQNaFCwRAuhLRs1bVU WxoilaaMYFV1vi6cXO+miOUpNFT62gmUnQ+1voxj+WCCk/aReXrl5wQjit2iAp1babUXD70dv6pN fTKd0+mH4ZpngQ+kYOw7RYI+FJmMHjQ6zisuJdzifWl7VxL3EdiAdBGECQ7N4k6QT/OK7YcUCYMN QuF2iuWJAOXQ0GsYFfQ2lBenoXFiRB8Mz/y8Sa9e/Gd393UPkcvZG2zCHVWwqq3r7rAsWdhyIeLF MCI/HFpo6BkXM5TMtz0D/rpTNZCZoWBa5t0DZ43f0lSZzeROrsdLW0ZzT8hy66tuehc3bVfihNu+ itwLprzopdKh/4arAFd9oB/b/ulsjMI18jW3KLOZ6o3Ye2OB948XhfhvEs8yRYQNQr5b1povM2vr dm7L4Qe8wzw8e8aZfh+9SSrx9RG3DlQoDcdSmySwCTs+UpnGvbuu47HJ6Q8kJ/3O++w8OmrY8wFj 9Vv/vmmw6tOHme44dRFf2CpuOS91XLozA11CcCvNEPOBb9Ep1l6iAxAiI4t/lWIcAKDnbY2XEgpQ J29IdMARv+q5tzx/UltYeMYryGNf1CHsFVJN8dLWErNV16Gx6GrOfX8861XL4j0NpsKcMh/nZC+O dZ9EiQ2dfItC9GQ2ghBlbxTdm33Plb2AA8qM+SnrHc4WeAXAQ8K6AgQg3H++HnIcnti75c7V2TwM xq1RggdLBazcBKKyJD1/SPdx5KW6lB/iZwNdMN9aC0aaAV821t4VnL6pdP6TbYUm2i+GTjkniFcH u3Fran0dDwp/1adRDI5VdXcJ6xpVOPsJAzL+oUG/CmXU2BO2L8DihLT1aiqGoWX44SgXBBaeWEca hAsA6S2qcFksTF2OFL+6oIxLmFC9H2WfWni0N0ow2wX+72ru3gxV88Li4QOFmLjSxtnj5xr9+ZWB wzAhJcRZbFUd/7TEBNmRg+bxD+sYJfKy7KKbW4dWvATEqJ4fPM3e1E+ujv1T05IQPkaQ5zJItKZa KGnvWM7epP9nhhiqpJ3TI0ZpGN6tAUfzTSZnAW6vDGDb23mJZz48OMCsfseOmqsDNzOAA825NBTx MQtfYSvtjstXB4cePHR5F1zbLLJTjPpa+8edsv6WPLO59EtD9qwEbTDbTd2W0d0zHG4wDLqyIfTR 6Z8AZqwFrtuxdUe1PmkqRgQ/S5rFSXHXeeKKCN6EeYve3CxLjFuqtc5Vf0Efnax8pOoqAwrZpsFT qxCieGBujZBkowKObExU1+v/jrzN1lRnq2P/Fx+5pY9au8zdBO8duEH+mdzunspAWXXzBUiXLCcC 4Q0oo8jA7MCsuNGC+KH/TlnBBB1TSasSA+7VWq+x6Z9YMPvAOoeyEbqkxMFCfQi2oNG18lxfTcaA OetRic/xbLKscMgj+pleiNvuh2IaQ8c60tzq7vFLQSRYLjC+adaTb4OJ3Kctj/TEnV0kLAETlZe+ fV0DVT+/A+rADMQGzyQ5DTQSPCZzoGLOW4wDOhOdfI+hIPB/bULRnUbFN1Jx0qvxINGuWVIo0+ff C0+FTvaJucurhbXAiy1GSQ1xwePu86lVgPXULVvQLveEOFC4XQWoYQvmKOZPEB52TLSKVdRZ2VA2 BSd/c4wtTrpz5VxV8ApX9TYeUuvuZXliLBoW352EFxRq8pOAK0PBhqRrvrMouVppWDvWrxcC1l0e onUBu44m5ioRCyIW4hGK6/ykEIa4Z2wRSr2Jf7KKOXkgmMpCsrDtsJBIZBYtNb7AWRYVjWIhv0zl DX13F0y9Sj/OqZPemjQZzPmTT5hUuMD0wH8KViXnJE2ObPJEkobVYOYPhdckt14MtAIxC6Ed8NQQ 1oPB6L+KQ/GzDBjAGWXnhbY6wz17Rr5gDmyHyjoCg4uXhFIwoFa/wUOOMPFAjEnxLc1pFF0CTAOP ijPkA8Iek7hoASWyM9Ay71aM4dZjsX4FN4cPS4DLTaYH5GH76plsK2IfyMtAX5F3byXO9QNTDXyK OMVOyLNtnMWneqoXYQX2QqpJdd3dAqPKVBD7+P1DNsnHySNEryO8GA3G/5GA7c39rJx8TpZiaW0T l4OOuJh0fQ6ysEJqKd9oitOwfjcbzui9b39TO2rflLpGqLJ8ve/o967LuE71ccuIP6EhzvGvevIP jLjZNTpDxOXNh02+vPhBvB2OmUts+KJnTqWelpT9+qf6oy26DGiq9UtE2Chylogiu1SYxISFMJWK tQOy/ju7/6OHjim7lM5FPZBeMLi8V23DRZvWesp/6DIq3TxLErSSpdB3GqFVDlhe6dia/+IohizW Q9pRNqoxHs7pRTZONBdmtjnlk0B/oBsw6i/s9iiLZiI9zvm2IW3hKHkg73xfCrP0nEpFMdrhg/vc q2ENSztZAAPC0rU9b680YdHhTUuxW8GsUexjLxiGfz0MdmPhBdb4ru0wrif4ATuagpxv6kxXhd7m X/KqRN8Ax0MxgcuUBUStHee07n/rFr1UFplwTNxDndPhzY9P/cqY+moJHRSkkvjo5jKF1VJvZuVy 0FQsFtPekgzwqoM3s5R9ZeHbK2qJjOWPh02Snhj0I62iUXhmGZWlozofyhsxkTPzT8czG4RU8DDl NKfB4tRbY7tXzfgzZldThUfL+WvNMz0hlAD1kPQYiwvS3+zdWVJVDAqjDCGXtbryRo9Eu7yHbL68 GUoHe1njxd+ogzN1GoDFwK9oAiGHVGXP8fTpPDd9Uzww++5rib69EqKGws+DegMQbMYgRpubWWhf 6soCRG/EtDliVcYExbJ+GT6SKSBMVaS1VDTVKljq9Gs5wgrUS9IuIhi/Ijrw2cvTTJp8Qof4GL68 07DDgtdA5nz1wGQSoASF3kkkV/pl04nUsROmrzeXagF/ZVSeSFeoQ4yZEPgyx8OXFo6oYp9ohguJ 2j2GHHXMDJOQ7NbLZJmdd5G+hdcuQaBR3BohkYDkbmzzY8Edel6s8t5CGzMGMnXBEE3qTdCaiBiB MqEo/iNWoMkzE5biPjV/ShGJEI+SZ6BiF9TCpnvQHaYkmdGGUFjMo/pIFaQYwN+mUMOg2BJgfXuN Klh1/d817v28mZHzPrw3EyAaSoJTyWB8G5mXA2vtuwbZty4PZSjRMuNQxit9o85SNcrSicR/YcTH C3sa9ziZJeMPFHpmEenqjJZGvSK6ETtl5zEZFSjrxx6BdVbB/E7kYLqbyOFcIcrPhsqyOh5A4M3P Nt4P6CrZ5dGuE6hZed3IWQASxqrnhRoQl2Cv2AG0jdxl+ory56GXLHna5pviyxL5rAezf7GTuYad zKh8ojdRrDXYcTy0JVMAUvGXUn0dWnyDiALHivWjux/kKLr3AovzwG+h+pKpWubn1h+TytuhtNAm bhX0Ti5OghCzSI0vIM8ZNY9GE19tcdu9nvyFdN4Jm6+K0Aa0MCOC/jTdoBo7D3UL7SobralG7LCW 4IAyewirgasMRh3CcwgrGeFBdiHSV3MjG4MdT+4e8zLJ65F3GeguGY2FppugXjhlh6vjLwwzNtrQ FEAXGuHAzBX6tyZS6QzJeQFVYZELDq29zrMn5gmvpf/Jypg+hXQeJAR1nY8NMXnOcfwGZPz7XfM3 jfWeixGLXPNjmY/a3P/P00lL+mlWoS03ANQaAcA+ksN1dhQfEr35Gg6txxBGB13Cpn42rIFia0BF 0CqeaI2hP61mWw4KI5Q6g+ydd4Cg8/l58N5NbokpbuB6X+y6ma1+2QGYglBYN2zz521H/YaCvMoT IsurYTa6M5mMXAarzwtSxud3vCEeCUosIPYfvMnHCke9aWZiKUI3WizDmWD7biiwdiAR1N9RJFRQ +3HU1nPt+KvrKTGi8EPrpLr96UkCAjsoiJTi15gI5TUyFUnT8alU82UECqw+PpbHSNhcEsTiXJlR bUrqqN0SQ52ScKP8hK6ljAFaiS58pkjKG099JgYhfPrv61GkYv9lrOKRAcyJkeQCW40csWWN97Tf oyqU4fgUjQTbgBZ7d9opV2u7jxb3f29xr9suQkEHtMZUNCHMxLbhsqZX7Rp/EECG7HamXHqledzT O4Ehq/FgrH+uQFdeCEu6N1aoyai/S6UrAltPkXEcX3gk+FilUSbcY4IJrhzFokPmDJU6HMAYu1J6 mmnWLMj3Eq0gs4w1Xd5vVNUD3Qkg1hQkS0HjmZ3RWg4Xu7JUcvbZ9O/lj5HMWAoKaBtp5sSSbiHT dMlKUUPvzFJJ/EEIEQVbjlG3BIG6Ld+BhzqZiLQuC0XxPzPNTWbJ57K4hjjrESN+L80seURXk2Ix 6IcjZTKehc8htoEq8hwcooVadRdHCOauhGT5Gxz/hSucfgoYVoD5ftlichXQHAJv8PPd8evD+Ks7 ilXbRHe6/POTkk2XjzKrHJjJ8zk4lPFYOm5x4byZpCidTTHGDNQhRYYaaKE5et9lOkgykERpYUmS wQt5UfMualAzXYMNA7+1swZ8vQSVq1ObHpCC2BDMZjU5/yyYB2wsYcsPl8Hop1llV/i6l7DbAagJ MDZoBr5iEtZsFilm/rOMGbpQ5GgbJG6kMyw2fSoWx0DFQVW+FPWMTDpm0wbAOObz/+V0vSa6hLXc 8mCSYxUBZs7TNwrKyC8FSOzhA09+FSiQ1g8hK3JKpIfnPM9RG1ypb2qeU9n8wcUaCKoPfvm8PJzk bm7E4V0wpvK4qzomGgrPL0NY7qIsHx99/tQjc8ewHOAtzG1XQvKB0A+7pZUh9C0AgaNVTYCQJajF 3bpUmO68z+ndfSyvrF78IyO66Drr1hhCUfP2aaTGL1AwwWWivrs/pA5aGt23Mn4KPyqVOgSZib+F wtASXr6j9qZu1APi4lDm9ujFvM1SHqdX8LjnVkGFVCVwRYOTFHV3kuGa4U5zeuks50KqLbd/CTN/ 6kYhaL0V4JsidgnbXfXkwD/KnpagjpM3MjSzP5eNbg8xaC1Y76FaycwG0IWsRtxNivSkYDstBBE/ xxE8tEIwwL7XQ/KqmF5DID5HaF5/5qaXN9+aGZKWs6YN6gcr4P/p2+fJxxatqQMqn1+ZyzGAGdYt Aukj6xBe21wx9X6ARTBQTI/ymHgT2Xfqv5LmzDITQYcWPPxSLRt5UkEot0lH4ago7QpdVJXLYm2f OW8JxFWxGk07oQe7RzkL9oX8tRGIMA324GVd+irbRgtJnbyYEDT1H3uXEyby1/OL25mqtWHmPQ+5 VLcmXoNclhpu33ZNYKsqPGVev2m0GclJWzkG5eD8nB6qb9JLAECUUXuUjaVYiEXjDeIgDsx9kZHr DP3EeE0FQJuJbExNSm0b9fUlnMs/sDiI+VAt1E0vuvxvAwCwyuoIOCkykrLYuqzIo6BdtlWMsp11 WTdip1SJjdsIIUxHQ7/gpfw93/w5PcllTX9UG9f1g7lPkXYwB4+KViq09rsRucIAP4dnLmb0LPeE k2KuaCCNOghN9VDNUeFwJngTdn1eWEUIsxkmurZFGLT5L09O+qJOB0uZ+t0kxYD/xvqk2C3/4Wlq s98z6FCFHrBNiokfD5OiHerlzC5jUi6Fj0Y1mto2i51QAs2ttU2lZUExWOZdpXgu5s2IHtb0oO8c xYHiWTdVaPJtd8zhWLMWn+k9ExtPfAm6VV6C+9o+AQuRUaxgfENSj1o1qFJNv7v1qZN8NoO4FO6G e3CxoQZzVF8ForwjrEmnn7dZc70YQDjYKySrR1c48H0Jfc3O7BrKIo/2bKh1nb4orRqSw4ErR9ka elYrmvLrmQM6xajy1W7q//JJatuJiJLcQvD2zn3HsAaxQ6TrK5lZbfRul/BsbEX1fn4fxrtg98Tf bVps/H73mnQKtKhU85SppvVNyI6PVou0NGOUZQCxON2N3SUZLv1d2owKScDfpNCSn84WZ1GbQUzA vNXd04+jn6126riZjKM7juuASsxtWhDpW5r/hzSa3TYrCnmzncTUVtDIkdrEjAHSPTrUWOCd8YJd j25Un4upGxsDaf2uKE3SEaPAybRvGMnDAz//qT9rybGziFyDWQgEuVF6tp0oS81cWxd36OmwsKAc A8tbRARalSWJJ+y1OUowrn5r5CgzMp69Y1Hjp7BqoQynNyImrapG+Y8IMJP0K6tSemLaEQ6MlZkK loKWBA32gmS9VasP72eTZCxOuCkdp6N/ArxfauErAo2Fs68rY25XDg6ALMOAbEaYLium6XvIaUyy aFVXvPK//96QV3SoO7Jh/HyyFZuB6TV2FPbeJDhmPxN33hBMFufjeUw1WyEwpBY84aTQIaM+vZwl jLQNnqUhz/Zrn9a5ubMnpHBOH9NXV85L7lCCWegewJx4kwmNn9+Qt2WeI3K/RDP/IRT2fDtSFSsp c04zPUblkVYEtZhSVqgpfNNw12XAskhuU/9Z8Db8cqEkuug+etx8vlEkR9xcJTGA/s6TF7zZyxs4 Clahf0lHfzorN9KcoEkmILKYBWF/KAVFMuXQ22RN3t2Rl10DdiYZZZwIfEfCd6GmnzgZTWLapFVY StQTwwgMLsicYlDtDtSfXAztflNObFuUANQRYFggdhPdHDs+n+PMhqW7GNjZqBnRbZynq8uFXBNw G9qU5dmQrto1n8UEUyZxxQBnvH6eWcxtz/kO+rGTwJzBpEKtUqjurOqYzq30azMPpy4fZheFckBY mtTzB3xx2PcCP0CRNVH+jnHiTQb/wjhrLCiypqmxC7BUmByTJ5jYPJK5ez8Gz6Lrwd9Uvm0sc+k4 oaVs08ob86j6mQ0tXvIwDOGOMMaveJstXOuozIYpuKUgnpvXJ39Xf7NFSGTII5duFO6wzB/ryTtH aIuJsoi1HSwaOC16DAAUIrIXmrWN7kvMpfkzkT5/Xp6UcEIMrJqk08Mp6x84lVsHOyR1UGm/YqqA sCJfDY47b1xYp6VZ3PwXRYAEI9y8TftaKdHxrC9Kg8lVNCYZsUQWDzzPVP8NNhf8/suW6Qc/mWNB BokK/qj4FWFn1ggf6eirO18Y9yvayP/+588lqSqqFGi70zXZ0jvynvf0qBTpJftNfAgZvQFAtJmP 9KzozGo0tWPTbhjkEIVLCt3Apyhc1kMN5mhDqeHtHfMvC0EzdOk6nhrp3PESG79H1y6lC52plN23 PZrhCKS5gdOGzExhzYbsEWHGsLnx4VBGLEFqmTXx0dK/yiKtTxJ2Voa9bayEb9/mhH3ICq33lg+/ LNVSheOp/wEQ0UddHxoXyXgL7izQRKrzDnCDmy2f8emi5Efqn/CJ+z//kB/8/yV12Fy5jB9c8pWs nh+F+haBjrlH9hxklmrD2q8ZGujRiCg6c0dJ+wCX40MGl5nSu6R+xi8QW6K+P5Rs3uG7nNkfHaFd BLh9lSNjnZeaEk/6YkvOvvIKIdHSfarve9qtxtDRiqZnVgcBrIVMmNdKxngU1kvsTOYcwj3u4yeX xbJ7ovYkheEiyE2x7ogZvAkp9WcdVEUH5fnit8KJZkFgx00JOQYAhuWd87dQEKwpEEU4fKkdTxIx adxDmhP2z9BdsX617oBXqNjHlFazxuxTBNDCHFYWPsjSeSUwubvZ4go7FXxUrpDGBd5V2FRyRsAr e8eZHCtieL4a3bBib0e7CV/dp8UBM0lRUwZggtMlziYS8MRDcN3xEaZ/j7Vdyk76Kuc4osbdS6kr 9XD8ym8VZ0ommo7/+usKr9FTeMQt4V5p640cQF28n+toZ/ONqhF6uDMotgJJM76YjAAaGcRHjAAS V09ET7pFBLlRZNWtTETUWnp96Nsg3VRG2LoJArZ1WWvnKZ7Yj+GHbpkCdNzw2fun/gCEJZ7vwuEw Pk2IIw9G1yccu7UFVad6WaFPwZP9vPt777eXpQwvFz+sQsgQdFjzeFffXDcFbjV1XAmUSxeMumQf waurQSim/HvCEovoJYud3lzJLamle43FNFu10bGroKONA1FPp5h0iIi2zGV5qR9d4VYQa/2mrNq3 JxxyU3nG/LFOF/SLL0CzJxb4SeOR0M1MXN25cG7qHQ6ACn5SYw485AIgimZRy/hc6putS147kOWB rgNwgWXmaXcROCAY4LGamAowgxpDvU8euiNI4MMtoHldwuPn1g2wJRfoWJs+AgkOf+8kYJitwgsc s22MWuhgRYAlnp8GYQrsjittBtwhdzJXw+a2BS9xJkNpjBSLDQyGGTdT9ylSQ32dyoBlGnbMhM8m 2ye/a05EDT2FSANlfZdmhKWC2KGcGqgpziFHwL40zPhorkdYF4Bhbhvhu2ALtMR8DHJ3AdCC2aUx v9Fi+/DyQHssoxhsB2pqfcXoifm9fl9CIbdM1Kny72dAgMvUlcdFDM6JirASWRWFEajqyh3uzrXR Bc0OGFgR3RTR3w1rC/HLA/7JMema51P6x9BJTeZ+30vvrceiYS6VThYaGcOhglFwh2R5f0Zor1o/ iNXuGKF5Js9f9o1FaHu5799IR43ZvHtiTNXig77qnwtUPNUfP2EZFrhwqK84Skbl36ZR5sanEFJT dBeb04jV1ULzGbCDoRUCgC4nBdnS5Hl685bX/SnKohVtmPz944n2Y2ydCIv2a7RekHNIEz/VVeMD IE9aqQwQWAhUng7hWiu7r1QS5/7f1umvGi5Nx5gtNORNTYA0al/GZwlagTpD8Zb1KtjuHOQRoI7m 6+58blgf7H/ybqCJnHl/vV7qeJEDGZuDYzvP4rKYg2W8pn+lNUwZsnYEyO8lilSXB8FaT4ManVUg QqofGBeilus/3MG27K5iyWDs2RR8nsgh/gOiBh0P4Q8BpmEdEW8kRMFStSdqeKUkKbWxddjmIBLB CAoYQm0YkqVkNRLlCN3kkX785NS1V5H/QKLpT67/zcEnFr3XFpMND0482LtWOC4nCMLz2HXiyLnp VAI8oKgSE8bJfDBjmdcBzsa12PxfMk1Km+NqrKiPRp0laRrBbblOvplR1ZZzAClz5fUIPS6OZAU6 WNuQmxqI2iKMTmDxik/9qeg4SH3nvMDl+Uf9hBSSQmnQGTJ9FYq4kx9WnUxuTq+8DljL+uLpLvG1 GN+Bu5s2nSadSwxRVF0uczlLWGUjVkpTeNGX7y0Kl4kMeqfWkSXmBcxlOVhzMkmXx6MuqAMgTIdv lh+i+R26GC7TzJXxV5zGvIhy7qCILcU7f+VodWCRiQBwd/XKMVP8W2bxaybLlzBVctbikPIazVX8 fAzp0nXRTgt3S5uodurvIxEjgPz1tqaLO4BKav3a74X/BMn63btW4XuTIc0p/6QVAer83/v0YIor dm0QkwzfF0hZdYEthXJ/uwk2fZ++4bIHF5S4ZR5YFaoqhu/L2pilI8jxg5lzi4FhqCK3CGCEKwgD vNGYyIkrzzkRPA/LmEjaGA0XiPOLLIyktqM/XtcLEEbgL4hPv7H7bINQggMokAU/Pcy57wlUhS6B NAVgPikymtl7tikE6SxdFFNxE/TYpyut7/Y9cHlsjXH/TA6x6ikiq+m+tvcusPfRtl/4nYk7E/LG Jyt7AIoT4daJINk/dFTV/cPYQgcOsSf+aoRsrXQGGW+eff4NX6dyQDj9GfFNC7+SRxhybcMMWtbN 43/B/VUgDf3mAO/JpRpsMzPFb5fpEHrT3J62BvEMATPRteuZM0ZZ9g63QAqm7/mSKZkX5YCq2FZ6 9jmq5G0FQtGhqc6mSKVCKNBsFglR6FoS9oSjq+o227qdIhOoogv5mgyEGi5MB6G/eL69ZE0SfLzh LQY8A+NlH45vOQWGUfsRihaIFepq0Pl0aRbxPMAX4YOdm8LkBN/4qx00KztNg4Sg7yoyhpRaL7W5 BwHaOCK78YDzsHaYaxVB69MV2QbjVWoZcFJcv6p+/QpEgaiNUdPuvpI490ElUbGuzrrurLlhUXxm slgRm3dr+fCm+U4EDSQSyYcZZQn62Vdq7bsku/ddnlcWASnwbCoFcxNifutCGn1gYFXF0fM0zK7K aAoQt2ojb4IjWg8rW0XytYMlT4hF0Vd4db4VatMOWykjLcbkOeEnvwJPCXgPhx7J5cd9hEL4oNDZ iidX8EAqPp/rZ0jQ0tkCNYcK9tkF09YdQPbeXlHrvMAa/hDoI/GKbO6kjjT+7Iuhd/XuQTU6KXK8 bkc11ZC9rqYBSyP5/9D1uuiyafSGRU82O4NH9SubfKkyWaIPDUIrOYDTbcQioGgEIi3GgMXsKyD+ oXhlhwiU1Y11C6x80WGBCwr3aBcYe7rTMqsMz4SabnF6Ywb6P53rc2Miax5lyg+Dhxzt3NXi90BK HppjyM+gqmvQHI9otmnTUw2/6qTUTOlkHClcQ/geoPRKq3UZvTRqpxQpux3GBcYPdV5ZgYCZ4qp9 tcK9j/thdpyrIwkUHlk8pkTJf6dXdKto/jnl8FLbN9QSSoVJ6X1984j/oxLz1KFUx19LtzQLTD5F ve5QKrE3I4bJrf3O3vV+uOFwA6LYk1yiRdfiP3EeE06sQiLnLAxGufTNrmKWpX6IFYIj/nvOB2e+ upYlrx+CHGvMYy7JwQIJpEAhYMlaLlt3W7CjhXN6t+XKTTkE2OZJmgaHrBt7Ww0h2KOmmlUFQSB6 JJxO7nQ9I2ADFVwEclkS+oelDWuWjwquWSsHTpkX7ep7bvOL0L1fRpW1FiY/yomQK8Vvp8Qjvk1w x9sviCvyeJtTBTiJHyKxqIQ4nLgtqH7SOBe8oRKfXHPIM4uBoKgdpb1O3CUxHyQ1S2xSwfeWNIyL xehXtD1NvMnc4mskl/FcCwhYnU6HbZRbMph6BRE4m2oAvNVOMuiQ2jBhlw3gzNDOiLqk1fIfeyBa LTaTG3FpfGmzGaUS+i4omt5KCEj94xVgJAmVnJW1Pz/9xJZXf7pD9unLO3TseOhN3lZbB5KURG+q dEWO4+kJEuNnbMHYDRDLr4+iaYxKx5S/98dL8G9tmrlxdwNACzGtdpcO0/StycaZoAHoQ9jhxi7o JL80DRPDewgS6oAy0emokB8VFt5NAHp0j9Qf3ihnuoHePQn/pOjpnOTHFrOpHnefylLK26cuNPcm xFtUNN/tg7oILW2EJ4WQaApySKPaQEPclc/QOAYh6ZZ0kKnTrQDWjY+9wgX/2ZTMLU01TFB5rAxn +PbGCb31WoMRNpIAuDtQkSdaydKGTERtDqDnZk7Ucigoq9WCoavKBPXGx8O37E4bnjsp8OoiWC41 7PkO9fQ9MbiGOlyyjEjH3f58IGKu0IMTijoR7SgIBY+k0cI+oJoc4etjFEWQdfTu3WXeE/PdNhXj G/9PyFFsRPX/tQoSfrNHmVwOcqXgrOQIUFaY/qEILPP/uZVwZy6fGdNjp7WcEwIbC1BgfEdmOXZl XldZupCONeqjfidIZLfx7AJpe5HEYQ+c4QEIZtUgACv15Ukqijphk2nXIKwcxO9Z2QfahzcN9Fsv G4Xjx1LJ+iIY47X3zmaWMa8L2O6c56If0W7UnSheBJAGzvyu323sHrV92GYD5yO8ul8360CtxWVe 5/RxZ909qLt/ZfB0X9OwuTnFxIaiQQAUiXA01ZD72KzM8V3/xplIloFFlRuTPZFhyZHUrVnO3oFg LtHfg/FFLXpF1pCiZfhgWcCpmpd/aRsgjhewARiQo+VANim4aZRdcmycCGL9qqgtn0BArbKZupOA 7OHAUU5InS+iVtgVF72isBA9jbX+YWmdV7g2D0IZrNgUXAxE1+L4IQnRrHKPY3ePUpQlr5wbVS+i kWBKQD3bwLSYRk/+G808z1iEMAoBWTbp28vUSf7JRCA9Qa9b3Au8RYQAwl9z/MKtAp636cV3z83/ Pha1tuJsJ5Us1a9BeNNYr3f/I8AlVLr9RMGThhcdC3yZ9Pw94nkOBanxUgfK3UQprDd6bLugr6+G ClIdZQYjHz36clyplmjUZj6NDLD1CaAZEVupiQK0ZC4P5YXT980pRym0/nJRhKQzlvUDvsZyh6z8 asccmA+cZB4OpKeVGpKAXJjBCuM4naLdWzFQ2K901QSje5N3dqclYg4rnY8j2M1iHeFBj02HPcvI 2jW/sj2DjNxUQzH154I1OG3KvSd0pMiyWtdy9aYP9KqScrbhFzgdu5nC6Z4KtdQkmzeKt2V2laXq 4TzgJhQtp8pohlhLLmdRt2yHzFQeaCWnTCCrkaHLf/KAryZCvbfZ4UPXHPj92ABIkcSofdf5evgM 920QwDXa3zVNuF6wEiksJd9D3f8xJlb5ZNaK2gMR+7BgwfOn3dzqy7gz9uW2hlVWovVtANDZxqrz YjoryW/+nZUSSZg34pgBAiSmfiw00DRIIoOM4BBb7KPVqwFtd3nBXe6F+TBU08y5WDtWGi9gjbZl YQBTu2mH0DDETcms7hnbReMJ6gt3WI5eKZCT6i0k6q1n1eBc5jHGyadIcykiRJDsjeE/00FExKDn +UDd8NghS2k1ChOrGhVHfcNlj54O/oRIMYR3f/UrWXgtN2LdigSxLq9SHvQkT+/7IMmbAFIBmI55 N+CcyPz2BTgADSTFD5fVKR2kztoeGJ9quntNH1sboVQ6k65cTvGGHzJLPjwDYpoJCVsOSGUGVrDg 7w9NSGB4JPryIKFhKLjTGtCI6sebgOHQ5rVH08pBmFQQeaU3ORLAbmM+AiaslLRXIOamZwovA418 WvCeZdLDk6mIRiWh/SBbT7AGFWvqF7ovlDSm/r/WOpNTA3CG/VrSeFMyknguKvEJBE/bHYO3QOLP BM31ry993XhQJzjY7tbmhcUfvlTNRxF8NbMnIIp8FGaUX8pYFtCkXIA8WOPtb6bqndy/S1Fse7Dt ioVzo9sWPstR/BRC5HnAAqRKcKLUw9fi504RP7KsIUxI/V5wXtKi9ZZOxoF7JfvGb6ih7ffQXLlI SrcrKz1DZJ/rSR0torsYz7G4hxryaCwo7OICfnib365IXfTSNW4N41mAJxWbzanHTBCINN5DKm8l NAYx275P1L2OdKAtSqJl/cdje3HcbaHFOKkT+hkD3iW7L66cE7dRwahPtK6Wa9TH2ACdW9SN54uO oaeHPheuAceBatvA1EDLKvjWLXpUt4CQUviQzv3GSvBrfIJa+vIQc0rSq8F2U08mVBPVs7uCKhQm Ge0kEwbapzDD5PbYgIKhJiQTT8u4Wls1/xWNKx1OyaKnQP+QA4j+6R9MFF+KzCsYCl2ZDqh5/4Gt mpRgeHha53cRweOrMPUaUKDFJSoTZ+6lJSHzOXsJxr6IfTxmQ+POIAxLfyLuI+YBRqvnMMKFQ0ZF cWT0ed6E9IkFxx9Ifv1cXAt9lTu6o8GegP13A1aLBYQRnwDX6Cj4IwA56xDQYIMszTMNap/iaV/5 n6PTtsfmlZm13DtcwWBnRZWYnZ8WGEtNPFtU2FuUCvM8+l3QQEx/W6bBAEWckuH23qPk1biUQvzU 8a4UgYMGXTNefxiyEJeUlP//FYr+nwHkHSZiWaeGh7Gukx6Eg/fwQH8I0zx1bxHgxbF1fxVgPUtA 4WcW9czbZgle/6+uWN7QPQ0dlvlX6QPiYo6WrmUW6JfEMGJ8qMn1npDtJSCydemmLT5GasqR/AZ0 0P6YtMlQnL05EnRWPzkKIItRjjYp7NBTsa2nTGgPVDLy9PxkBjMH8dxRrdjDDq8ZVqBCPyvt9pxi 5/PW05XdM3lyg2mkLULg5138ALR1dj/HU1RS4h7chYFTroHrguQRYypHzm/+JB/GBRcqBBD2IMNu 25P3x0JOW4ujv1g6IqyhDuMcesHn5Bfp0UgYAdrvJljhbO6bQ/6srb4J3HYgIdbJx7ZKumbWAyMx 1bCN8j7qDo5CYauTxQEhPr3U32It3MMzYjiFurLsvB7xpRgia80caOtOtxj6iKF4wETfNjzDg1Ux dPxn98RhmNQJzjsapyCa+35Ghsoyv8WC182+j/x1l/M9j+WCooHXBmDLX0iJy42PwmMtC2n8Saan 7eqgWlOe9f3OKmojGjGEqSBpTqZ7RcJPPkcOB8INImISfl/DRzeA2Y+G4/dt3OjEguy19dVrkPbd OoLZvcyEMOsyC2OWotOqxhXwrKCuW5MTvA0f5eAJFShkFWiMpALGjFBTUSvXu/cL+IsfDINqfxIb GR4MAWavYCAK+oSOpKyNCSMrSnOMGTUbK9OYaxXgoTICMob01102I8GSfFj0PpSRNQG93ECG6Kew gd/8CBCGagtCkZqiUGsFyca+g+VJKFzaetWdWOy1Eh8JmXxzseQ+EWcdrV0lKrSx0MepCB55ygFY oCfKLT1Cm4ITe7q7iZ1RYUsZV6ogGgsIaPX6KnMnHSu2oYT5W0fXnHGtPOhlOqXagZY8Y8WIPuXU kfuSOoEwSL3ZMNuDsYPlf7O8iEp0VNj3hZ9goig/VhHrSYlS28qAP9AWjIb/o88V+HOLIWDEZ5Fi rrNoJtfn/vWFrTXB2ohJFwcpBX1OUij+PILE01id3aHMuDqpydRiwn5zSVA9DK+5gYt3JVw7cMLy a+7ppFaNfFWgUX+IhOol8CQ9lUgkxhCCC/Iwm4vwuXucFQybK48AybpmQQkIYi5iia3WI9IQ9K+v GPezyXe9wyRSoFdVPZpdqAPJvn4vf/EU20guLnvjWLO9yA77U/AYJiiZKGM1TQyVGm1yePJTl41f mrNJpLscLObR8TH6eLbK/lvkKf9LZ6+Uph7AH7d9c3KlYgtD2DPmiMznnueKyVRaUdFmaUpuavAN upqTZn1sALqj8sw/AHS4PFpViHPpWWvtsW3UZGhGaf7ihJL/2sk6lDp2meGtwN8L8rxPim+2Tfw3 L15QM9AYrfvHJuAgfpf7Oj/GXDntP6GfhPRsY+UdlJcg5edZP5iuS8Qu6xkQP0cRoRk+WDQtcwf7 a8/vJlFfCf8f1i9yG0T2RJxUYT58/V9/triY/HophpYNDdFvHHBPzuiTFNjBgyMIA7iNt3f8Zahq zSVfNiwZPZwknOIosnFRs2OGjNlnP8Jd1DLd2bxNplcbMwnGvbiFfRSl3RfRcaP0dddH/2sG1QVi wwyG7ZOvRJRTlcuh+JrToz/pwSYY9q/L6UBlXudJzXqvIMAwPZ0TF5z/CfwJxoG2sCybNRPBA93W 0B1E9aP5Z3GYuLW5MSxkuhFb9RuWVtPvyr8QQKbhH5sTjCoDOnJT4rC9dXW1gY1n+6PHPdXxKeDe z5UN1qLFWBnNKm3UDuGO0ripk3723xg++D/kD2L8p4jt9yCm8e7kR6j2PxagtM5olZQRCfJR6ky5 sahCqwzf6Ho2hGcUn9z15P2u8eZjTj/ENuy9wS1lVkBmuVcuWg3gAUbE9A4q0GLKZ+nbmcK5kXvz LHFCUSrvWnlviGLOWe76vQXVlORWlrUZV49Wggae1soHLFW869OaQy78AWefbTaWuQV4yAiZXsVj yvF1oThBKAWGKqiA6H4az4UxgSakA/GuHHnMiT5Ld4FYozijUs45N/O+rAxblm0DxuPDoe4nCdqS N2cA1Nsj5qJYM2ybtiNoRvIkJSD8gxeeykqciGPyFa81HvM46BNdYuvK7TPfKao89rlJ3/+ww4wk NV6cugPBE132ily1kDQjTQMMaTBR3h28A62Hg0KPfRwK9FoOCgaX8sE3UirxeEdUS3NiE4zIijF7 XeExGp66ByUpzxwBlxs75b1osSdOaHJE6CqZxlzuZUJOsnJ5Z/2p2ZEuk8FhI7CWBipL7eblAB8b 8eeCLVFS2yZ7t4XMrQ13aPfCz9wXUTKFeUBfs92FlN3IY92NzImiCYGTzfvAj6Iwgui5KoGgiWfx aAdeDh3bH2QM0fQWr8UDlGwRX5h70Uu1V92YOmG/btgrp878D/lywYmieHEtB6vuSU6JwNMUdbcd DctZQSBH3+d65WKvd1mPQxYX0bIUee9O4bY4J4aUTVG2gO1QTXb7aXyesblMGDSrSyNIKem1qEFH OjNnF1lPluRtqqUJMYcup58w16tnEEzO7AwKoDDysyKeWfOJjuEr3Pon3zwHQeicDNCQrBS4EGxb NwxmoBevRYqZDIp3GY5nzUxZX4qd66Hvs+yFf1H5Og2dK7RhKKG0mrI6o8uFhUa2HA9Kpqah74SZ axec1rsWdoXYCE4TNrpeX7xGR9fUHFrj87/PXdCeVqFHvN75h+G3dHCfRr9W+YlG4pzvimatv6oT qW0ZoTh0ZelrQdoLQ6xxsVO1fvWlyPCL/ucY3WPWeUSJG2fdLV09iFLvyOeDwUmGxLlkpZOrCxnZ UzATqBa/Jra1gqeOqGdL+CqMXZnc1l4h3jndBwacFZr/9F6t9/lBB2UumVCAtWUoGcPP9vOPxuxR ggthOdXR47jwGa/y3zfWcBbYt87Tqcug+OjNqK2bCBX+ov/Q9TDumk2zpD+Lq34qK4TBxbYXz5sG nb8qKbjRLrKF5roPxtT+qlNswqO+iGUj9FzVkxwfJgxXuHVlmgwxwqraRkh+lEmkXGFgc9jtbjxZ HnSztAsKD6uxzHj0+Ew6NI0UftWXXjYQR0zXgXeAfg3k62KdH8+3LrDlPxf7vlZJoZYA3dUQUNt8 1xBsSVPDcihC0+urWMTCVd6Rn+moG0lSS3zO/XopCfXuADKIDGJBUuKYerYE+gkEOvTa5VKOHVqf tXujwVRN4Zpm5m74NHd1rg71mGdHHmqNguDk9Mtt/imf8dhsaDV9CitQ9A/zEGzBeaWwx5O7AoOd 3++f6r96kk32L4nPBLRBPATpNT+S3z3s5FgLn1LmU2m3Jjwrm2IGrMdonZceucT85AMunl/VoEDf zK7L/z30hnVdjFkj90d0HPTmPYs8pOEaB51jKoflcttQy4KgspYiItRdb63nEaMr0RnaBTjh305d ueMIQkoOIHueHyDsNfN0o+WeahceWbisuv8LO7P8A6CR+dhs90b/eIPpHing58hk6nixuqOOuIpg m+BgQp8PdNnkuTugnIXfRC6EfIj8cIUofaLkuVEhbNaDZEfA6SGjLMNKAS9LMuQC7iD+QtzIgN6Q gFUg4HIBAFkBfYuHIgWyVOOrIXKyAmur7S/YjUoU9fSfqjLN9kcO6WCTzC0vfWyIMuVweBeremIp sCYgB3oV7Ozv/n/SXXBBOkQDQ3BEUhYxYzS7TW+a2CqqdZlRtrOtz00A3vW7qT6ib/y/GLxWsI/P aoGj5K/fjNlyCQjnqFzKaQR38ZrlHQjG/wHfPr+6iX7e3f9QAREm7frxV1Te/f/Ub8wFc/tjhl3I PeVJUI5WHitrjk4b73OyutOPtAyoNTmSt61OY1Mhj6FI4ZqV6Wig+459VcZ8q78fsyKzpnMBLrPO +cUeoMQRz/dgCgaMCZaOw6oGRCEF6s3nG3tXHNJKecvPz4kGP/UMROQevSnDiltV0gE41bo4TkeC 4c2XUEGiRi3fu/gxyZcq9kUzmp5HThizIbbMoHu7ErQ6tQ3nXFrgM2295PAWLeZEaqWh/2WKyURl oQ3NB5vL1gmNxkxC5tP3pFlOwGAepXcc1FKtAno8kWOQfoXa53Vzlzi6pSfLHnXQ0pDvhKhRhzQw a84TNErGXFoiR1mxLxuD0qOt1CV5wpt7cwX8jQTzVm5onorwPE36RNBcb9rYdLNirykkpOuEYWkm 9D864zVzWQiQfdGPYRcydEYSfZTBNeomhZSbycJNlylx9fQ+5F5uOZ8KksvLs8hzPQA50A9ASo0B uvJdjWx1syYTow6q1JGTb7/XAHZiOvvumhF84fvdkDW9UzbfkQvsTEagVmRPjJ+6fbWrCZFirD5f RfEV/SaVgn8lZDFzGYBUALcl19QtLGjS+iLx2JuybHyQ6kzU2XULy70yh7bt5Ybtj52SgCBG/crU Ca2kksvtwhUPpPoqrbTfCuYAXaA2NQYWC44CjlD5DaPmE9L24uTdhMavUSXr+V/XP28kUaMNX/dA P5DNBs3geZQ/GarPirshnicuTd70URdkrOLeWjt77ADVvoQ0Kynxo9uGsZQMD24eDhEJuYlMyUuw jA6S/ySka8hjoCrUEU3fXPUOIDK9dzr7hn7lVs0vXZsHzN81cZogv3RSt7w7PSnQVYu0+1FriPQU b3/Sz4nOE+agqSAZMiXvFh0IVtNFHUmA1e2ZmPb5B6dueyopSiXN82BOFF98NuuXHk7fC7ocM6bh uILBHKPzpowveWQNUXkV17dQovNZo5PeaRWCAJ5Spt96rQknw9Vfpq0st5wYCbRLJobGR+aZlKGp UccRilbrRanGio6DlmqXXZoClSFLviIVxsEpJZKOHhSq45Gyn+uMPWveqxiG+1FGUEs0xI3693a4 0bA9eNLjFom5ZmPHepiFhwQsTHk25KL6qm2v354g6065JobE65tIoFVWo9RRWYwXwCpslsY8HJ9+ lERYGwxS1uuwbTrTGMz/5ztFxVHaZAGKhWKRDQARC+hKQhoXfFxOPseEFBxAMuS4FdR0zD7AOXXB 1YNc3t7pezt4sh1zt7NjO08uOQ9OqrOSVJDwRSLqNH6TRL+9SCABUq0cLOKbYYaCAnWlc2Bd7Ma/ TEPENWAKJtY2/fC1rh3meJT5vWN2gpfYSUHvTWkyfTfczNzaQOFe/mYVKBEx8dNTduBCyN4jitJF r/B2H433Yi8BCR6qWKaZ2WvnWVs0R1ya1d2mZk++JKsRLOuIAG5rEKA0/HV3saqT19ODJ+CHPEOV l+iKwWgJzn6uGXUg7DoMGdQIsoxeQ7TBOcVh1Sa/Q547PxEBtjj+CAG5RYsYOOeYMdL4bdFpFCny c8l7vIPhzYq7M837LkqoXuDAPeF/a/AVtXTUzFkZfFdKOewIMyCbPEK4l1YfXPLLHn9UcLj9G0/j QIOJtfTkZYRZTaZoDUp0cCZfbSMK0zo2qUGk+GiPy+94iN9pZFKWws+0ZGUY1Lz7VkZPLczLnzNr xSAGWLP+MJUzf7mLzelzcM2ASI4ujdu9OIsLLR3JDLhHBN1/RsFwec1YEpvh4Eq8XAcg8PaEvh/m 1qip57/K91p3fwbHikEE9rVJgRelAZR6jQ+xdi2Zn5Sy9XV13TvJ/7PV+/irywwjR5JxvlIfiRqL bJGfRabHR658KzEmnBwKonI+RPbF1B2iET4iA/5thTPkIl01ctHV1p1pJuwfme8K9Xn7daVodJwJ PIUKmivxkmkZRXa3frnpUO470PPAt+IwX0MxZ7xSRLJKWEKJLxjAalLYLy5dh88JpeomRPm1uwsC qZNWdwOS42PAgAk/vq9UtFHg+3wi2cKWiM+cY9wOkLAN4KMqNVV6G3EE1Vc76wf2nij8eJSQNqVz 69lx+TzJwjwNAF77Me0uONz+d94/zEzTKS397vN/PArkCy7V4xoKnbRKvrS8BYc1TBLueKFfbKNr Bf285PScsVLe1lvUBdRJWQBRtwZr7LMSvE37FxtAdlyqsoB0mg7slGz4aKEyrZzi/dU1oskLHLRI 8VqOuA6Fctaa5BYjgksW1qzNTBNoIZwGsBwazi0z3krsTPtNWB48bknIL+ZrIyWPfmKWCrXhIRQB ALZ97TOFAKNogt7C4rFRDcHwRa47e0tPESfzjJq5LY+KKb55/BK1GJVUILSGb3ganjelsvH+uftq cyD36qOwa7nAdH1Z99KwWk70yXadvKKAbVM81iYCj86oFuMjl+UPjiekMNi1W4MsMQWEEzCudXZB prONx53h8W58CT4OwSkSUxq6Nr77eJdk+UjCE7FHVyhkG86bF90gSryLWPpAGVZoSfXMUhF7Bo7h P3yVsaX72mDzczIAh9+WS5fgBRviR6nggtPDSy4O/CwPZyeKA53AbPRUhiloOHoVqRZO8oHGKPAu yFZhBc+TUd8LSNWeQSxO75rwQYpn1aWkwPHac3bwIa6drz/eeR6+Pil6nxM/MKgLETTvGn/pbqtQ 653JNjnJUeWVIc6m4dPHbKio53e3MdJPOJ2R4kWTqxGlgDvA90LZFu4kzs0sZtvaRPNJ2FcyzaDq OnZgrTpf0HcdF0o9fQc6TRu+aoI1S+cUaU9Myo2QPxRUV8sA1osYvGH7vBVWByeA10/dLK53Ggws TtUnUA4zhAvX4MTh2ivOVbzkrZ3OY3vb0Ggcivtwjlw0GdRXdFf+ucAYVQ8xw2sarng7roOoOPqY jumZlId3sFSQBuQnM3RmqrmNdIWuhekIiC8NzhL9qw2Mego2lJOnGkVBqsG6R5gsQqnAjr99h2Hy /oezIZjwKImUilj+wnclp1DxIPIMzNsbRyXRm5Xap/293FGOVuR1Q2Uk2xWByGnNBwylNy0GYoXW cA6m+ilMc9LeZazvcE2j6sc0Izef0GjEOhXxDRLwIsT+aNZmIG5tJuqfUAk7MV1fiNm/CdJTvqOq UQsAWlqQUPwXtQOCYuTYepdjz1xK0XekEwaurTdt9sICN7/Giq1bbk39dK/5hUCz3/9lfYEhHuqJ ldktDr4ZEWpNn5WRwFA1uw0mWogtDOexjE4FGAFIFnrtOWyActlWsZPM76Fl8/8FJu9xON3h2Xue HbtZVShnXX25RZyE9Owkk6htguBAS56tGDsMA6axgrSkeuYkBoYXkrDafGRmGDx7AYSGIXe31UwR 3o43cPsrNST3ITiJHb0AC4qj8FslJqstZkbdhfGYCYJ5Ccy5viX4HOg555ojidv2JvIbksbJ6Nhs CmdlszK0jbep7LBfq4jdYqKySMXSjcNsedOWHePurFxGiiYr1lVB0IySTpv4NsVpvfk28Zpu3F7H /zcQhYYSAQQMeCDKLhlPAmxwF1rvsprI+C3YMfL0trpOn/82wpKW+pZ6ysM3prznJzhAqgyFYmwO Vgn/kXATVdGf8+xjyXeue3TJBtX6LNFlyd2UGkmwbVGg2aCUJpGP0gPRW6WMvFaBxAbhvLUtoRdt lrAzWkcD7aFD/eU94CrhF/ZUxmAO+ijtE2cY481s2nXxvVtBjDnzP+6YUxy4XOKfB9fVPQ5qOf/T Ny2wks8ajfjJHR9h+RxGPOMd0Hf0VMafXvPALNimHPKwCaVkUgX3r0t00bBOcAOeDRA3qHiGxYnd UW0rGadcfiwv/2eyLoh/F6Qqh/6g+TWEXur63QErkEDl8Vn9I+AtEVcVZVDAFx4nU73cRHP+jyO2 okgatY53HyyEFXb0PLOT8WQt7e/AQZrsSySBkRf39cdPDZSyQ7rX8jZ/rMSBGm2H8+K7i/qjFMyu R+jX8sRdCbl0NV/rW4x0B2R+NLISAO/GPOUlTdZBQVs3GWFYLJHkamhjwZ6/96yEULh4Oz2PKjkE vFNC/8hKD5bNZ5Cb4R6vsx2Lnv0OT69vOdX0XLzYDi7bIY0AJejDG11NCExSV3Ukc7QSvSNOtTc5 HBgW7COs8Ss5kCgAtsvHO4E2SxD8pZvKQHHTZ242VUesIh0CGGVAGGQiXLtPWhyV7OIiL525IPrX BB0u/JtiFeUAp/5ib87Yo4f7Yh2rX81jSDeHkyeSpTm9aU+wmpepK0nS+IS0fhlxdoGtNhOzm7Dk cQb5xMm9uF1GHnhalFCg8Lp+HldOi0Sg5RrsMJaabp6eYOLXTjdyRT4uTXEU3PbFYzUk4iSHJpTc D61GeqbPz0IgbknI8RDJNKPRlQE8IVhVZoULiJu02MY4YBE5CNqrxtUYgp1cLz7iEZnf4NwXVp40 Va5yO0WIZ1i/yNC9je0eNHpe8xP80HU6G8n2fSih5sH16NcoPbIWjLizCniC5mWDevW88rABF1p0 G9+/X2IJD1J1uMKgfHLZ4epIA6M7bFKBoqV/7HMl0qaBkrMPb+jS0O58jbi/twQ5u6VQpnplGmwD vtYHKeOXbBXLUCvjTl66oTehF2pDjiD9FzGsyJqCJDrmrNblyF/KaoYniIzcnK1XKhtlS4NgLz8n hupiDV+ov59B27dgG8N+BBZEGxYdyXCRV1NTAIlBrh3tVqYHsJtMhUvE7SPBhxYU8N7n9l6Fh+tj EUITWp6Hl/T9C4a1M/7J0nJ9rKBKFkI0L5ABDgrmb5IfVUmxrK9uyfOC5vE5oQ2UPvlP8kd8bTOO IUNeYWsajDzbsFzYFAK9DRM2tg1jh+jmcO5XDjoPWqJ8X1ZKrcnsqeuC7ytFoSuMiyIC/hAZkS8U 4WgJulPVHk8eGtVmJAFp58sf6ztM5iWjuADfi94dxxp66opnl0yw9nCTj9Fya0ZuFZd0U/FpjOWD Okrb737kmlq7wfoS1XcbDxhSw+ieSYvMuT18WWCUI+Fwfk+SeJyMusRotTsNSgK96jyPFgAZMWhT f1viRFS1NfDXlCoDO3W8HB7XMry7CS8MoLH8wTei4G8Bo5SyMes15g+KgGqIQLrrB+KW5PLlS6Vj glT4Em1xqPado6n3aKxuCSCnnse1nAUqM9szGv745bqnQcW8oshALULWfZc+nOh5xFGUzLFoS6W2 lHau8myouieNSl11K9TQncdGABMMU5EOfZQYlyIR2kBRjTSxXJ6/BBBk/nmuW0xbxqBEKlu1Z7pn q/fWrFhQ6DqGv8mif/w3+YzWgdp/a3ZD/cx91oeAURAmsY8TCKth4BqJE+nbTSNHlo1C6eWtOWpl Xu1rR1GoKhFZKE1JwhZtkAfuDMy+C9V0+v4ppvlN+iPHX3uepJo/fJUoNYwsGWhLXaK7606cqL6e IM5cDHLX4kMyauSpTlDYlGjkmF21LxV8Q0fJU1k5dN9OW7lWYlVsxoPhEBoNLnqPp+vpY8kLnDIC brMprmqylWNV2nH3wAue9a7xPvr0zimYOgxwwTkY1CkQ24lfdKwFQsTrF3wi6mzffV7jDaS9PtMj dXWq57n6fxI9Gdm/3ZwSGKFPS4j/V2oA9GTUvSgPUy7iQWku9rdlWXlr0roV9NYrCedClnog5nWX U5e6aVyEPfiLSluF/pyg4wRIDjp4x+xfdT614DUPoPNE8D1juaV04z7FywWZMxyLhSe1Rl/Sxvlu U5O+USgmRUvudqGiNJ5JIHx0Se6DOVsd89MCtfyN2btGqAqY5ZghMPqt8U0QJZ/PDPucOC3VounR 1tEyyOCMpP9ys9IVBQdP2NdDyEj+Sz2i4Rny3s9do7jDmwsmfK6UsmqAmhznxCayP3vVgxRaljAj TpSjPFQM8Ix4qWXHJ6pYX9kcWY0+PyU8a5a5wRU/KTvOCXsBDQl/bvN836pEOwnzM8F6Sztg82Xm wjX19F+zSJPP0eQyORn2pelx8YTu32xm9yuiNCSv+3B9UCbobzqAiuNiHtqz8P8F2INo5QcIMreZ tTYy1X+BUhtej5VpGrAqi8r0qebVxFzIm7f8EjAi3CbnFYLcmajUYExPXkW/Z3M2Wj6mQx9R3WDt QSE/cEBz9izJ0hkOlLsSMLZfCzMHiZndiXe5o0xKTvD6pqxgKc8AevCSLreaJ50gP514p7i1R+x6 PkSdLrdc3IXw1cH2ZiIJmnmjYbypIrkA+FwPTH4BpAKp0HlTgNEFTQFfkdrhtnYfdxlMlNhPfPzf Oin3wxM/HqzM+6/37FAQbdcVDET+c4KbVamrSIulOcOTg9plD9gG9aLuzFBQqxwCPNO0UkDagIpc bKEV958K13b1ZHmKaHkFa1JxXa+B5+5LHTGwC/seTDX+2MyK173wNrzNXhbxfmYPT/EwjYFDPkOc 7k9mGZdT5s+7e7/lBRFXvO4yX8Sx4V/wbOm71R1DirioFsfSEQj+jyB8j/MbHqiWk0SS+tPdWWQj Z5b+5gH2TAQO2veAvrn31nHr/vj2fu6k7xPRw102LfUfBoQFIX3KJLf5QSpw/J8ygZtpSScqITJ9 fDKd+LcVEOUvZWBDSSAQ/Uulrvyn3Yy8qrqjwryZb1NE7N/YF2HPSysf5V2/rUEEZTBly8X/tkDG 5VTYTgG95k0Gzz8CovZhtwoqBFhCecFO9Gi8CZX2YPVIZQrbWapUsTChsy00aIjzIceRw3/UmDBE WUgAlJaltrzODB9P6bvJXXOELPBeJSXv32aL6XpuiEpICoSrCq2P+u5tk6wlZre+Nng0JzFBmSDU Y0MZsonxfIk3QRPPlQe77n+0NzSY1BQsYDZl8QUMc5/cbr0HR3UgLMtkLMd0bgsqVBsGu5JtTNQ7 Nx+rX7sHKX4k+sczijhPbXeWCCu0U4LUlK/0BWc0pjV1c1Su5AibpJSoyQwt/o3aVZKWW5gcj0ST K9XUCL0vC4Swn9N6AX/RahP7CqnU8v+Bw3AWqxw7lsu/I0mkOzKq2KRQA7qmEmlrEuYnCHdWBJPu vx162Xng7fK+xZzNxzO1NLx9hAUOAs1hitrcTO/EDzAJEEe0S/GXO4NAEOIYKG6VMGuTPkT2PlS4 qaUrG3akf8rAtsBbP9ltnOqdqmM9FCHqse8A6orx5X8Sbt/qTiwuehXEikeOxGuOPCHouCHtPGAs Jz0r50hfOP5iJ2G2m9o93ZjJ9PNDVEBhSES/n+A39Kku3bqIsWiXhoK8ayRKRLTcgbwo09rRMkhu WoweH7oAeQ6RY1EDs6KFnDzXfUc60Wm9YuQKx/QCMVq+xH2eeaIDDwrLAcJ1j5iVFakYZyle85o1 2Y+t3y9tSeWDkA5VoGhrhGxpRgMV5AR9akHa8UQK5JKhhr1LFY8Koj+vOAkPonPScIMSLiahIlJx /534ucGEAEOoajIKHz1JFcAn4Ew17MsRN+OicaNaIg773jCOfDe6zOcP+4yra5hfZ8I9KXFqZjUm wjNOw7U6Ki80HmEpl7ErebAJWnBWmBr7ySOjTY67CQthx46R7miVMyyXPFXUqYbKGqUoskrcDS30 82WZmOpQrWd1lSEdemv04kIPEdiJS7D58m7npF3/X0AA4HquIul49gukr4ThpxjO7QRdNwr9/2er R21MhTaCL9+kv+ZjISwTObHlDLUAk0P4TvJ/gadIypWm5EY+K7D51xHldmyvyw+eIPps3CPe4s6w xRM+1Pa9KtNtb9PEBDvkDuRqtudpSasaYdcbRZVU1MnvBcm1GXwuq4uq7gh9nEcszSzSqIyrk+8I 9LY6GVwleM86lTbW/nkEhz6NlMUs7sYEFzJyNXZ3rDpB+BFCUaXFyyUmwiORxNx+lfuWpNboxajN CF4vkKmhbmeEanz6aTZnVBSMpQrl9b1fMoJ3Xxt2bcMoaiRZ+l7i0NeVB79UkpKTbwaiKfTlITej IACI440cOiAi8WLUMpj3/ReT+RojwHTEHBjVFrT892zD4rw0zswZS8MWABjmzas471DJgoKxHd5g l8VkLCcdD6xC/gP/cfjDZnMvsZUlluaEIoqnBiYhYEpwgpL1wn+ar5xr/gj868T3bF+w5Q3ViBhL xjpMfxItslZnKFDZe5UAAeEfE+dC8wBdQuqvXp5L+1/dhUJTA6p3CcAyCgie1CcrLnlDYYCGZpVI +WmHQ+Nvq0kTSku8cGQMOn1WVeK1Z/AzRWZlVpyKe9yTgKHZk8erfwlpiFZvaqbp+ak7v5kabmBJ IQUVpxb6i4TPN5tG2rLbh1Ljiua/f8NTCz7oRaUIArsRedbt0O5ASCOOf4e7+S5jKDDCVpTEZuYN +hWq3S3LyaLvAycBN6TKqBDr34vk7rJ+WW3PqRz5sMHGiLXxTEagY7QfQL8XWEnAAmksq1FW9/5o +/FWlFyAvw8VzxzHeg0VwUhjjpZfrnlCfbN04q7VpSLjz/LGoj+iRDfAlFQuKM8sFszZCsLwCZs7 FDls4Yyd2VccpoU9Q6qQMVyt57Hr7OnkmCHMwTA/+l0dOXudQT+Wd0VIimipEgufgVAalp4ZL7kj CRGXR352eX/DIHyB6SMCxHxWPwUf0VRla3YuNxXBiG/slevrsgWO9ryGuxlvsxmwDzCSYY6P7T0H kh9jyUVdmdhmhi1cdgIOxSx+jx3dcith9qPxamQunmUd5wuxaFtTBZQWDfR8MYc+Vn+VrEZOcld4 jHfsSuI1R76cSj8w6grswC/KpMilpRsluJ+uiJFJwxUmSoub1P14aAkkViqPbKb4HwsGJtoBSDq1 BK2vvoOCQvcRuKOig69htzEvVytSh5M2/2gt3zc/mFOB1xzSWsa8jCbGdwZJFXMBChtl615psLDJ r/g39nf4pJ3GWjdmVR8LBX8gN1uUMcTV2c4PjwXGabFOpWUEUAsocx/uhaPp5H089EE0KjWI7upZ 2Vs8hELUAT7fM3ky9z8EHLSJg8U/cS32JKaCNE2uoRWKNQ//Dg4daILVD+Amw6Pakb+hgIyB4AKS j+8M5B6sbZD02TddFeLunwKY6uIKYzTva5fv8cNhyanUZU8az8Ms9PXIX2q3xonatdgoKzuQQc2E XJmMnoBJtosOFl6OpbAzZqcrQQkpwQaWoPeDpxtnp6aVKTVumSxuBicWc9IIdTJ9S/Ezf/l+l451 8n8dHRKQs/Mu38EwCtKDqBhYnycUr1SRAHwSouzG5b2LTD5Z4VemWkuLdiZFfuBEa3YWN2YhE/9t 20H0YLWtb7L6F+SY/fOjebXSwBdqB5w17yDZsAwdi7kL5oLsFtiGeg9kahLVFRsNF/UJUHR4uvvf VewohfthvNNpO/LFhqoRJ1sMj2TmPVIkVK4e24GtxGB7e3leFfO4YptRVavyVpB6QLr+0MQB2Qm2 v57Dz14e0fZwsSfacNq0dlQqaHaMS/cYaFybKPBTSilfr20wyfyPyntwYUz2DrLfl5zn16LYjVff tVT8WmGeGqQtl7Vrq/ob2Ik6dKEjFBMoYmdREbIQ+IkjFjMQvFj7Ws2Giy+NtID/aExrtZO5ImrM YKK0YsSEz6YLPHl3sBupNr2K8pr5iMKetvxlivycXKLNciT6ByOAi1z1LgW4WNNV35l1RyJaIHFU pFMmEgmWWWmoKr8ZX1DJ0lRcxjTwUNHIukZnwaMqwOVz/bxyfKbUVfevYZ/SG7smgRZqTLyLVnjL aG36HPcdRjolp8MQ2166xug9xnKjFuYIrqS27tFwUV9ADkOoCYx4t7S/xOeBrf3y0VsWYVNg722I BuewC+jHwISRZgyP2qpfN95cwoUyfACZNxBwWjZEGF7YZF6sPYB4dwYDf/ses5xBJadAcwReok8v u0qk6W6AmAPAPwCVUoNXfyi4asV3NrLOTKLvlRLzEJRLQ1OWfS98CuNZNhEqyum0cYFIOU1TIEDf EKjnCzN1CRK7pVzf0tvXx6PGtmvVyZY3W1EIb79tqk+GDSbev+VY7U/H99+XfC6+KbghWlxSCyiH ISW2ZgqIbW9LMGeN5MCDQkeTa/yiQhBq1Ddyiu4H8SOUI7D3O4CJaZoLYkTMvYsb91+Po8cSM3kP qmJUZ0e1JvavF/17v5W2oLp4zrQBV/kKOmoRSfd3SmhQr3je5T5WShil4MmCkRL2WAn+2L7vSeQR ODiSND4gwKGZc6iiZpz1EDsWpfoEcVlXiEY1ZThiSKxiGfC1LZknHbgotkqPy80+PIv84lraaOky TOrJfVpcjkYsz+lhAnDTarN0C7cPLjrfowwg9yX7ng+JQtFgLl/hdBexN5rTsqBB9PAWMrP2fD// xYLUqYD18hkwOxXqyqnTW0/aEZn3dgAOd6W8uZCOgOM3mwrv15vYV9WBKdbyP/lVFmA7P9D1NdOP 5/bZHHagNOf3NnLGqU9lM8QQT7FI7lTMaPb37lkBZJd2rB5Bt/mIztto5uuIzMb8J7aJaohr0EhN tJyLKuv+6Lv9bP0zorDwtemm/2piLpI63nhCz5hsciWw5vNdSdt3BEtoTTI2xmCj3VfJFpuCnsbb mE2/Q3TmBtsMMEcaxDrZc2BHc51EcWc5s2KqA2mC3ZIILhV2rOilYSSXNrmurS8yR/MchA7Oi/bm 0wK+vDcqyRaKghgOoE7YNfGQNnu625pokb/F+gBMLotcAktyenWV4MIdyo9CbfjopDdDCaV6YUAd +1VA9KGjeWpwTY5eQW75eaSvz9pOpPem4MxD/ObLZ1x5WHmlNx961f1ayEsQp/fq8Bfr9FyB6KxY 4LaJs+Kkz+Qqj/iANqkqdtN25umQstUHwu5J3lHuBMo58KsKIcps4EYAhbrszw79TvfPYso8nQQs iK/DzrhoyBP1SJzs5wamK0R0+Q2BVP04/qlF6LiGEmHJmGONogrK6BNriMfbON1Ss71p6KPyegBc BsXZ6eHTZf+/fYxE80LpjJV0jXXSprrRH3L5SdPWGc1m9wfp93dEP20fxrAdqwLTe6L4/xt7NJrI 7YHSAHCRtde4rSUJXSDm0gtd91v4+1PsIqJe1CBlWy91kWaNLIvjZUUkCMu7ASZO0D+p7sYJBc2C 0MUswYxE9HteI8hZ9B4XSUUUGurQLmqiNmki02IZJe3K1XwDzPcIhk30KCUkaOOcrMzNDstl0iEu b2dqCVCamyL96I3FdREPMn55WnUaRSIOnHhWjKLQkQdgVnLPG3Bn/WvfNr0uo0d0qSlIgzbRoHVQ qb0bm+HxDAvahW0J2IRySFhLAErpsKDjL/ZFlSDAk7EQPl40pS8R2+G9qmT+JmYSEPXquX9+5Oxa kv1fD8V7HbaMuy6TXyOUwAdtOMIGZ3/LCmWALceMPRxGUqJg/Vuc3D8Ev4BhU4tLc9zHUvMSQefe knfzcbCDEiW6/sOIYCsy+avsAUDd8an7Ws5DN2f5tlKJ0OLlv+3/p/GiSHbEf85d9IMZmWWcbe8q w/DFF1r/yPWPnKnr3IJeVSswq/imWqhRJfWtjTBA6VSSiGKfMJUXQWU6OOtdRWxaLe2TtJmQdO3z Co1gnKb/d6ZY4oQN2JWXeADWimvlKiwRM1rEZE4MlnMCFPQpRr40MGsCvi+WQElYB47CFYcOxWxC QKGRkz5oeSh5ULEAO9gMYcXFmLDk1wlX5hX1rW6pooGVf4SeCMnE6N7DyqL+c9PsEFH+nX02Vm0F WynYliOAgxRHkQxz4DDfNhm8/8vZAP/uSjsykTv7mMgBU1kb52NdKbKYJ3tnVu6MR3Puxtei35ye Jh+qLMpqHYIu6qg9af+7yXdPwg0eL/tRBOGRaCPkEIEZ00jKdDHjT8WbksVYOVCmtaaOIknu7t4y VZb/XxlLwzK5k3IwkF0e3YTDgQ+x1skvj7Aspd5e0J/lxicho14MTB6VGt3V213O20sIkAqebFi3 uMvlRDvtjt5OPUtrLV0zaPX85dCIKaxOVwDtq6PaSCj+E3Z4IiFm2O9yUEor4BPMahTlcYFziqkC YJKc/PkbS58wh6WhoOB+Sv8QkGEDAncEPAn4LFmN5OitNh1VYtZF1J9h5qpmqboT+kcUblg8uVC7 84RUHF3DbEtho+HeJ336oIL0nhggJdFGgqNwp6Q6UxCuMNhCCIPoMm2sl5cNsY3HDMdUzcgP0Bw7 76cu7tf9+0bltMqGGoktgIM6WSpH2sNgSHlzxPkaxZfselkMA5F15iAMR4Z91Q/FRC9slCkqllWp K1P1Zha/LeyQRGFloM0LWbTutgJZUSQJIXViUtS1nc503O3FH0Hhu8CaL5Ea1Ww6oKKcR/ZgCyFw M+nGUqhxKVFdXHkxAxa0wIMsrtrvFTmAOjgrPh8Ya4SkfnKoRTVBPyHqH+IzbT4qEkrNqE0VGhVT XtyFD4nHoJqoQk68Fd+nYmXri3sDWTh5Ncbq5zUrxamDElrk1KnLpaM+ti46te8YD+2Lr4DGTXdN AWFieop1Zxga2UbnpnLk/KdC2bfCbjlBVq2ZWfnfNKYvBm0aQrhNrRpEMTPpbJsEJMDNlwoUXFJw d9/mWrOo68WlaLAr4fvarg3FCNIrN6RlxlvJvBHVfit8rktUjLBWiUhokFK13Oyc3GHqpz4QPOJx EAnFGyH+zSWya2FciWC5JceDavrKJLBh0fXbnz6wkTZSIdduPwZ0xTYeg0MkJi2XPyae6e60JCP9 LcVaIJTxYWGKHumfzBavUb60FhEO9/rbKJF1/SEuyCaRPgWLLjlDm6DEpPZ6GDuPfZwbloe5w6Kf XDW3SEOGeQnMewPKuJHaXjrY8Ba5cisFnbiYNythW2w9oSuzL2AuRhAJ+GtVf/P5JfTBxiesExJq gSP5HIpnSy1hB9vlB93Vj5z3drjq4AXCtvrLue7BK4spcAcmu19ZkFnRUt11HNkPLbnF0p933eHe teIkikf6kugo7yD0t9WToZAwi3Huuu3oWccdd8NtqApkP1XkSkjL841+GnkqtNv9/Nma8A2Nlciy 4bwv9vi0nWXt3nlv6MTK3+aIJQ7CZVQB92fUl3pGngWd1a4aG4j97J1PkXeEFJTXS3COfez3T/89 lirg+J2s7b479a/Uv8XXLv/X34IfDSzRD7b0Gb+FvcQlohGZCwUfXDurD1UT2yOdmBT55uHka9k3 0+v9GtroqvAFO8ZxMsSMt2dME4GnKV6zuKotprxalYz6vW3R128xGEvgRx4f0tboOkFDIu0DpEd6 TS705r3WCOYkNskxraWRfnJg61kI5380eLFqJjfmZii8AcR8vxXWddLJVxYiF6SYqA8bTsa0navG XPY0JeO2Mn+1KYLPMn5tYo0pKmt8cM1Mjp0EgjG5Gy7NdlznhemWTvVOgWxxUkWGSAyZHchsvTDd RTZGD1N8ovBJy9AICHzvpp/Dp1atXRHyZONjR0ShVpci8z6vmOokV+DiF2fXagGBiMNWtBpeP2hK JYxqwPombt54kW4S60TxSsHJonnrF8D95x708ljgNZBu0JX1/Pe129B8N9YCr2OtJfaOK5/NrAyv DzwB0XEOeQRmPpDPnogOakg7mtFvPbAf2TLZbYfDJXGh69Ewpk6tybVFjceSjau/GcAof5w2qW5r tx5pLSgUA3NZVN9QuHLiCx7S+5C5KaeE2UpsReBocQ36OAM8wmy9ueIAeb1s8a3D5hHP911a6ZFg 5vum5zafDRVCNzarFapxpc17FNTorMCL/4TBdxdK42sivK2z89SdnNKxtWaq4fo102FYO4QoK1wU XCpv4yx/bYHBlVauIY2j7DPfQefoE5Z1q+N4eGRnuXqBvBTp9ENz7ZgDGMzHcde1UnsM23XPMPdU +0N3jN9KZoOVs9Taea05mSGoP9FcntUGiKNqPpZ1z+ThsB4jwdK3woKDfsgmEIM2ycKbBgIysRuM BFow5Q3/XXhM9S9PiU1ZCcODpItShwnWKbiyKkv0SVhe6V0Z0ir5CDw+XCQkmCaC9m1hfqVrGNcZ eiNMJX/gnis8zkHd1xvGZZm0WI63tcH4grqB1c+FtZyMATQMI9F22htniACze3JxHh6D12MjVnys cNYA+IKflmEO4y+AJXbE8JvYQIDXtQ/AXQQudjhMuvUwQ8cMKRvlhUNa0rn3vxmaCLuHam1ZQ8FZ GD3BPQEB8oce7s3k2610ISQGeesgSyHHgG1+GRxfYMr42I99AJOUrIeV+0d+7QaHxzyMugJQsnJl y4GQUFGet0sxPiOIS3//COZHyJdRMUMVoTo0aiu+ucGaO3zkf/9W8u9UvmIB71JKNiVRwj3F6Z8S YaG1QS0B2hlfg24w/n61TKkELOU267MerR8p0pdi/NXMGcBEq9i6NJbAqtKGowCcTel/1bOdyr8j e80r8dtPGIS31RoF0Ld6y18ccXmi+HTfVU2A2y6o6k5o7jehdxR9nfbl6hUBWwe5vX7gwJXla6Xg XD30go9dgInHQyUe7S2jKq3EnDweQGuni9gwwJrtQ57LMuXIuXDjywgtcBeuGCWtAug9pdcQ5CSH 1EEAw0xrWd7e0I9+RG+hxFfzupX56zcxeDAc6TdBcLs2qKyH6vaXg7Aj+ekmDWW2GL4nhnEqbhy+ ShuQnW+Bbq/18NwFbYCfbC6ZllhHwVkVM9gzCn+HamTSO4cv9KYUHQ6DpijlFlEhXZEcXLBMCQvt GRpO/3i+Awpxwd6u7I9AxVr707R7U3HdjXlIdCyx2DG/dx0w8pkjIkRTmHOa2vwbaksOqXrvzhMH XXLDD9viR3SkqqgJQKW5qO8C/3oahQyQOjc7HcPOEJo4pJ8aJp2PprHXQEqs8Te1x3RkmoFIPkZC GcgS+wFmRB1DLDUdEF6TZnZ10ii6For9XTMS58mOARVpt7J5/Qv6MfJBy9fyeQXvn5lrGJhqWH8t 25Z5cqk0VzolvpMpmO3AbzfcLOT3LpxOQaAheWCMF+J8HENkI7V16IeWb1WwzGKoLMgav2BO+5Pt oLO+tNwlkrM3IT+OMZnkV/IRgwplG+LOGNnnP/0cWTTVa8pWiZgYF8OImXuhWT6IvUAXHuY6Hf20 7dpst3NjSRqh7f5dFmnpOgEI9FAsnW1+Gk8iABHRqRipcnvLes0qc1VuvMrYOFJXBZAI4fKORunv XDZnAaC+Q24KZhYS7LConzj+RK8BREAiBuA5080QTLaGDqy2mfx+qpy/A+/OmtIzYXJPoztKMZh5 cJACQPd/X0vGTvvShVHha9LkSKjkgMX3GEv3a80f4FlNgvlb6gsohqZTjmnE6HJjWAiu/BCyKF3h NmFQ21ROwKX/yO0P0Y7tHOGVxgSxvVIB7rvafUjah40YABTOUZU/SDX+qpxHFivKLCnxk4bZRb/3 s/PYk9pBzgHHOoiGoI2MQwqamAGSIaMotMePy/5QYA84eUfEkXCwUTXiJQ9MiZEMMWf1NObxBTW5 GD/4N7i/cFwJPCS6hiBUo2mmp2UQ9VDqs2+RLNFGux0nwYZ/tef6IGZl4On/hp2VjCAkmYcno6wq 8E4/Buchi13HVNGHhJ0O5s8dHpH6TX7mZtgPjiiuUKMYXOFludjiRU97q+8dAOdyrtH9yVA/s4y8 Y23TotEOPDZ5VHdC1P6WnCNZoyo2FNdyF6AzVyZlYHyuQIu8s5kX/2BIPSlRtLTW+c5Ij3jHQgie 6XZMdY/turlNeIAJTjs13zZt88GFF8WXDxg3rV55UvvpYf79Nu8P3y+DpIwG4BamI48Xc/4senmV A9EOO9qJjoh2cZ5iKYrH+vqoNP5O3EiOrd5uYz6YvaGNiFmfHsJx7/0hStBnU5lScYghyD7p2tML t+cbXmfBw0jSZUfcbxfwmTJ8PYUIbU/XCIAGkqxQd+1GExVYoNAYVo1qgELN+4dVsy22O/ggwL9G Hl1YrmS/PpzEYZMmjww53BQqhhVw6QEvnFM4Uq8LTsoXQpd9kRT+4gotmDNy1gnJtyoUOixBuy5U oMBiqCRWtARQRiIrbf8Grf2jzCOVT8FwGtfNQStYeKjNY4QD8IZcoAp8wqAeUA5lbveWGRaen7Jd FoQFrVEpWw/xKBbKd5hL896ozp3HNiQ6cGjj43QmdPB0eaQh17VQt1B+jSGNDS/PmtY/4F5TEjHi zSWpPxKzQ6fMi5wnnMpeEXxCreEkeyWanU6tzs9HYk/jqAfOFWxrDlUge4Z97XqMjBfyAeYjsyZN vGqwyQTCtikGm00hE4eNWW9XqTgO1J7OwGvt9uwDc+QtkhCKOevSGJS5dsxEhkG/MbwQX6nL9uu7 3jyDYsXbfxk/dNUKA4yjSqnuk3efDdpF5vVSo7+oi7nRCiXW1g2oc4YlfUozR8U6uPLdfaq6kSAg YObiJfAX/U0bxz4OYXTzTbyfeESvvsbK+wDjfHMRQq2lwd4mq5d/Fx9x6Afv2QuczfCanQzM6uD3 2ybmR/ZUIwI1CdWz/GAyox8cr3vT7KX9yJXDBxJ+fvKNJGsLTZmq7my531yglfB0clQF04wFZqUa q8D5z9LNcryqEH/HtbyPrjVR43uOwca3+XzSurEm8rPQUYSxzextQvj8Sfm3rh+PzUPr8l3b1QSh uLqxYU0l5qLKoVQ6TceGQtAj3VJ8yCIiSk+if82QDAZJXMFTSoSjaIuf0dN+VeZfvh/QQKJm6jHA H4sTTrF/dr5+TxFeCdHZAaVwFHH127vsAzHjZoidbjAxMOWugNZHqMo6hR92HivyGaL1cQkm6jga T2LOKZVAwmWX9/3UdG97Upvwh/+ccTZiWGz+crTGOlEV1TgshNhV12o3amJq9a6sjB6SI7D7Rr2y lTcVr5jWzcLUPI26vVLgA/oeE9ZEuJ2GCQLwwjonGhbrYTgRaNPfBWHvDfY1knWT8JPP39FAbPl9 f7Wa8Xizxd5bw/TIm2ZB7draLlPntDqUOUZaGxBXdq7G7uY9mRB2PcTA/hVc+wyUssmHK6vbQr4w GE17EnmEhBoPyxmWkiKlDMTi2mceSitYbOlgkt9YaJRco1SMT78/6JDFfnPRCqnLiCuuSQ2RvzVQ fBjMERwhtAcf+1pqg9sc/8sfFga/EYGlaqWnBaMMm1G+maEC5GtQMIUuiCrNiO2VEc2t13TSFSb6 z3gw5IlUpvq+PcV+uHv2UUAxuVg5TzQg0iUZaR/uU2oWQgwR4zegUIUIDSKJOjVbM1MB4zSrOWzE tt6W1WVYOnwkSrQw5wYVXza8zxSjJZ2Qo/Yp/SgqZ+UQUhONVexlmOzRlDvE25hevul+eeeUq942 +TSD7kznST5L1tqGwaYVM8H4i/spL3pDHjw7hE10qZBw9zZ1qIfJEQyNJJH1MNW3XRXv8Pi0gece io3DWMHeD88lgP1rcXc6K6sohfkVro+hSNVYXx07bh9wNjoV/9bpRd4gIYKGkmKYCys2ttv1Ihbr 5GSWFLmmD6DZs5/21m+gsPiCrv3uJXijuAbK40wyPyuOwm/hA43PE0Mos721vWBKFDmYwcvUDLZq IHfs//2eZ2rBKIIe3qqAIetUYeM0na/HEAsG7/xTIfdnHGQ0c/0hDjjzreCQMLAIcdZZhl98ZMn9 xxkD5QVvvKj0wN9S/29sdFfJXcDB/l07Vnl5+AuE7eFX7fDJ1uUX2ZN8KsDMKDuGHIxTNaoDKRt+ NZKoQXge9zcYpFvJt+3XnN7gQ2KLHbycVIgET8SmNsCcJ6wsKL/cFrb3YIsrld90yu7+IlWeKNEv qSA+chA87ZPvBdPtnXzNg2KhS4AZovZ7whXU+FGhVFYcz6xIlkXRqRinrDaneaOmS0OglEwXpu8A fQQF3ZkJKvxMJ16LYPP2E7monVm3tD0iLzvc5abnzrVFlsjV2O/BAGvywJY0Gx9JG9cWLI1ziDfH bVhnGjIc4K24h70J2hD+jBRm05KdmnBzPukWvR/zterPf0tysA5DLS20NIueXEH8XEStLhE1pNCp YvTTVh6G4/tyfnf1Xq3F1kQubeBjuovsaERoHdoVrBGLqoTjPJSadK46amvs+S7Rc4jkb5iIZYRe oKnFmpgGTYPvCSWO2jB4RgBe6ukLmZmX+Bzj7YUAV+yuuyg2Q1FXJMr5FuCzuWKzSI8Z28wsN3Id XVf38ztrb1nb4okQJiYqGcFi5+RMKi1qUOdDJJ1VQQFXZoFvFgAaXXbQA762Ngaca6PGUQ05he8r i7GNvMvC8FUTvK25gLKOUggyaSHbq0ZPUm816vvrnzvwJz+D3qBG+KPdSwrIUUadvYDoD5xbrpuc jbpuMkjoflTCAqejN26O/1GY6FHDb5egwLeUREhDEcGF4uInrFKyJGpAVcT0fGRIEIjEsNMxi8H7 /n7kZGcfrFP8NKhljY9U6TXhEXACFrq4CxhCh+6VyJGrTpieOjJMacKFv07QCkJ2ql9XFs03cuLz 5DOhDCIIHQpm5mvsHGXcJ4WtAbK8qaHk8tvA0KVtJWTuZPAvIqDWOnbZwYWEf4Eu2hcwVq/q5pHJ 5HGkXsS91sb52aKTgHNDPjc0OEacooQANqbhTKJUKcokUembkZaqcrdQTg1pjn5J4I4bOoaFDrA9 N6Bu5hN5FwCJQ8Y8UV6y4JIN6vgG/w/H+5WypC+hgbxDsEDAlC6TWougwVGoPLBAuH08QH4LPRrb +oD4VKLSJneaMeXZqqJNHjoVQvjg+hlPK9sLSyBBp8OhNEVl6t1mfdVoatAXYV3GakeA68K2L2yL S28Lms7fHW0Qz5/NI+07H0CV8/ShogmETXZwwibnvXVHeC7rkEkuXpUYUTw08lEu8Uc7vx1pAvU1 ENoFQufQd+/pHPJSApI4VmE+60RU9SpecG7Dq/Xn1PcyyrB1JPhRqefqvE7QgtgBCWu70yB9FeRc PJKcqiVmMazJKJqwfnSB4Jej6BgxTKQBEvo5b8ilaLXjBTPaJLlW2LagNW+Vlhb3ZwdapNVGvpu8 UXb50CkiYRb0lGyswbWrWc4WCZ+2MajSNKQxlh4xOVEy89FP9RlhCf5EexGdYIugbjAekwqBmKlG NCVmx7jS9icQtuOMH5IIat+lMD9zk8vpgiS6lu7DwXl9YHlKCHDSPNxCwdEeFzsn5URJaf8cAEZu GzyDzlxyxS4YaZilWlSccfg8smdsjOGSWHKPhhMuzCc1mbHIxj8IE/nOxazK4AIn1pC4QIL0pc6j /Ska4S1GJ6G4iKu/8RYSOTsBhMPjwuGD98n4kp95sCCk48aClxnR95+X+3xPCQO5VQKj3ny+R9jA aOJA2j0sjnQQb5e5wbBv5f6i7ACG9dUMj6YryFtaNSWZyd0pyesZITnjqwxQqA7FMBesc4Vp3Gz8 XvdUwT4AauUTh7Pec/8cmux9nVkLMNwMizxswiRYRV8eN1hZLvzYm+TBq7pJkU/kv0SvLgqGL4ih GmfOlgxP75u3EC0gvMLVMb/T5HPUSeUspLMp7ypnArm8YdEN+nV4u/7k8vywEoTuioyCbjXUJ9Ec vjwQ5yUfhPY8VMZoKDoKGe+wBwV1Upi2jPclVa36Rm+dwDnpZ8XYxMcdJp/DZfhnZkBVmw4q1GoF xfpQKNb9tHALBPdER9OCFuyKs81/rhv266DcEAJ9Dicew/52wDCf0LVFNTKpJccnbMd2P5ApShGw qqmZ5pc7LlsdRhwWG2RSA2Ca7vMlHILaeRPp3Kr1sou6pPggUc/GV/05kihnxcskWLdoBBJHIepN z/5CLQtxs3/fQR/8HmyuMh94TjHv+Mz5T6o04gJt/a9fy7eg4VlJ5qYCTQLoMBpSePXHCgrxs8ms FPpQxb6gp78+gNZjw0HNuYE9O6Yvm1IA/S9ndKQ4SEcdHJMsBFFzxztcx6BfIVqT5249dtugcQ1u C+F9JfN3KH2Sdv5Wo/6vui/ZJQBt2SGPm5GhA2sUkjTwYMKOwMDTgq2RuhyegdA7PkO/nLNAlbzk 789odnNm88QJnJ7vX6sN+JZXEFxPx7AsLtHeDACFtlbqBYjmEfKORm6khViIR2g4Q0CfmV68+WBD XaLGTz7q4MJ+Qs8Kqjv2QI9vxAWK314QyThcXJkQDae8DeutWVm+yjkZRiCZhkMrDH8VS/C18rtm slt/YW9RPvy3E9UiPc1LolFE857Yyi5dCzPlRh8hzGJzv+rfSeZP/K3PNZ/Uy24GtEtFV+X0wDT/ sZRwO7Un4g7fxrf3uQFZCfM/5YVtHI3i3OskAJuOKV1pg6pc8Qv7JH0XbleNY/OWBqAu07XX/kl2 cvqkfiK0XhFlpPZLwabwC7k3sk9qPqPUyDCtuwuxDPY4x8WxTRgoCJtAkJILy87xoGY46HBPzsQL Tq7+07SpL76JzSRsV34/Lm2P/0kBDOfOpx9v3pIY5qgQTZm9qdSVSuumOof2j7hQs0Bcdk6FLpKy +jRARkeSYgLgG8ueb4+NVHn+eozWIUDbDKhmZDjxbVAbbwpkADHa4/4p3zCETGpkvhkoEHWk/sMT E8etttQfyGRsH19JVAPzFfG1BCmec4axZtZsFOekvFfQVbdibKLAVuGpz13F923U01NTEVVoIp9r FsBBnKQdqcPWZBaTMhgKWWEyONOQxuA6bNyAvDf45ALtU+LsMFlZ442QUQzH/jUjITJ8iCWIG8xy XQWmBydKc0SMz0PSJE9rpocHyqYvmaze01Af5NMCf3jXVdFVMYKELF1xBaW8TfrY+mFbT2Issqts w154VvtRe0eVzqvPlA7foTzgfvu/ytDhckj+XTtudJaqvdGu5sDENOY4cvVXUdogo99nNCfymKui HnzdKbP3KMjjwFpuwU6m1MgEgh1Gw09s1zTtlJjiE5GtSsbv7l1expsMUr+aUDPiJ7N0eEzzpQG0 N8ZUu28c28Ou24+LagT60mtHrV9jex3FaFl+qLDQLQ+59o2JTBN0XeQ/LQJ8aYC6mBNbrACc/Mpi 0a1TZGWiXTG2KbB52DGp9H6VvBWiMfC/4GSr5i6o3qSC7MxrwLj/XIjROgOKiYzjTHB9W0+VzAK+ 1V266UQRDK0V2p1ZQIjjp/AYOFypv/dOt50lhKIfOVElUIm2pIMG3ThGng4ztFS2VBAsLsdImXVm jG3X7VJkx51T2JUNPi8QamDgv38lkqOZ7Ih1PoIIuF0ruOgyeIxL7BDAsHQHWM7nE3U8kfKDNp/Q 9y5DlHuMpd+wo5Hz+6fH64IbYK6yhYL6k/niFjRoMhS3xXNxex6v4Iev5/3JRnF9GeSPyUxtKE71 IXluuwSAEYGKuRXWid3JzS8F01WsC0d6+aSkP+THGTeOR1vcnIdBnnaEAa+66jizMx+RF8JA5bAd EkgqlujUyXc4KjB7OezdsXFxgJdglZ+YJ8gDzsCpi5L8+Mz93V+kMU8LthaYwxrXEq3AlVR/L4A6 CkgsT7f5kmmtjHMjpuUyaHeUbXfY8c2Whp6TgeoePOSD+N2pOCZg8p5isgREyLVb0E/GoQSEf/+3 fd4UwP+zWVEa/fG6JnqK72t9UOfSLxuEnbcgYhWNFvQQPhmuT6a83yhX7Ec1FUgFnDfinxmCBM/p GL7xgJnredb0urDwBYtq/S7bTY0kGsRrByvV4WrbvrGH/9jqU+RmewuYmnPKpV4rFjfXbd2iy2Q8 HUiw4P3tbZJ5Qeq25CLr3CS0EOCRCXvjX7RVJZJoC47IAZJg0nXwNHKCX0BFExcEckJ3Ms/OU8CB 27/Mg3UjUpq3verpNK39IBBkBnDVM0Ym4uKfYDfu6F6bikJU2CznNWYjqoA9LQBoIck+jv3XENU7 EmSW2yC/gLnB8S4JDhiPAO46faRPgUR9oA9c8cQ2nbdDIuxmsimtgHfnenJK8dXvASvIiIltdHRI 2BgVCA8fopb3C/pFrKapTDjLZuo+JTk/N7d55cMm6ySIvQs6Kh1qMaUcpKNbYWCvxQ7TYVUX0MD4 Az/89nFn+jsTEwgU57nBGGlhWYBV+j+sPSwT6jPIgC2Si+El3IxfDBb955MvVevlyb2To0hYU3yK Z3NDv2F8pN0B/VTXtahndmIIdZDyVM8rMvj+d/mTSUru8saGwwOwG4vhnAmZXW5mIgDrhGxHDIEY rlLBoSaEbCVZ6S14Q1KzmEX9cJzSXLDcQ4BS1OHn1N17JjvA2fvRB+uSq+z2MU9kj7IfB8cqAGLu S5xjjlb+jtjU7NFJDrJnPGKPuIQ9um0jnTRY8AC7+FN8jm6pFOvr3jkIv78CmlSCw21KVzjREhi8 NPxWLKCfMuhAXnd5eN1EMkkat682fVRZndSwB00ALYqTOXWUdhg6BPkAd+f70nPvPsev2Ogu6gcY dHH2LRuaVN2KVkHI8q7VLsHXe4BfrZLJLqbZxr52I01SYn/tPNjuRLeFSiiABt0mgpzEOpzFJwUy +zAbET2j8agr+F2qeznnrJz+I6wSvv/KxyNR9v/NZYgy7Nl9k7M/Lu+RiRx40WlqmqCIbmQQ0ZLE WkH29LpITXaGmdKne5mdjcpVbL8egQomAKOFpiyKgq17lxVrFFRwubPH4MlDjCp01GlGwiDXJGWL a7RpDYYoaHjICnb9yBtzMLbUDMT0e93FvnFoXRyYZA2ayM5qQWuJzzpWQ3oWqOZT36Saxm6VYYod Ra2iq1DmY02cnMORTXSIbA5dOEnNM4g/HOtA8xqpVtid6lnl7pNCkYJQjz9snY9lB1DsrfySKw70 vNj6P0G79SCPObD7jaAs3cyzoZy+4gsDScdhvqePsISaS0SaU75WnLsbaEZPgJt2wQRk4osrkLxp OeQ8RYLGMeJfK6PSRQlMCenAAOapmeSihmGQ7vXvq8gGtDHEq8uDgmF+LZCm4fhdE9Hq9/rrKTPF trqLpf/QDCEVY0PnPjWYnfF+nx2wq6Q84Dm8ZAFxjPlRX67uf/77UID9MgwEhpIFvWY7oqaFd+Cr 1VFHDSKhsJR+u2OLL+9JdZcThus6JnQwwHlilwPtM8LCj2ZZrNZfaPZ/gWaamXaKkZkszOv7H/w+ bnpd/e6f8jViAcP3AI0Tho6D2X3upohaVqIYPVS/b4mRNfnsWMLMRso8wF+ODAB8ZlVkhWAJ3bF3 +c1roIIbpYuMs1CaGGbXiykCSEV0ic4NB9GOaNsu1OBdY+sbzPVRjlRm3U4HbXEBsIXohoDi2m5+ 5Dy+fbpkqYMfEydTS9VVhOOO0Vsae41xYS5GqnMPcLpQbFYtBU1JmHn2fADC0qyL/pJNzXniqTX3 e1yT6qODziLhICEGqvP4WBIszIykGw1FBtba8PhSCFellgNuNZi7Q0YAk056QGZcvkOKEMhHhQOY C6itEkfTgx/4k1V+VBvPTfm+uE/5MMWGM6CBeiML7LemDTr04KIR/F1wQKu6ue6LORj1quhNid26 /NuTJ5No4QxPYReOPtodYfPzf3MvprM6uLo5qkHVxUSafJmlAbO2RBEIZIvywhhvb/AqvbjrgbsD SXaGLCRv65GnEVy+vqWblJhKEblrqiHFifqKGFT1C6dsPuZyjSHCAqNt9tDrd0lEIsZrNDuzy65q aMRAD2sMmFDbNmm6CgJBlflaHaSUK2PLuz7lQQey3ZJWBVmJ8386Wpx6YBVx3Jz2FJ38LnRryn+m 02rf6T11MMiRlCsUEYk8C3Lgl/xk/UiUkElL5I/NPCSeYcabDQPtPR6//ZcyUWxcJqGhxExglAq2 EIH59V9m5+1l3kD4PUIaoHGMzO022xTVt5Zb511yyi1JGfSJPMcAuiXlOR3vIbi31HyQF/w3y2o6 U90kqVA5E/sRgO9Efvkb+yBuWoozWm5IJ78vYoubRYtM0ekRf6tIjAK5KrpAL2cwpZvdwWYyn72w uniJIv+Hnl8ARz+ZY5QGpg3SwKBDdruNEsIslU8LSbfnZyyB3aA1AcmO39AVeAQRn6TNudFRxhzq 9gUb5IFQ/k7/iiyaJ296ezLtKdzvod9ZaN7XSrJ7RgpNtgcc1eXEyPP2V5YUahhcbVNlN2r72HF8 uQOkNt1sxCpRdbySE2OhB1PrAFqs66xhie0slolhYBRhMKfMBHM/15hVItFawKnAyOQ3VQ8Y/4rJ eaHFhoI9DiU8/hUh4r6BOUWBytzyGSRUWPz3MSYRVM8LgEIY5oHDmUGlrYzqxS6BeyFsf8jF+kPF dJM3uRcw0j8/HOgJ48g+2y6zdhfQLFW9tpgcuAF5bNS+uDotRhpk8856Aa0OEZdCuRGlxaC9Dc89 NzGnrQAz/XnUu8dWSriwzdEQkyxcap7QCYGaGzoIMsY55Y46QTl0E4qrUc73iaeRtDEc8sSRrg9u XiNitHLm5LL3/AgCk7MflwntS+Me+xfhNGF5pMvG4S1cyQOpr5Dmm+F60E1AVjH5s0bvo5lFszh8 4C44wxP6C+NvMqGjYUnxhWNNG6W39aiiMOtUMYVLsTDYCkpaPOMJgVw20hcRpuZh+U7Tu1HTWsl0 T4xZq4X5SZujAU9mrXc+Un5TkX6hJBGZ9+3Xxi7N39NoUxJhOdSA8+44Uw1j5mvlzOMzMOs3m4RS 3F8v30bzCHolxTHa1pRVMX7vkD9/dbu/sTDxQgKnFDqBaWyqaYpZAAjwnvuNBsGQyX+YuLUm0RoB /kn20MT4EdeFsJD5xnvX/6rAjLD5zowmIs8WDnKga76pUkObiBUTqY7j9kL/SABBDobjjDxHiMc6 Lj5tSe4ygV5di68xioQlMwYXN86BSH3T5MhwVf41UfB/NLEbZutKG5tqthUhaHWUt35CSnS9OPIW J2KR0dMQzRh219L9Y47vLgQjwZf3OpgxJmzbym+MkglbDHskTUCY6tG+Mc4ZTdRuMIohzuGajpXR UdaD5QBJpR/C/XTbxU8NCVLONyD/Blcl/enfvyIXpwNN8YWY8c6L6qlr5uEj8oWW7k8lqW/PB4+I IuOXW+FAqjeGi6zykko/L2cJizil3AU0OwTM07KqVFyEtcazvzmvM9tbyOYzumg1x01D/9Fnq1lm ExSlSSsLOQqUWIZ1LUUBB/3ZxroIz5TNgn+wkByowzRHWTCjbcXHoQACSg/o5focaNm9Jwy2i5pz TKG+mm00zXRWDFooj9nMnIdtpRMy/2ZRUzkrhDOidihqvMqY9s/OgWmsLgbD6CEr+w6dD13kmq38 xzZamo4OWOhi5sJ/rPoBFTy7U1vrwRt0/Kznq1BjTu+TTPH7deQ75XDAWGsds6tc+WsspKN5NRnS 2nN6wGHkKNTWqNuEK8rgFYqz6f0PJqF3Cxoy4aZJv3vjmlqGdNAgsq0QL50W5mmxGeSYoO7kIgbo 60RYDD5y0Qpugaaux4bsrtsu6lNZK4rx7JpyqiPovBz547UZ5GIcY2F08uEwA7QiasK8MxdKTtxW VA8EPGmqe3xggrzRx0tz39NxtBlFADGhryZ2STkvEnFXEcAqv8PadgM6vX5AcbTNB9Iq7zhBBVVh iC1lyaSFubnIDdrufxWUHpKqUZ0GUBNieHSmjE1gwlo8z1o7oPasKMZU2ofMVqhfI9jVWqgRlbxM icgdV6KnJ9EthedpS/k0dWurcRN8dVCH8iAZ8fLZsoxmCgO4HuP6JzmcCvgKQWDSXix5RUTODyWY c+dfAb2A5FgqOAaa55N6gHfFACrLBhNRnt9bqEOCaz6ydXustIRHPeX4MzZwEYy+M3niZF9fezma 9mjCRuNtSR/gN/iFQWvOlXD/YcQTy3x43uX4W21keTU1dI9zx9QI8ALyXCDT+L1P78EspCa2xPLU q9ntnBT7qHkT1BS+8YQprGIri8vzIyHlLsCUKwGQ/3lyyhB0XPyUp3SOJbqFjzL8IqO7EveSzYPK 9bdPQ5bnAKrF4sV2XKq7Y9uoVzVkQ4+snVYlopXtddRqKakV9NKtriO/swrMA2kT5jAvT+BZQOaY THaA0raN/eBisgmiQHBs8kmh/Cnw5C2llf9KSwhhbkINBKPJuPOVp9FHAUPSglO81RMlKiw2BF6o R5cokttK7X2KXbzgQKlK/nR94LkOGZV0EUPmFSa8fcCu6AxZ+YnTVjlhUL73a7y1KcNAMvY9oGY1 2kKsMe0vpnP/uIGbbVbhzPgylqZRTDJ0PITDDXR1W+tDWVy1opkEsLY8DjIHH1sW6lEXISjprgMR rkCYm6DPE+QFM8uMFr1oBhiddZO40yzqwdrMpfFcn4cJGNv8+d4nxqW8srdyLfUrO/vyRys7mmFs IsQ4kplQkVusy2Oa8y6rdhP2g6+m8HPGTCb/f4sGkbhGGdEVa+flgKkjPnl95hmqi/WUPLAnikyE H/JJ1Ten9PjYehgJ7GTuzcxuCwHc5zMdflWnLmwi6IMVvaYweyetPxN994F3iyLb0jo2AweagHVQ tkNC0K62NofuX/HvWk6rRs5L2VD6WAMVjbVudXkEg/sQbUBFRo+UFNped75q6yZ9Cu6TqnUW4Pzk Ity6Bo1XOxOsavWmnGT7aTP8244aYV39erTW7L4HVSwNgqCHio3g1oEZag3KrEBzhj5kyV3tCcOP 8UlCJkp9GPUzMuuGzSZt332NoO4KD3ZVaxIjLNsG+M3VK2rpit7GoF7LFNxLjSXfAfB+szy3cYCX /1gX5rUcahWjlxUjNwIOrF4DdIxxCa3oiHa9YMmxfCM8ILXZaulDNf6mQGEDv/wRDtJuFvv3rH8c nA67xZwrKNAfC43BOHw1CRMCTjLFAbPUJRus2GseQs9/PkHR+TdmBLUwNfdbRGTM1U0yZO6lrrFU 22TexEd1HLJQn+FOUy85arZDoaeN3s+WjBM5miXhFlPdQ2NfFLB2sQoGzGo4Xm/5lHSwrb7Qz1PJ +U6x/f2Tn+8KXE1gByTqdlWZYlawxUL3gkFYsXjaywC7vGt8xoJ65heIE4nQATlgbWgpHJS58h63 xA/f9dBG4UDHAN3t2Zpn7tz3wtR+GQucNrYJVHWTMdflirEyFTfsDWrFjzAQHi/FZkge3vyfj8C0 1UesgBSgwUgYSAnMZK8hUA1ooXf5mdNkTv6hVGO7hXfdeL1JmAajdHj0ESuvXVdX5plnNTJLgO4f BPMMnH7MIkApIrYQqBP6Lwown/jbRxMoTNULvZpqGkPUrH0HnGbwn2cNuPwdCoNkp2G5epM5q5Gj GIlZSjU0SAI/KpUsbnTvndjQiISKA/Vx+PO1i6vhR/CM2SJpCCslyjw/doccEQ7kR+A3o3ObD3/G dzUYZbith4WlQ1aUnvmYkLAq2UzLYYc9P3MoA7KjHnNOiE4hqVqsgMLAG0Y7oCMYYJDuegj2/X32 ZiWkyWAoM5/oc5uJ8seEPdUIFYeURFskmotTdC865Xj8Jaxd55XuHw2Zv2xUd8cfwjS7gLg2KjeH uf3ddA+hymsPuuuMuneRzRIAhTH1sEfxWamu1EoCKk0GSa2fWPO9PnPujavkiITYlpjXe5roE05o xRiqS6koBWgKe0mDjA0waNsiMj70YZ5zdhDignxyAbhrWgxpHO3ljLK0iWiJ8Vb6pC7Kq8mEn25X uIaYBH1r7mMIsk8rrBvlMEc1M17s/41iWUlwcp5ey8yaI4nNQJIYMyzXmCHwI0Bxzkv29/JeO/Ic lHKD30/tzUtzJRu+uV/MSiVJqCWt9GjpBkQ2/uU043d/l0u7T5LqQb6l7WpAO9PCcsf2/RW3q645 pJprZqXDXT/ewnXRqUWELnVuKeN4j0frPYPjk4vYE6klhp4kcXXewCbyrsP8XeeclHzxkVuuPrWf 3ZDm1g598kxH75GDO10SOWDhtJC69EFx028s68xklI2HwQoswY+k0iTc6WN85WR1P0AaVSUxgHCi 4/zQe5lSxAtKu6MNjwFyLWU0h+er2DGv599yyN3FyqzkiZh3LYltEo6kTlpufpfQvqxgIuzqYNkt UIRkMv52lkuv0q5qlpPuvGau6uGdmSb0P1LYi8MwkkCeURD9gd1QQ+n3BdGgv/hKvwYvDXiXVEzo 09StYAvIGHzLytbUvlX1g/j9rJ1JBI8+6bXVUC2+LV8UTPr63LrZgK67dTJnD2lCbsi8BFrZyMLF nLFbXb3zUBKi30r+SQsb9SlA7F93NrSoSORL1MuDyqrVpx2K/ZWH9977hUYJAysh7G/3RicBisj7 t8x7kLzMCEOoyXf7MX6+SUaIJYiFkuzsCI1QABt4RAYjAz84BZv0AjelQixeEe0FRgwYITMYMLOt 7/jjxQXYEiB49Pfu6TNpdku9BJza9Jgpzo4IbsjyHEaR5ULnGKTyOKc958BWsfa0grEFmw024q7m zFK9cJykJP2dLf/Cl9Gh1VIrIG98Mqljvj8T6asYdlFZRY27pXs6ZS3s1nf8XohhBCgSeEDzJo70 /iRmS3qHSOYn0TU+W4I47jCRGDSogrY/395LaBGrTR1KeTQsMMCRmkAbpdEf7wgPNVUhGMcfKOzG tsKnJGWcldlgrSwL+SK63L7PRZLV8jBkl+TuH00MenZSXdj9sk6zioHcdbdibHPGOCg4Ne1lrDSx XAyhNIWyxYl7hsTBL2qrOr3/xTpLxqcjvrpPcELaUPcZHfdw/lm/JyLawF505WFJbTGTuCGCnhoV Jjt0AlJiuQH//96Oyll7XFXWMhqXjNLq+rph2KSAkV25eV05OKyNv0bY2AbGlJe76LLW3+Rk8nAA iTxegHEL5pGFB8NAobf+VvlAUXUglTZiNk2XNRhFKxZ/CrZiibOIkjamV7eXSSxo+zukhWoMmFGi z1y3R7CcA9V0Pb4VuQS6NOSLmOs4V6+rOsOXsreIrDk6K3fLtll/LK9qJgbuVS7ulRgJYm5aXst4 +zXEOl9fcUjbIY8egAGvDALhQ/0NuoJ+Czcg0MIOu+WN1S9//glDAnXx8KgOKQdFmulE+RGNGn8l jjJ6yNCSV8PfyBINyqsVYQ49KpN3q0mqDHCWiKltlxElrg5DJSw2l3aOPDlZO/+punfPuEWxi0qa 7GVjhdTnu2aUdYfVY+3fy8qvyyRfS9ovpK3oRJiHyJ5zRW1R/g42LuQXZcuqzt2s75slNlPPR9cz RgY42+idY5ZheNTqZVuB5ACAWO0su8xn5mIbou6XwLldSFAt/RO2VK/k42lvCklo+cNIfQodqWzc /otg41GU+HChIqEAt04n2ui8h45BXVhcjz4l6loA2ln0SCn3Y7Jz4md2xLG3hvgTp10g+5Vr8xuo 44vHbm+IneFnPnNYRQMeOCymUf/70Go0Y4W/9SyD5XAy0F+2/CNOujOR49FJJ/WZXW5gcw3Z9xlX XVO54rIh/NKX2JfDlBaiOIUvxObWprJtfXQwSpROk5aUYDufzXj51u04keP3PRuVHT7rt3gxV8RA qRLXeT87FvisZJJM2iiRQn1fRMwn5ekcDGuY3kUUY5QXScoxtAQUFYmqssiqWJWYSbSGH1qvYVCf qy6AXaVcTlURZPlYB8pfgwmD3Sr7Qtp0fH/BzV6/yr7+oQBmCLVXYiQ25N1tVfKFju0MTYxIkK0s kQQywpP4VbIHdhn/Q5zz8Vx94jpDQ+VHawX9Xu2OUDVIa4AhvPN5AIiWz5jDAM+IUL3T8BvvLlCY vddCgYv9qHNUw2yYSgQxg9CSA6TOdBlyfrkofB2K3Y8a5U5EwOHsoFIsvHdcW+dTv4wPZHvXrNk3 KJhlB0xYJktvDL2IAadZaLVSduupmOijfHoXoVtdWWZDI0VPkCQcg8QbNUS+ijcTVY9ZJNGgPwYM 7FOtx6JRg8OpKpKI06Dv1mmzkWSiIBxgGXeA/5HNy36uqE5sA6CswxAyJv/5EEHwuraPH4hjYo86 Xo2YXiBwhBUl4TepNaBWRL0QFJPxlPRApg5RJsVSNKccA6s/WXFweiRHy/0WHg4oAAbQl9Ghd7pz /d34ImIdJ7V+yixH3WF8CJHT4BfeF21GH31eqrmgZzq/3TYuzfuR9+Y01cb4dpHQXigqMpNcEOZZ wjulLMadipNHu+Llc3nVzTs4wAs8eabpCoD1/2mqcGQqA8NFWCEV7xKIqq/c93jlBF1qDSPNiZ4w KfhIF3K0HDhjw6/A0DYlHTkOUwxZ25djtu5cEvfy6RsZYnhpTIsgoK8fBE2Muv+x47Ao6NVh5e4w qnX5Y6WS8t8yO7Ac/B/3uSg5D9pdfE9iNMfC/qlT0sHbTxFXyuTQBmzaBG1gE7EVv8jH7eaVaqIy TSXcZLDeGHfFmGJ0EmVx9YQcfqP1WsySs6Wb8cLdev5CNXCRPfqU3AYFig2k/FUiwFOTQ7KvpArq /Zfnx5H0yySYxVPwdrNQD90Q3DAiocsJ3kMvQLOx52AfrLJRDSEehS9PzzKg7mchhRF30mHHW/Ru g65zC0UpptFfi7BHHs+edy/77GJJA/k1G+b9xY3toleXoyD0pCLyPEhQeuCnkRNF5iAJIkQcnYvl d7netL3mXThPMem2TY7jwyhRgrNfrcO4u89o8HwbuxN4yxUMpneNw1gFKEUPAvViCW4wkN/xUXpG fWiz3WrLJNKTE8+Oz1tVVZTBKTKVwxhwvSzzb7tX5J8Q43vvnmN4ee+2ChBv0xrkKZ2jWUa911Xz vLQpLo8uplmTElBhM4yoMCTAWTGGMfQ07pBDTs/OeeJBVDI42mbIf7PbQKq8tfhYrQSVGZoYjZrH gNlHXC/EsT+whm61Gpw9+UyhhODugP1X0FwTIeqIqVAxLCYzQcEEFpM+6Y+Tkkr9/I9M/cpiIkDX 1E4BPxIyGAGJgY+QxdIBi9LRnlE9uvF061ra6Sq7FQKuIsX800LC59pDhWi4A/Zq/Q/BYpLrWARt zuLKiHuPofdjuv+kVy+rhUMfrb/9kYs5Z3UyRH/mBNJXEy000CP/IK4Ey2oLJzwAApztEgoqQ9PU I5zystYqmAHJIEquidyvS+MMHUfJLGyWIabs3TGQ4/qjlg2EXXkNOFsWv5rpQs0Bu3ayvbQLTQr/ qcyNhXf9MlhC+xnBRfHEAuNRDB72gBb5jn6ui9vAbvmjP11SRNUTcmWHJ1Mg2LKCXp3/WVuXGOCQ NQLiALpOO5mbqn+SGeiUr19ksGHSX8hYafgUxVDIdx8pK6Spnjt1A2tNKbMqR6YyQyc610Ru17vt M4vek4PyivfPNcciMRY2N4fsOXv/rh/Jy0CCeIdpK7g8YtLFnX2A4STbi2Ti+EMX5VPjJHXPnBUc qMn/JKYV+iHbs2FR5vIBr+Xuj/O6Z/pineG6ZJbbyXjwdL5483y6TLXe1YyLOBg9idLbX7/AFc4A vOa8Mf0/7dhI3OL0hBcX7LLKK27LnK0qY9UdVyXxAFaLaq007fq2gOE9ErwCBZK2kU1IijHrmNX8 HqV/1yUtn7h1OOEGFD+X70ZlvYtH/jL7G5DE3vPViJ9XwmfOgVk5f+9Zz3N/QYBFfIh2EpgaU7sf tzE4GAbkpbkWTNe9mSrXkNxZE2Z8FBjqSYVIDdtLb6oSqyK+PpkmRI8pzgT0KSc2iO1DQKQKOvng fHTiy0Oy3e+rAlHABT9ZwI+ox4XHnWmgV55KLOeCcYD1rc5pK88bkV8ZoAo539LwcUgrxjYEwTTC eW2GbIu+3K866UMh6tyFRs3JrcDm4bDUqN8PSa/uoq/gXqOgkehrOSm8QHzqN6wabtjMI8Bsd2K+ WsKOzthsfM/BvN5pkoy0Q9rOzVgKkhAXsEDuQMksEhxSGi5NepqjzaBycCfxSdHEgfZHLAa0kn1F d7tnGVynQZ9npuBkJJi79afgEM4x4ufzSYPfaqCjz/ZbPl11q8xX/+dPkosmRCj2kBwC1bcyV51Q zZbhN9bNKPhgeiArp4wiJwMLtfCUN3aSnggaVJQk+ev/fpjRGibuxybeYX7Nnmw1SmndyIfw5vER JbBlYsdFZKxwatu/caqiGz2LRFG+kZVtepBnyHu8zDCzx/28U76X/jxaL6VO3WjP1hye3VLcCN+U +dyZ8Esnu6KK64hiJFViS9mhMMbAJmtUR2/tm8FeL60k32tfWYXWiQ74S9i383810z+sDnXAohyV ILYq79kZdvp59Lr297xvehfN0RigktoR7rQ2wwlzNUjD3GEHYOl3bVGEXwvRvIqeBYjnnzez3goA eXpxK1pcO+NeIGdbsRyBz6No73ArsNcKwC/JQ6NyutSHIeOZ2eIm+OssZs7pDvrvz3qz4Lt3FHfm IdU1rzp0QyxdqSGJMsArCPX1a7Om0drp88/yffBv8NMpL/XfRozHAJo9F6IgM3cadEHCNuhm7ain K6V/me2nmthV323RcL7kJWdUxPrVSn/+kEEDYedv+iPZWqWSlnRHcQYS1fmWqujkruSbu0iz5xxC 1w9kijY58wpawpFPlSwL8vbNsIaudx7rnG9NHemm0sstg74t1S5fV58usM2AiEztAARqDS/MtpCQ EIVHCrYCURIJXZl/8MPZVfRnIIIIGlIobiuR5RsnEWXOuih1okBouxEz2ErnlvemE49d1aCqQWoo UcFhTlXqwFQXjpaS5Pc8RBsuSMf0qnTsBXiXFq+CWrzvDHVlCGwVCMaV5rrqLdVEwI72Q49djHEk kP15+YpBn59XxGzEtHQFupR2dF5ElIIO2QRXdeRh0VG/ZlRt5SDSPyDMqXJgOJrcY8WkLrpZmPKv PmrF2zbcZoClmhJX6ycFMAtGD+1o3EVbGhklBfwjQuEp88/DhykCf0EwvBKcumFZHLTZZ0pYeAnb yenPvsE+fTEn3a+gdvdJ4cnKkr8CmcOMvExQPnvGwoVxhSTC2M4FH+a8lqFd6669qRgvOTM29Xw9 Mxz4Xti5bNxI6xlCa/9OiOOog9CNtX96wRIfp022mYNBoixIe79C18bR/Bm2iYfGKlwpkGAmVQ4l ZIvx2h9bPgssPbE62xfZJndIvsiUP6/K4mlcB3LMrcV3XUF7UaVWkueOgJaxKSUrAseCqmX8MDO7 eWbRi7uRHKmQM/zaq2whEY8qagcs4SXeHBcq0TN8iYuNIgPF8KVX8QQhoiycbFHT01HdvG6a8C4/ +7KFE+f7pHIZFILQFpW6UxobjSrM1pimwTFx9L5fFAfLAQ7Ih8yAq7UeYw1ZaKe60FPMXyft6yu3 Yo1JKh1P4q7solSL4eoUs7f5xypCKT4AGZBW7hCNvDlg85NyJYUNL/cJ+ujdWcgaE7uZBoR/hHWK pg/tyrSRvif5GHRQ8KrW9iL8PUtfJZ/kU54Nl/KG81zUNrRqXutXWfXjoK47fyL1ID5emBD2Pt53 LEjzu2eN3djWAs3cdQf40MMpT9+XfVLb6ZMMteMltzdy8Fg1/RBmIykqFDRB+LEBNnd9rzsDQ+cb bvAv9LDb1Y6x/35Lr/OeKfny/CNfnssplPSLfVUCdbHR7qDATsZUK6haIxqky0E10T/aFuOW5b/T H0OV4oTk6XLjB4kao268CVSoRQwEuSFxkeOtSEqjV/MaavxY9R4X4Ys2f7klC7PCQHHy8LUVRBm8 m+8naUNqXRjHJmgGvQNCRiIoEo3eW9hN1tqjHBf/b4vdIjQsuna3ZP0x2WP6/IxMVldJ8C43E/Ds jGwxYeYiJ63G8m+k/QfCoTAQFnwyc4tL2Eg6wL0lK/Mbu5bobjKQLYoHDXUjylxnvIS2nuBf+1aC T2oTT+78l6OT1P+eQ+otlR3Je1dEsVy1eNDH2vUzBkfBUBekLIxudUXsowuDU1DANhiuthjI2ikS KFsAsjXuz83pL3ofZv2FN9+Nt2k5pi5KQXUfgwEDVvW5V74LBQhUjXytwYgl6omvFoT+y7vU968A D9scoGAdRG5G63TzeK1zwnAcNsV4L5R/P8kRDro4uOxWDNs2h8qqtEQjUFiT8rbHs1mq0ul6bqM+ uYLMd4OtT2CREHCT+23OfJvF7M4mCjzYdJBP6YBPVQkHOqERpbiTT7xfPxkTB0Cdj8LesOTAtyDO +fJW7AoTutm3zqsSugsLEXHCg9dZcVdib6tkCh7aXgLuWRFI/fzkaIHt055+RuLtxCl0k/bkG3xh JvyPRC6EsD+cfrlAatJz/6bTQ6Yh00zujNBt27WLA1xHRB/h1ICwgeaZYVxFAAWk1vV6U52V98K1 93b/7R+x/Jb6gftyaHoMxwDwO5nbbeCtNU0k07yv/TcxsiPTo8wz8aXWFnJ4B+9GehLxn3rkhO1h DeebAjRKcexgCJXnyK8+D3pbXSUiZPmlIbZJdCfLQZwOifRECoGWoI/CVdTWDmnt/MTHU/nC4P6S 2E1/uXo3PRsnXOCkMNsibF91zt9BN5rQCnlQALYRtSkeQGT5NeKgnI0OojuYx31YDaiq7iYyhl0j SmNeY4uPy5wA+uhH8jNSUhrKh6mvjgLGIEU/1aJivYBvvSUbCzDFz/PsI1X3srPB0LHrWUxRbg7j Lvj63KlsZHmG5Pr9qHwyM82/vBWfJXQDFsSS4Qp/iXWwSze+d5x8qREwt6hOB3IFOqibhmdXvACw FMsgeLJTZiQZvMSPPd94AU+RZqpcod9b3+eah4m+Uo+RSixY0a5zjp1+DmeZ+LChbCurtO8r31Zf IkjW0Ock4XbZHRxEhj8n+V1QmhNAlc7BKV01Xklf7YHXucVI+Uuh5AjBIm8pIxog91WFKVpA4sHL ONxHEYuEzwJBfYKiTyIESssDLXaohmDaHYNdVnOapPuLxmfsuqbB/EceOpQwbHVOTcyf16Qaj5/O Sfbg3/Yyxv65TUYEwIRCYUyoP/h0ndhMRbUV59/p/30E0iBW77NN/h+uk4x7zQYLQCDHKInUeEwQ KOeSGTuul4rNr9JqXFXytdHr2Ta1ptr1UJrYWToXZvTYEn2IXvkA/SGPp6vDjjXb4mP0xsJSXORi kBkUlZL20NG9x6PRGQS7dlsZZjQKdY+9ETIkLf1BqxjpW0lIxS6VGJgEFFDjvD7o8Hj0A6kPeyAX UnTFcHaJF0AJZXadULSdfb5V4FKrzAQ/npy8Cy3XNIAtdJvdmNmdP1b5xf7M3bqT5WkVgbUQZv8t nv0O2OaFPhpGZLJoveNsViGlNVl7w+0N6hJDRANELRo6K5EHVEcyq6NsHInoHwGZ7cp9YubATF69 m7+lTjLz7GM+O4ZUTLpEfcbybGqYScdpEI0D+9Kf0XSt/DGMfjLC1M8Vh1kK7Bu7jy2bsVBzbW+R YkQRDnvqRfiYVabWhM3Xc9NtBnFcn16ZUTPejD7i6Ro38pxIH1f0ChpZq9ixDhyWi3WsGa1JshcB ab93TCNo/Fo95nTCIQleoDuva5WfyIFOzcek1rZdJXaL6bemzxN/5FOGz0V/bVF49WeDAdR8Kr0p BByvGKOegdlpAsvuzjxD7JFLAI/m+vMDOAh3V/+J5ouIZZS4+TuMbLVwG2mUps1+S1YXfc18kmOl ygWrnXkK/pyc2xXCs0ELvBZyPStP2vcc832/w4FmM2RSGWvxhcC7C117dsM1zqZ8VGoOskKQcs2w cN2Bkl2nTjY6el4bMGTls9oCJDGp8+2DpJDvj/OwMloxNESKgLeZvO2lix2/i20DEVIxjKW2lAIW n4Dz8gWCsmnlEbCJshjIwGIWk27EqzfBtcPmJGYNdDCuvRItuJB4RKNwknjWLwoBTR8KvhuZPipm iVpY5gWt2h0N841/U61NTbhP/L+rZREuhzhzHMD89m4GbS4AVmnkIyCWoz5YAO+IGwd9wX4suWzv 6hvhrbB9eyDi3EXxQDFoIVQYJ+fnPCXKQDpbGYjs+buem1mxuIhyjuJtIvAQONJMNPlbEhZbX5+L FBDxFO/TDqtzZFlzFXHuBV+jL62Oi52QWUpSZU8Ni2hbF96eI8X8l/tyLRdS4rOgZzKDpGU1hkgt x5kBK7XULhVKlG50KWwNbjBEPe02fv6SBvjQwyitukBFD6YA71OskqoGyLcmXjmEW5z2Ld2AAfwQ Oo6iP3Xchj6vcx9VFbxBbA5jL74+oOF5LLBeD/3YbNpaFann0G8ka9yqPLG5ItZ0Gnmu4ffjYpPN Juyn7vi5E70PMZ8SStKVrlZjd5hdt+D0REkJ+fZYYIEaDGSEqNEk5X6TJTzyuKbIgZwebcDyB3pf S2Zo7o6bfzOrkEmOAHAY1c0eazFh45aSEo1FXAgnaRhUeOOliVwNvFWbIpWZMmYf/gQUnOvpMmW8 NU3ZJN6tZ2puU20XQ9/gpKEwLPYvIJ03QxJ79/ah3WbwvjFZg9QyyHBMYtT0F1ITSEMB3U0DW3pg Lc1PVBYBDYg7C5HKrSchF9tdwqHoj5dh3471WTIsas72Dp2ESOtM2M2+wVdYXfLiHAchb5+pjA8t dzUVeiLtfeh2rJRqCygIlyo3XlirRwucL+vNTXOnJ2iAgVU1GFclSQ3bXJZV6jpzycyBtfOq760V 7dZeA8FTcyZr9RmZFaJRsYYLz64EuZj/c9HEulw4wVIvXWjEycrIVYkwMK2mDqR+VqG58/wYqVHX h+FDtZRia7jmXEnRVSDtQrLGlAQvqowtCqy7s/FY4ktSpjgP61qYzRE2bPkboVvsCVeAXYHThYfG wGpJX97RCblsqQMvwuexHjfDIVerSYo/dXJuOFW9PJgQgYrbQvyHN1eBhCyl2x7//mkBWJM4fgcC DIHOK21/No7wmkrj7tp62XYPkWYkMcxPsDNQUw4tBCeOLO8f6FKND9m/jNY7/pjC/Jo8rADRn4YC ifBmtbcrMKerX5FtBs1oDTz9FNJhqnNXPjWk08WR5ZmZ+zvLknSfaPOsC/5Bw5voY56OPESVCVNy ItU1GDF1sDoovf9oxE/6pOFNyu8erhQd5QnHPp0uF5SsEcfj2X8dvMJiwGjxfTiEwfoTyjVCfwYb oe6IU30Ue2DIMhLJ5A4ScAUQZVPTl8O1EpjmHLV19lLZB8SzW53Om6ERUjwHol24YhN8AkoJit74 8itidA+vj/68JSk9pv0jan8ItGTzYqlp+wTLkTBgumgjEN5tOevRX2GT8hH7t0pLt4+Dlv2rp8qS PSS3uLukDSrTvbNVOIera3rYKTO+KHPw2pEVklcs3+vZ47M5kA0GFe5UZnQFPw4IJ81mFDwwrIZy 8AZaiUd2/tDvC6ry3wTyRhXSd2eLR51pdGUYZue2VJdq51et9HiqbIcsaWJcaWHcESyUSOKXb6jd rRz8zx4NyUIA++z9b1ox98jrmK13H2+PaItplkW58HFDWr98Q3a8fow0hvmZ6rn8uB2KVBJ9vDoR CPgIkV2c2c93/R2irTtzmGdxLjffc9iBVYzAyvH8jjuB4/RK4D3+7wwSPQ/I17mQgNRBWtFda97B ZWN+HWUik9lHzUtuRBcNi+6CMZnszOxvuNjMd3+uzfqocvK5NQh2T8IdlhJDIEILqQIbQwXrNe9N FIs0qrJQaqXGlqxAJ+ePtThvbO4gEknYsnVoLggNubKsfFjSk7jKLeQnZor/E1fbz6E4iwkGIbe/ r3aOAF7vcNBKTJl94Re10mfJMPk12zb7tZcQVXcdSpCDz5TwPgIt5T/NtgKSipRCtg4wHekkwF5x P0sQYGNb1mw+86KNqoP9tC3gh6GuPg/pePJ9ox9EYm275lGOZbk8GXi3GQB1HpREqr8pSM1q3OtD pHFq5cDLxgb3U5OcEAHqT4ULq4oDyRFa6w6OnHA4igowrhh2LARx0ndhmHTEEC4mTn8sGoON1ims tenwwlB2+kb0vkBF/GeoYoRay9pG3rpkOEQaUq0iY4Vs4y38YW+GgdI2P2ilaaeZmaloI1pgkUeq tOpWNXukmGbE28q441aqnbIyHRkWCU6T2cRwpQOoW1dvAx6TTAktr8xpl5SV84lKk0SoA8LLna1b /pGLJHZVdLo8TsMqxYsw2ingHFSWRxnmtnpIfD7LVCaB21m1H2ebCQVqZgB7i1vro4B/WUwbmAwj 3gBC8ho1PrTlb7ac6eCk0W4oZoxmjz1XvSB0Tb1iGZcliHWfx/jLYUyiACWgWVg7LPe6FGnf1lFx pNZrO9n7s3VdU3/H/+ZMjwtxF8cwzsNhvb23pID6Npccm+Aub+8OHzKljIha0On4nrI4hGkH0P7m Cr5vphOYr5olg/BrvP71NtFXlGl/aT4EDGPSq+rhQXHH09CkA34f43gh3cj2xMKTbf6xzqlxRk3Y efHJo2zKcFLaZZ3arIC+bIwdaVdb1Z++rP/9UBxOzDJIkIgVkC97rFC9+hEwlNRMzaxs70LYgIv3 bTuBMB+1dmYStHj1RCvQ8VOq6acUvfTOxoKESn3QGcOcDf1l3mS+9XMPKqHe9HR9hPBSswyBIhjh 6BeyBKs4TEyA5IqVenFeZqkE5KoLVigQDkSF++bxHJw67+OiBNGPk2MXzG1RIYLYbfDvbWP50DvT H/JKKOZOBF5KKIaVu3RQeFgI/Jh/VIrBwUrsQEKBuaXbLhL1zCxH/ES6aVCbM9vGCiGV/3F+RclK nfznRbdq/samXkWhv944xh2YhSRNBcXC2OaZLC3x+W/TQBmcF9dh3Yg9z4kaNw0XkSUJ3pTr8+bb NBK3LYX7Q29G7ddExA/YmKSXKODimZ8nu+gcQ8U7GP5kP0LtyiqHshKFeBKlKH/86s4/JosKcgOn CQsy5Hh0B1BoCnlqWi6gE+nvZlGBmNQ5K7YQ2jOv0NPVV6Ip54VQySzhB5YJnRkS2LwW+3JVROhh hJe/EAlWEeBOUxu6pffY0tGt+Ny88u9UYPSnbiuFwZB9JMbIudye8xso3nKr9Vu02NSEEnRr1qNr tFlG+AxQkrS+1MYE0D13vrPL1qVEnCzlXaFUxCNNqQh1T7NcVOgddI1UDH7DlbbYBEo4xMxYBAnL 565MfysnAwmXDASzqaSPiegvpNHC+c2vqvV3PkmVukBdf0OP/mESZ3HeFRo5IpmzAkqeEIg3BvYd KZKtAEeFC+8EAjknS14oj0af5GisucjBAJXk3mow50oL91iBgtrTmXoLGz8TvVEjLwqwpYJEigYq BpF4KYlG8NYjF340hS6OOXlLc85PWnhr2H7vfY5lMAA8tmhXyH+us9kP7XT3Dt49ZvQ294TYXMj2 YROPdam0J+b0H6kd8XmtKDkbijRHUB6u0JoCqi5Rf5Ui6f8Ok26DCye/S8HSMrn/Znd+w/YCw78S tpbJ2SwEv1Kk33egn/cjH3uHk4iQIgOPjwwpLfZucM2nSbIGh0Wx6sLbXd2AH1R6FxV33I3GbGyC xFk8Q1m6kniEjwnBoMZZY61E/B24e/407YYk3W9Wz+Bui20yuOf1bFK1TuVobOFqFY7TswD42YZI posjb9AkFz9kohx3KDTgjKC7FTuRG+xDXsLRY9+ZQ54+U+xVzvpwka0p2RVXP/U/9u2s0NtVVV1j nwk5M9fQJwotRVxn0OcC/AoVAaSkoIB93OtLYhi0JWcyDdLlbwLMsbyG4HSW1x+uU0cNMifkaHXJ rRa8dY8mlG7k4mOcmI7RMtlax8n/dyA/rY2PozJZYUDQFTgGsSd2orr6cynYv6COcRZiffN0D6y2 hnqjxp3Z+x4zdqZMdsQZRBblDhpAOTJK3H/xZRMHk9heMYPirT+ZeTP6Bbcd9rf3F8hqINb0qajx oweQDGiH24wpcUrCrADAGtqZbZmvxenulY5ZIneUuh6sqQUd+dhU99j4GSnmaafI+Qr+wKcExdvX hone+S1M7M8Gz5NNb7OascwH+6LZaIgZpHEUHW8WYrbPjg67TorIcAw8TlfU2cP3n6Lw85hSy26s qVigadtLO1h6U0VN5iM9i3Elgt09PvRFCjGmidMM2MH7DPP2Yjd/UuH4zA/kQCb1QKV6mqOL/LZr EwrIhZj2QGl2huOa8G+4j8OlNEC45XiA65cHptQv7J8aDKMs5Km+uffIZEoFcyej/gGGTv9YiCsM v6IRkK7JN5vyY8WODQG21jRnHxNfj8kELmcuz8DbrAqQbzja0Ml3Q1LxdkL5y9grYyn000c20NHU YmWCrVc2yejPIiIFhNzrtwGr/2t3GTPljDAprBqJua9UHwYHQxwalYB7spwTZb2Bh31uRsFn7EU7 DD3I9AvvmXBjLOYzHBHLNmWzfT2/80vlbYfVSqiHBicFr5zKkpfWz1vz8D0gLcnByRmvqxR8bPeh sKMaujogffd6oAqM5wYnvYqep1U9MoX/qTlvdh6ppsdfFGqGFiyJWjM3FPUT2du8gIOKnkRSjbir oAEvHppXFBW7IaKFNV6Js+1wF1uAsIbmoBkxFpm7A7GZOdQFGf4i1TNCvna35x9FRyYSJeT6+/rH S/ovTEXQWrhQDAcGe/7rKhl7LqXT72lkPJuyolgoTU4zLpK+Kovqws8jrl7KSXJWJpR3Ezdk8Wyq urHJe8S+rlI4FMLFW9sDleWjBTrz7FZAEAOwBUtA+iSGxEqBB1y1yALZRIrWqySPusG1y16uJx2S rHW6r2eZ7EIEHMu1BB2tcPOWEueYvYleVYYePwFKPXik6rH18oO4XSF6hIuREdNZ99xJgFRXxsa2 7IASfoUrdqXlT/g50wqc1cCOkqrreffx93nvUgoe9UF564w/wCR04BqnC84MMhLnVnigMwfIqaTo 7xaPmmTNxY8I1rUI8bY2RzWui3f5TKCaDCqniwtNfC8/5pZQJTR6PjHQ2dipim4qVwHSaa4stGsD 4JbdVRlxqK/JsUvkes4rhNfts/hYZrK9YNIMHtwZR0cuH9Em1gL2pQwQTCTV7hsVwN/KO1uzxb92 TnBoRb8mn0k5IJ0xqo0IbZhcm5N3dBji++SornH64nbWQ6YrkfZ9yu7KDGhlNomc6aEDprTtPmEb 4EeTA9cU0kFRNbCjTNLzmF5dI6Yhx5PwYzCPhWnKWFcCeopc6PsnbqbL9yp87JMGMNE0vBX3iNtx y6zBJ+p5RKt4ykCkjCZmj/wNEYUBLyRNAwGcRHmDxI2cQZip8GTfIUO0VVw+i88L5Pn0I0GR/tnv 7qjccxzmmhCAO2/E5T3wVuxG3geg8DYtlht80iu+kFafakpR5r6aOWxRIrsZF/VMZGs2XIQCmyNO 2uczG3iIgsWHoJy88r4qDetq310TfEke281QEMwdJUZXSkk6zI0oHEJDMfuOuYMOtcCFPowJzdqd iV17rtscFOmq6E1WSLWgSKribgZqctyYY8GaMLLfckJLafyurc6u0YEokcKGTza9QrRA09m6akdj 3KHvg9KuN32WKuaHq8TjfsF03anyjHpTiGtrNuQ9JUuxEEzzHNDnKnMTzw+0NKg8kh60838iLaw3 TISYL3CZz+jIcl+WQb2BrtaNb/6EeciItJyIL8yxnJuQtzwlBlzazCVxyi2woEHIZbe8Aw7snO+Q Ui3OwhQ4GkIDwL//zR0rBu9a7jQdLzzVNObsXNDx8C5WbCG4zSnrXsJADALcKwNw+B+a4cfVOmO2 R1bPRHr2g4JBdAasmhonu24HPdcboGtwA+HSY6Vp1Vcbrsw7xHSqpRnJ5LD3t5BTzGK9KtvsxgdH 0xg3az5HctLTxG6h1RSLXI0x1OLsvMQBGMNYHaeCHHcoX7/2tF4uilH+IR1/vMspsg/AcxC5ykyP /MgCGClSSFHpEasDFNPu5NDIK8mfPFnv9kk4kalvt6tPJTQYtkk3U0RpOaXcIfCrG3DEpqSZEwMG TxCTLlbHqPwKD1VTgcAflgeVSt/rpXWl5SnwAKTuXojbckUojb8oR3vGnnCK86jDCWj2B4qmCe9J 4kpozD7GmNpv/D7xe8nCd7id3oD1sKg4mGusBj8IZ4drpoJKoVxzTGnSPtPcmByA4xxpQz9Co0vu K/l7spH0j7VbVOfE7dcnUHIM7Ds3+C1wjreQFz31HtJSvBVUV0XaA+eSeWtr0ytMh6zkyat4o+Hr ll5riC2cOEfQOBpMu2r+6KZ08w6lfz9kzQRBRHHYNd3bkXMxofotYEsG3KFRlkdMHIJzBrA3FgIk q2vu0vlhy8nhGfBtt+M62CWBdmfya1oNta6SUBmPBKaivmL5NHIR33T4YzCQSe/lGnSgjMVJ9FzV DMnsAxb4HsBjcFdZU7QRJr8eRdJFOh8ow6kAVBFNwz4kJ82h1m0aXGeS7OoysB0/95dJ1EEYk0Je tKzxnPJgVDu5iKZiVsLHuCMUPhYv6I7JDxHC9FUS+uNycUv4Lcs2E+le6ju0bUPgitdDwrX+kI5F bWE49/DMJomQzw2akJhLtomMOQNpJxp2WT8Twb1dfH6/55tdxJ+7vnoSVSvIIWSQnmYxEdRNuPBE kcLROZv6I/UGzyjOfwKP0IRgrhIRX4X9xAnfVfYpbqnTj2GfmdKmQk3kJRRujqkweot+Vs/J00DQ DOuRM2WNME6+HtTMmlUe2B6i4Vx9xmqBnNj5X0NecNaVQzwQv17G8baS8bZWW2QHOOVIUK/i7c3B /FBGluO04YhO8twqNAIh/8tnCvQgOsXrRrQcff2d1Ma2hxGIkEcLHwMt2nDd3oj38zKbG/dQCEIr eOutRsVLZ5XG8QzyJcCJMIZlGdW81x9JIo2UfEHcQ7vhL3eg6scGjXi4JE+mcSs6/fmR8xTQDS6e 8bMov3tlLX2LzBiezK1H0W7L/WKCX575yQ7R1lRUrnG+b35frpMJ3sqKMdrciOFU/fJJZRLIh90L 0s/Mt9AV3O/m8VSIcqqm7ASKv4SvcsG7DehGUtp+fodo3n9N3lg7Bzdec5yuqKcClyE6uF1eyhMD Zglc3MZ6qupUsEZutEjZ9SewUYen5Buv0H/thDGFilyXci/x+2fWXVRHTx+qLN1rS/44UIBeby6E stUlGI1vD6qIetnEjLT3xioxyJGpcjFsXaK3wCu3SJM0DQ3ze59LkgDPP6MATV5eqpWxHTc4yMol Mkj5ag2NDZ3eVuSap47cuuT0W+8/ps/J4WxjERFM91f+AFYzEYWsmbytvjIFatTxxLFRyMqQHYRO cjm0xKybF7hOsOLr06saqHfWXa3cdtHl/N1ipFJp6W7pVwUONhrMx88ZEjiGDB57cvJmqs8MnvUh GzEeCCe7Mrzd6Z0G6ZJ6kcEoruRgj+A82hKSgHwiUn0ogPUouB9DMWoQZWBJJROGHcMKzKKHSVfR 2WOQWWxINOmctOir3XadK0Ez1PG7y6f4df/w8daEk9UMb+1cUAAOf/WnjIRrfGwTOhbmGnpHf0Eb wo9wRMi6rLSx58SCYl8Qgpab43gCC5KU7krZkNCqsFKljjrjGdaGsH34PUxTN6uD4K+gGnnnvRIs tkeCvr+qWbzGmeZVWxPq2kFtJLF7a51LgWMoBbqdhAg1WdvFdOKWTP786bUlktiGdtPrcNTf3jlr r5wTI8U+3Vz0JvHXCKzjQe/yYdLUKsQ0g9XFiyPSAfrUHb7LibmdG0LUBx8bAwP3oH7Q86XUWijw zppM7sLFQN7A3T0TwDWgHzB+GPdr3TWWn1Cqa5rAPLzoKgj71i6npRT9wJ1GVOpbuW1ZWcxIVstM MyyzKJy26ptAtC/+xWfLAeH0YsD/YbYa36FwNKLgFVyLjh+72RB1YvevE/ObRvPOT0TB+ZZsfF78 7kG4bZApwPk50l/5G49ZadbFqtmnjk3/K6nCLOLlRZyuK/208KoomTDREDNVRE8pDm+in3ENI2ES E3gqKLvJZKc5c9dCs1thC4IXDcHmjxOtBJH5E5fYM7fEPudxIbgzfBbxbjlBrY65NxZAnAjjs2gG bi85gb7lRm8R8mSOq/55GNrISVeE2il2J9whFCnhOLg0vtzmQlQYLxs55+CzQIpPukAi51jtxqES zZKQtc9yFIiECiQUNUeNIE9EC04LKqPMlXrUPoojVHdwq+Lwvic63wSwexh1R+P8bZPmZgiFfo3t HPQaVnDEnpa3S8H8NCV+FT7WyKjbYgv1bQPR2KK/9RVViXeXqZooRlq53fLKpJTwJTjHg3HZqIDi N7d2+/TpyPOvBUvw/CkAa4V1ODsIj5Y8AnqtQ/4J4m17iYim5Bp884LtwAs1vwkoGm5RPTgX1XC5 yzRxa3ysBhj5R7X0rlGmdPSk6IM+ByH9LQEIUTJXxXyq9Y3aGbHwWckOtz5RkCGajyvOEZt04uVz F/2fEadDoLs33EyGrl9+xXcjZR9tKxKeB2c+l/2dyxSVIc7FC62cxiDXPB1L1PXlw7HsPDq9nNj9 SnuYgAks4Vh+PlySF0jNaUgKdu1omWLo3fHCw4zVwrO0IUbfRXVft+X61bPEP1H4TshYloyLFngD Uypm639vK70CPSLMmwHxWmV7T1CcUglcKmqmeex4AkP4jmZiI6GrEaY9VSNjoX760Hgs8tnoP5rT SnjtFnzTF3Te1Zth6uCadP31wHoUsz74yoMeNdfEGlUhI2VJsqhu0qArXCORl0V0HmA6RjYE7HOc 97kUkjj5BI5RivzOJd5D1+jMz7FIskVgVhV5fWiDzVH7hg9YXUr8pjFtM0nEWlCkn4u/06Yf+xvJ TqJtm0bItLv5zhZT31wh4BhPUNT4Qi3tJ7oIno0hYgJI0WSexvb6R/J81ZFa1rpMlDTM/aMDut8F D2Ai8QBg4pmpcJ552KQsBUNl/FdEx02wiDZFZnRFaXUkw31jPaVcv6EQbRkFGIMhTwURwgbVKJyz WZ67DKwh/K0o13/BJzb+koYGBsSD/IQHjw4hhbeMuz0L9qUbBACHvxO8riDy/YKOqwUZkRudwZAM 7Gref/JtsEPKkchG+db3Asn2Z2vKT+Z/PrmOfQcUNjOHbMh4gExYOGe3rcJfO8YzVbATHnXDcVeH FPdGk7QGIYA8PPXqPcZkF3i++nvpRq6VsRpW66vn5pqJYraVotQziI6iuqWTxC4ta2foNC5ZFMLp F1SvDq/nD3Z6R/EYuuS+vH+d/cwipnoEMpc0Y863lYERmoNRRcoY5YwVWHjJxx3MKwhjmw+2CHig Fo9y9NiHXZpi+O73cxMqTMvTpTXlt3zZRUj+7JKj+64ym3kpsJsLT/baquQHPaZnHErRQ/iGQaz6 eJQiGo06JpKnsOBicXWAnC1kUmQ3iDjoB/Hgx5TdXQZPd+cy/p2fc47XKDv2uoC3IRRniJHQZGEt jXUQzsToeg46WXYqd12MITRDTy3NbVOVnUiYwFOrQYDL4ZE7m5US3KKtjoUeOjwepFUsdUTjkLMJ fy+WvPCzazNjWON8u+UXXb5nUFKea+w+69Yy/3FUcb4WpNvm3ef6IGrmwG+GNkbzeQd5QnMCzR8i 7/ucrxqvAJKiaX4L+kQFGjuAVTfnQAKw9entBDRYaqVC5lnQI0+xyGo3AGpTIrvRj+daOc03t7Dq At+a3+BHKE90vtGc5dIDrLElRsmI7Ltrfgcz44d3YRnadarEEeJXLD1WXdMQ3jKdW360XVzXrWbE sIWZC2R/PtsKCh1N5iWU6rJjmfrdsquFKbMjPLDrH2IHkN5ePj9PAv3qmd/4TwBYl+LTff6rGLRw C7tjPF3Fwceng/XdfaImpwV2kFUuG1IFxK4IVCU2XCOkfX7g1iWMeM0GjZLyf5I3BPv4Y+XPYR5d sYSSfaPOLi3bjz4b1BLHWfDmARJh3e/wGyGwyFhp2/eEiWICk//PG3+nZAS9vRWmhzerKgcOwt1d K2aR+Z6T/k/8+sccy3UCWIe3e7zGt+rVyIuhTxm84n2O7+ppJw82qn/ZNHRLeywyfypu8AL/ejYJ xEyQnakaGE0TL4Is1twnfqzYC7tBhWlKOgtT0uXCNk5f0fUBFHNxI0a6A1f3qw0euUZlfWsQ1fH7 Xse2ofD4QlkxzliKSc7+VjgU/kqtbNs1FAWRkZGarf6MC5Uj3Tn0CNDfxoY1TXuou0Wq3xwHQbB2 D/aD+sV879HveVRbo4cyrGeRrB48g6EAlybehpI/pinfNcp7z83kEoAYT5jJ2KBJbLGgMyOwuu49 jOgRgmguZrDIwo0R3OXlrx5L0g0D2uWllAIa+uaCBMbrBNvuxS+ZKhZk2elymyItHdJiiD3iNLc6 3liX3m9uSIV9KzBz2lzoVW5FFWC5vPm78hfk6a6szwNJBaSZCHmXvBsE9/eGdTEIoOhzWwCIdmzY 7YFWQiTbCE/t7lj0Mrm7Prvho6wG3aQ7K65LX+mFG2gbu6JzwWqXO+JQk6OEu8b5X1+9caMNlzXX uBWzGRQN80Lh6S2qdsJr+2uMtbu5lgnPPVaeuRTxR3TlzFYzf6ZNqSc5eRmBkq3Z8TW+mphQK3Az Q1Y+bDQWQdTAVp2JbqA4oYhrHVNHR7K/+cRFnjD28cL2oaePBZ0wuw0eaDrx5hcm7T9Wa6LYeI3E /gWUa11TP4n6bPWUjFmFZqWkQ016iw9OZAgb7hWCIg+AcRt1Dtlp6WRakxpgr7gxG2mRrDuDb4qU 52zuuZFlqRKTk9so3V2C629XjUHbLufbO/TuWpC9qO2hhSUWPfbeCkwiIUHEGda9nduDuqWxj1dT 9SuFRwWbXNqAFirqBZ2m/iJ+MFo3G5ZcN1LtiIau8vtwlM8Kt2+7WMeuL0cFJk9W1WHlvacAXY70 qwcAyjMHvqsqJLMatWDykQ+uZf7bofk5pilvo6Q1ohUmrBu5cnLNSfbdNLMYVCjar/NS+5QlO+24 aS7BEDeVYDY2NeWQqGeztzMQedqK2H+p03A+14yYs0PVQ+gKFE0zrCO0EWo9ei9NFmJL/+sUy2hc PVEuQHgkDigxfGyNCRqmEwvDp8eO8Nd5O7ST5JXhcevrZlGIUT337MQu9f/Tcq2yXwc3oGreG68Y l8w7qVuul+gB+HawlDPtB6SqM2x4Y94xmgpYsU/+EINBgvnNlT1pKQyxQ45V1WFi+Q1SnXSqm5k0 rEH3fbIM+2D49DhpkozZUW5snTLO6Vz9Oc5eQfrqDQXdePoe2Cq6WLaNGSz8HHLwiSkxaaEvplRO TNfs+h09yfLhlh8onubd11tWIwRI5C093jF+0ra93rG8JnrjLsMHEdkFKcjGQkXJW4v01DghX42X GPQr7yi23rGS4s/jIcp/1DBZqv6r1ZhcNzaYYl9yTBS2Qw/SMJ6NFtr/iEL6ZE+PKjCmORSsRbm+ 5pSKvTDPMiRXypRBAthpEFp/8CkuAMRCzAALlXsfBrg1hYA55u5MU/RWkpGTbo7o9gUeVZ8b1wH/ vTT6Lm2/zr0ZgRXsciW6eKZHZJZGG19qMnd8Ux/YpijHMr28lcwEJQc6SlH7eoTxrK5fYQUfWutO hlFcPkaT4wUnP4ymkBP/IoHtqIlb0jldrOCN5OTB6Of1ZmOF8NvXgwsV46jqSfJExvq0OV3vybtw owODA1Rk78xeQ/2aaNvlSrILwbYcFNvocFA4uRlpUIT0CMoxZj7zHgE1qUHu6KRM4RVyStnQdH5a 9InFjuy7PGOJjlspbdNASRiFlGyb3gzPxweEgiiyN31OhR5hVoBEBq+kKB118RMoiEJ25U69Z5dN 8WW2yB7+q5Xaz8Ls0IXHCp1WzMMjs1s3WI439LxYci47JXZJ86bdAelDbO7r26dYTuPepfV/zCLN qhv9/3tPI+j205J75Qg3ddnLLfiTcaQGcgseds7Lr8d1mcev610sr0D04Wb2Fr7Lrr+/CohLHSyS NLbWGj6LqcSU8MFt2ZfocmkKaShqMbM8zKFIV7odHcAY8f0koLgOCJLYt+vJ/cElfpxQ2m7dEFAt PrHM9KMY3ozfc/i6c0ZO4BnCJMx5A/OkWkZnt/A3uUezF2b+yMakTNij+1cNIILxhKAYZ1GvUn/d pY7DgDwSpyljOUvT0YgVLzS04ofV+V1+n0f8XSUrR0IkbXZbMCDFaOVuWF7Cpa+05ucrBiwZWYCH 48Ey3TeMpzEPjGaCVi0rS9kMAnCtsdqD+ZfiHCIRQVfVRTWHYz4pmwKgF15n1i3fMs+VX0flbD8x KrZ0oXssKantBr1hg6pcClBggn7UG7JJUdoAYBtXJT4XSQ9HtFiVV4nLcoYURyF3adwq4P+ZfgR8 JEb5XpqXN0D5k9CsKVsrxvlv0R9GzYjvppR8ICrEiQ05c6xPi9A9T53dvvD/vKzsOif4Bms5Tn/T 2zDpxNjrpKVBeFoBgkyutdkdFB7m01erZTFw0iky8LdF78eQD83gSkZth7zbS0dx5E2ja51ToiHU 9wCK4dqyerHGLh0eaIec5HfIABwrMsa8Xb7RA6PzS0mx5hQkEl3D+Zt/K8K9TKTfKmOpfl6TAocd XIeh2sjt4RiztuMb1EzhzIPjlORQaqS1un7YVMMcHHaJrd78LtLX3QDSs1Dro4iU7VAS1l3rdbHQ 9WGWE2UytehbacFWF5gKvWx3IWOO95dGwNRlzwNHzh/1Quml4VNjDPFdcFnnqGz8nD0qT1CK1oKM tN57llGyv4/ovFdSLVnwqSweJEdSNnnqYZNkGGs8RwpBeEwYVPDMAvo5zEGhV0wR+Gz+wo8BpsdG 7SnkUIed8SrcsN0yVGYj+Mmfqjj2emDqxkOkNxv2YuG8xsgxy4fgqAGoEYhZZ7bwUkjGbP7FLGqm pa5BAHXYrvr9EH1y532KmJc5L1Vc8f8t87koW6OLwai09NIoMnsOYslzZ6yZVRIoIlYJI2bbd5Y5 y+6jtw7z+A1gayR+0aJ72LTBLSTjGRi5kBdqnubpvkQ0e+I2LzBuzUgYUaj5roEgZ7yHNatDkmlJ M/h2Cb+74cuMjNNHRLKFwrdwonpSVOhbspW463mgou/jqr8br2NuvDvf9hJlIjP4tKYb9GyhEoTp iTsGPsCuy0JNnOIPA/4FijFhXabeS26t/wDmX1igC75xBqlEKoOPa1K+X13iAnRb2qnZ0LJ8254v RdMf4N6WDGGjCy7nxdZPEfw/qeZp/Jl1XHB7xZigZHUjiffOo5oURkYGN4hXPw0jOkv68PqNBIGd lnKZtD/ITqyFH1wA5yY0ojeBfLRIfbKoC9Qb8pH8SeU3vnUlfyZxBllodZhvvWBpx8IcD9+dm30J I1vx8k/djaD7sTL5kOJO6RRdhA9pLbu1exCuaFaN0n82OiXOPKeH7Nq+xDom1syhyR8exvBBIPMi 0pdfYuVr1adFK7K3K9419kUzZ/0kXM26U0ekL8GSi24tfsLbyXEYydobpIQvXDIPNyqtjnjfqVAU +o2MWI840Vv4uxhIv7Vp3K+vTDahTV2MCy0mU8Hi8ofSUXlwhEWKwGe5wVr7KbBlaISzCktOKDuT qsXHyDQ+ljYVPx4xOk/Qdct6rg/YcLJZKoQk7U3NpiEgpQbz6dILfa2iT/cs2d3QsNe9Cmwem1rc beA40qT+DjR2iXfm9bmOlhw0KpMUE9zgChgjueDWqDft2KRdZEQnw4FJ5XouG6E1RIuDHhI8P07E WOhjN+0Mn/oAWsvAsBsxW92GSLEQLRvUm2FPtCR1QI+cnKFAQ3w84HlFwUP+OnqZtoQ9PJCoWdUk hZ3Bx9BxC9wx4DfpcNMhAKE9UCgIdUrjyy5LIRVwYMxcO2ejROKANKNVoHqraBLgQttaP1tKzJEF WQo1gsleQpCymDxbfDYCIvaORxaYoixsig+M4mBgdpkLK7CTTTv1whVHQtZcRLt00pl1MjW+rP86 c7jyOwLJkzSNyoaGhd6OZeN+LS9xMVyODL5q3xmAdsESC13iakVtkik1ZTbOR8sceQ842b8A00YW Dx9u+esojZiYsCfCbR2MCb7Uc+g0aeI4d7ZjrDmc185OUyMY9fhkQoKxhHFM7KUYV/FZ++wI/nsx 5g0yxIukUKAKpjCkm0/k5vgbQBZy6nxe5vfp0WzeZWflt27ZWkB8H/MuO3kzWhz9JNP7gyvcSq5i fxo0gSA9eY6m22xZQ/z9joErmRWkrGqnTcLkI6t+veX0BCmDCJiAvaj58cjsAbGVc9Bo0B5Mm/kr DRIxbYQr5+Pjdb+JEdC8u5f+pav6WJY0cvBZLLLJeO8lLNmVwRQFU+nkWZTpvFornTLVSeA8L1wl WP8vDT6OcCCf4Roc8NcoqsWjTBSsoWonxgR1hFO4K6Fiiu+k2qVDv5SfRZKUXOlfbQUVl78/W58r klha+T/e/1TU7qum3r7StiQEiQv8Ldi9rcEnBaB/kMiauLEYC42xX8j19sC6Sw0H6Wk2VleLaBeu IR8sM9d3eyGa77rcx3AjuRTrVjDFIwGcL4+fAYnpuVbuzI+VlHlYKV+exJLqIwITXA+7BekJILK8 yqMcdqpoY7A1aF2QNFvxYg75lA2AV+SWD9KwnDtCGEg22+xYSz+gKXvPqnUXFut4+UlMWTUvr5p8 uTwqtI0IZ/XlaaVpgPQ0W4OJGymYMLXoYHkKWxuwkyF3iYE7d4rRnTaqPy9U40DsWPTJVFwiv7nw Bd9H02EKsQmvZYSlfhAegPRm2rzOWnd8suCgUUZ5hcATBEqqJIIkHM3mB/FZqP7YoJqXe7wILJ1q kU1mmtuRAcvDgCUXlGgkbWyOa7f73RdvZdL5ytLtAEpDFzFBdNNUd1iXkStRhQ8SvIajSUGOWFZF Pjh8Ijg6DAsFHSVTJuUQ12q5Y5whBt5bCmv9/Sh0xrJL77ZhO3vYZ7F5I5EYm47bs45MHUfIDb90 vJiHhNsiH/+FIgRA+mgdgFx+7DmtO80H1X3ogjYrDTXxpJNwREW5DcBlPayZvF3bJWqXgL3wubmU tCrPrOnXmEQtP+B/MvIQmYOQFwtEYGJ+kx6m6zcKekhuCewdr4ARV+ERBxBBtMyUZow4SVYfSR6y ZiDVUmw47nhN8wEwPGvIauCDsTt/4Ybr4UWlWxSYlLX5FdcOPXSSfADSvwg/kBjIAgMy8tZ0N6r2 fZykQUDPt3xdfho7OULBVHOCFL2hm8Jw03Yt950osMSbGJfRw4Xga78+0oPdw7usq+CGK0vXzL9+ 93YD8lrJ+beX5KKEw/TXfafTc6eY1Een4eCla2q63SfIuk6ZOPH6dJjASa3xp6lJyjPX7m3FhoV7 U51AHNIQmnbTVSzCCaq+hFhWeC4WTuVPaogywJ/ghsYlKVeazdX3zliWipf3YhlMJW1/yOkrOXXY eMvNcDISiy7/ZY5EQrM07ERyf4qzFAGIHCfQnGwfN47apmaXlxO10pznTQGfpE6cfYJSc3DTv0Zh gHYVwGAxogenVyP45JIIgR6PJDPF4oFNkL9w3lFeaOc8xZUKifKLuzOt4zGpixqW0+vzfZ62T6sY aK8mZTV6tpiWaGCZGFXBDN33IIdn/Bx553DUX9o6g4/PBH7b7SN04JB0Xe3rkfQ0QdlxXvzqc99h 97fouNn+D1/uQbT7HwsnStkysz+Xmc/HMNILU3A/vwvFpGI3U2g2bhbGKrxKEUS/espV2fr/579u MlND2gLad837+hGViqDyJ+AOzLeU9nPHzLHMAKmdcSVrzHCwSiR3uzU2DAp8U3gG0SLZeZK9Oq97 1FnEpbGUYHKMT0rBk1S0MsVWDa/AZHzbQistxmlob6LJQjuNW0K0mLSgGsVvOkO6vVbXtwNqQJIL FksVLzsqvEOYyp7HA1+yVWyOGJN3GrhSPFfROQ1jmgGmF6zzObigHsEuwjDYz73ZS2pIG9DxClpH DJajBh9OjA7exw+QwtSAVSY1P82qkJhgLsvlOZYfBRg8eXd3nOFmv6SObB6gAvlxfnV0xGQsu1Vm TN/N0Ljwri9tQ8jxc04XgZNEUwRkqjFd6WCMLnmxellxEhyWYWGXmLUy1KYl26bt2Wp+dib16AZb U1zROhUv3nC2T4kclsL2GHMWL3z+oW1KUkgvbbSX10pM7yLtJG1mpARUNgEYpiWXcSUc16il8bpf FeNQHAKQxQmWECuLO8ZpxmeVaG/uBNCn5KR/1fi5yGuX43xPy7wb7LuhtBYUM05witCooamK8A2a KtOiamxNksYM5h4Rtp67DghEJIcZLNS+4oY/AkT/UaPYouFHO8N5FSYn4oHcXI/WG4H88eVejuQz /cHal1lcXBgBG5LmYsDLIVfwp/pu4gxag5CPvIZgVNMLw21BWcbYi2CsJA/rt9eHQWbv9Fdd3hDZ eVowPSeKwgZ74cjpMxEjjh7nZQ4hRzzftfp24uQknN/uJxlkO2M16ZlMfBAI9sxoSopZyFK0vHn1 zW0MjsFz0e+UAUE5emRE7JdHbQ66RddrYVT4Mb3lO60uHcj60yGZZoJJJpL+YsCOCwoBu8cqU9TA K2Zm/lmoelZY5DIMDp7M7706qDc19ouoKK3dKmAgmbvIrMYa6p8TXD2ryMwAjAhmlfaR1h81sys/ 1MzAkRYIiDxN7Uh05fCY2CpT3XbN+3tZ/FLX+sSVSfK642xY31THZzYsp+FW8Boy/lC/VK98xeks CPixhfm2oyiLUIGTE2HIJiArSxJXBUpjq1jGH0elsTLjKKiJAdYrsJHIO6ODxzpLDtzN4B0F6JSB ueDxw33jNdqMYU9WDGjSeAqRInb5a1PuTqnKThrMy79FmHvYxTKgT38S63EUSSwNhUX+IHCo7OX/ CbCly/+pHvIZy49lgjYsIR4fNu0KSsFfPJ8QbeuXLS6JG88x64Lc/qV7UjuGysnbF0vHJmae5xYl jaDvS1TUq2YGteQYnARBLc27T4NLf9c+bCaSH2C6Ybj1AgFv9aG+omfbFVOXZ3/HgKbLW1Z5ukF6 Y12xl+9W/67grfJLfBZ/pMzenVl5t7zSs1rz85ywlfVKxvL1TWTWrf7oDy/Atl3w6gZoBGwjTtQk 9YzB7gCPYM6DfTgUQtsXdQxAMjquDWUFK0t2NfUsRNAj5Av4lJhzOFPOR7JLbPcBLHt/t1iDrrby wgwVy5cC1AdjX5T8j/ch4lYl44RcAbOhZhJfTd0dHyYpusAq90LYvG1Q+IgU7YuN0KZTaSOIAzwW 3gOQWIBBe96djOVxNSrbdxG8/lW6NVv7LJDKm8Ka3USKpk/r2jSWdY1FZXvxWXWeFUx34NoIjjGO qWnCjV3g9wezpyladLMktt1l5VZld0LFhFGxCKVmjFHR/g2ZOp0tLDK/LZe1CiRjPp59iXA4duYc XLEPFvPpO29rzyERvMt66Sqcdr4Q2aV0z8mJRE9r953rBZSjvzVCGBT9kT79gtUlOJ3ePNVkaKON zy5+IqWtB1THO/fiauuUrJ++KB5vzJxab8ERoyqVgE7EqnVGs1nRmrrjnX0ReqBPtDnRwrTamJ4F OfTztyy2Q+/azQDAED5ObdUfgWSk7AYVNp0eCPHjXl4qk64k6SZ7t3S9CIFv4xSzOhbxg6DM4UQX n77UMSAhOwbV2imXMUBzMhNmlrXPHVUYe7zvVe34uJfcaQwTsTfGja7pR52kivGvCz3zeKuT+QXv 4bpyMNbxUc14geGHtUtt4mJeAOOV/WL+nyf3PNjpz0B2vXaIa4tigkR11pkOSRZfIWAVQ3hOLOyX TFcS5ozk5f1Hiss8O78+7HXJBLewSneBjkFLromDvC6jAzxcLyX3pwDML6GlMlmfyhudXQKi5hsZ xsECuy3tRrWUajmFsqpH+lEcnLAIXh50d2Ga7KtNnrJFIogw612MJJhN91+I5xTWNd1ewQPOfQYi wHla4UVpyE5ZClpqPQr59pg+sHptrRlJqFWFQMFlAJMuCIzfbc412LknpCRiyot8yqAj1ACpdiYs sMPUxwkH4ghq7HaagjHWHfhQUEIGj/YmEHxXgI3ePmsuvWRGISyjY+nLzcqXchroIgQqARJvkz9J s7RVL5vGK2LjRCQ71QTOgUkEh2y5fWPDJJ8m3HORhhvF7zUEM9MLepvLXX7TBzO54HS5+CS5x3t7 o9KiKPZLiB0uVkmsRHueCHwamvB7yreXC8oF9eEXaO2/Y/LFa9U35YxkKgA06ho3Z7//5I0L2D87 AkJyE+/AwottzZs5zrqYrlOpG4OznhDn2TwzO2VDg1WkxADSM8ngsHgw691p35Bt7tDLU5Zxm+It xIDkP6vNBm0jESlOK1IvHawmhnH5x/S1+Eh778/thfJLN6CTzUW8qwzge4AhVojGmf1q6hQYE2uq zfqcjUuCLY2kNo+Qmac8EIJj/nZN7HBL7WYFCwGMTfEc/okhJ87noN/L8CrfqO04FjFgDX7pSNM+ UCid97wHdM4jeuoTeHB/waEN4lZ9e9gf0DfvHuBQ29GntgxGQiehfzM++MeMIL2sOu5e629vaXc2 t6Zfl48RE7rSiFtPMj2djDPHVefngZQpCrM1Do8U9zwDDLTzPR4OyiAabz5iQlw7iF/yjx3z2pbO IvVsffYGy3VNNmhJtFh7lShs/JSD2aEBYnCGzm4rDjf2tfTMPqnxHTfPs5nNbg4Wq5epLl4O7L15 8Bbp3f0IdRFrH+J/Wimwr5LTTx1L/7mt2lTerofsVAMVix2qYX/CZT3myJuLj94EpuCc/W4pN235 aI80USLWnHF4mkjjpPlxm+a+wM0UBqacHedfv8N5iVRhKw4ro0+QwFQtEsreetb2bRjT16AxJJIO RqvqgCnPNA+9/Qc38RBxHbCBL88X8Azo23XrD+6N++W83Bj81ZEsCeeTaTXwHpz6+pexpIN+yS26 yvMYTm0UuSvHd7l7vAM0njSz53bjUylyQNK6ZPrK5lzf+jD1NHgbS0Djt4LYhVYDKFpeYSmQap5I /94IbwiFwVxJT2cuFKQ6euqxOKgdmgb26qxYSaWgnYkDKO3t84TjnVUo9J8C88OEPDWij5Jry/Y2 OFRv7myAXv1F7LX2iAPQsxXj0MD1o+SUBkQGp4+tPqJpfvG5SL7IxfuFcHDN6eI9LkqyA2p4ioG9 1VKPTzoYa0bLMXFzLzAtLbbtnfy/k508637Ndx4OKWaAUr+ej+G3bJtk8Du5g2JhmPoDEsQJIFVr JIL1h88QHCUy/4TI4LzW9oX1sLYAXfE9vLO1D2IqniH9x8QgjrKSa+8L3UMIta2hStV9DzEQP9Aj Hed0b4UsXQPVq9k/9wRilDni4VtCV8LqNz19yDAEEpI52t96BCtRv3PCrGQlMmIlbYCzmwOaamP0 dVzOo9qEiWx1j0F5KBO9WLUjv/L8vSowNSH06oPBpZeMpxhe+g6a/cuMSnqmBdT+PcmIts//mWc4 WBX1aMCgaCqCdufpIwsXR+uOx41CwEd+EQ8SePF7plc25ZV5OzEj2s07poLmafnj5jgUzIudkC5K 9JZmV2n3Wa7WzsAw/SP9SVhuwEIQkMoE5dkZDlrnXZZYbLGbv9kfdBTHvlJxHJBTGSERuhZehi3S 1Su1XkhlXh3fyKWwzzBJ+EILo1xYIfUmBIIAzzctDrDellioAKtNUj7YqAGFHW0PtUJYHjZGSywi ZON5KKKpPnmLAiSMjL1qBp4bny7Uap9C5HuqUC3GZIZ+u3HW1P8fYTzxxBnvr/lLjWtFln8oE0Ww xVwO01Xhw6mlOMPDLo7mJEDxStELu2M8miXx/m3kRIHpOm69miionnZL0624MkrhaNy5npXwDFui 4FG3ThiRxU2OEptnnDI4oOmgNYlx6nbGOSxeA+pmbIP6YY8tZMPnnDfvPATo2GiWDIS4PV6oKteP 7YL0bupcP8VDhRa43HjvqgV0qWpSgOgEKJz7GkIlWvulfUMiCEcx/wuJ4dPR0J+vHTh0KisDyu8z UNdK9NssoxngKD4xqAd3oqQIXy40254NDGokYdPW24ELjpPj9bpGZilpMKvfuoKzQpNiHGp75WBB s1Kkrr+gAzG+g0hS03EkJdOhwsaK6yUixbK+KPazeJjRwqN5j119HNbc2T468Uh9/pmX6JtbwON3 vpCvc7VjJEcnBhdlc6+JfCqOYrFD8mPaNguvWsn5wHMX9I9kmlueUs5VbKHCr/4NwTJUL0mkaXU5 W0AOTM7G6OKcz06r+ONezdu7W2A5Za9QtFcINpyQ1oGbJPQxH6kvxaLJNybJe6/A+GldiXg+bWn1 zJs9QmtmXsnQJFbH4nXf/Povg2O5M1OGX5qtansKvmswqE1SjcLNj3SVY7hu97qR6Sav+YvaBRjL zC4bVSRm1z4OZlcr6Jj+WbUHGigNm8Hb/oYADltzyCtASCp75n5wGR7Rll8m2MAoOGoiHuHsgHjt 0JQvTWoSY7EgZkCeMfqQ87wtG44evTPj4tB6O7Bck+/bzmLDuBXxc2XibtTygzBQXUh6BjZujKiy eXCK6ZZgPQcyoDagawgg3irKgb+hcErXx09d+1Txtj7LZO+T20jq6V8tSw8XWhHhCO2OezSSGpR1 kDc5jBB25vOndq8IR/Ewuq7bndZjRdSHgT7Zfwo13fIsMnlniuWLPiUwSVY+iGjMKlhjQ3rVzdkd lW+2SHmxi7SfASdDTPh1hbNPS6piK0tG2LJHyJz4i4hi9l6sJ/CX4dQXQiw9blNTM3CTB2QCkQZr n8Er5nbrnZ4WH0m2DSSTUu2QralcY+0i72oJuPlzUDxMzl9GltNb+WfzsL01E0gheUTx4gUJD+do /BoP65xyUIGUvf1NXGiPgbNQ873xd3gbsu4Hmoi8tNgsj8OYjQfyIMSR11Q5rDfalJHaOYM2hmLU YfN84k0+s39OTra3LaqKweIVLqMDmlt+g4AS2g5gbCKVEAZ6stUba4bpCZr2iy9SyeAUNLSpLl11 p1+ferrG5kGA6ucS8ro0wkfG9l7Ml/NSQDjyRAYNtDBU5f4j22VrPv74aFIQY7dn95oNKLh/uXEl Vnn78NCbjoPeqo7jS2nvxxtSDA4CGdGVJPyggGcHyu02d9EUlz6Mx/9vq4o/l+BbGv8GqcOgocQO i02nbG3UUYsgQQr0pfy6VusV3npxAKwQJOlBxkZRnmzg0a4QmGgFGvSi6TlRf7Ffr+Icg1COjgKf 7Ty/z90+wXR4rPDx4HbFjQ1Urxu6cnXw29cL2E6FFdpHaGRGZ6ZswEoFN0xbZTTj4kjF8trRHNyM FAfsxoEsqTtdS2ZMVABdF534w/vxAE/+LyPRIv9DSmIW5anQhyd6+BzbvRynuybRurR3DtOn3FL8 6PAQyw2XKKPWTNc0bJCEwqgqWSGjNgC+Wyiplw/Vk1fMZydg2Ju0jOphBzMbad7Vqn21zbFgI4m3 qSQydHYD1tpfyMusTjNMZg6CP1CEsGB99vakOHk5kOHToytjcaca4kcvY72xfNPdk3K0u3fkwBqq hC7z8SFmDRkfccoeM+XQgsbTobgoU5ben3dOuIKFvNj2fZyH56MjIdhdAbvlgHddqsAuHip509Gm 1lQjx+JwYwJWjD5XZ2fEa4XtR7I/piKjq1/ZAuajMoyydZIkNLtBu+sP8k/umTFxCPk1h6kGOrS5 d3rJw15OWEenRg+nIdAsQ18I818mkAUjdl3GKBN1sA4Hw+Lxt9z8W1KOI7SjmFd8WUxJJSKlONhT aYd4MSycULY9tVU7QnmLPUUOJa2k5pXBklk8FZ6e2wNNUq7hEb2yaQ97CE+4BOZEqipPslXbkJOZ HQUzuYbWv7qubKVp0aEu66HEGH8UGB2So0M5oTf2DK7FC2RkRE+r+a8foMdPERJpz9VjQx3pepT5 LzJagCOW3FSJtgIm9Vq3XIUoE76AOnyfTy4h/4+j2Vjcge2Aw53qMcl93dvf/FE3Psjf/q2OWDI3 E8Helak7ln0IdmQY3oxXq72IIKrSIa78NaXkC7MuKZ4CpCfPacVBEyhkTcWHcNhxUbOL2zmsX4F3 grHdo8LB1huJR/f+/nhnp29Ksp5B4VqW/9igOtvXRcujKwObgJd1JKCW/eoejjz5qsh3QrBfjPgP UDDkiyMdED+w3Xd3TyENk3ULMv+S0FP/7t8H2WilqSm2cibQgwjei/AFibILsz9N7pRCiKtQ0nTM w5ihr3zPyS3S/5kq7ZunHP9W7LlxGbrRz22w+9sOKOFBlNYbUP1PYeCwWlu77XwF1hY2ijeY5H12 J1pFfEpHE9PNICEa3uEcc6LxyOIA3NzgqJpoREElhcjkt93syz1VRLIUZx1HTfy/wDBsGGnhpBQb CJ6gUh3UD4g1+0TiRaqkwWtByQ4Q6Nq7nOTO7qOAkBMVT9x/+8Iau1cvXhwgUFGYgHKmUilYSSIZ yDXjVqBrOTnE0JU0f1NDgHvJVzYRWDdw4tKHZya/zqYpbK99MP6qNPHTt26XxcD0idCJkyMMNSZ/ RlvZPdaaXWa2yw7kxPR+a+gtEUJfbO21E393LGvA/0Qsx7B/2KUpnZ+paTtGJq8UtlyWII4SUtmr TAijq2WHLOb0zv8EXajcL6rhvFJg7D7PZd0twCEDwShSQfTw76e53pf4LxyUefXuil51VmYaWeBu oOr4+0czTMf16NbmTS8S/Obo+HDTwq6xkPiPLBotIqv2uCNjdt7Um4aaByxsV58r6ibBn0Am1lkL QrT3oSKRDNLy4Tjs/SGmql6Rk13+Xd/KIXAGKnZVL8LEOD87x6t/JyL10QLu+3g4JaXDrBbVAxcR FtYLdzSsJCdGSjnaHYLfeVbH706xnvVc2A8ReNqzq8JNKb7Ai6Uw3Nv40Joz7MFGpy7ENdmvMMew lUBwDd4Gtl6Tzh9yWOFefT3TSLfgxMwErZ9IL0/Q2u4Zed415q4Y8GYcBgwo0CHDX2VGCOECMgTZ 0P91UkSXJ0xCPDocBUQDIIRid4GjcC6so5dnpNu2jcysAVOEruqrC2E9ULnCXOIRgUr/t4LZph6A 8SPvstD+jac5K/BDvwjyQ+7f5t5onIAgrP96no9OvG7f34KtInEwUvVHS91ufbi600knYSbzgHaF 6i0JUWTTP6wI7F3gaG+TNl5SHE8VIpf47TRWz22cAq2LhIYkL0cMTC0QLaLKyGO3O8pGyL6f5XLg esO54+QYh2NbsQV4bYILlNApSqkdQ/fNuJKMgHqBnRn6ayrTFmT1ZqDggKII/1snI4Ollu5jt8+T SSE3w+7yqSWBwqF2itsa5AEVWsDeq0Csq+GGxrPBj5NWVzJegjFcj0zpynEX3T6AbyY9/Vb/9Njv xOcDx6fkATLbdazis00hi63OKQ7TcPfwZh3enSDoB6p3AYk3e5FCZNMTtwwMb9fKJSvHc+x23glW wxj68ewhGeBkW/yzyTkmrO1JS/mek25vsW8kNR0JkbJmXq/6+aInrIekr4iqmTQcC02UCbUBHz0P FGc4evj+XMIXQUTE9Gthr+k1EAEoMthlv+fJZAG+BdsbocQ7Wg5U5djCd3WK29cJ4Eaph6w14bU0 wzWPg/NuXA9EjklkzYXZ2ibZqnIXasHb9/auenUoiKHBczKuw9+C/qMtBnjWN34r0+It6IXFHFvJ zFlODKgVCwvOvttlUItttgcn/eHLObQG7wdVD9eX45DMr9OCKqtd8dcJinMUes96k1U0RiZrBqxS uP6HfRPS0wl22gV0KwXuk7FAQvgbKKeDwZFqVS6+NvulhCS5kMpsRfHzGpBF6+2da+jbjbIXklU+ Imt0NiSCESETfoInWXg7Z1oeIvMmBUrkgF3vWe6BQReHeCzgahesodGvH7jd3DvMfFdMteASoLHy S8l6M3Q9DESWIFa7UTzLWFaKSsS0Q593TNADXhYePLA2tmbQR9qIxoxBC1a3Mll9bkthbHwVXAMj 2EqRhvfUs2ha/BqZRcxdMFbIuItpTJnl9PpRMvhcIZc6EK9Vd+wk8iYA4F+IknA9hlzI+EgHKWSr HWpxRGondls8XHLrngsFa6gQWtrDWG11bWZeH9Ql2ydFwo+k4SiAgB1wmlKS7v1Z/lCwtRVbUtAW WNWDfjSX5pwK5sFKqzZhunSn4h74xsoHO+zl3D+6k3FDWCCgckWKnMkQUTgii6t69Wj6w9Y0i8w8 rEv1xu6xvsDoqgLP0Nu9f2XiLsE5ZWbLDxIc3i1UHgnn/HnGoFO61hU+qccnaPpugYChfNI6Fo1a TwQ7fXel+47Lqgk4UhyBhL589jOPYVk7k1itTTIcqIz30HObRZxPRwTwK5M7M9X0XC7kjNx8JT4v 3lhgLjtlRKAYQS2A8JL9wApbPBI/s+JREKRvF8uCHCPj6yCiKoZpXCsGzse1gyViCso+o2hEI+px svN7vhvpTnoXGHj+aVBjR/9pip9zeiQLVrM+QUBsjWJmTHmtZ6U9dSIIKEtHGGNuoKQBWJd8pveq EffEw+RPwrYnGcJZOIwZgPtGz4LilhwzgJFE5eETlgu7gdsrBQW7xLQAiDlyd8iq4IIFEfFC1BsH iB+k2iuSk4F19T6iPw6VXOBGL4ZZp8dgjxOt+/zbdRfVrNLGzV6QjUE4Ocuyefb7zo5VvVr4vgyt b/osSuhPnJ4NyHsLmrVyzt2mwwARfksujJtb+UvjG/skzYoQgvMp910xSFggMKCbjncnXsXlGSpL FMwAp8CXo8lQd8tNkRVAH1dXxiZx+IG5iVNzahtkDvgW30B3Low+XcJoWL32Uo90Di6youb/SQqM hZi1niOJaObq4Odbheyd02voulOKLGfJY0SgGkWqpGVgRfv+JWcoB5Q1WB2T2jHkGCE5yA8cs74O a3/O/pd9HrOpwYAvSo76Ctdh1jsEIHlfTE2wK74VzCgoqyNo1wZ3sLUhYFGWs10m0xZnasXNMXHi zoS61gVfLqAAKilOmZr6Awbx3OrtKGvY2nFW39+fPLln+ho4r99c5z+y3Cd5AE0lJhX468/U/6nM bPlyyfjjgz5yT8JGb1HQyxG/i6FWpA5oqjF7fHLWHkE+N/RzoNmjzveayBFX0uwcd59Ho7DzbmFU Z3DkbVzaRaS6zMMx3po7GpejH8chc3zsun8HwftIvMW6kwt2ThYYzl3T2EWToVuzDohLzhsiPv6d py+RenlAScOKLT+pxGxoR3CC7/1A2EjlfJIXWWSUtlm7goA8/UHtsflNZFWypWHk1RldHjpOXH5F uq+wOs0cs1gS9V9g8mCYirg9sOC87ZTSU1Ny+DU/38DRQFh+2shkFFA9h1DDpxIAMmIo28xw3RTb PGHDQCngQy8nOlyJ7CpmxIr9Fc5gJINVGRJixAWIJTnQ2IEjATzYMg8lhTHO96HMtI5hvQmKjlaS 16d3zZisc3JnnthEiKjsHZb/j9nE4JIYQ3Ezeab52i0vJbXDF5+aPniuJXNliYbHa9P4XaPfKSj4 DV7Uo/v5TWLEnRWzCikTNt3Iibpxx98gPWzV20DNFJlwgGXJeqxbhpxY/BQmLP4UiGciHWncqyDA SHR+HZpzm5aQYCANlrIQ8Wz6p0nZqAFG0qQZRm0UFKpwrTX7LU58/yfxxJi4aPQp+CMp+tbYvjtP 06ck5jxtgHj1tPqmukXtcxn8egcPu6gh7lP6Nu3xcLocz0wCm2ptAuWwTXCjN4RGqhsFDH6nMOgR hbiaoNq7X1xWH2tdpt8h6vsGYVJyLIs8S0QipClWGYVgG/9guhrAwmNiUq98EAkNDKzKkkLYh98v COSGS5N/InKFfATB5Nm6H/53muzVcWGAMC1D3tTYDcp6Yw1RqkGtwXmWANkVoLn6zgP/YQjMCveF eONeuKux7WXstRrlRdXJf+QiHLq1fWJk5rH3tAsk2TiV/uYYQwsDFEhiLg7bxc50gjvqBXSBiX9U ow+e9URWNZSpRJY7grfoqvub7qAykKzvK8VsXKEfar60/xtJNshZADku4/A/ViUJuxsypR8CJtWd TJelX0QSCcFSp+eS0N+LVVBXDRVjREIhomyZLjezmEPbHRS2XE5RegJwcDiexzSSJiO3Kyxcx1C1 wI4rjRmB/JjZuab2J10USxFxW2P73IPv83D+tQpjhJ5iYldKTDhwZ3m8X9Bz2enLtY9bRTdu/1vV ejWNxjDlZjNThOt5oIWv47MB1uctDprwFxpETjsiKtXbgmmI3uizTxDcx410Y26Kl2pfK1099eU3 DMxWayiM7R77QtAJLNjZz1ngGy0rLWr16Dpjzd2GF4UpK9HPHUIdeFxXSitmoqzpfP07WsYK/2B3 o53EUiNEQa5AG3r0heNh86dPat26RqfTTko9r9Q18007sxmMmhIoKAqZ6klV4mySNtxH+FtJJTft xeygGZ5a8ec8QqpgZI4H9saMXDGYGdPmrqrA3f4cPHedEvdMKz05zkhTmMIkXzRlOdPZZY0rDLa+ 8LqUnEOey4vcrQW5PgLQ+816eM1kNqcKX+bs1Twfyr5eoX7Bj+3qrjJLX0jIk00Vq96/fa8gmzE1 KRaCltCvK7sqYLg4sEcS0Kd7JslqEU5qkfO6FkhhiEXKslbbnue66JVDq597VhAMeF6E14ST9JCU bfbrzf2acj14WCCDeERqRFVT7/VMiBGWVC71iKF8jYs5ZRlRRVVUrGDw8LOoxHJiMom17QuIZQ1e Dy8FwTPkL88BFJcmndxpeTk26f8jhFt4vTmOUO+52i9RytViW7/AXf0E9SMZvydjVLKYf67B41f1 cWbDAuU4cXnRZaCEnfIgjKdSbuBt+4DEV47QaTF8fjnrTgn6BT9ECR7xZWobQYVXHSBZgoIyYJTu ySplut1ut/oSX6yxf1ncxpOWzIZUE5wsM6DfkHxb9Jc+4KaEZpKiFCSoU6tG0HXeZ1NWpRaumklS UKD7LaDHo+/u+zai3eWPiQ/QVcUBFvWmYB4Xg0nY5g8JwmwZkfm1dsKoejE+fMEYdUQcKvRsdFlr v28ECoxzc4MAtnskqqDNgGOauVtC3qHAIi5OIlzBdY4XzegYz5ugWwT5V985Ixmv+Co9sTBidJp8 HMongnnBQBt7xCl8NkUcATiXK5g9px8wtkhm01Q71DaNPjsQA8D//M5EvfmpUGJTVdH3mFCXxPIw kIjHdlDH2VdZ8IqPBAAwmL9GdSmoCZFhwObUUuEr1EFJ4LTo+znBIvPeBnnkKtdiUPTNkyBIBJyq x/Nef55z/AAD/KcFEsIhOlcuHqK+9CgzzN3/h9SzYEitKZUFyZMJjXkG8XgBRzcEHTs6y1jIJ4i9 c9pMgHO+oLPoVJlOJpUEQp7sSvL3YZaTQOnmpxip2+ZiSgYZP122SVB9vKV/RKJvYJ6alJs5PWlU oR8UOir9gDhBIBpwEHv4P9tHa7tF+Eexl6+MZpKcD4XzHRlx4R2y9zZXjjj9qdo4XPBWwbYi6Ffc GrqrRxTRS6DcEuScXAFnwCiob3xziExVnDdFaqYKB/SpirMg9cOG5b8WcgIxUye3AzXht2yHkPlu ImuW0zpCr9uaOqNYFVvDrd0bUH8bTRN2yDm+I8GFxViB0xO2DuL7a7oC2OC0sR+MM1QweTlY2S4A JHO8x6k/0Q62D+GNCppy0lkVz2AZ9TaLjWO5n4blSBzjTp/Fc/Mi9p/NoXhbrV7SfbTeOTO7qX5E HsHYRkScU0NRDeQ7Ff+gmxN3hQi3+Fn36exrPtXjypsjrGHGWPKyd5GT/VmFOwKxjAWcvhj5nZ27 p4dVcyxz6mS6Fx4VnPuogDb2Gvg2QXv2hs2F+9Tioqh1OQAV8bA7l16uxQGTFq6fqlCydSLNqCrN 0RafZ+022h+7PeoHAHqfXcU3VdE3FdAMfNhlwa+7sK1WcLDtSUjEvn5Ch6QAvHPD/qXcSvGqaAJx QIeWpAaUa8dv9/ZMzS1Du+BHtZhd8z0oPLQ3EmdoXHIVk+1uGs89G18zgsVVdTC8zmx9JvK7c0sp MB2M8alqHuIHW9uiyklyt073+eUi9j1JrZxs1xiWdQbBoloUfFdIR5Lw0BUA1PK4kP23SRDYUw3u jXSU5S2Z3K8Wbak0Xf9TQ3LuMK0lIbNSEVZQWYa9BkbiV5NDsAgRpKdZbzvRtm7Bvpw+S4EnnZaN 8N8isghToRfQpAtRvpiUn6mBklVfx3g2koRLeffkyOr5osrvPETdedyHlI+/jYEXug3M7yFzEGqo RkaFy+DB8wFeU1meF18u6whMROlJNCxCHEEMOC/mUazx/kx44oQeaazQKRfcmLiSCksNGSUtin+N 1b9yOzUJHO1Dxst7Yt75LKe3aNIS7vZirfdimATJDXr8Res5TnhWFsn7xYGWmM1bxXDIool58YEA 1PnI/kGvUXs2ouSl+/fL8i/c4ZX81fdLxje4qACAptRz9MXFD3WdVDsl1D0Nnf5ckD9ybmJLfDt2 GKVUa5CMNW2cv5t5UfGod7YkWzsvIisSYWJsurrrc0smWI3XrN2Ss4aYkKMJr+y64ShFF8V6HeZY zSzsAcKleN+yxyJ2/z8e8NvnLCHoDfBF7YFxc+1dhmxtT/LqzEW0r7VnqoAYpHaSuoaYiH033tyI jxwR2qp9tIAoloGuf6f1iB2Yyvh0BKWFAxlXoba0jsNmN3I3qy38ivF3jiFsSDrAxAYAZ0ZBSf81 io/MtYJzjqZtKQwfe8utb93y6/QFAKnkCh3yHgSO32bRn3XKCbrrxgdbvkoTfKPg/p2eoUFz+oPd Zyq8+Egm4NWUrR98BT9ELVnNxZpHMyC829700vf+s80unyU5MGq+EajhWNbRf9zi1Dm+nzKCaoYa PIK50G1MgZou2iCejn4AvOpvLVlj+bD9Re+HuGUYbKeCJhhNnhjuo9ymQshUEbHDyEtH6w8fBr6x 6uPDaOzIB7IiNJ/O7zljZ8O2LOuCiPI50WJejMot8r504/vbfrmDhTjYNzhla57nw+Lk880dYt3q BUSQ6Qfqd2imNQqvkeT5FnecTrAmloFUJRKZLh/tpbbPONaDvzA2ltTPvI924TtwAvtRCCobMHuu RS7+/j1R/O/IBg5oRDGKGUnyO1LlI+GJ2ljkFrjmH6BcG7KKl0zjvnUPRcYTBCjssA8dqUranqy/ XUffHWCchEPUPGLUuo7fIrAHGTNl55cdmnjlpDqPaSa0kYuFh0jzQPb8NTfJ8rSYpm6kvAH0g9dJ 5B41kHrM3YcRBVRI7ej87S0Bhci8hXCqnm7nEH19q3hXBN+UDM0Kex0FU85qROlydxQrqrxDwiWo p81giH1Ap1seryUWCQIDocT2KhymyrMR44vSwAFlWgPDsVJK4h92TzotWqs3wEA5e2LgRUXO0SW0 IB7cBahaEj8gVvqyvht3IVgMq+VtaS1M+mBLVVlWspFm73XYjOZcA7rL8Z9knRgCkOLu9Ec+SCC4 0gmaVpykmyxEfkGh2aP4+fb/TErc03cPLCb7s/7XDhA9tyWZNOD/1xFW1wUwTlJBThomxeswmZQx kNSATDjB/POPVbz7QfB1Evcyv7h2eXER6lAxEsjeNZ5IOdkwVg4ZGSphw1nkYJhnyoS3NUqff8HM E401up4ePPARIQON15J2d/o5hRCWMKxFAYkYdAnfK5IXeuNvF/69bS7rkDFiPapJ3RAcvsJzcbor PG1uW1ys/GpZ91sD36F7z6pjlhXIz1j/k1Ndt/MzV7RBQeyzvkwgVooixO/4drljBJcvvFQ6uEnM UyBAN/SUyyGHQmXDBW8B8q0bhZsHuUS6FEO0/obvrMWI790VLSorNUiGfjn7chymbXV+ZF6rqwan hfz2INBW0CRQDugvSE1PhXgYe71/QnwVW36s8sNKnQhHHuZPTaLUK+uXX0k1N0vzTik4qFlLGOrs k6srlYyNFOwH6mToEimoGoP1oR3pD2+P1D6qiWCnwdT2NH90YMckQo1fL/87NoAfTye1Z/tFodEX f/LabiwrV2rhq3YpqOVZ1VwdM3mqWxJ2O4XBj9ecg9UOUIpmgscDzRf04n7MV8freGm7xvVg+eKO q7lBvnGf1/TB/dcyeYSmm9TvVTrDOLA0IfOlM5D9t1du9YqiTlXNFUFMSQle0MDqTnnvXCKY14I9 tyoglZZvyQllk9BGq6zvKzCPoPNXQJh4SwceWCiBibzZRfChzkVRMhQns4I+N378C2UtbTuCm4o9 jV84176S3Hyx1eVgaG81fID0nmCMqIFxfVV/3HYxCr4D2e5Pl2U0kpFZ8oq6KXfI8k83P8LW57ng QFKGHUzPYpFPtPgqSXc3wKvF+FaeG//N6ezzvBAPnZDv292jdy5CWRYU7Nblicg7QFC/vtufFgwE eqmXi6y9HVx8ix4nZ/pH2vyYhaMkE5NPX+J0UO8xZJ8HN/rjRIIX6V8tYAzL4k9JIKQC6w7kryTT tSVY6Fj77yUJgg3Q+h2+XDaACLCluhnJ1VQIKOqTnV6ehdr2NBxix0qruDUZukNYIlp5rgF9/mRD f/SzXDONeL+DdAeTNEXbCQ5x03caE9Qa5qMr9yInSceJqib0CyYYPHpA/TGWapIVXeTyqODb8xPO 0kmRMR6FzsmxrYZAWGRH8z13GwtXVnRfTSVLudaizcJn7wNHT9puXqqNx+CuVbop0E9vO07qYfEj uGei9qwv+vC2h1+QTiAU5RJEF/iX3XrNVlJTecOMEp4yVMwAW/fecA2jSm5gmc6S0LCd3iAMWO6T FZYc54uI89Q2AC/aJ7gQ12o2nwoxfEQ+UNhbduA2NE9Eoiu6hF+k9bt1cKbEuJd07U5T7A2qgzzG qGd+IVeMlrJ1G3NnQAHvKcMzqxKqIHXYPgmg91KJQO6uI1cYPLipwrE2Y+Z1BzUNW+lU8LIkbK++ x9tSDUdAyOyb1Ippr/Sn4OmbOoSzcqg1vaLAS4Chfy87ocd9WlvKRZU97Hb/HmXcYDM9YBPCGqDb I4zbVziZo84YDeP+mDORN4mXYzL7m46eBXcIlt/bEw/mYktNe3mnBBLobw0lEMX7kXI0iULs7MNC BOKmvUA2k38IrAdiVXQTYM1RuVvWQOfM1G+L8Aj119iQcKiZaC/Meh3qwBZczJKq9MJ13ONsYPAF QX6CuPQ0ZlHD0p6Yy4zoJFj94KGVONP/GRauSNpom3/L0yLu3fSnJLzmeUvy02FtdsgFCQTFfaaD BGdZtVmxamtI1Z4NeTz0uOXCg7IHcFN4O7HpKeZriYKeJCRo75a+RKHQzDcsOgQ7+enMey87jFCc TSto7RIlfC1EpGNauKMH6sP3jHr6JaLPyTdcaN8fGl53k/dhmcuwE8Ur+bv5slFS2V0ISwsj0hW+ dm2MkEO/A4VuDcHnF5dTNoufbymBo+hTpJjuRgizayeKRafLStTk2LNDYboPaSO5HGBBHyF+w+Yi 9HxwCpfwj5ElZimxfojh3stEr7BV9LoukhgK+CViWYy6zCVp4C7OD/XjXQCf/cOKi0TNLcovmWKP BgABxmhth7RT54y9j3VzJvyAFxmv/UT9H/7yfz7BfyljL+kWjiprwTWVCtJsT/lBzpK8OXxolVae c7j4/xzlFPGVFThxRIo7B55hG/0FVGtAieSLDZAt+/u8HoBSlASePJxHNm3tB2ndiy47nMrNjCoZ raZ9WylTXAXiSgp/3COxKINTCs8xJEIOfEnfuoCW+j19rjuSuhpD1iryZmt+TyYlPxKICV9KVYnB 7SNebBHqbbE8kKw+9/2ZIjdMzOgHo6Y7TbLV16aIJ/tnwNDTdt316Nab6P1UchZoVdN+J8uvU0hu nsFFvGlFt8TKRBqmdKeRvvzcf2jsOOlBoElqvaXSVOZaIGo5ZPypY8wIDfK4ceKyZXzZrRJcFaBS ozDuVEG/JK+qsrTIIxMykWvJB92LkPp5dtwG2Zu51FBRuSKGgOCGTVcCrqah0MkiguEQPffrW16/ uqmPtYb9uXX+lujz1awtimeBdiCV+GpcSAL2NxvtKfKWulpFLGpkP2vof7EtbraG0rODrHxsHlYu ZM75qhOxXlncKGquKlbdQBm1kEvxbShwLT+cXTczvEwJhFTEbsgPPkduB2r1EytfPKjXsr9OxSL3 jLYe0go7izP77QmjgiFrwP8GWbSAEaH1VEm/fzXkNAZOn6zL7nCKpEZ9p+hRz1Psut42ejBBGEVv pE6Q9ve6jtIToxXmD7CXi0TxOBxpd2lBEke+1vEOVa5L4YMnim+4ZCaxEgA1Gj200PXYTVNM4v9J jsWJ8BETKqTiRQS5r7siVbBZCnHc1h+lGURoh4hgWSRENuy8mQe4LTceajyxUWW0CqekwkTCVin3 8SKOURnnURDztyiVCU3WwrAD5P8G9Zot/CJIWRmYE9BtoGA5tdwy1PpuPfW0EGgXoQAicUWqjHKh LISDe0r2hT0amM4wCxXS4al+nm9uP5FVhHHYFVnVDC5lVBq2Jo86HxwrXR052eLA9MY50Or1b149 Mj9flRBGdEdFA7OJQ/y19sN5++euoe8QUKdBduDHqVur3EAAXObo/jysdqsZXsh7EqLZ9Vz3pwqH CcU2rz+iByBG3doBrZA3f3PUQd9jA2uLXTsvYB4JlM89ua01qZce7kUxzN3L8QiiE5VfaFXU4ZZu e0P04AZccsBz/ZW+R1bZ2AzMM3cCLkwfildovvTyUAt+x9+/a8ZXZxWX5G8BUuA+11A3iD9ZnRcU eaPFeY3NLJ92S1n2bNR4eBb6OYJ2x4JbX06FPaTr9rGlLOJOJyBRkTLKbQYis/a/5zSZ6tay7tGm dXv0pGesDeczFsX/Kd6zaNZ25I0728beF/JD96YdOWlvr0qVefuLQjzTL5Jju9dVo0jektFSyGRn I8WT3pUkbu6B1Gzhl//WhzEu9MbFlkiu8z8Wx3kJTCyQ4h29FWlNQ+dxp5xHCyYwGySQhhjWYdZ3 cn+k8WILu8wIPezlFJs84qT4b4a960mDl6oCYumYFgT6zmuwOYUl9QMEgWfhCJkKRd2XruDRJN7y m0yV19uCVP8Sc5Wq/onPPRdder2fnvpy24PH0UBydLZzyf7NTUjavjA6LbN7sGHk4YHdWvnuwZ+m CaYLWJfRwZoAYQxiIwXo+98dVVb8XtnUxINuSyXQoKbSCMt3dsFdLhky09ytiyc0LJcfpbHuEQ08 +/oYGCyUsBReYH8P59Bl07ynxHEYUs121lR5gvNTWJW5boT4xnjMfBDCrLOaqX8Us2Egzn67hTaR ujMHE+Hfl6U/RirHCdKWBlmNNl9/phwE74xt8xJirUPB2dsJT0uVny35mijvQy84QPBmZLf5Z/vN V0KzoLRCVJKIrBKKZWgJzKRCkVFGvszsQRDnVFEN9cXedlNowQFD7qEn23Df8tnbj94ezc9GO/N6 9jYBKIkbSfz+Z7GB/mGvXr1zR2K8+TODMQW4TIgwaBcdcbTQVR4+qamXBuW3TKohO+g8XNqhzc23 asWNVtM1rkdMwUS/K1WneMI7qzhuOeo8J0gHiYAaKrNWpiKWcjsT56LgwInlEQqRVvNFXWO2DIBk enw82+lYAhK8QqtvFwBXTFPCtWm9GKMMO7nb8jaLd0oOGljONv1wzfVy3fjWp+5JYR3r4V6tXxxD T7W8fuiufX55hWbyRGwsmzsPU/oxtzOJEpEaPhff1b5INhsP99SOMhmZ+5QObCH5W5VqarrliZo+ MQ4nltFxeQfOAOqDq8HDcYKjMsouJa8c6p2WTH+kr56BgS6BDiWhPWjPiS7UqqEL5/3fpC5jwwSv 6eD1o4SkE+QzQLMeMSP9RNx7g2mkYvk5Oac2ivPBf7KCTYKMc87vr2STG9c+wt2HlHuIxkQ1lmuP mnP4sswQTd9p7IiwJN26shH/6zpVQiDMGnyLOKoHIVIpD5/6g6jr16Eoc97CimUm104lQ0G9oXaB jh7iS9NrMGh80OmZNF2VOiOk/YBf6eCUYoU06Ol4Ysvjma7vNAR4hJTqb8wLjHX1mmsuAS0G7eQl Bkzaiu8RbNKFjf9UaZfx9OXrIsGIv9pG8v2ZCAqvY3kzHic5gGN+FL1sO3mCzfVMcoHt+Ys5dTgO a3S5eTLsJvIjPtxzD1X2F/l1px6cZ1LmwV8iTDMdrEXRtcomrtQKtSZD316TKWIOG4O46fh87Sm+ SVZD+t5VqwWJH+Xrk5VAOOyt5qGg9sKv+WtUt2Dl6ON/7vHzt12o4ggXGjAEt6DuXdGQY3Ji3L1o YdEhsmH/WcLpMUgxEpopa0VfLFMhCC6riKNKGirl4Jiz6FvUUZ1GWVrblt+718OXSLD4SkHOc74X AOpJ7liolosnVmaJAncuBhdTCcgI0bkQ2lE2rzJkDDkqPAGY7RVpwPXFnoFxlZvx7YcvC8x5THqO vb6EkkoI4Q0HVxb2lTZ/+zzzpMzugh/8itL70TsIAPfPpabCqOXdggmm0IViQhiilGgPsUSvoXHj iznPJYd6fWLdigvALv14l2C1z1mHRW2CTwjxPfh35bBsYm8MDKd79U/vlh4KABGo3rtkyJYX38Da UZI9eE32Dvz8/Mm/0jqZfE8+2cCtSFcvoNnj9uKBkXaW3P5hiLe9Oe8YUcVSK9cypFPo6SSjx2jR y51sue8c7CYxmqft45AWY83yjSL5bR5wmD3pmZkIPueDT6Yc/Pz35LGAVkjsU3nGlft4XUof+lTy WY1P8Tk0GqpURqLoxJymvryKR5/KpMehysNf0jVveS2xL2tn0e9DrYDrIkPtP9U62WDy0+UzY46T oFtZsSraQvwC5K9t5KXVyaMalN+cJ83Qs2yKFh2VKl49yUKIYXBXFf9+EAZRjiX5rNGewiR72FTo MstoURKgmSElxfe8Ls0dz3sUbLveXCqxa7/1lAOBPN5dKUx5CuBmIAeE5beZvIqCmUG+TTShDlKT cPEN9mJjujXuooeSs8h1Gd4N64k7MBYv84p81CEQgNeou4nqYq0iC0/kZ9fPfKmK30c/k4KTnWPa D1NGcTAqyugxiGnqfvzJh7Q+8DAUp5M9bgFrfrz9PXEgKcDnQ2Er8V8qwayg88/oDl9tQ7jBpaCQ 4aODj/6WeW4ULm9drmyySC+d6z+7VP/coWdH9EoampFTXOvSEb/wcvw96EVsVWTKQ7VD9ADMiV3n 8gckbee5VdZsxQnHAwfQw8zYz4qpSUItJnrDJjQayKzrRjBtqaDqrW7F6ec8oJ/q1IMVqA3POvmg kcIIF+dWUbQ00YI4k9OZAOsBC6hkDB3jZ+n3wWv0AxJreowcLR8zPODOAi3169PhdkkSdYS/aKR2 4MukE1kWfoZ6EQ4kg/vAvhxLnyKPjcD+PdFHqfTeQYRW3oUfJuz7QWzQJA7cr0em1R3D29C3cnO8 d0XfB4+4qGliqdQc7ukkTRcLE+2aVMfU1sOYYR8K1oyEassMRbP6rsOpDgdLBo2n1LuVLGsB9Ui5 M0djnSQR8LTfcqvNk16gew/SvDnh16xFpJSQJ8ZNZHxG46oJLQfIvR1TPuN7Vw1OkyGwD3NXRxM8 jcZpi2ueGB86UNxILVnWj1ZNiBUOy6QC2BTk7pdwPQ9Wcz1rroXwWGgwTEeH6BR/UJYOgo0VjYRl cnkbxio3tlmLa0eLEP+J4FlsyyJmFqzs//XTFBLyQEEZxCIcr/7l4/CESvN9hKInEdE2zrBushzi AfPUt2cF8M2dhH5Bd96VGNIykArq0wqLrI33DkFlPbaoxwVQCHQm9f1ufFakgau2X3wgtrir/u4/ 4eepILUL4Jp6TbKVfqV1KUoIgT+R81fwpISOWIDdsobwv4sq3YxorTBtaQMi1W4NXCTbAg3t4P4a vu27NlIxzffYdt620raOmwKWsAj2bZlysaILWcTVAd4+8ijWaCz7qzyRpKneENM7OIdM8ZuJrc88 4pmzwrL8+McAMdeAD9MychMOzVuDgVNYHAh8ga8uk7GSEvLk4JpycJHojL5fTWMbz60IFWbXDz94 uM6d+d01GYUKMenKwFpsoTRSzArhaKaozi3o2VsHYAzqcBrplxir0pUCt8ZQTHEBMB3gGdwtv8jy Asl3bcd9Stxh6JTfjAW0qwTqBfaz2dOrwMyzm/VbBFyX6PIEImKvorgn3jeGqWPEyuu8BP1zkVHF A6d84rcKwN83FoY3h1USDmboy17vhZwcxNujT+10D00EUOnGA2EiVo9ZzcNfooLTBLQuF8rWFNd0 nXJabjbMjIFWp0nI9CDCKZwX/YDOrcNWMi2FX21DB3H4fcoN0g6eGThnNMI1CaZCCelcPjVNTDA6 bI+72e73bD41ThINTH1eziQZ/u5TJzBE6n9I9MzVAsdJaYxDX3Q4hlv+sw5x/1nuFYxTYIl62sLU UAXCdJc6kvlV8QEgTa/TScuZ5AQsAYgFKmIOc3HInOalQUeRouUSoHuPB6jawuaN/SxS4W5sCMxT GA1KrWNlTlkEK8UAVkiNpK+pOeCfiXUyDNRGPmDpJ/3KuGGfqI4uc2pbTD9du5VJ+fDba+sRdSYV A6fHWtZxcAE4XhpT2WDfxLB7l7jd0r0uyJzpqPWTHCR/ertHDvukh15PRasvTiikSkzZ1lxKBhH2 Tpmc297ZtPsWZCtMCZ5s4hV7MVO5Th0ighB7WekiD6XpzWQzoyimZ6Hn23661ezPJgPF40PszWPw HhNIyt6Sq0zxWwTEE3Sd0AZVX9UnRwxDU7d6GfCtXhtWi5WRaWXEZ5KWh3/7bXfiZQHdFg7vluGY K64QyQe2idoY/P6txI5oj58fgJfxPwmCANS7nma2h73bwWEmnFpqLhLRE5woi7lVCehU2xSFjghD UDWp7xUPzUqDsKmt77jN29qEy/LRFQDnPEajA4EXav7HQ5p2Qvdk1FYsi64j6QYN+raSs6z8+EMQ iKLKoQx8giflTBXjAYwbGtv3whyrTZ0CS5VCs1Fe+0TAwsW6M72MCj/0cWD1i6N8MCKiDFDYUlj1 /LnZM5PzY6tFqw1lDTnk/NG1AqxWZjTUn3SX9W/EmRxqV04byk8rn0YaProMS1Cp09lD4i0U+TIe N4p22vpMolDma9oSHYBdAlR8lPqLcjEBv6mGZX1S21yKwRvOeSTSAbdI0gMwvbFXdFLZO6iRO82i KLAUkMIWg/GL/5dGxnjX1uN0qhAXhZGStuilCUgM0/7cynx/vqzn8tBhpJXJkfP3bvWajjQGlGsV VLbLpBDiDXTogMg+qLss3bNfxwISzZlJaCnT+8qjZThXaG5/oa5cPu+Uoy7zKbXO7M9AxObCZ4KD P2evkJEcDtfGVzkayu19CdHKMYEsk7BZJKv7IQMwUnz9Da6hYn4kZoizbzYn+BXtS14C3OFC2+6Q 6PoEj4u4V3T35nDhcV+v2Jv4eFcO8Un6VqHdOh1UHAVBUK0D1H2RhGbtKXZOOcFJN+NkyUAp1W08 qP61l81W5knstrSVLK9M3Geu8z7DNYidUNQ0DudH2YPeoczm+JE7Tvvl5G5dlYi+JNJ+uioAeuex IA8vpP/pMoqmpBKX0RTzuXQDP89VKrHWAvkfwukK5DlnDLvWH5ZpgwPEyfdC+274nPW0Q8M2yhfk p3SKe1hqP8nNXG5EnWF8Y4AcZMalV3NyJP72+RC/grdIsqOmwh5GLIGOOv4XeyNnJFLeh3+qhEF7 jrIWDYxgtUl2WCB8K2biLvkCwENLon8jKXlkoqyCiNW2fsAMpgnEn6P5tc277e/6BTA1q5spT/YY fM5ygQfB86XgCLgvlfw7TrLB+hzn4LF8cbzjRj9GeyrSbSDWtj6ul9RGCIo+OlL4rIWjRvlnMt3B 5HKUDYuGaUCcyWMrU9WXd4B7L7rlo/N4spSNsM9Y+wiODXTFmgWxpu0iRQRnEEBMZQrM29H6NmEj qAhTIelkPrpNepdBCVt5A08oSrlJzPGHVRZYDKUb+FyT2DUpG6yC/GDNu1mTv8ddpDj1+CsoLMEg KebkqB6bFiAjxHiKtrg+ClCC4CiBvFrp+rvHLGE2zrrrKnjoPhHNqxnYmte4n5ZqWKq8IIC2isb2 RfBtDcSnyslvZM5UQY2EZ9EQBrwfVYCBcgWB9/y4/wsqGJleWRHp7goJaFofKTi3Xgtf7ld+zbEn Ow3Qwf8J9GleQG8FSxOydFZu61nyYt89cR6C4mkt18UqlzRb2GHA4z8AFZO25alkOp1zncO8Q3Ti sHEkHjOpdu34LGRU97uwF5pNAdnu/3XF8WouHOyoIKcroxIYatR3b0IzI+CEyleRcOfiiicrHcdt slJx2wEqLshMZv27CNEl9yzdFF/xqO4j9VRzHwFrD33OWzObUOXYqL4tsIwkd65meszRAjh+dfZ/ I/AQXOSrGQJPz1XogBS0oPSMBgKP2+P7Cvakj3WMZ39r6xEM3KQkPKaThB8vCO4Cj/7pcsw+836S wJT6IUEyHP4PFCpbDd4fTa6f0d07v5e6UYl1jekNrxCR1s15Xew1udFzIKgsfCF+tF+cCs6/Q6Vi KKlvUlwA2WMenOwhqM3BkKdCczxGCh1XtufSsAs5/VJcQ5Sp/hnpJt490IlbrW6DpWfOkwYvO+c8 VOy20x+gXxzeCZhkU7LBTDrOsrxDLmwk+kJb3jF/+bIddrfarYWZ8e4SKA0qX8VvHDCUwyJPxM35 gAooUGxoO7uEoKfA9EWkBWy1t43M5+XkkbiW3HPXH72tiwc4n8jSL/ekGGyHWqAfGSLG7247RKWg fouJ5/PpbH6D+ZAdYXTYTjUNydhv77JdPPDlyoU4CfMbReLt0JGYSnZkzdLd5hHUPlACfE6BBxZW Hag45FdncMfd+BDHWKZftdn74BzIYgMyBXPjNT1N+jEkW+yB60mNvBvJBIuDKS93bPaEE2DSc99d 8dKNpywg3zg0MK7WolXWDqfcsJNcbDGSRS+lZwaeO/3J9clMUPxbURDv3VEjf+480WqJ0vqnuIGb 5uI/cexze1PQApZT0sSkTMkXcwf7SIMr9pBEyhwr4Iu4/bqI/wlVf5swb7BsdfxNxxwO4h7L1Uud h9uOSxZixGCf7HKgXFFug94wHM53XKppV2Osf5o9aXL37O2tJTxRCTo6ydzZDJEP/lXMeZaZ/Qsn ywRUeQHPpSU6Xr5vlrPRQxLRpDUj44x8hmDSRiOPLeMSvd4wSu5h2nKn4bgQ7sNDH7zKJgj71FKz 9LpjRVqfYP2AAgMvWIkhqXSmxc7XN5LEavCY5WIRnMj4CevAqmE55NbOE7IAKMZNlY7wahmhXrz+ ixer0/G0ohSBfq43uo2owZnOIUffKz9SHlRGFoYlxUy50+p9y3qHolouaocxVestipwOoTx4nQj2 uJHpGwaKPhv1SksNs9+QnPk1LEPH4Rn0RVvSCDzstyXiBTf1B1CYzOvATEVR13U90RXZIOZ3C1qY xR0zU2DpV/zmaycyIaeI4zk+Qy+fRRM8ppgxey2jCAFY9eFeYIvTwSD1RWkCbgNTs2xI/Nbgu5rH lmu1qs1QtLaR227+6kS9SyhcsQENKGYzpQlLpnuiSttkUY22UsQhDDWk7ik3RQgO4hzCGHoNobji N4BaZlRlAIYq3nQqaboOaBzfDxlyDe0ynuMQApDxbWFDUxrjnG6OOYN3i1771mPUawpB554zGpkA LJcHegij0agSsHW1yGh5GN092974CGj91BFc7RTuKHxyTIa3laCVeBBbzead4rmRWYg/GW35k7wD Rhx1hFuxkfmyC8L2mTBQ7kWj/T4/2SxyBl6hkg1ZyUAUOQfmT3EWoZ9r1GxJHTonoWNIAqLPQL7/ tu62iIfmCHwutKZKCCwg7xq5EE96kG/H44qsjlB5+06jCkuMGXFwMshSRHEbdAVvll51VX6JiEd7 wtdT3ZYChLlMZ8fyMK2HdHspGi3JgrqHhJPWbYDHHjF8+RrrjuNm5wv+pRjKiyeIl+pejYDd1TIF 6B2HAz7+UwsRYqj2RyfSqMzm1jsd0ca2TtumgeZayc6qRW+ptuj1Q92ENEdbztGfvavctpKzLoXU KaC9Br7QSNhBWDcPLf/s4WPIAkm9mfGlIJKwYv1uFGvJ5gkT947pJLsHOKh1SolgSoC4KIVkxDUu vp7otyuDUKwdnm0wWbN4xKjdQXldTBqDmmR7Qz91BW85lieERj5jz1rRoJc2Tw+gRvoMxrEkuq93 AkdRtiRH6QeqfqwOFBMtJIvqm+rt9RXT0dkHvQi3MVinN3wrJYfUgk/b4gAOvRMKbtBxXbjlEXDs G1nLcVNxKR8TlvX2EJFWyeQ/2al7wIxmsiieiJHsoyU4L+Q2Egd+DCFDg72Cy1v1JTLB41M7nTdd RWU157GIDIdTqBZdlu9+4g2s8oqSZeWq64A5mtDaxWRVQIfwKagUC9pia12OGirjDrX3diTnIAp6 QyQ5e86mA4m4zg0sFsVH8qguLQ+wPbiUpJd0hT4f9k+FBs44v4fxtGYWUYsGaiM4rkpxjyfyeE1N aC1RFVdx/J6AygMwdXdYC88zDh6c2yjqeTb9GxdlVzKCi/Zg652qsX2CyuUE0oUKtzS3aCtg6brs GoiiDq1WyPvkdqrc7UoExWnPK8hKqeebEcuGhZ/WrvLBKhfMjUYBsqVmnyexAqKsJNV3XXY8zsfO 1evOiRHiFs76pfOAvxpxcUp14Hi8fOCuSN5WtiD51aFXBcEo2oO1YtUdteQI/YfyemU9zwgZTbS2 gyJGfedmvCxsePQ6lqy8Qo173RF7R+b175SSfMgIsvklh9uGUUxexTt/5R32wJMK5jOZXswFXqAW VEuIOiDRGbmc9/k/KWPXDuyiIdUmKlZyoy4airWiGHJofaWSkacJDdfNtd6Jo/V8CRhJVvK3pBUD 6mLktRWy/D72MiFh1PGxbuZifIg1ngIZUllPbeygO3meYMwGLb4HDkrIkgfiCvYeLIHc0qlDG/Qm ZHBIg/nGsduf4Ppp8yOpR5WyE5P+v9jfw5g+8hj/4O901JjVfVK5zKh9327pOiOLN6nN/ubDQFnX 9XpcaUBlTF1QiHPTgo1DAAWJRWJhl56MyplNRPYPuUCXdJdxWwCjVs8VagKTL2C/x/k1PuHkmDwA AcVkCGD6WNktOMXmzStJ0OB4lBaMG0cyUMCFJ6bgX9Rx36k7R6tIJuPNw4gke1DOadK653K2c6Rf Te0ziHLFqCEls5U+vO6X/RSvHfxo7L0GYwSyVYZBA1lqtDGlzHnh6ZvOJMyI6lfFq5l+d3ppi4wr +8Ngj9CsnO2P1MNG2avVwVgyUzWRzgMiRgQmqqQfAgdYViWS/0cW1fKlmqMW8ZPEecLBVtbCWVB4 QEhD1Ha3Ph3AGLGyX5lJsm8d8/bS5zv4VHjpwxfMdtNv3o6URB3HSD5UMOaAkBRCth8KVDY9ur59 z1y083zUD0x60JkQkRoTJDVcubochbEjDEp72n2w0N7bJi0iFyj8M3yzjy9K4zxh4tsItUwtuw79 D83djrlsjV03lAaaJMKcIeIKptEjYvLD67Hnrp+wF6wjpNgznjP5gLKRNCQjI+nc4BgGgXRLNAJN RRGN1pVDQezDvuCNA3cBsCi87OG11EUBsjbCb/9r8mxFFVH38zaD+d24qMtN4EKv6bFw/rHZO+iQ ZjOnrfvFaC0HLF8zScOku10sSMuMr6l55R2wF2iMHY4nxD91CO0PqsPubbuNiCHohagTsorH84VY 7CHJ+MOeL77stFUeYNfComOoPKq1rfQnveSBDvI4GCAjFAQ/+NiSIqM6FApJ6xlRfN8DMhV7NDkI M7IQ2617c/8UgdOQ5ohsy5XC0EwxMpFtYo2RQx0L6zlxa1TrqBV1gZ1/oN1ElQdwQoge+gTHyMhH ckEJqiLpfHcljn6SFkST8C2LB5xaqjr2ywQJOVvt3Bw61MDm9tQnRIT9XMrXHeSLJNoDT8UEOw19 XiOEshXZAHwoeqj7j2AoONNCg3fA/7U8oOQfbvIcAWz7Mj9Hgm4MytTSnOdUp1+yBfqjPD7vaMNf JXF3OK7ZCaHZjxokjX6CKVVAeiIsFzW2jOR+CVveeSoJgstHz5Wu+1CpXXxywJzili33AgXw2PIT e3LemcLsbaOEVnhDPFY1/A2HNlyCpTqe4kdrbfjqXXSCU5KyHVwjoh5tft+Ip+9tjba7lzzdtK4v 9NzH/yzadirJpUu2qpTNqVIyjRqjT1lV6Z/dhSHJccAkDxQYJHjbjRLWMRACw39AoXdCVw4F/cVb dpIWEFfNTlcuXL5VY2xEEA4zlkV2FiPMdAQu4qrJabq5MKIaL/N6f3BsS5k1UsP0P5VSdwlr7UUa lEfLrKzvCqCjvM3BZJfEMy+E5Cch2WFpSroiImbDg+vLmOzG3rH+LSBVYmoRH6OrO2AHgqdB4zMk 3qnelF2niTDd/T2OOpmZZDLyVHsAmcKVW71s/GFNvHfSjMoqQlWR6buHX8Ct3MqLuIGllUB51D2d /XeH2E5IQUO8kSGG9wVA0HLspdQVSIkmQI6NO9T+Eb2kWUJVxIwl0OfJbZc1jTNSYTVePI2fDrV5 +b/eEGT3qCqTStRl5F/faLwIldgRWsju6HZTfdScR6noyqXMSQU07lWgTuuI3HONowOlcOYkK+Nn 80ZjWMVw2huSzPwyqEji6TB9hepEQg7YLdN0o249805IDahMfbTnhb/8WKSykx2h3HTLQ//untLb igLLGPRzsPbSd6i9ucsfMVx8otEmJjLcUieQaNLdv68aUbNIGmXPOZlxtamuZhPvJ/Y1vRVhQ6Vi NCULv+TEqhh03xwfPMZFMPqa3ollf/PzBLdjUcC7ohSMD8T7t/3LK9k5+aTFUYpH1tokkDjnlbC7 bch034LLV0cGk8y7P4J083PDqOUhpU25WKifemVlu66ZBVhc3QVSgKszvnJ8dsezVNUBmNZbDIhW n4Nh/6UBFlz0rodAqISl0w9ujIPaDZu8PaNqx4fccbqKSG8eUcMzNeK+uWa4oOtSw1paXAYBzOXb QMg7Ju0WJ2MNJvsuWHtOX0xR2VcJ1Q8k6175f+VCHw2789VjdJXHljEdqTIIckawNZIjDbup2fHf +iFqIc5ojMPqHgqtRjt0I/5GoxSjr/KpA7333FTSXaCe6oo1fA0AovT7XWrpppHD1tA2WUpJ/p+z 9yiESZqjS7adRxAOok5OxHw66AXuiabgaFqQZujV2psCQe/4fBHQDt26DBiXqtcuBmw6TKAxTZjl 7Y97S25ZKYTsccw+hv3eyjJPBCvHD7ovVIkFhVVwXW38PeT6ML/3OLhL3LUwY/5YdGUADYh/d2Bi mXeGmyJjH1A8EMml4yTnMQchVsgZg96050udYovDSFXIPRMff6W0giqd6GantaGTgQBdvg5D82hO feq1Mxyk2MHxSkpm4FRRrMz9OAOu/6CRcbrJMVin/ghclpL+O18xlc8wTNFMRMBH+8y2VIvZND0l AYlwW6AgW5ZQ5mTScvL5B4CUSAuprj6H2wqT1FknjQwvL/tD3ADdKFAH6Oa3BXBJEIjnw+rj3nEi GMs1aGPotY6+x36y5fq0t7W2u2vqfIY1seRKdeUq9mzZE/A1VNwzXESDrBMaAz29vqQg9733L8hk fhFmR3sCjJJPkj0ocuiljXZhHxOA7KCZ4pVfeRXGTsWRS5aHpmY0sNIwKmA8oItiH4EH/lA51/2L YArNhZnw75WvFzOS+g3r0x2TKJ0zaAgI+sQJky1Xoy/QhXVbfjIcmXABkb3LrPkTBva537QDr1ku w0FuV9lOaQfQctzudI7n3q542fuklUpfDJqJI0TO02zDuuEYXAK5/DsDWy6BnWxruPOwJvvLtbow 3J3XW3OLING2syj9ka29DKUDSYnLDR/LJ4K5lt3mpk34WJYYgaH1WDGd2psJMQyJ/qTFbD6bFURH eX373ErITxsZVdQ2ap9TbzQBP/s9uwkzqLMmvSCTLFkF+plVCRMPhRs1jJVMZaZIruGKnj/1UTlX Uzmdv5a8HCb37IXDIvZrV8QC5nMA6Xa8RLYZV+Yt64iMjrweL+3tFqXy07uhKzEX2g7olkaGYKBD PV4URGMIQU7cZkfNmz6o1R8HeX/C5UZvsvjeMUZIo0Uzg5wtQ70IqMl7EMRDQL9Yx9mc9WnW9AqK Ki3mz/K9KjqUkNxT9MuBjhxKIORe0rpyQ5WhHkH50J1A73j3+UMtnBo+mOnTdcSD5uEfhxe6Ds++ XXmc6KBgMQxUsm9yVKYN8Ysm6CmOkdyrkzXWYbKR7c8R6QweRkf0maf9mVCgvkQmlAc8dvEV8gT8 bKlov9zf+3/7AT6fF6fEiL7rKCJE1VMbNT/L84wetKyO49g7/sPFtNnMfwvbp3sZaKO5w3sUud78 8lqNa4AdxXzQxGNCvQIyjIy6wUJkxBzoE/b52dox+VvQhmLK5TStrC/Kh6NyI4GN51ywzNJPD1Jf pKCCzpcSiLkQMt4GJNb228ILWggAAOAwdOl3Oe2q0af2eRhEs8NHnGcqsNcHaiYY1fvVYBfMMaJP Kqt4EY2SfrhHs52lYfRtKJgha38i4nrmJhZehHIFPm42youBX4iF0QLQx14UMyRa2vR06XnNhGeX /vmvLDAsPJEy1X6cPhSJ52bMgr2KG9O6O6qAX69TD6cBk8LwTtkFMqTLh5i+DNSFHf4smbEhEkUd EoFkztntv4Fcs5ff9rgxCf0XaFsDgPGw/QOWN7RSL8/3MyL4QDVci746eqXlTXBAhneQFslF3Ixy iUYZaJAHr2v3UW7hGfWquYEqtza+/2BrvQQHC9g3/MjsHvMKDup8vPNVjDz75kJngjLx/Na14kWL 8SbsEEw+OJJ44QpIUc1F50GNiO2SACnHjTWUiAcnfR9Dco+WTutPh6SEv3HsR695MqyF/FrvQkwQ elIvSaf4ROZRu9RWQhvLyIdzZnIijeJy05uGTvdaVxYB4umm8PEwzS+dIJbdXY6MwWUxXKVVgywQ AisGGaDytfusk/31xx4sG4uhhqP+oQgdgxz+4LE5IAzCEHZZotD3kLXqA6pmx0e/FQmW/p706uGE HLED3Nv9R7VW8e8Tl9ZDXSaS8oN+7Zdp09eNd0hU6T8kXlqfFDPuvWLj6bzAPLknYs5QJCWvgNQF sQ/4XA+QRLhSDlSXu0H0XdMysilnWQBXb6ve/FhPC31wqNcKYhoGwHCzrEPRm9culCedWAnpwEsy vHDq26nAlcY3YIF40PXYlHgiM5mhMqzi4u9Xk/BZSiSVK5bycM6/miGFHRREhsM1RjqjJp6dfpjf 0TvQKfIS6qHp5uDMX2qXPuZ8Xir7BqNHqtAODghU+0lG54SmTWr7GzQPVW5KP7qAEAjFO45sJEr2 rlEf2ln1ggy4RUXrtCyQio28Fb0FbzqL/E7l3ktoJ9ulKBIocf5zQaIWqZVJsXGbzykop/5u+DS7 F+73Yh9PQLjMhyyZXOTK0s5P0Vh6xpHenGlbdUEB14k9kcte9X3uCx3tBv4P9ehC14sl9VNQA18t aNtd/6Ov2buc/OKUnMVM7QbVZqxGyRmpmBrJcuTqQWboMYRLbAMkW9mFVeMaXqnWkfiBN3Mz9fM2 yuJ/m501qsdby0lMCOSS8SnyoOtKHv85YYWOOTpXB6DHUitaLBTsZVB1Xv0wZkyyHIwae6jE5kGH bDVf4EjS1rv+eOxw+kLfuQ20n1vHs5TmCP0ql4Kdd7CgOLig2oWuAlaH9+oZbaMRWn8jxKcyUC5u q8AkbhzrBxiKZTIarDZUV4l4k+rmfVF1oqqc8fCku8jB7c81P9MIq0BStUwLzhUer8PzUM+vYtMa ls/8I+lSzGzKhkXYeZe0yLBSYFg7mIAkuRE/fVS5aNUlLpGS3zL/DzOif8JUbPS74iGdyIJt7LwB YHAn4eDeuqx4zfh6JdCxZYM2yDWKRoEAQULCZJK2UAzPFX0gZ721Lpy839nBYWjNZCASrhiQuoEi oppCJVGtNIPgHODN/Cgdk77N/gkDdFBNz2S/2OY4IA1PB/9qAeKXHFHhU1mvxbkLT0G9wi51rMuZ XEg5I5KhYA3m09OmgHnoYstwmfdeoNP+BLPq6ui2jbpYF/Ph+uI1qLTnz/1TIcJBTsbbyViVeRT3 7Y4en/F/+Pv3Ceq8t6wtcK3KrQic8NVrk/aVt3FrQkBQGTm5iw5eyhyf7qPZ/H44Lo85gsNhM0Aa EpHFfT8m2qQhFXb8k0eVuwKyDWuhm9tTY55vv6axMlS31XicIK1BXOZi15VXziJ67xeNktqxIBFn 4NiS7Mz7uItUkGPqwm6S8Ee9Z4luNL/5oTqZJgH4AlJoAGE2R8o27qbQAV5TpeGErDyuFdDZGoX3 Cg/0uZ/OjZR36uvdZF/mq5sQ9eB+n9RTaZoQcM6usK7nTWYP/xKVko19st9m2DwF3b2hTeuzeV9U HtQjjkbuqh22AhXvt5S1+VVa2r6hz3S0+Y9lY3A6qkixY9guI6Qx2K/dJTMWtF7NhPto+QOaKRVY EhLR3DJPAub+UsUPdk2UwJs6MwsKds53S+tffTsandmuQmSYXCuLR2BKMA68QH+2ZjHP5rKpX599 XelPNIzrrUVck7qH68GSkLKJzCMlf/fx8cAj3v5oeQzRJAV9qe+LZWmWMVoA/zpTJ9uGV/8UwsRd xp1FFrX47L7FqUWNmn4kLtO5hRY3ihk70tvucmQrF7JrlNCxdA13q14vcdqIpOZ94yEMV/O8yetw UIL3HlaJHJ8/Z9rpSy4vayLuusb6Bmc6a50K659Vp90Eg6Yvq32BvPQHaHOgUnAyJ2r3/68wHQ94 /ysA3Y1RwN6y9xM45tmmppWjWDc2Xfl6T5xt8dAGDUjN/I6LXOXkddPMvywcEltm2i1m9w5HqW/M dmeZJq49T2KqzxzefV1yGbzNGxtTSluulmEd+UlMrHXSsgCvE/bBXSdnjAbflcsCVZLZLtaMO0jJ J1NqUZQSsPE+RPypTDPgd7rcGlMbSDR7hfufiK0zzHCboD00MK2bbrmvalh7dKAPvDkWcouU05MY LhgMIzYdhyrZnHaMaOI4Mn672FI4BVoQwO0jcx2Jv3fU2BNl7cRTlJL2g0DsyzMv1n1qNsgs2s0e V7lCoSahC8PZJi1AorLEcKU9qkoCVIAbz8ibeP7vMEQ+6Y1VuWodJO2l53bqBfobYlH5yrFZVZfr Wfm9Go9zIghoxq/TSoYeVza8bSyLsOSF80x7TAjnCJAQwlb8KmsUy809jlIOSlKK4ByIgGqCw3fP 6vHN+MuSfwg511/vTO7ZwePVz2h6yPp2Wrt652zFqTonOX6DFW3LvERq2kgDMxhYcX4ZJWCIxYRE fxoAs43y3IT1pMcCCgwwv1oTKUAfEXtZEjiMqJNF3Rl/zINdzzhucPClcMCXHnKpBNS//AHO5jrQ xEMjEDzr0BGma0i+zyeTGS1y2sqwguCy2L7F8NnVA0vl0LwMgOu6RQDQnIARML8PL6TZVoRJEurB RyZyBYhuqMXoBflOx7eHhj2bnFnxY40dX9JBkdPaxaE/id6Xa8dKkKX33/5kbI4A5dcnC8VxSQ2V 05GNHMH7SRXPEP11U2zTW2dcu+cmoLWVJuXxpXXAMs8pehPxhFqdqxVzDJADX9DpkIaPpEKp8ua7 SCBIZ8ZlrKMd7g6xIGFLI8R0jkwf5UXp/tRr/BLDN9Jrzy9riVOt9RqyKxYbEa8l8eFmR58QrP3O pEskOT4qTlNLE8HSHU8/UAqpD0NsxKr1mDhdn4RwQwsYRzvh5lZji8FqCryqGvXZYXwceUEzv6XN 7sKp6iI7THafb39BTcc/4KX9fOJGzxHrAfTYLlIYTIkrOVZyz7msQU2dUEDl7/RiRm7uLPI4fncu cHJTRhqAThl8LJgqLkDKtLtOH+xyIg3GuJhnZxHCVotuRh/KLCSESJSj0dDN8NULHW6poAWTIcg2 pJyfLKhNLUJnaxJkEmW5a8Y9aSAB1HvzWvcyTX98jnDrpcM8w4VQEL9mcz9yYNdHxbbTnBohAwcT asK8Vc1u9I/74tIInJgbBLMyIDYDTah/OanBYCfbsPJBevsT3ybpcP+hRm35GCOwA3S8xaTDctjP JCbZhSBj5cluXI9AUYyPvIaiIpWRTCEXVz1hgKhbNTFeCKAsMjTz0WkH5TL3LTAcXBZeH+RPXRLT EGDaeiMBdoDgs+kJSy5ivST9J87EJG4mdVrszfrvtIGPjdFN1ehPkrTUx6q6+4qRamtk9F/nF5xV C/oBNAnSmb9PcAx8oi+/cjrcqR68twGP0nAjWcD6Es7NmjtpLWmwHpbNOYXnGlrqHy4v/Yl1S2pU VsFROHut/ojPUvsCi5zzAAPwvUWHQVx/FPkP/ydiyRjGIMaRXv1ShkWSronfDN+xmzV/olFXOVLS 6VNZmnzlePPY0+RMwVuDhGmYQmSX5nSzj2XRq3bdqavqfJabSTkeaAmQ5v41BJ0JLtELkoVA5Yzf De+0yHFBVg++7TAeTLx0iCFqnyBCQPcynJVHdPFvxinnVdClZZ5qK2yOrdHUhA+fDif0iXeLXOVa GzudPOwVP8GqJyfp9hbgEY+YDRzVAJM/CvZoeRku3x1hR+aJj4JVuNbv/fPcik09dcblceVFO9Rh szjW49YZ8OpRBQ3lvEZf55eP7WdRJkkNa+2RT5Fjla/TY81NXLNrH0xtpu/ZSym6zcgtpycdBHhz AmqDqVvm3OaYbIVXzAepbq6P6DR5pYnls1ZipxOfhZf7FVe2OaTmdB2xt1aOm2B4nh1p1ImOGb+3 P7CKkobJTP52IVBi7c8JhhX6ldD9XjJQtP8WPcL9RavxFD+x3zaup/fseg4UOx5hdNQ9r5+pEVfp 6Kuz5jpJsiJw6TRK8yvSwURQLX3VUkZX5HXRQSx8eOcircWrxCMJjEDFJNiWv3CGvZ1482Up8Sfp TgPZT6p8BDFGGY1IS2n+bv/x+wRcdGMNWqFv5vqLblP9y5FBgJEWGwO7fTl4C5pcodD3SsPoUFxq b22noG0Ghfr2csgy99z0QqJIIpmxbe7ItJDJ7heuRapinB3NvWz49sZ1VTdymAFT6xu3VbFMD3Qs eShmHXMIHJVhJVMTpmcR40tGYX1SkiGQdjn/4MPG8LYpxV34crsRwP/HS9OgEi4X7DMtpmVajct7 ajJQBO9sMw9eCg4NEJi/IW28N2vaZVQiL+9b7Bak8v09p6sjgnqR1OlmruuBJKM6+Ne4PTP42uHP 3QvYV8HcB4fY/+A37Cgj+88E/HPMXdhJ1hU5MXJd8S/a1q20aD1iyexllS4RqweY6cil4Vfy6tnR srDNbEsdMK1TOhIYr4ROExs4qhbaAITDvzEJhamrN3MEaLijKf+r2PmLfR+1xS8nX38oVeTQVfY5 EPRrJM3geXpNcrhkq107IqZXHpWCjh/V219xybIJPPn0zdRUQKsZh0Toc8/i9yE68m9zEWM7RtzK aFhdggBD/cSpj/xui4uLuzQ0B+ekfBJqIIZuPjWuTWbwuvfLAJDCCFl+N5+x+udmESROf42ckkt4 cHLH0Or/JvT/TRXAxFmwPF9+61QelLo2W4UTAc9pEnPq+8q23akRrpntM/D5ZgeEGdnJf/PGs4o2 clFqPX6GfBOSbKnOyBpJVyGwdz3ScnNZBRlWKF8b4dQXztNzU5xQAGgTF/VYdJN/OiMSGs/cdJ4K xUOntCXrfJV3KVbdYfGWechCv+TAuSoh89WOVLtDWJ55R38rUTVB6LaJCurIGcs3RBeyoUZnJam9 EBKTObGly4e08MlKXZHAp8hRYLMxLaTwMhmOHuyBFf0zZ8+U8V0k73JhBLwpmo1VmWmTVhHqAPP1 QETrSxxoHW+Wpscg01D9TZaODv+WtOPn8lOrew6pgrV3g6PA6229u9XQXmZ63sDCgYQ9x3ehPI2N evd1wvFOGu8QNUaZUY6UDTyW6pBloKKp3dlxjLx1EFMRigVrrnv0cRV6MZ//Q1CBz5GLYv5KpQLm 5u0bscRnAMSMBwFKauiI8nQUI2rfHUW+h28alTi1UBZWXC2tb42Wlmq5kwxcxcOPe8KzcAnCck2C 1ol83YlUXEns9YZ6mi9IA8eo5WWjA9ILB0vfpm6LvrDp6nHhzXExvBBY77xh06Pahs4LPAgi0ZQZ 3Z8QX/UDFCfH3+xPX7r33OqsFf56Qfgj7L1W0OScbOVDo6DNv6yfgTm2pbqOWSrSbTar3Fx4mkb1 ACBWEmyJF2DD3GjkloHQqKFeUXKy6VGnSX869sffWiprkUcgI5k3d/dRLW74rGWK211Vv5LVXgkJ rAFqY7Nl43B5Y0NYcREXorIV5kqqv2LA4HM4YzqLqCRZQ8e53of5GZA1dcfOZdIUIJmCBD1wAlF/ Cid0xm9YKwt8yaHM6rauafCdTUl7klCsQJ7PjM38qaiObAeyoSzabRD2sQA+rWuBuO8O/aGWVLc/ zEhJyfXf5ZyJOpjIWX6WaS5x4vR/BwpJO/ntPeS4FHbEexyCm1yOMPxUoovl7uyWaf+bYaWgJxkO rVepqNM5g6kmyQm0sunlyLNbPsKr76gZ/cRN6Oq3JQZyuE2+w+6vgLopVt0mctCAIcu1pKSiNRZf 4alSY1fWaLJtafH++ZvRwYglY/Itfy5vGuk7yxggt/kZqyikA46KUSyhE/NQGGhnk2efZZrh9ihW setJ0AbgfH+QKPLqgp8rVZspSL8IZh7h03gOyFsZfFBa+U59CVl+Tk2/1r4AIY4ZqVsIGVMdMnJU FjPVmO94w9xve/CweJh8Vihxnp8M0xnjmmuJkfr+9BmR+4j8r7VRVWoYwxgyE5ytlIgholfwH9sd hUNHnqhii93sUbrXe9ymsmXr+//M9aguikpxH0GN70VIJPG16Eu5rT0hjTXjHTGwxJmoUpQCJ+5m NwaviwT188djJsCUmatkokFHjR6O0/Z5La9sU1HdEdDryka1otiCdG3JdDlNjZtg1gDNTJsGMz50 +5d6KdutFUDPym4dIHWM61dzbWxQ6CRXXtj8DkdM9uY/dU+aR+d/bU9iVhxwohW69aRM3mSGVbAW SBe0vN3xq5J6pcU5xGYwpFDClRnMfbxkBd1iHfmyCsGXd5nZ2TtVBqjssprJriFn6guVzkHBSBwh M3ErU8SwjyA6SjXjHQJevwIWRo0AKPTwKmIJwkVwkptiOowc+f824Ox5fDLzIBz2AYYfLMYqE6SK iZIH80LbChPeytWqSNR6RzJPIqu5rfJrVWM2Fq4TsE1osmwOQ78f1ddT9h8JzvpwB3kcJ10P9ItG LOKdrxKFfyqxvd7qinCGjejchi2Uj02jnm4sYP1aQDPCHzD9JOgDZg21GC/TSIdx62+YejqK42Ig tZBQVnviBv9b+YOEImc4wwvOh6Ynb4GbAAdwQaO9IKp9uJIyrHKj1IzXhlWULZtJH5nxBfzynKD/ ZDR8HlpWM0QnpF5wWW+oQ07dADcMd41uGvo0CPl2jlSJRgJvJLUyQOiMELq35MyqGYbZiilvwtHT B7ZnXiA60YJ/kiyiEEjJCCx+ODIB1pSqYXjLjYq2/hg1aaYre6sglmH63T1Mpx9/Awf6npZqsyTb tKsyf836BPP3QH/NmNDySQaps9UwyBUQCa3tY99XZ5ZE8OOHqGLW92ATdh6wj1UjlWoEl7qd5Zcr F7rTSku5XJAsdluXL59EyqTen70MUi63IPXl++ZBJODK6osjXLmRJbHd9IO9aZEjBsHLtq5+g4EW zDQXRuIJNt7QenbJErvdpWC4A4vVT3RWHUyOU3vG0UWen2s4RQzdf4UKMHXPamnoXwCeMhOL1EQt RjCCttbuTN9QRIe7Yzc57NYv874d2rrLKWNjA+7mfneUDiOCgBNAYcOKoY14/H99/yWp43lgdIRp MGRVuz4/2oy4ix8aW0bh75RrgcXne1V8S5vWD5QzC0yYfOeQj0BNZWyzyHAMhscfhL07N2TduY03 Q4CejFjB2PW9SK/rf9nDetA67iiXL7bJqY8Tj9XDo5evcRQ4DvKSknQVxWaGtGsFFCmXptpSJV/D YhyIqoW/KmcfuovjsiP7ODQfyJ7EpUraAWOEAzb9I3bqq2HZ4nMe7/anoEdNy8a8Y4KV36S4E39f U2zbkzlBaR9IIFauSfptoSIMt1rRS2E+vmLMdPx2BvAtC8IkRMkdZjdnV2b+iYHs6EnELkdnB/c7 helHUHGVbG4dWeBfQAU+XXZlDXZcVAU6FZBNNVzuXPCrFkUho66nTyXfeZbuRjhnHMu0ah044vp9 ugsQL4PekngB8PCtF93sorfUT4c/C2Q4h4wHcIGv/66Hsg3e4izlogzBiWJa35LpkMYgCr4FnP+0 8x/knXjNi1w4kGd6b8MYk0qO/gsy6pnO0zZ6QRFXOz0bfAnDlMWilsag355FVLvJ3e9TXsEXiVju bCx2O+DEa4D6/VZuc3V/Zi4cBwtiC3fRMVVMfmMFFsJsCvVnwTAyofJyHU21gPLaiLUqYDeVgazf 8ERcvo7yWCZiLXqrKmU8ZvD5ExEJhXOYAsEF4yOCwTeAT2M0MAHqik4fsQkzOwrwSKVax5brgRSV jAmcYcmLJcooCOfHGuRJK9wOs8VUtVWRKZJROeWexH8Nzbyr8wK2xIYod8XiwZlIbgTg3sr66cZB GbrQS0x7+znSSulfz1CgogEPGKEcOfYyHzQ1KI2zTpCt02mTA8XqecRI/mSWZw1MLstEYzg27Z9+ MRNm8xG0LK/ty1ue82eF8Yuu6J/htTCu7KHJ3sKKFJkCAL1dqYc12dupvhYPhJPbLj2E0cb1qnRA gOl6IGkcGxCvCNtn2Am/178e5Xr2OVyS9LYIPBbSIzCwY2ikiDWRSPTaLfdQdlj0HZuHUQdE7A+y o2pkHK2/jbEskSzOyEbOclC8kvV6DeqPmItvH0FnnzX/IyeZyUFV4527T/bMXkCWX+rB4WD8iHwf jdRNPwbZ7ZhnxZRBG9L1gqTN+2hcs4EVudhWC5Jn2LFbPWqPnMurE5Gu/LVGVJ0CwUKSAAriM8qe odWdP0yVPRZeMXPQJex870G9sXJ8MgukBRKTrf/nOGCMuCEg72zrGC5TYzQ8xLdSmfgfuKW7w9ok ag9MP7oXdh7zCGu5XpjQ/ErgobacmojnC/V4JC7I+D41eeADsxi2fFvfEz5I0mSxt2vhYX5rgpYt YoisugJMZ7oMi5kk24Hb8RDgxU1FAMkyAOBsasJZl+A/fUcfFutkXYHgHMCuTytp8R0GSs6EMB2b 5JweWKA+keW2dr69ar1f7tL7Kt+YkntWWtx52HO9ZJPzKqQZYj5Z6RaID3dFEx3JdoB3LOhebEnQ xg3Qj1pGqeOE1DO6fiu9Orl2aZlLO2swhYd8Pb+azDer2xaArAb7pHQrn8+w9QrGRqDDdp6CiSPQ MgVN9J4YIkCxZdKr8ngQ/AeBgPJ26h9ofKl0OC/5TCImFMucJLtbIlQaE7u79VUXI8we7Ixwls+B FOozs3VZCxWMDgMyVKokmjwMbNgd2xFso/2n3GmcIOHXe+/OBogOgPfxNP9itvAxGpB0JHpfr+s7 6Yo8m7uGrRJ+3/egrJrtxka0rkBKy/ACtz0FenX9LjPhpOnVupF0gtGRDH60DwtezxlKkjAZS5nB OiF+nqS4NNMeIWZPn8d3AMISwojflJEdYBLNLDgDQgVEFnrP//mSnj7Ri6m2J99QiVOy+uVnMkcd qJJH1dwBSDzqFnf8YKrjCEM+rALLTxn2DnaR8/+HrE+ztbLzUM/Fc64rJP6pk1LHTKMIy7T+D+I7 PJJ+8HGKo4Jblr5a5DW09Y/eiFImISd9pvj0s87Qo4+clfIcEyJepObyDLjm341LovJjSFp+W33B gxGfzvV9fTArIVvI7dzUYtncm61KREBJpXN9l7v8q5dOOm2oEfr8+wlZccDqarDpItgvXhUOUFui lZDWvPUOwvq8GY7ropyfcEnvqWcIiFVDC+MnyBqqipSn3ZRBT2KgtYX7ayXdW2V0BujNsfs5/2eC 3tipZ0HvpjA/Jdf6tnLPv1a+1r5emyprZpUHFt2pHTukbmaYO8l54RDU8Ebxkv6BzYzlh5af+kyA UT96UKa12byKL2TMo2j/euTzHbwxFsHsNZyAFEdPUNPCH8J5Q2Feq0D0IeJ0YSMuuLt9vrSkuDMC tJpWWzYPGmHVqU+esKubgPBeSf267p+uRkDVZQxIHlATx/igh37/K/mrNMMdt4BnlvF83xTKqkxQ EUOGpiAVWTbHTiK2UtC6fOZKrSWfuNC4B3PGKU2T0pgWiE4ppXMxfJ7DBM/2023u3QiMPlp/NTJb sk04NRaL7cerNFPP2uKC0LhtoJ8a0A0YvxKI360+DjoI2MagK4VdrR8AOnF5OkMj8i5ZUO2oB/42 9Fb6VhNIhxPw43twPrQPjTVryNoKTpGmHnrnHyEfH9wl1q8zSkD5umq3oxAQqH65qcQCymJ0FNoc 7dYGjgmmg30AdH8XH5PXrlRPp90BMB2YCmwSY/m2B4pv5S0D7dSjw+WS8I31isikBMeI85irIk0b 5rLUbFabKG8F/T9GBmEt6mMK4/e/KNcAGaR9jkxLD1zL43QfXfXyHSgLxvfhz1EU/OM79lhK4YQE MNkC3UZDbC/v1ZduMFtYeOJrIrYKqC9ZGe+fD1I84E0yWeM13as640k4KuoceFLjBmATKtbW05Nv 8qNKSQg8gjXuMWMd6r7oQSrtBQ6Fer+hEzOazN0RAaKRerlpmXvYRZM9mURNKoC/PquninmwOymy EHTGx5dK/tjOkCoUOmS9j6zotBsmZC+fvGJhGhgDc2LiGsGMHykzc96DYwF51tn6iA+9alEeZKtU KXFFOR77b4zm43KXNID1TNgu5nIaPWFzXwBS780m2VSAxI3Ntef/T+zYR+Q+GWMBbGfZpMtNBMaT Mk3jtDYmi6eIt1YKr00ZXCW5pc/A39JM4DQhXPLx/9RkX1fzJhcpcUq84ecz74uMJGhbjrNcgm6B 5xWWkMcmuwbSfQ0fbJRhLFhqL8jXcy1IllpFM7HlGMrJQopQbjJtBYUKtfkttEPsj/L5SCSsNWdC tcZZWcqcNOm0kdUS+CB23KcpvQ1Eivvz9vSTJuf9wRpHw/7Ve6hg9kHb8ail1Zydo0yPTppq5NRV c4XO94dZtQMTfE4stR/IMH6mAHSwnlKjPblnImfcNTayEytNOdtwJbb6LayMRBcyPXVZfvKgbdyf c0zbF6ni0mYtFHPZMwwi752uyR+uzD8tKBJIyz/MdD9gV2aKCd3pRAVvUZCZpKugNiwseNYP+JdF WUY1t2Ih6inAE93/CUtV3Zs6dsRvz9cttvBfDrZBElzJTPZeAAeSCDDoiGnH2r8NF36IFsH7ZZSq RXnqn+SEsSw/ZmXI4Hc783G1yVC5/I9TjYkHiO+QG0yp+EC0dIIo/qDDRoJzN3PA9mTlvIdoeafx QJNAGoHuv2oYSwm7IwsD7KRMzCd3Evb9smkryj/LJ1DTLXYLQcgFQN6gIv/lqVYGOHT/i/sq8Ws7 KTp/vXTafdhIiFVoxlzUar0YMn9YaJcp+7OVDWAMPrls9zFAy6NXwQzJWcjfgd2OhVXGuVPNOQIn Ua7TGEWgIHt/YK0+OI3S1qOFttT3gjR0KbAgtuljGMIUQ/ChMYTX7uiH+8iWTzcd3ui1ZL5Jath8 JtcadcRxUYl+8UD/elAOueiu53aN3Iqkba/yxd1uS844G4TYQfFOBRluvYmqh705oQBQdCVrcmz2 Byx9RzI9QXQl251IwYHm1R2Z0QSQdnGkOcghWW6UMXimV1iU2ilq6NaWl3sJDquSYwULtoD8hWvB 2asT6+Vu4x3xDOs4nr03ZbjplNP8Hu9afnX7mBU5CR8O8Ptm0J+K6o9+ZgwcONXEVj2DFAaHBrWG zdlXBRudEBokUgF1BsYCU3qzEUmCkpaiEH6YGxXTimCzjB41o3jpYlYPiupOdrPgZvk9suIkiRwb ZHJeSY6rN4RtnQu10gtMKKIjDd7cREwsLppd+XFg5oPRkZYCe2tRxuV7ERx28xrEhG6vlMrqx71E yIpAIBerzHtPbeWhgpa7+URIsY2vFzL9tGwFk+92VB+4bNKFUoZZUYVtbCJmSem1e0I+iUiJmG+S QL+yUrgKokNozvqI3/zEEmdNetFolDq2G74O71WvcO6cXDUOvgQKWAvgQtk9rRWpn/zWW6u7WoQ3 o3rf1caOc5oWF+WJHTsHYPvQUBqtwWIygdNs3gDaiGyrRZeYEwdHr8MjLFYYjjX4qd24JGnGdaAX Io+xGuxuTdOlNLYQke0IMYRa3ziTqFTGxM3ybWB35nRokxqFJq0rXC3Mqd11mifY2bcaVw2X0pnw l88eRRVuqsuw/coME3A1f5sO8/acNRjttPR4lJs/m4dZmy9COHwHBWFzrKXHXdhqyrQDAdPffWv5 06SFBF86lfVr3f8WEWjKS4/nz95HyUNSJn1sD8g4YCzvJvPJFghKbZZjeKLCQumMBIf4yRyS3XLC dpjAEM6qew9VKu19A7kXKY6Lmb096bCc4WFHTlAJ7rkGYewAbtd+qTtb4JWeBY9hChG1VxDYDgbi n4SVSRbdWHHMBzgQ7YsfawGpWMQMW5GP3mTDPn7ganmRMTyAWPv4qh8/zfBOgiO1hibOAN4UecFU iq95Sr5NjjTHtC5GCg0fkd+6lp3uhBJCGWhJijp5RA/RUesMU+6oV+WKMLAVnIi9TmN8jcbSwvFA JPEBZL55MtILPZkysgU5KPrziQvos9wpRU1zSz66EMFL/BvWrMyjDlhQHyJuhtMpd+4suoe5yslO U6Jrl5PGcVMcyazcEZYLJjRLExBGi+x46ON93U8aWDldc+WXyDi/s2HH/p1K/OSgb0RMrHmDGAHi TCQ9/50DqMJGbnYFZ+EfBNbUeIDYaZH9LBwaBktAUHyBts9iFJpyNcP2yqCGDeDozJhvFWBDuGV8 p3sKOjj5aJqnX4XC1GK6fsTe4vCNO+i13QXFNseGUifg+805SG+jHmS6ZW6fIMWqrctkNDHfcJTK 0NcLAmUTZ65YmY+imOhCo984Tbz8twvhpNKJM3fV4QkalEVdaFSofKNGbCth7CPOovwxDYcxFkZi 3uWAki2A511PPvA+RdVFILXuqVE0EB6wsY6D+eedl/VFOvs2fEIyGT8hNhOXHwmPN5MGRR6OvZF0 LkiEFYwlBjOUDB652x1iy9rvytL4yhJ4R9izhtt2UeGpRsanxRIrnA/HxT9IIFd+YyKJeQXfIp9Q YNMjsAsFSMrzwdmsMrkRDiLxLMCbBtjqS1XfktDPMAVPELpFyVt6hOWV6bOrDox/h8z09MYQMB+/ xik5yDOj6jauH3FN8W6WyeobZ8fIH9M2H8b+U1WXsmBToO/Gt6fjWI3xiePp/Nf9+PpcOs4/229S TIrsqFH/ex50drX96nI57OFMNhEumBqoh3aucztXkkQ4y4HxV4iSKi1P+nE2d4i44DDF0Z60GDt1 7e5XbPnqt0jYkUsQKJivbMsZJPG2p5u3q/eydQObI05xWLLJW9/gTE7fwqHxRuIQVCrnFxHmXQXn piNQ8fY6dbJpNbwfRlGzprJTPuKfZ64hyvUOaRaB39im/k2ev+ThLI3/HdxiAwTuQtI9aeqzOWoL FuhsxnsYt/+9IvcEEaGpjYyrVi09gSOZGUCbMffO0hfGZfkix56oAIKyuSpV4Jg6N3emS7kpy+xX 0e4bjE93MkEI/TNDxqTUV/HnLtehfEdXuaCAiAwOL5b8XuypKAJTIRIcsH7Z0GkjFNd6lhPObVNy XPoaaHnBB0o9AjV/Xb+G1MZdiBVjyYQBse3EHk6Ldd7KhJyZu9+LVtq5V4DxxgGgkfS5s7BF3JzG paMXZvp6VtcF8DXIyipzsYjh/AfxBwrdJY+wa38liBZbifCeu87Ny1kDwkEw+nZloliwo+RwAiRa 8aLFwOxOExZfxrs4NIBkVXmfDrjFWawCaFVaC8ae+HvXlghEIIHHvRpRvimnMQA73CAm70K9Z0dU EdYgIyrARxewl7lsm9AFyz+KHoQ1bdl0e6N+kQ+gFI4SZqRnKiz86qyCpHq98bgRhs+Kd5wPVuQ0 oTV1LzGRdYSXweTfjsHdrOJc5uTgcftkg3s6Mn6EM4dmKUCiUnk7gntGwePc8IP4hZjEBi03GpNa NF887AlCa6Qq3NSr8Fu+Jmql18UlMrMGuoTLsAkfx5ztdg8IPZ1ne1YUS/DSf7M1cs7qmy8EY9fn 185FGcppYJExn70HILMvNYQayf324dDn2NZouTdJMTQiTsxY807VTgWqGJDaZU7vcGk0E7HVhxkp gVvQwjWGPsmdzP0f+481IDNk6JTn7QYd6Z3dRdksHTi39PHYdEHm8uVWSOjDDe9oAkS6j5UzjIof Z2JYSB3yGkKbpHAGJ16W6TqpSYiEeGJX31/Q+tgh0vAdoTsdWHatLX0iZuIh41MVtpiqHCKRHkse 4m7QdzwMHAPRU9o8h28a/aWdrkbAAb9D3Hcid7ee59qK+scVkgtPU6J/4RemFnRNdo7ymyRksTHd H5rPC/sG4ArX9Ami3mmwbNdgZZhJhbPVv4vqeU6755XwrNKYTn5mqrFV5mrIc3YYFxO+IrqiZfnH TTDjxH85tfZIYqKPLF1yohvVjKl7jdAQ+qSPLyDkq2ySobDTkt3ue+o6c30kQ5MTW3gYeWyPvZYI 9NJ0C00zcy2GXX8dMViu4551TbCDm2ow67OETCLHaZm9YQUus+Z1CDnd5on7qi86RDe+V3Tl9HSI jGPpz2ayUemThaxD4HFHMhvaikdcQMWaRMJZX6c6ftVkjGViYeqaOsFVO2y+G2gtXwvD5K2PIcEg lGWJyfC0egc+bKrxsltW1WQO7jIXZ2kJkKFMABHwVXy2YbXxcYORhMglEzIWaEq71GTTQiiKr/5k Kv8WussRE12lwc91AjKotf6FDo6fX22Gn3mzeEOF/3BL2OdcdtWcRfLB2idSQPC+3pyVj2u3efKp LNbr2rsVtOFMHYOZq7xN2WNlzmiZc2Rxt1gpBask65F86K7RQqfkq4zsmNtwo1jhtm21+FJdGNDB SJsV+nmygVXxIv2OpvHdTRrCtXVkhsrwL4F9vEE7FadBLVMcj2LFtQyPLau4uqbCvPLu9dXyZ1ah PGF5knh4FWn2/DeThDrnKyJ6jwEclfcF9+23nnLrmaxO11oGNgEfpk5RzRp5L1+u6YyeV1d61SL4 lExeIe+fVJ39DtUwTwLLYG+OWGJTmh7HxJjIPFVlqXW/36qKD+QFVEZdBRkU9jQ1+J5+NTim/udY QEj4GggCuT83Yzd7JkrGpCqN1czwBseY5DfumSg4SnLScysJu2KdLQa2TkJCsejnRPhTsxTv67o1 FmjoCLUKDhqrfkJj7fdUgEAscC50uX5iNgjYPORx4RHOPT2p1jaCMGEagSIumyJXwSIGhzqiNVqd 5RnCddszptRBuqGFE4bsgOrEKl2RkGm0ROpHyBoRwY98DxICJ4jVWSQmpQFq6BzBQaO73dsLpDrU ETFx4TZaJCsYfvslWq0cmEB3ujHyALdt7wJm21MnNouZyg+oG1ImoXWFelsh3T9ORjXRH1r9FGsy i9HC7is0VncHvP4IoehngeNxXW1AnVEIW5qi+MwkxtKhQh2k+TnSVGychPVIVPJRWaMjtvxQ0iSi zFXdzC6YbJfM8JXJY2UC6JiKr4Tb3Rk6uDm2zi/22o2V//TzyRvljQXqiyjZ8gMXsfKSqogbEIgW qDkKCDIpWMjUExbnfZtDIdnjv1ixWLWcRSPj1P4cTH58zpKJQhMnBlCYzXVwV7YTlqlOJ1nhCo9j elanKncLlrSvsshfkybAyKT79K3fauQFwTzNj9dwmBAqNjvWU7EH6Ib17ibekLFA0sHL/8eS27LO OyWL0DE1yol8B5hHMuvI028C0uD4pi5OoYUP6sWi1BG2HGnhahoDcqJ0/WJ828JXoIjBK5RTsg72 j+BQQYLCxDRViVX+KLsPaO7b46hgeItDXuM8M/Ua1JLJP9xVJameXIHbx5HPYwJIHoR+S6eF/dOQ qovnSeJro7hfCZUlE72MDmaIZMswdlsIx1HEVHPA2CZRPPM/rk4HsL44onG5zqrbWOSKhRm7pmMQ BNiBpbGtvfisjvM3tcjHA3ssCwv6l4pF94SMrNUXCY9S8EuG+5GUjTAh/E+k1MFM7/CmMfzZ461J 4XX8ifhYvRGPgyYbmcpTe3doZWYHN64+khnOhaK+usDIykDL0rymr8ka4AeyhFNwo1eWiaXxEQFr KfH6ZTbxAqj6/6gS8reKMccUKpu4g8vZp+OQS4S0j3WS6xYSpbH5/oh8iJbq8gpCYfmdSI/SPtzW 0d9KD0WemxlIXC9sdblY6h653mwvZ7oFcFy+EWtnJAu34u3jcDlLXKyyWrT16aFexe0TjxpThw8V 4SEGIDxnvoJB4Kt5RrBfZ7Ggdeh8xFhlMIDPXcux8GnE10xKI7uyVtDK10CwsG9yFvKPCBn4e6Hk QTx3zD+CAJS8+B28lM7vzm9K9q8VJs7KrSsVijNm+6/vLO46yFbYYSiyXRROU7cbLb6pbbmu7EYa e3zg1vzK13xzc+nV/FBjxB9JHXvXYUYU1jhPmkzZj8wFfIKHDOT+PcxBey8db7tOYeDvb6yQ+XX7 U9rWPYeTSnp/CQiNmmb5xU1puJ5wKnIUAVFx6dFheDY2OKA1fRRWo0lBeRB8Eqcxwlc4pi8YTuu8 W/u29BmJIM875JmpT+Z0Z24I90rAf972UD9H9dGzAqiCKL5m5yia5ZEvPC0C+2STd7uVK3uMZksb AzY0XKdwobGLRusboPwiWDywJ9v+erMgR7VoMBJYFq6TVIBCKu5skykdqYCmiMyV1BpxIUAsxsMS tN3bWBR2zJFpB/TqA8iI+F0N3EuLuDGMwmcHjd1NOpVJ1VnL99uxqRqzfvlC6nqJKT1Y92fZnkFf aV8hS5+TrEg17fBPMF/xQyNwc0AW09zwa5h9kYim21M3WB1sIGmQVKyd4ZkvHt5PQqTuQOFsHQXy bEcdhq5IsttJoyt3BTmmur4bmwYCjRUgUGOiS9KOQaRhHbLoa7dMpCSofYGwP7DqB0JzVBNfgmNN p7Gp9FN4+QSOzLlvh/8fe47XVdmJeOeUzAc4oP7kPDFRLd67DPYSeLMZUKy86qiImmyzT1iE/jTd Mi6disFqkzDkZnCS0M/gOefJEfnIVxVMQxuv07zH5hOMnYE7rX6skDnexONeNnKULK8Sh+9BVPDf lqbrnSz0zLtFAefKyHkrpBr7nDsgvpupm8gIXx2h+Nj2YsgDDL0ffUBtcBI+WY56GKnMxfzkp4/O 4/7WL6RZcsJa/CfkmGz1A2QQkS+jy24ZZ2alzwPqM9vI1E3XU+bbaQWGRnYW0ArjlZLI6bhXMzEu ns9pm7x21MY9uiS9DOocHl6S1/W4cSnkA7GOCFnhKG5ThI8AA3QwIcaH3iZZ3YoQZ+mytAzcnkYM nW8GopzPPllrNRZTc+EHiVcBqETRWFVpbgIXImGqgQWIU9U58NUuRHYxXLnvTYiI8oTqwsn2H++F leyhzzTak4Dh2tl8F1MhRY97KllqsaQ1512H3AV0p+PmCXeLQAX9egDXaVqA6UNxJxuJxJ9u63Mf 1dGFTQ2BrZL9V/RuHN/r9cEbkoFQlSWuyDw0h3AKLIdUsIHZYLJOiY/f5pI5a8MoHoo6D33CRX6Y HjYmto0MulPvrwJUdKVVNt+/LLrlZBRvoq7ve8neHEmI7OU+TBvxF1oDZKj1w0ggAVYWOoE8ZfnA 3bYSSj+ldOLmwsuBpGe9fzGec2rakEnwhaUMQ8JMu9d25Alk9bDfMxhCSM4gVvgqeDbvxHvenZ8z u7+s81/m7Z1FJjSFl6QUP8EfSgnjOoL7o3CGwrjhoma+YVD/nbr0n83WHBXSrV4N2zT8Ryd6Z4bM I4fa00mf0mnZwMhrhZwn/udmE5ne8GgNP6rNw6XphzqIp9pyAJQoABsctUoMPUe+t0Ze7VwWsf0i dWDi6Qc0ybFf8nbRvXPi9o9JoC5OIUdZVXASZj5majAqoKV2fJ4bJlKAUUkMt1Tt9QqA3G8JJxO3 UBJb01e8uKalsSvhOR1WhUov9au001N8B1NkR3nbFIU5uYxBG4bpkzHhN/ZUUgE5wA1kYHbsbGQt gjPhrcuBjstBKOxo4BU+JJylJI7wjcjz7+CHw1X3HQx7A0JYvPrbBATpIa0xG5/+Rl7cN2W+KsWF bh8pQR/TqWuEZEiWvAjMJvM7UgcVUzeoWWQmcqAYEcssvQw6DxyngkiG/vJWGFD05YqeGQwO5PXv wwOhmSw3w6kX2pidaejtcz8TtVaNIO+MSg4GPUParFbLWbfFgqRXicSBKvFnwtLKtil0SgIK/iOZ FIIZ32yU7vD1wdS2Qr9JaARrYnGjIBPbdY9WrnznFKBUzpoVP9zZWtHvyOtQLb0SwNJV7eVKeUf+ dSxizPn2GRjx13/zHMp3itZ6CqXLYHmT39Q96tJH/nkDONZOvx8fv6dpwWDUN/Lo3MiXnyjYx1Cy ZB0r8iQxWpfSWKHrkTWf2Zecz3mG3s1DoiTkYcqFq50Gdvq6DAN7t4ZJi7dDxvHHhirMCsv2lYPK 0s42QsWsEfhJVzUGqoHuG6zwCv0P8zDrKBj8br1tFXchA4XzNWicX5FO6oeA2RepfkVsp1oLeGKv GRnDdXncGhea7k9jTRoTHB5DwoiixkTjiXk6viwHT3gdtFyowvAKjdBCse2/IE8vonHwpEmN7U4s iyXmKGH08o9+AlRLMOl2M6xOJk18GKkZ59o2nDCNOUXpMmfuNFtiABJuecX6rnfpWJVOydwdXvPx Qh6jPnroTwXLJBIu3ixfO69qaXpmTmXEjhEIcspR/yX3/XcBAAfDnig7Sq6gtaDSAYHwnFmnUlEZ FwdmhoPr9M0i8gckzvlUbEg7iugRZQc3CWin8hKQurzSN4Ct+p1/EHIT1BK8QRwjFvbj6KiyF2rN Oi9K3pks+KwNVM54Z99WN+svjlUDpmrRfK7oU8Uy7pKb0BHy01zo0GuMc58Wz661JUy9MNrU+04j lcAfQopzL2Q/YifaIMhNo9vpnGADEYmpoxRic4UaHH1/T9i96YKz/4TcfiIoZUqQssDnlhsbCEP5 VM1DMxjglkDKoa4XsCUe7yVogA+ORqbMREPo3FK14MVRtiV7LXXVJEy1taAeWd3bBJ/6I1ZyMIyg pTkO4C8nT+rhPo8w0bdWXlOlE1NL0LkCKjO3Q5H0NJHlkRJt0m/YsqSjjxP56Z2FduowpzH2IuQr Tv/laLV2JdgEFaqed1UF9++WyUBa45TAxCvt4KmEiKLBojhmgPbW9IXsiq0LqeHUYGwYW0S96duT ivsZhQVCaYpI1ZCMQJifYjR4E8Z60b04aKybFJS+DDfjb+tWGswS3fO48z11QJwFYYdissMDlocV JAVE+rLD5J+XKgsYTmbOVgec31L+In3CslBQgCbgSF+hNiLIqtCFe8shVIV+HzZerNqCj022ChqB J8mN8pQq4jKqN8QYVOGPBMehZTJWgCch1izTgk/mfMEfR9vWuZuInS6QHr7QSYIQguz8CYfeNU7m TuOGbsIzabLCa+afy0/Yqh7CE7hRVfzePqBTK/i+I9eFbTg08jNZNWqiDgoyChPwr8D56ofqEYYU P1IeiCcX8O7Pe5zpVhV1wTXbyXHjX0A5BOsIX/0iAsipC8/TXKqX0ddHU1mDyEIaNWksPgh+Vfut e/Q/GY4Fb4Ac/49fVB/mRhLzykOu0ZhZBxS4XEg050fmjNt+H7dG0pY+WpmjENjesTCXIp+/mBwe PVIVo9y5M4RG0Wehs0gUX1ibABPncOrNIira+WaMdDZ31S+dJPVtOB3T1DjHwjClJgQeK5BaGfp4 xSOtr4LeKtW3QLxYOIfFi44ASfJurRV/tfijsyVTo080JLtFik49oqKEdZuPrBANjGMZG4nHQLaH 8lKgkTtPP+R+F3uRtmMd/8jEPrFY49jpPt/RNCsHT+uJo6VSKy1bcCIZbKx2LLdDKtfJ1A3rh6gO mahOC6xML2ddJ9t95PC0GsUvCHDCp87chaCvmU8x/L82sJRQxcJheiOOtVRvrgLTHrbUGW8zc/hn 32vM30rGMYtDJCKRMmZnbU+yBtTOxw5NArK1dAKTWRDVEPenm75UdH5RL86NKYZxISFsMFPb+lB8 /WrW5E0abdLcEZ54jH8Hxky30Q+k8xsRqBQMDy048OAsbxOpZ8Zqz1PgvpCUuZqhMnbPGL3Z+ZWK sckeKjCx2nsuySkVNJBzXf6QvM3AErXNAvvKyCiQP9ji+daXhBQRmNAuSL/SPEkM411ZcCvYf6ur 9Cq2a7Dl9WnRXKEJEwxM+ELHfa2eyPVkfnFoXdjgrhnyZNW/FzmgzPLjOgzryRBa5ghyDWSf9b1i JcmDi6alRlVpzoIdl3bauPaXweCEIddKkD+XgI7jQJTL40JOIwTEsewo8k7FAVw647e8BsGPdg6t v7dqF+mXav+03pkV+gxI62LelMgQCx27uFYLuBNpwmA9pOqFuaWEJe0+mcUbbqdt8285sTS0VkFN FV4fkT/539913kl6amF9cZPuI8fg8b7S+EFy0/40r14c8JMJnmv8u+Vz68wSDOXLAM1TNa+5+Wtw Mds+K13JanKrgOBY51AM3Pp1ZUblyn/wAyL1lAlCxEv6kaFgBFPBKmB+JobHgK9ccCAFzoHGzrzV 50tDy5hKgGHpf5ouz4xkV1WDPUNDJcw7sRaQe2boWol14vyD1CuhuGKc7tRpstyJ6xDzrQ5SOiV2 nz8I06eC9/kzQTkwoxYxxYRYXm8DILmbGXLpwGMDApbxw1N0jPIMZISAQ0ImjQq0uUJLoTS2WJNS 3ToOlQsO3a6lgpnE+jQTe4hPSamHeakmSQqnEunn+0Rn1NRCX69sR8SS9gwSs4yEiROrlDcw2UW1 lNM+nVb0apW0WPnG489DVaL5seZryLlnoxYuSSoM0Y0GfNdWZcFaFM9VYzPQWQjZ7YJa0ljBHbZO uK53/e8y8jyNiQ1n4tkqo3Aw5vLSaWxNy7AOUxdTaM7Jz3S7DcW4lBZ9GVHXNIOwv5/oQE+cS+nP gC34Lsv8/IAwoRyAKVnEMgaCN3n5OvuqG6bwAe8R9lgiHq+ybWkefDrgSG/j0cMhgYijTRaunJsg DkbfV3dcO4Y6BcKJhebrdrszKbV3VdQUSYEzLFNuw/47W0bA1HE7QdRvrHKh4uce3kfMV1K9V+pz LS98zmn8GiWM/0yn8aVSat0ZeU8AtjlQc0bWo3GEeaZiVdd2WzVM6OUP8nMhMY66DDQRH64n8mTi JdwCUbbYgM4nmiZTaUEZ4JGaJNTQL0Da2tIubuC+YmR9T7jcNRVfkUafDffV7i62Zh3HParHtumK ShylcfQUADkxW7p8qsDGo1lAIeNhIdjrwoV1g8Z1gqiW/oToCbkjwHlNEHdAk2D3ZS/4BUV3HrOh ICz+tMxTLy9opctnVqG4MmpM0xltj2FpdrTAO6iCij5MwuJRzIqdNfD8HSgP6zHNtCjk/kLIE3rC 9l0kqYbRRJcvg4XC9T8fK+Nyy/e1t4QMMV3vwc+nzVcrOd8+kI622e4vVN/Y+khiKn9v0zO/d5/E EtLzfapYTm0A0KBmYlGDTGZ0o6XdO39NwnlodzWkaq7SapDwq7VaoA/B1wru9X6sPVa2D4Xjgkw3 hJII/1aLJlTcC2ed3iXx5DFlkpr/n19+zpvDC/p46dgNYgnvtT6a7vTgEoHilese+0+a9JyyOV2O B9GsqyRj8zHKHNoi2czvRJyT5f2IaPtmC/cAxZTn7j6qQg36yRvUDPxn2OML+DtEhHGnAG+gNWyv ZqKr5Krs5tqyaM/1r3UhvgbSO744ONdHK7e7V1kw5fVULMxNITowIM7a4FD0pO7sYo/Ba9yPMk22 /jo3I7plGfBf3H8F4xCS8I0TgX5ZHKlQUVYvpcRjVl31toJe76cfFnLX+1Xoq4r1beq/3pkwIlxi RxONWIRTL8Fyks51y/qQeyhaWKVfW56Uq+iP0PNhU4N9BtX73D2iC/DxgE7Z3qTBvWbOvLoS3ahJ w02UFg0j2CtixWzzxD7iww8GUM9rh3nNPLtaUj7+YSHCnpNFdnrzqJbxKzBStTm2BFiEGd75vOKe xh14pzuk6A/FX1Is+j3/7hSXhj6KsRvyK4Od7Ux3XrgnwWzlZmBkjVB9pAMlUmI5EyJDwH39A2+o 4boR2OHDRChK4dUbR/XOh72U4VkFJEDSEapmqB0Mb55EzMGQeJ5dI+vmQ2dPCLqaFneenfWA0d9z 39sl0DtXuxxngu82U2TEtP1Fu4GLyXN/B5ZjTPkCxkAHu1qxkp1wQW3+HcOLXAHy2md8MqBpo9hj 7REmhI24HwTidSMowuNBNuOPwpfCXe+iPJX9usqk2GHSmubKu+G1P0i3An+t5ArDayoi4RCy1Una lhcx0zKPz6fs3F7jZFZQTDh35cVrZGLNQF8MtW+DLX3mV9FykWOn66dJTKx0e8G1aLs5Cj6AJR96 D/pM93smHjQACJfvtCNpCFMsh2zhhInp1VuAGADLeumQSuCboSucqGGbG2Eo7bSdhXUjfIl7tHc1 140N1vldPnH6Q+/g0cePvXg/8Jmuj2D+7KvRVPH8U2O0bcrs+MMBfGmWO1JKJzomDs/uc3+pkRke ugLSZs/juZaaY2qk+28mmH62Fzo1sdhFKNhQtNPI50lAktefOCjhwZvaEEXp0ZC5wSAzYCTir4e1 GOteT+6MGy2NcSJ7GZLSnbsRBVDVDXnUmyEQMPfYPqU/9ltdY3OA7sFosa8UgMJW+J2dTrOZv2xV S6HWAEQns0ZYPUZGNiuWArlwfqnbcPsn1FQqsEGM/Uslmd69wMNt8SCHDq4wA0gVzpQVfaJf5HAU wsVwooGBBieVahyxqfwzmFPlaUb3jljBnB6FJRAknjtodOgzVCY0aW3A2+sSZMNegfIS92B6ZBBX 1zjROuPBzAo+3jcrz+T8cPOwwbs9dRDk4UFo/GbPTZd4Xu+eSCmLn3slQT/rCCU9ModS9MqkiUJS HjgZSOVbRO9vgYLTedoEO3woXWWpnUgdAMTbuA4JPtPGXhRMFykS3jdediQVKIbwy5dGf79mEMJN Rkf9szoZoQzlXuYAjEKAFIq8xg6wi4VFRGX5rGddBxIMUj0DmLu2+4gyxDVFaCkPEFcZBMT2DN77 V1MJoP4IpYc61URu3fVDSOz4xwk/0ybReXOxjCt9XFqWwUIxqr1NJkATF2MOe5gVD/kxilMNxlOg BHGcGzkte9HRV3V9dkR9mdWeuUJlTwcwvuHnTpj3jhaYCwSzKCsM9O+yBGbm6e57yDrjz+2SbLX2 mia2gfjqxUSxFWPkRUyLDAhlubpuINLr8iW9rfPPpJ5kUa1hsmMNSzyanFY59Z2L5aYuDJrM8Rab GE2dXSn64DlF0kgjAF89oiTQP2idtAF7Ij17GX2EQABIPHayQwlTBer4Goa9X2TmDU8na+YS6kv6 BKUpNrhOUosurKDMbg++VLwV/f7VFazQX6MByWbfKnyOIa1sn5CdtIo9U8nDclqoc+BUvLq1TzGS YnY1/9zBA7CFISGekTCQE7XR0XYrXx0IVcs8EWQLvpVhJgiPES12rHlWPtteXIgM0mzFG03R834X khHCYZS0W1sfiE+uZ/gm2B0HkkOpYDZPMgAuqlg0/ga0qXwdF1E80DjeMJNQnIC18cQq5xYx5TiA HE09e4ujrnRiC8vjiwMSFSkUry3xqTkgE0AIrnWZkHvpK7kXuAFBdj72Q5Ltk3s77yaowIQJkN7X 3JZ2wdO2xdh8wgnA7TxDMkwS/BpT6LUO3OGxjgOiGuTbogIBqno6E24h09LGqAZGhNr2yzVQSVar f2pQaXOIjDFGnoarOhjivhw+KUj+mt7Nr4bPLNDtMr2Ft3LcKvtPj9a8Is1eONKDUz7SchrkLmCx NCEa5dUX9VD9Z1Az3T4l2zS2tbdoUsZcnFhTbNCqnHmopj7cDB+43vUI74aMMHlQjse3tU2CjJXK QKO4Hb97RMqzDLBUOKAo7X+Xy4MeFeYxjHFs2OsH5cB7Yf6u74wfFTFE+hCwUk3wXNuTMgdhidD7 nOIeRQeIpjHO3ClPfcEynabjzqvudsVQKUdJuLxZwz5hdeorRD6r/vl35oElgW8DSvymvNwGcyQ/ K8461PoTA/sceGyUD8srZbiWq44JzvGPz8+WQcTgxlIKvGj6khuTOtgQXG9xtXVz6E+F/A/dk++W BM8Bbsy6XClA59dvQO1Xr6ZYQaIQ9LJSuAjraKYKnpOZVk7qiYsh5Hr60U6AQgO7GAQSBbOB79py BYVbjTk6Tv+ap5xTfc8LtwexJgEXIJj9NqCiEvX0Ggf3ZT0eo/LvT+iYMVFq7oATfEqSuXMox1eJ Eh50gGNI7NPv+Tsa7RWboqtrcPGVltkbwkETIEHM7awbH4I3JVC5J1yqQsjJLbG29sd0KLdgyqn0 WHad/kfqHOISaMNffMhHiTHpDsFhdp7a1vEcpo/qVu8FLwECoOt+wrXmnfyalo0wmGZYcodAWSLG 5VKducJFzGN8UJFITZB2WfunX5eK/G5facGmCLEFVYv+0OUznUJxUz4jgQlGvBFGeymjkX1u6Mnu T3Hhb9dNFsQzVprGmZVkGba+QWxvClJopLFnUWyM1aIJHAoApXUwDmxKoNc7irJLzxwhdr1kB6zm RgOFpb5T5MihpmH3NUT2h1ri8EZD00mRMEm1ITuIEa2emmDQwWrAr08P3Vtoz6Tj8h2+TwCPWjXg ZcvYgfLBmvaxtGEkoOId8Lb5ZGPGTRXQDXom8hc1s9bRUsMuyGCmCNDHlTuvK84KOa24EPkaRP9z onEqxUocsUSlyNmX/YC7VIk3yjSvrbxFNW3AEDI1jpTWBTMFDR7ljYENY31mYeFsTjxvQlleFANx MzplWfLjZFi43SB0Fw7Xviw7hV8Vk4eLXamsW6tMCC/XdkwPlzRZOm6RQPt7wXcgK+O8lcY/54o9 rSFyyNyCSTOtDDIEJX8HGi4z8i47uNVapipeE8bfRZUbyfYcQ90KQY2WGQr9wythh2YBbo2RaTEO yetxBVIyBlCQN58mMKYmg/0fhCnVK9R4G1tExO6os87FDF5RGKBzyAXbSA1plO9aIXnrwdi9YTr+ BqZEVZBrC1/Xw5R3barF6BGdxwOflDRhc8ng0sIvUEswCiP5HaRHYvwueciV679U37LI2oGNnXxe VQ9c4KmKeS5wFmgXYSxznkUMVy3vqPua6i1z+AjpoQS5glda8vn/pWqF+QEyA92g1K9AVgbWz7oi oWMNnD6GqPHkzs9L1JzcVKC8K0epqItFhszbpf1q43WVLtoPaLC+1GnwsXExJbb791TMrcivTwyr e6Z1LKQkN2J/dbyt9WcQ9Q0aqUVEURwolB/pytsVrvV6X6dhklCTIX2/ZwZBAyBAC8kTrA4oAmzg m1xGlpF8RtyreYr0npPJXn1Hpw/jTZDlYkQyNNdgJKQE8VXTQ+9AZv8mnr/WF+DmkSvTr6D3mPGP Sp2ewJbB6l11IdWOw1YyY8QNPtqbhCU46qXbX3nyHgzLHVZ0sb1gQfEBTigIpvN7AFfLrThhJyT4 mAjSMRNgjWwjTqjVP7dO85qhUMPerIDcHZYE+RomUDegLkcWQKC74a3U5Ds7qO0CFPGmGwzhfsbp pt5HtcijqajFV+6J79M/S2wlp2rjKhkLk7ZFBJxm+nodrLEOuZaEUDoOKDNjMEmu+akno07nsIeU uDOZopvJDhKDmZRbnrA/vqqVE0ZvSichjf6nhwpSTB57m9t1L17Pphie9lZR9c0kLp648sgz5gXw SIW+WoeVWUrAYxMaaiV1fo3XQ6pFFq0ale7w8hBkyq/AF0Sg2CQPyJTaGYZoSW+n4n9q6ffDO3Gi o012fvMaKU05k7+ERau5B1GsIYdmjJS+gtIQL8d21GHe1pI6pA9ImzTpCyP5f7Dq3fong7gsUI0p 72pV3HtY/67gvpRVzgl1OoFXBvtR1xsWm8U/JjIIUR1FNFt6fbKLja1iUCvLo5dWoyH5WIpsilGs YC4W2/RY5p3iEtCi2sftzkABBVL9WbDQe+ugxL7UPLoa/wf/pmAZqmTL2+BNuT8EP8tBv79HCUaT pOXeel6nlbP+wSeHTtbcw78t+AmzDcPyWSmBFJGW9T4L5zU3xV9ywEOaAvaN9dSw442xMPAcwGoT hm7BnoKgNDRUlhWeDANSiMGr11+pPuSYKHK+bUy6W0Jkkx3UZh8mV/gOsWPPS6GjMjbAf27h1tQI d9m332yhiflGv6tpaU7qyQTJ/Q00XIXf74GzVhuU0fX0AmkXD2C98cLN7uni0z/9+PnRpc3WqsXb Y4EeqVbd+whmm5njVfYNuz6Rh2RJKnW5NXaWLNFoH9H6QPQGNzL5x8mSPZcIQTdXasEoH1TGTJf5 NBvlpvIh+/bJ4ffuPFd9mSKwl3la3/WF7H71PU4ApVEzXq0gzdlP69rlMwbqjwR2hgnFFqPbrrrG 1qCmHE+N90JAdiBvuM/B9bqRNJoOWnXBGGlt+8wB5bTUPFQd7akfdMLJRY+cTqcZIgAgXuMBfucZ nSAm4tGOAA0EcAmXPA4H+lnaKyhkaMoQJOuIqFLJTIwhuxDToXaIHYLBDvEQkCEeSjoGVIRXQfy4 GJLGdI/RyyybanTpdj8Zt6dNeuvoh6pCtE6GcpmzAEtAn9E3ArtRvfBOurEW6Xeh+2xKSXYbLBIM ZEJzyqEhItFMKP2YZLvtuQ/qI5DAn7q2jEAcwVzEpkaIFy7MS1UU8CDzea5P+oVkThHinx0jYYKd JFitBP3B2+dKupC5kF3F5s4SAZtJfYoLb0uAqi07HSJBSdFxpm/8gfvOeixMv4YAWDQ2xnd9XzNC sC7V3tXMn9NZ09LGj+hvnHDuh3UlZZe/JQoTu4j/9FKW1bjdsOzC5HW9Y/IO3kifEBabU4R8l1GK XelrtuahE25KGzYeRtHmJTBS8CGtRnhkjKoycCSCchkWC9qgQR7Ie3A5W2sIOC3mEM79ToTkJE1W heSOwQf1g8LeQnSzHFZqL/tZ7Liq6C2G6fjRvFivNc0vTWMopSz0KvgD5g+HoSNKPM3o6Gwbe1G1 AwnkQg1omnHGBZP/mFZT5chSibvk9PUzlTQIbKlkgMxPUB1iyVIG74LlE6th7UfW994WktIXvekh 48BsYWqh2W7VgGEtc04j+K/3757DTXoPoHCM5VMImlf8m+T/vXkmYs3ecLDJgW8I80gDC11Z7wHL QGCaDGyA0KVRhcKVbDG/qSmexQE/UZG21TajO+aZ4E5jvvA8yfkwxNXTAiqAvA/ce4NSLECJ0eUn YBalitv6L6mq7eVejJ/tzCKyGejcaNfhx7ZODUlswhcIBZ+XYl29CVFde0T5KzeyMZrbijNqPulb MLWKPmwJerkeosL9iKIY3jfQPoB1kUb3bNNbswxY4i26pt2rwPbfMGZtdK0DRm0MDN07/Ep39h9U Xhile91Mzwa9IalVR8+u1edE+8ziwp7LDMs6Ezr8yjyr9ILim5VtDIVLKEyAOXUODxDuFm7eJKTX UN+Y1y0xKWBYRS1S1y5YxzQBTcuUqCyZYXyO5dEsJRLB8ZFWaBfAujWlbrs4qKfGlI8q30zEX2lS cGhH/OlX0lOdN35U3wJrMe+RYWQqb6w54R+uIsqbrfpvzCkW1P4f0bb6SOA3WCkY1kI8PvR5H4vK WtPiosopNsx7MyMJJErkpnFXqSwPYnNcyNo405ZfDHLNAsjm95k62shmN6NVHt11hvhSK8CLs5Fm rbr2f0EuxQe7KUAsP8iLaqAnrjBJ3ea7J/Pkxva7gcY5UtrK9sts1K74Md2TF+CATTDyBEGDstOp m8awiNDEpfgoVKRyUBN/RlmnMXllIqYQr5gCl0FxHvvBf1zugKWn5mBTjE9L0qxnWxirOKnRQQcU G1VAu5OvgnzPUNLnXMSfqGlSs6JaRF5itv/kfxPrcN2jv3Py0WpNjKAEpa+2CGCf8DiqGYIxLyA8 p0s3BSrcY+uidDBxdG55Eo3JU1liAYKecqXUbteYLH6e+poKzh6lSuKMx5Z1xM25wNw20vqIDwTx vG6PdT+qfHeUTT85xukgSuIVTrhMBnxieAsK3BFwj5uYZnBvL7dSA/GdG7+23HLoODxVhY6fMvo2 41TRLIg0eeEuaAIIx0I1lG2BMIpq0avShRyos5zGywbkAqKsdL+XoWoGdR898f8nEr9SvD50hQyI e5SlbVdTqW2awQq7ccLHMfliLvjRWfxMRNvaa05uQiTcrQXr1NFqKJMSofV7yFA6S9hA3U6F1GHX YbkzmD+vMPAD7OLSkc8FxJ68rc033rHyxgLshZTLQztjGcqv/s8mbBe4fLBJp+d0BTsepHyUqNIm +J0lRVbb0O3wRJQQNyTGMvrgOVQGnnOiAc3ZAeJ5MgqwuHhNEYlATLolR0wAtHqBgvg7Rmz+31x5 YFVAWDhx+H31UotagIreZPEqMsKLREO+amGeJzWoMKXNo8b0kkkuAkFMLNq/UJpQOq8tYgKvZfDU aFG89tTm2J8nnd0tLOrQgA4nf2f1yHpYQq6ezpzt52OtIblIUPkRXoU2xyAp2HrtEboqJ0UlJY/K HqBnKyuc8wbK5txfSU27jO6cMp+p/5S9WqzWNlvt4RVV2J8r2CEPHMDNvoCocxoxiouYKSM1kweR PCswXU+7VKhHX8oy4sxIFvJftAMjselLMSB7pkcf5oE0Y7WoHnsll6Pr1s8rLVG/6FI7a3urLU9P u+IGUw46lLRTHycUETZVIIKY76oRPCQVkyf+LFdDjuEmgz1659qqbp0eHAm7lwBfUa84zskUMLIp F0hl5nc+Bj1VGsUcfM8APuuvklTxF2PfIYFY6NcnQjulENA8MieM2OaC6w3HVDNTBTOVPVT/e/MW o46xh+xX2E0LlH38gWwfeu1dSARHrnc2H7+pPejEJB4r82bpghV7Luhcn4FeBEo92OIAzWLli7KA 7W5QDxQ7MpvJIy/JRW4AWD0jGPLY931H5e+eSxf4Tq2l++rdv11RhA5qoNrnoys1tCigPOIQnu6y 7mex+hq1ub1sSUpm6VBvdM2C81L8F24t/GdfEh6pwg777Qj+jrxcmsKsEwl8dU5Yxt69rsRRyUV0 7ON2bbBvnlkRSTBC3/50A29HxRY0QPH7CFvVFkaAMflje23ZsNihQs+yX02jtOaoA5W1PPTydIEe dAoYDliy6eZ9o3+OCtmv9ag9M2VUx+lX/R6nisP/wUrE7sEG9WZ7oz6sh+p7PSv+j7ICStATmDyQ H7DVxl/hSkIBSAUTihtWZ9Cv8Yn4DBtUPu9GM+ntSsxXqHL1kPwPlwxp2DyJcWFBjuy4KtlmzYx5 NN+nMIcjJYgI0YhC6RsPIjkqBP0EDTGElcznGPIY1rF6Ijt6RaGFZ10YaIPvBaNsA0fSBmwTfQS3 BUfedyoeira9riIjysVSj9VR0KQ3L6OvPUHByJvEY96gKewRucePtJyx8Oh+SF3kdm9fKYQ3vFis zsKtexPFVxVP/aTaKPague1pNNEHNuri4JrSV8ks0pqOvfgSqwssyQEBn2f7dplwskebcg5rtnzE 1Qji8461/6NRjljZSuxhXMQrkAZX1J19uv8k2rmas0SFdYNeLA409ZhMMCGV2FqaUupxibUCAvd8 dxFV0DcgbVpqJlVCl3QqNsziKgcjoTGicB6alRMxlj5o+t8ttCvOmpnZnHAHq4s6B0FUyX2Dz2ZE eH/8ReMbrotwYa0fWvBbtr3/Q/UVePKHF9j3QVeTJoIdsjcWgSXVBANfsgmwOQMUSHWm6+Ojr/uV 6TGRr2rVaMYeVK1nzlWn9XE4mcFnnsOYVgKJqfUuRL51I3ZvMMF5ZxFyOKj/Gc3hhj2u3Lof6inK kx9px06c0nZM9AW3S2xve4PzoE98yYbHDi9Rgu1QsQ4oHn5pm/RS7aSAySLoTd9bWKl8msOQTVkK B2rmiLgKBidR//KEHuIOsPUpLjVHCMjtgOeUtNuxQ26udzjToB0C9aFH4j/uD+gJAH64m0z5kKcK vfkd9oafkuqyTh9NpQ36rOiMr/jyrErLDJAIRIuG/Bo9jxjDH869LjxdSceyO6X8XdKJSg74kJ3j ij+f80S4tVwHQt+j3lRgzCQBzt46iN6dWONCoP+VhSs9/xNUDhIsp2h3fLgKsDvnpJwo8FH7q1tM Izxk8cslAIuAsSvMbTSxyHF+ijNal//fUX834eFAW32KtFMSsxBHJfeWQJs4iL1XUKIWH9Kdv9bF Cea3kue8XwqYlEOfDySiYIGXgkM0KrX1AgfgA1GZzFaRDIFxSpqQkAt5ByuB5PrKIia1TCerZjeE vVhDh8rSMStGxXfN0qWjvvlq/4a+GwLRzr3CiF4jeRt5UX7jiCqjRsbm6T/HiFbN8gcxRx+ng817 p2ieug5UrrpyQWN4g+Zg7ulfWrCYgpXuDstb+/jic0ypR8LsAaSY+91vcCm3B80fQgzOZe9lCOBc swxSzN1t13nroQyBD+M6u3rgywXestIgrkqNLHeLn1RFfGE1LIkHI6kdw+kVbPIqmFeTKaimQah7 enLuh726DGeKuSz6mRJ0VjgrAIKVPeYTiOmzxV+RdZoeGBOmuE3YVWaDkA4XCKeTfpA9GMpYaBMf wjODTj8V5QJ1kYbz0ZsA7mSEA7yCd8ANiqBip2nJLbBWLmxcOUs57qwJlR0rBPdo7cWQb7CxoVwl a8wa5EsC4Qr0/MJMZ+6mCnBaG9zCvYTCm9c7zdZ54NjmyC4qBFaGsHGnotDnlWwigoW17S091Q7I 0G4QdMa7bVeEUtzcdrIO80OwaBzGNEYZxBxiKEGaYbeoz5UoR5R2IEL0KEltNjdn1pKwLhBHHpJT sOqxsCOwBOjBtLEPzSLgBaLGuExPBylVplJOynZYG3yIiZOhskTStrcoI6EiNXLSaacKewUBPeuF KrVxaHrxFvsSGnL8OZC4UsNilwJKOUtFJ3dcarKZCL6FxKA1sjEK6nQsOPUUEtWHYW/UpIWlhtPf X/hbOqZvcRzVWHf3hvADZX8jeYX+S/88u+SnwGZoxNUfLb94mTMNkDCZU9TX1PfGMm1zh8D5/uOw ubTX4V+Lvq89LldJPWqutdgSXfl1ccpOzDYVcZ8OnjSrbGTlpIRgH13YDVPyu6Quz8GJaJc83JTD j3O6hAOan/tASzPVe/JXIrLD1FKvP8U5QP8UUBPNUr7V5ElXxZBKxg7vjGWfJdQl8Lzn/z1MGVjs DZ793ThF6vJ+bIPE9VR4RPxiT1p4fFtVpjMwP4Uby6xMW65h1TkUwtIwl9frbVkx6d38vdjDlkfC dUXgBzoc724sA+dp6UCYtTx8Fi5POxpq/C+YJ41WqhT7pYTSbdAncVWvTmNNGnzJbcw/m9P5Hp9K pI1d/gVTbWv6E/ACMnd4ax8XyVHB6l7LbC1Bh7CQcUNrYZPJxax/UCFFXtPBd3d+r1tYqf9mv3P8 +ChktZvTlmdi7+xQnfNr7hr4O30tAfMd2epJu0UV3jUhnKTmiHfe9bMBYKWZHOMuWQHu6yacvdFQ vDJVSXPAQbtgmWYqGG0TfgKW09flON/zWVLdBG3EauLsYXx0kcjfjp4H+kaEC+QpyJCU6Z6YV8n2 37WcY7QA4KkdJMoHJnyxvkqDQ+DVfJhKkl8/3J5z7aqlskUqrQNR3dtbcRHat7yMIl/XPCIn6K9a kBBxFISa/zEtO4Y6WyMb7AZuPr0LRtv3GCPviiVAegAtsqy+y0pn8DmpBh6IEK4S1x1m53pf0cFx cEeO1uviZPu55r/ruwT8taqSx7nr/NOOvWORgP9ZecvP0T1H8LrbkIrg6whkiCVzSHAmT79H28++ Pj/WDBInBc1v2wL5zgg3MRJDzvZq3IFL/hRoGRW0jlQHtNkb1Gd+kLAs5nxXRnwDnVA17oOIq+ey +Cwdn36eyqTOCsUJshazkUtpSKnDloVoPGxs1TewGQsmiPpv1qNpLIWYjmel3oVWF0GzcgB8CHbE t6cm4a9Rk74Yar9f1pwF2Jj1AGv6RUbRaJCwJ9qCZ4rXFYdHhMnVOedMPujwZIlmwHRJbSwiIrs0 t4M/j+Qutd2B2FOykT1p3zeZcn8xK5i6cXk5cL1jheLAno9ZtgG3cLfuF1+SttpiJzIFaZwv8Xb4 w/Cs7tyrgZeSB24yorx3OFEc3v8V9OrHOHzA5UyM3U+pBh0i7h7CbvAx5SwyT2oADreptG1Jf7Ro YRBuXyNKZWiGUNXg7ecwemIP/+wTdJIb6eGSAAJcOJLdMQZdu1z526DiUlrkegclPwM63a7LpfBv LROaxwH31eW2X9G1+/nbiOOCF/lWYdLZIdCMBofRPFjdLsV4QPzxB2UgMEfOi7WYWEqLsWJV474I odAslVSKnug0FnZWOBQjGxIdvb4twvsLwmRfcpsG8QjjMYmAXqvH124oAn+sbFJt2/fNcPTRNxxw q3b2PROH3P5C5EHmNcyPW4uodbhRMd7Kwkno6HkHSH6BBXDhDYauM4x4AGZtnOSVrz5aBfenEK6W prGku6Gsb0qEKg+ioIh15aB1iqGxSs/IT2Ewc9V0Zny2fZjVCBu7uqa3vl5fMVHrf+zA5GGojGSz i4hvfpj5koGvExLad7/BewqjaBXi2WuRjMXa2Tvx01oCCck+WRHJSTlvd7xKXtEV2Swbjyw4umq8 y2AciN1CCFzLTWAc4aCKLA2Y/euHqg1Qa9rJdxQYp7iZ+O9L7UZXhRX3Y2kzeSPp5V4Z8NmMqch5 BCtDs/eg2IQnwI8ORF6pPVdMRk8k0IYtfCuyVehfHDMV5mmMeeSaEyl9u3QxaCFHYlhLstw+0u0d uBk+gXKXD4pnpV8dNFYw7ev0IFO0BI3PsUC0FVMfBiAGmc9C6cBUy6+NLC5FLHSG5Qxu6CI3QzmZ 7gOrJgoeUmTbqli+fk0glzVW+Rf35CDLKNKVyy6ddGSpx+QWzcKY51i0laeSblX+uO4FaiQuDPRY 3goqY+tZYl/swTVSvAYoWKKxSUMnF6EFgJuDvCQiofpRxsqQQxGiuP6w93atmdCk3BZP9BiyLwFl vVg+KLQpAes+rywbKXQBWLwcGNiK8c0fRtqpQFuEblkbrxmOedb+PdLnwV0PDr7w0+4STKX1wtPm Fmvs0V403xrYVVJD6QfFfXooj6I8toHkNOU8jgmF03I5/Hcn/Ll4ykXtp0nH9T5S+FJ9rn2l24DQ gs1mrgZn46LfBjYD/pLDA/0ZQjcAHPmSv4MxBD4yJH97yLrxdVP92P3ZJ7vtQ0SK5mbkZHVHje2A Ic+L314J5YYnBVfGpEvRSXyTyVzLQuo1Bu+pUQZfSwzLzaImKaiDvcpPZNQCMENh8cyGg5qdkMsu Qg9gaMJvxlCAdS4pXN3eAF18TxiKseFXD3i2sQ/h5/vdu6MqRU+xaJNy0T9QJEzWUMhNLz+jCqcl P15WSgS1vz4+e0InHLOr4XL2ckd5hQl9LWO/dMI+AW3zwUE6djaXTuWku6a+ktL8LKKsKBB7nxHp wPyC7OhYZbJyHbhaN4zDpyqFLJOEhKPA2zIe0T81atdYT0KFjcOH43J0uiWlgVLc6e8Hs4siLRdf p/HI9wnaLGfQQ6+1H7PukfOxl5catK+esp7IWJl5jGfWS41jVWnTM1aJI6/zN8MmsyywLxQatEcZ 6JJhb6WP927ExtpyKlkTk0E2dAeUmu1vPR/pRnTxL0q/cw/Wylo4eZ0NOEqdx9O+AHkvt3N2t3q1 IA+6AzAmqB1xubnUG6YCDHk7u7Sp2qkSHFzOgyN8ea4Znjvv3LY10Mx8otRKOKsY1RKbvHb5Z7Jl fyaLJrDYr7RUNFwis9gheosOvKsd8hlCCJrUUvbUOg3Rghtb+ZiV1VNxSg3waGI0RALPXjWnGik0 cvCUv+7P0nrOgIHzdfV7k9eI+hx+VxOcAQmOKxvIYOAkmuAzOZaLQzkcNeRO2Vyjv0ovbJG1zL+6 ChuWr8CS0BbZd4kJPmtD8CqodHV74EbMdJNK3M5WAzFJHVzYyQgAQpDcKkMwMaSy0o6UZqpOcVVI ZRSDGeRlFlQSwjSPRzVPr1CA+/0J5/0G9LaJzBoKi8csbcD+Tams/oS5UWDYyVMA7BcKB7yk3VP5 GYwwOph5euyGmTjMdSctArCdlOOd6hgbV5i8lGVEKkQLVB4cEiLao8H86dVuKo0YjWOCmRTxdVkH csSVUdHpv3hqnqTx12sVjoSzDjNFagtEUjQn6pAps+pSQQIcLKRb63icQah0FfOpsqvEJ1iWn7q7 v+Xr8/rrKJxU4GkOYp6QrZLRMiddXAxRrTsY4b3mhGsos54v7vaRd/uDh3fFb/XcLBWfHmnwy9V2 cnepipYHn1QF1BMh+XU0N54xnAlUouOiY6W91wu6LFbNerhYKg0/aD2iCDgZokMejEh+rJs8wgCA JxpgMc/sknTDmtP0+cW13xWOg+qYGxSvNNpJRZ41aZfDnotPKZFHK59T38eQRnFEr44lzixZ1fqc gmqJtwIAIORFFgreFHkCpMdWZ5UFYCX0lyBC1wckFcDI0v1KV1EbDoRyFOp/91oZpnVBSHGgw2aI 2fyLYfAZNbHdFCgVhDkDn7oPXhaSQK3KNzqcEWqApeB4lY8P46HMNruBQ/misbEVoyNtVP/4B30K xxH+wUmz/jXEis5liGODO51sD3/+9fUOnlT/Z2XbUthcMqcR79M7ET6gswHKkXdrrknUw8vqEgiD 6621XnRq9OoqUOxsYkjQopL2WUcg681TunHXQwFnkEgVVExPfAtrxJf591y/swHYePxMlsfNEgmp G9Hb2vsazN6xRqa8KSKU4heFllJQwyUJnTscelP5eySUvq9x0NOf1G19VFEdROH9M7A4woUw0xtS 7fCdHpCgpQE8YKZMQKHjT49ZIaL5AZ2rk2cbOBWouQgdNYyjIH1iXTCkHbC0b8hJQBnYW4ByuPUj DBaAhChkQtgH+mVbuPeOBJR4WDdlen3ItRYismB/5em/1832kUj0Wlxsv9YMmX6U4Ij8Vru5/LDI p5g4EI+JXyAB4v+4GFYIWc7GqaoHfolKsweLBffqc1wcerKY9kwRtxWSmLP0c3ka1mxtX58DrQHo u0iuorz+/syry2Rjto7wMTOuP2PGI/709ztDdXMXZglbatM/ykhpvjbJiJ6VV2kgU5cn3joox0HF loEWIm5Ml5PTfy5yCRgpHstoql+aG41iZpA1/1sNUZQVbCu+598ECqY7YLYh+I/3a8BDAgi1w7FC lEcXUeHxz0k+cpzyVZQ+2zZKCVduviQT81vfoF/OfU7EftkLqgeWbK7To3jfdCZHg3qAIGik3EpH 4vXTslhgdh/fjdoF4X7qo4nFXN8sXeTD4eDulWiD4vWHeK3ZKBkH92FQF15LYNMlGJnfQpwQc0t4 QTnxz0jwk5IgwlDyH34VSptkcgyXWYwI4ItxWGpFBwDbs3hP/ySViC/5vRWA5uMdyOeZVtJeIQRb HWZ1FDxJSVHZsD9LkBnf5Vom8AH80Fx7IKsR6EcQa3jEWqv7IfEYMqHVSu2c1Ti6XCf7wXaBhw/N uO18HeDhPIRfRSeP7svU2gW0bfnFUs+KJ7zPkl5JARUUZvt3Q3MROsbf9jxTihW9Ys79pqG4h6NJ Kh6l3B84hBAUkMJOh4R3a2XybyN6rg7aEAtVWYAigWGx7FF6wo9YzG+V8RKUD0IiomTQTvQ6UXTY HJ4/M/SNypTYfUQ+pcir8p1+w2zwfNINDEogXV8WLE6iqcCy/ZcU9KwAEbK49oSuxFwInKAHsJpA A9bp0uPr2yMtUvpzluH8LeVBNo4tW2lGXGM8GLOCgEHiQ+YZGdWJ65+dExfvdDO/VBY95syJFpNz RCwpG0o9ft/vsen/JI1OMJlHeU1h8V60Z13uewTSrUEQF1X8DJ4xNPQtbYgxOgi/oMDEFs4Kv+9Z rfx296HZZw89V/9kf4HD1jKWuCJZmZlJxZfYb0wOj9mVcuTk9UwwMaODkiXrK2OPaH1LfDiexk01 /AQIeypz1avXo/Bsbk1Z0P7AiDRZqfmHr8noco6kMGjqxRafQ+RO1lFP70kfF7mYRFZJv7Ts9yI3 woMU4o0ZbJ7vJsnP3E7TwJF9Od20mTwF1EsRWs2hUgXvlquhIJfCpI0sf9Nr5I79/3djhjH2m4W1 wp8CbCEIlk5D8o8jDy86FrgiOhn5BTO/UOwyV7fG0chnuftsc/fgw+/TNAeNAg9LpUga9jQ4RCrP zayFYq5jVr6CQrV3uJ3VqGvBbfg/0js0sr6C9W2ohuoEOznYr6YfuruEgaNZ+69Hv1hby2W0yJrk RHfPSo2ppUzImSUF4yM4io/I8iQPVQz9GmwxtppT/Ggovy21FgzJ4j8xM9FWI07asyoXrcgQEa81 ZCNb8ejsn7WlvRN8f1aNaMty489UTd0L6kgBeT/k4GC4OEvynkQFPa+bZm830kfRq6OFGgjJAghX M4+/6m91PdxEGctSf4byOEjmgJUnMwQ77CuXwBZPLDirdTe5CHuM7AiS2gvtIauFc41474JtWoMr 32ywYhkAZC9LZPpgYXinKjtVqQsPBPFst6FECQRGRk68FHqPpH8xuAWCASkv/e7193tz2AY5/YVF dm/waNAacPWLL8nuAWakPd1uxfA57hZmE30mlJkT9Rf3C2sJOlANzVvq1O29KBTVdHTOGzjdbR3d /VJxZ5texGyTTYmPYoAGU22prXpTMbteOsBe7zbvhDA0VX9Beo0sJ1INxK1hrt474B1Mud1wa6+m 521qpT4b7jjlJ63Kyojri91euDCf4tKKDYkyhDFiaCKxRIwJlbRwkceR8EQWdozqYPLlKPgBgBE9 uAwgBOt9KdIaqATv6FAZkxDPvd86Ic8bUSKFSQdCU4D1z2fkxpyNPPhP2Niwk91Fc5y2dobgZluW OgXGIPMKs2VoBvN/R1sGCiTvnN3Q9jAwqiWHPD+D4XRJexU72aV087HYY9d2aVWZXJtlrvQjwZuD mTR2trntY+3ihxb/YReet0ekPexZ/mu1Pdq8UFwtDDVNsBQGhiHztbl3atkwdY/CAQPI4Fs/Vufw yjFNNAzrEV5Bb2e9bYdttn9v8jcoWD/YYVEQbJkdcD8+M20YlzjToQn1G+rCSaBD5AjxgDPYX0ML MbA+ByMHh6660/lQmRo1GkxN1uuCrWBQ5nyAxqcxlByPdaJit4OEG7AsCXmRaiwBcvgNGCi31XZU hE3lri5RBHKProGUILTr/cT9hrjlebUuWsG5SLHkyr09YVGcNS+jdxe4yJ+pPVuLUgjhO39tVEdJ 40efsHOjj72yHFJ6k57NF+5CWsdzsy7zZmNCzrUwMltx8q8j/ObVNLaIBv/uxivrKvBX6BomPJ4a izbrkDc6sftB258gTUtHBcpg3CBfyEodfl0JOgJDgYbcX25Sc6B82kXnwzsYLPiWmQqozV+jgS6t bAYC34mV7uUonGtBPA0ocWIr/dDjytSMmloPaud+P9Sa0clypA8Pkeq12hb21cNU3VKYv3LsCbYX Ay79zRohqXUfk6uta5M81Lk5JxyXIStTCp11mh1qma70VeYpt5ThtIXJjlhfCWriAuDZnZCuJFnt pSKoGXwS2YERM8ZcFaiux3IYUeyxxiAHcwbqCgTE4/p7tiRg+XhH1B6N0f/u4FJ3QK4j/UVS1Bxw IRIvQ3TTvnrRba3HV1fBDF2/smoi/GWBeM+SrHDLtiKrKAtU4dQpqTup5bXHMfjRgnV/dWNGVj8I sZFDdwBlTnSMyMQPBXz2Wy0o8pZ29dQYj6YGepKSP0IxMaHpssPA0d+2geYOvkZjjmxXrxzjgytI LEFPV+/eV/HZB+8TWGdPm2jJDcRTs1lo6bnwNSxrje4I7iX/GsXBuzWkhbRTDMXQo3vO9PgBtdzX AyIB9xjOuKV9epqh1IDKJYl3l6koGieYEPg+6YwzK/frX/AqrnZAeGl2FGI7KFlTL6RgOG1Bab/6 CYDir/EladdcViK/CT3zpfzghi73NtBVcljdBNfhTcj6GFK97PPWs+AnX8np5VPy6nPj7bnlgAVh KmYHCNpy6TJOg6mwKRwIkGjh+JaAsi5Lj802mnIu5cKBC1OzIjOJahFSa5MTEHcQFgaJtM0wQToD jb1sCp98JMBWzY8Fin2axDqpx9G01P7egsFxnb6HNMo/lUpwzU47Z7BySipxT+wWaIS1BjGrwCm8 gBoZ+Am59GNhL/iE8GNxyoCmzhPRJGkt6oEHwAdBOyXRRiecEvHTI8z1pR34SGNpzQGT6JrY1OhL C+7NMn5Ttmg0s5X/tNGUhplOUmcYaDKXyn4mzcqdHD5+/hW6XUxfCuXLUSWZP6RIil6OuNNlPyny PAMmCqxmVR241Tg49RbRwuOswDjDJPHYTtzKlnXH5gEVBM6VJSQRBNK0YKbCWF7BbuEGejuIaIv8 LAWJYkTOk0QTmHC2skpTP603mp1dkQ4onggfG47fghG7pHuQN7Cj5eeuuiqSC9LWsse3Yaq2OLJ2 XZxdA2SNMmEUY1WgJL77A1m88+IJhU2dWh06BwFUvCLJOqVzC+CXePYGybd1awB2PN5eV3X1ClUs GblyynUYnywOW5+L2aMOyd9xAvtP0TFhuEfEr+7zWGE7Rw+pQSKbxFLIaljqwkW6pV/FrCLm6Rys lX3QOAWq92H/Fv5VWzTGJRatZx3MxcWrcRAAIIfb60/nJrjWgYOkqWXLtyHXEkYDNstYuD/O5gJy LMXdDxhFJBOc44KEATWXDOZ5rdDVYV5OHwzqY5fSk6J87FAUhmAJm9xKaUYS1c2WLcFVR6ZpJt5F +yeV598iMeOmDLIS8RSVM4nutNKPC6o4s7Siaqt3oAZPnSGy231zXB+wbviaqmJoo5iVZzy14LHH fPGsz5GcvBaxpmeimdzNIeO/jYxGiEDPcRtIy9qPYpR45okdKwH+dDKx5MNXzMamWNGEpk6YMEbK SORdtikwx68mTh97JZ9X9kxFt3whlYgCjS+r/iEyTvSSxpPVRDJMx4bD0boh2ggDiV/0p+U30eEI M9qgxS3SIOJPMTOxXiKfZ0l9Rh9mPZ9GC/9fDIM1h0uIFMcMqtGtzZQBD5WA6V9BTGci7Y/WePID KxhlLxVxj1BzzhJfTbMw7ZEtgob/7lsK4+irFz168n9tUjRCh63sH3cothIdxR4WcJmuEoCBWPps rDKYoyz7Pr/A8RfOF0V2jGhcdKV8JeOGMp4iV+NQkHt+0NMgEqLnqb2Yl2Qkkc6327NyddOiS1f0 XS/I/a25r0z1v+L0z73V0+t/f0CAdXoI+mm0QU2JMrQoitfMHRSgGMABxVtcMuPNDzPRkH9kmyLa pGES64nXuPjni0e/zsnkSmG0vW7dASm2UFIZoCakNLxpu/bLODesDv8yg6fagVbzLz6FDU/m08kK zUxipvX3+77N+G3FUtE+VrJJ3PilmIrBeKA0sWnMgF7Su5Mt9XhzM+lIN69Aj7gHff9IE5AANuqP BQM7vfgQEXG9VLioUSYt2GLsOdIQecCqS+ewCqQFxROh/5Ee3bvFEfZJsLI2P9yI7zb23vmPM9uG GayuzLqdU1uErokVvilLVIW38whwdmSV35Owy1ko+B0WKbNJ+emsMbzkPbAQDnzEvpvO3f3aAcvr VWcDaU4wIR7BVzy7eDruvCNoRHaczwn+uukPJWRvxICZrSp2nm8KeE5P0+PTxkcvXSOLg6QmXJAj 2DfSN6HjGy3oJkOt9mWCdZzbhGpC1HjMrgQtg4M5UQ+u7FWy7orPCp8PK9Fx2y3n3KY8JFlBNbp4 IWwhc9Up3fo1QmH8SpZNweR5GTfnPxd51U+xx6XqbaXtVBYfk95h1zPQrYzN6VNQq+O4uTH/AS+7 Pgf4Yy2+KbRlleok9KitmDA/xUtYiEDjLvfzQZ3QF1qc0nJ408Atu0iP1xBg2RAyaFFC43ZZ4v8H OKR0P9ndS1716Un3FoC9f1gVkNPoYY+BFzVClUgjdNWjsar9v8aZeLaultC4vS1bje4gMoWJMGMm zYTU0F3ER2XfzLmHD4tvR2/PL4XmK9b9BzbwAzoLR2RGfntTZQPPumhhNqh0dZKhUOytKQXMi+hr W+3j+bW2nrgtPmJrCuq8yUaS6YqKBwSTLdj3yBparynJop7qCmEn9yxfPnvkDN5n6c7ad+55hv13 RX/IQ3qDIOk3DPIkbOSIg659Y6w+gCG0URsfqLeYaokjItA9aMKNJEWLSIW+5nWcuWZwPnHM6WVl CWi7YWI5vEYh+SQKWUO52h+GIFnPWb/7+NHEWeqyKeq5zvVMLU9gggKFFsjZKMs7ic6slqj98/ik DCQhr9XERPwY0YflXeH49TVKX81Pdx0NNg7hQLIxwErgqBtOqQaqw53TXWkx13jMeG6W4pos8vD6 vNXYUmuFtaOLHRwA+iSFWGDPfcASuuDAaPcfnbpwak7uhuXxgwcUO17IuQSs3hr57JhPz0T/GrkN tUVIlu2P1Kz4WPsNLQZ4uH/Oh0lOQvrdDrr+HHY5NX4+rbVg//vCjgrHUbxli1l9XV92LHgk4c55 E4KsvTinBb4JLLEDpfKeRMosP8ojCzCwKUkTeFf3lauYp3CrJP+95W3UCM56MEPr6AzGzclnOPIn tOMRnzIzt4ykzB6McTReAg9dEE6YgKJEYxGCRigIlMO9vsSoKRXvPyqiwJ3pC8W1O2p2D3wt6F4f yywqyl5p/HUPiXNbxaDfxGW4CNBXtbDfjwGR3VVmwxmIx6MCdiWjvbbd4PRBvLd1FsnAfDOTtPF4 XuLiZjhFyDVmtug2I/m3TgFBGOS+Ox8kXlCnlhqz8FvPZRpM9QHtxgw/jIoZn/4/85rvzSPoZ9Zh NP4BAbQRfVic95ylVVTIWQumsdeBPIECZwt0FZm5lvfIbOMYOB4VjU/uvY/d4pdbrAhSATYyUWnO YvrVw1sV/j0g/U5pdCatkDL9wcvRK2/Zxv7Y4y3zINgz4JMcU/pihVUGEihm7h/Blk3w2LmQpxSX ZFwHNKlPKIjMAK02FmqVpL9XW29Pvu5AnUbAhWIJ6TUL2rbvW+ToUkcjsoo13KaTITuBgpsP5+iW o+iKTEgSZaby/H/Yny6LIr69cHCIDLpKOBxRR5DnDeyGRvcQkBcZmlyKEn0jV0SS56kCH+eZs+6Y Mt5pgGhNPmRzryUcOrWAmlVo9ASNvgD7KM8kcpmo/Kmmt4z3MWsCggFEC8uCm0rz4d53ob8xqLt/ h4fJS/AZK0gu23zsBvWhmFtjbfddqIpATlYNdb1hmUR1DxjjuDAJ8eYBXMgRAm8nfhHFSosM1ch2 KYAitz8fZNPmWPlqRDVZF0X3ZupkA43uK716YVuo2KLF+9lzTsH8RCDW8cxxz8mHZXAbyCZBYeH9 jgvAvnSmTFn6lUQM9xe2HIkNoptHwbAOBiz/U8QQ8GyLgcvDdreAnws/Bko6PJFPMmDg9CzeCkoN 0dbWg8zSQoJ5HfxlTfaJG9foUxs2Va14O2G6rJsznr6CZGlFm7fCbY5K1hWyje0sIFnHmH2lkM0x IUuXIXKxZBxNZzTd1x4SZkboLxxdN8tD9ZiNn668cQOGdRGjZ02pK/5onjzFw2kWaHlCADPWxomu uusi19m4NEytQbIC1iWm8ulqJwQ4UujQa0P+r8z6FPyWdl13cO/SLz5tfmfwnUPeo1iMBcNZJbkb U9qRSQCVt4DkFnbmuSRSVztuBLaO+CCS9IT/tma8Kj1ib7z22LLwZJIp81Di15ZHDqF/2hE4Ynuy /bWFNU+pmau8ZV6GoWCnVGj4Vq6jRrc4cZ83iH3aDHNQ1dxm7DdwZUkNegADj0aXOH2v3ZeZzdTo 0HGfpQ3obFMpQb8lsNP+Lu/h03Bk1jomcmOEniZVdZz20Gb/xE2ISK+QtZyBszUW0mJAAH30q8Ic xb5SN8bhlnXph3ZTcEfKsISmNXUe5YlFGFYuMji4br8TKWEWyDMmHg2A97sEb6QYjHrF3XHIF4dq kP0J1IpozFf1D0+bXufl2HDn2H36HZSkUusD0WVykeBl4AqMQg+sWXTcr2QaXUbfiaxPPF8tg4wd GIw3n2c3qS0rAa1a2wWfhhHMoMbXl/xoH8sE3n/V7dBhjPQk78LRIII4jE9vPksNzZ7gZKcYMDKZ uaPOP8JxTwHJ7RAc5TLVsj0CUJBbPrYDkxyt3+j7BPV9pLpSeDR3jFIv0B1sBVmxgxDi7f1fmceG c/syJpsZJXgUQSr07TT3htSBHR6EhhKab6+UxklJR2A+qrpg8IROckQ+++p0EzdbF6xUwSil6hNj A4nYAfxgVdPrDWw6HFc363PqtuQjtqzJY5Pk3MeO+WogfKfIKxeUB07brBYHkAPZv0+d2yuKwcx7 WAE08bzrV/3mdLl3bEamre0UmtiBUuZwFsMfHA56M5XdkF1I7+twWnEtxGDYvYbcqem6M6+VfBip 22QUhDjvmV76e9ZCixbY3Vd0ZXgBajkP4vuzHB0Ydeu06I83U+P1QBC7fbBWebE8jYTovMDxV2Fs VudT6GA6yMRwmS9hO1JkdzTlyCCAwwgHpYB9/xuJGSKdt2BGh0O7mWQNI8Y7sRGgnFTfB95ozRs1 37vyYSadUrClkTaWUYxH39jCZqg+t30J5bdZH+r4Aa3Hudo5ND1cVK8CrrwBRK5gkX6+Tu1iwtww w3iBlaH2Jt9L+7oUqYTd+BAG46Vp2FKudthF/tmzIOHSkF505VXJ/tt7Or9vCchOkycKMvUBDpC7 kOBJ0ioXQaKaSr2iO0jntj0VbTJUmgdcoJavxdr2rIYeIHoBQUxZWg4LxxKF4rY0MYCzKKq5B4SZ lQrFfRPWrxjA643TZE355+B/mQ0Fy7bk45v8XfCkI1YzKdJ62DK7782tXtAgFhVrjcYwqHiGSbvF dAc8bubW451Vgmgkode/49GqudYqwebuul9KGvddhuzNmUIhLsAlOaJAuBVJzy8lpzD5LFynyuHq 1aQIFoL9d5PCC3DSEU8o+vEPmoG1K2/nDCbe3oHsm9N/VxME6giHsuaao3XHwbzfTFP1Y1YCegMK zrmNiFiQzfFBdypzSmvT/LwKJpj5qmA+/bKFmjaT6Bi+Z9qANuKhj7cWpvP9zw6727Of1eojohdL cDMWKzqJDfu+kzyf0YOq+xqwsIU/6v1GVQ/TS9dv+a3mgL30e/V/k9fkkBW9cm3Z7aQK/lJ47zh2 oVEOX/YMF4MuHsp52IpwZQiKgwTeEDhrxOxhanxOuFTdMoM9aCicwG5n/kcZ+3O/M/92J/KwjOqk RGsGYYyP1afTaa7ZpBxOaar+MnYNP/Z6bDkkdt2J8jE/WUJBBHp5N5NscsvQ/ziX8XnGoQPhm/hf TloMjKHbbUoSrEZDgE7sj9GJMsLYMroco4Fty+0bC6NzpfluE591uqbTmVzpC1OXSm2nldSvv8l9 5A3vdIjf26fHvy7Y4UzMmCkJbJU5CX7vOl745BGaY86bkd0vQZt8vstQTgpBOeJ3m2PHY5FtIDKY nt5CApIQhVdvszg9LAszM0+9GMbVkKWHG5fHQFraTnGsZFPGHMCd7EVO1bJCkxM+eTz83ebKlUeJ /p5mr6ho3ElvUpVmckzXTMyLPs2dsdnFJV6A1/fgoLCZkDV3TvQGMmguYqWr6ptbWeePeiJ3RD/K huUI+7f8nv1bajl3I/wwnUY1sryWmHX5Xx8EV9Pox47QHVZFZ31GSJA6vo/ISbEG62eo+mIpDTuA wBhIjg1ipdMFeFRoyZzLKj8LWRVwei9bSvBxhB12SKL9nxLEfwTF3cYkFElylts+El2wyCCNb3u2 689Z2xobNf0fNkyV81m016EMwWVE+YkwjGIIkqt0kN5FEOytB351+TMEm/+Kd0LbUyuIMNKvKqNQ hzgzafCPSEDDbY9YKldK1+Ytt7Zqemj25EQf8+s5KRdzN+CvAwzrs3EICgVahzqvHHjfbuFEKqan 9pF5f3SMEZLLUQPCoPKI4zC+H41V5aSEU+VJHfcuiHRwdFy7R53V0tMV8zRY9R4BAn1mc88dxTcW Y718kChAhfjVhdH+c4O54FS6DBbkZD9E6XmVm0BVFaiQyOqbpKuYG0giCfrVTS2jb91Jry/XeEh1 EtEPgr1Ezuc9o8QCBEYVE6R7AsmVvZzdt3PFcuu2GJfqlsWYI25kbJ49V8xK1cGdLTAClS7SE/i8 zm58MwmLLH/S/KDo/YcjRj8bnrqgv34cp6uAfxA5gDYb9pOtS5E4dME6c8EUqNTZiMk7sL5NQ4e2 Sy1v3ZtOF465jcl0T2B6t9u9HVSVNDgC+yyi/hBn3J8CafVXXwm1wf9csnCCBgQk6TOx0zQPfd7A WPHxrE7GnC5UQVw1kYgSsBSbhgbjRNPF/LeOYn/nDRmR+L1gzJyT0t5UOC3Ibe7vn9yMF5mwarFA Sz0CLbJvbof77ay6yhkYJHOoZyQDYN0bJ38tXDXRI/0V6EdoohEYqMhXAxw95dQG/sACaqcx48PZ BlWc6iaPFyW217ylzSrGtKxNKMjCFg/VvA0emegSxM3cbUVdNm6fp7VhA3Jdl8iA5pg6U/LnqU9C HKqWTsS90ePOXri7TNc1lXXgLC4ssSnWLFV+nJ/zGDJJLwwyKShvqz7HbA/pilp35EjX5jw9B2g4 GLK9O3ZAAerZk1Qi7IqhX7PKQAMzoVEyljnZRg+oTAVFbDScj7vu6cqVEXzXUExDVkqY+ImVMWZ3 0JskemwE4ZRJE8kA9ezBYcDBWENjbPT0Cw3oFF9hifp7qHJvQnSnKoppEcCOsxzExLlBifQDGb12 3dXZ6pmUe+eWq9srLwVf+Oa0WtpNaXQ9w4ttfC1zJ+DQ0yQJEIGEe6EREGjN1f2Qz2+7IURXihzr sdNQPJixmHtGlrjrqAp2chIyLPqFguwOFQmI2qKgF//VGjRp6ZpF7Ur6Tr4T/Tm2STvotlRAorxQ l7DyFteJyVWpgL41sVNEoYnREfMkveqBRTQwomMQ85TcLPbF+klDCH5ge/GnMShWhtWdvw9XlSJS m5Gjc6VPYSkikqPldkhYnjNPRgpjr7ZCLKTQ95+mxL4+3JlbjZbsPPtx5mGXPrtmH8yRxMmzmley IBnxc5lX6621+MPoFofy28YLw+Jm9pfWsn0l/oP25Ij1FEW038wVJH18xDJfuPw9NBoZwlAffcOu wqPsupPCkjUwq1yCNKcHT3lWwZWiF8a/6Ab4myqsFQZPjODCCBhxLDgMrXA1bZWWaFPasSa0oUMx Sfgkpf7Z/gAcM3FrCTyieiDfjs5Rz8XH7+j6R8wfJLs0h1R4UtJlhFjjcpHOX8M3Da2UdyFjMF4d m80AuN/38AmxzuIeP+D/1tgtesYf1GO2cWxLQiNM/HbNAxiMNvuARr2vWlbDuQT55Z6cKEyjLrYi ItB26OqPvj2IEGEQsVn0WXkfnOvSYQ/B0EAtJ+2vPjZ1t8YTr2imkqev++4Q/1c9fdjoQKByUHE0 J2/cN1bg2QwpqGODTUSIFokIjEfcHcnHv4d5PNcwKZKykW1TtBrMz7j8I5IohIGv1I77Y/bJktks PzIpcVwBKucNXj0pw0p5TH1mELpP4vHu6SGuVdmXWOsjiHqADx97BjoDYizHNxx4N61zUOXVkowi V/TJ/yCzP7ojnRE5kil4aewF2q88MttG35GfarkqKNk33Lva92M2poB0CjlyWCZDNPkz5+MX53Gc YI3TtSGOIcoQJHiI/tFz1daxOS68UrEny3IElsmacG/Trb4CfC+VuyioEEmBjRASrBtusNp6bxFw mxsq00Uqx5z/F5+aRATyxuHrLYpyj4x5p3D4CioXPUQyeuDQa34pgW0QyXatg509pCWoyt9Zva/b NJCfSrrXR3DpFV71If5hhQ3YcxpeSa8zsE8p7yucyiF3GUrfW1TgM8p7iJw88iLl5xCrUYGXAVzt fjm4YDmfmd+2yy4Yhd/T1xDGyaGZuBQHUSN1PCz3wkyulrls7g6din46BbK84YiFEIKZE+E8VdCn Q8vZzzxgJIf5f432IZ+vP2szTR+VoqAcoPp2oqEUlZvIjTKENhaxrOvCzikbQ1qMemMTggcuFaqd 22Lxus3WV1IYJ3XwbbwUDxONST6fWAzOMNix/cYncLw2WzKSlsvX3F2P3odSQgVi+Hq5OpCj/Hfi 2Otx641SxgVxTJv789AM/jinknEfk6qkKPEdeQZ0+/ktfg/4U+v2yLbkdbvlaGwHUSWDkbHnwGF7 Odf5ookiSOcsMiqX5AW4CgYVM0bU64y9YxCky0DGtwmuVkqP4vpSzKOEm7t4nPijFXWV3+hxaVnq lpqwCyNPGvxTW7b2IOptzXG+3waTF41Q61wkZTEpbiUBkINB+uXEAM49dDZ8wUu+gNo3mEylr5Lh qeILGwqfiYy3gTIgtL5FVzfO8SCQ+VUrJ09dFrkHoExVCaPjARfMmpukMlA3M9ECZC0h68P2IWtE fijdeGQHVMWAqrDyVY2K2xsAe3wso4HtfPbcK8ZZro7XnGRtfdpG+/Ui86/QPdqKAbJe22tT7c6x fahy21QoJPOeDrveRDOprRxLCcoZNFVuW2PwGRtF7jkZvVN8WiOBsBnJQlUICp6DaOKFLB+zMKqN UvYvIudV0fF79VgYEjk9/1T6UP64lZMKK09jswqNBvXSCAzg0tCE1zqrD5MV1CR3iFl/Mtoh5hfb gIJlmyZTlea0csiYXkJwY7j7GR4VfHSpEaHJc5wSFVoQCAqkVPQnLUSeot6FGT30G/VTjNdgsUit bbtD9HtVLKfvuktiB0SWLt2QwWkx/EtlrqrF+uR6yPIvxdk1PthDZxYo8OYxnW3QPhtUBRusD7lQ PWGXp/6X6h6MIcRO/I8O8fLsB+t/my6q/zka97pDBzg+ldNdwCuA6QB13Lorrb6ppDQgRJpzM02Y fhoXIX0EzKSFRvHn6FXLapHg47n0rzlf2axvPaP/DwM95DUW8c2w4ITJGEg03TDzNay7B4YGXDs/ AmpIGmegq6ArSl8ppjaTOmXD9t3bJhuhR3Kqg5jx7vArBZiyj6i02l2xv7ciOJX/54bswBFGD5O9 uICzZ6QObupIQXS5GRH6/GwrdBQWXr/Qc+SUcqdvQenEYFPOJmO7GV2GwHrzUTUQHTwOqJf14L2i dbhAjnoWW7pgdD0Fw4AQrnYa3Ylq7bObbbA2lHP3n82fpCQkAvIPE9fa1j9EICULmh9k+5Lku2iL qBq9YLsUn6mz2Mo5MSYQaxwDiLl+ChM7Y2IguxRopyj7yHgG0hZ/XMu6dEFi/IKmKNm62HEna6vj NgxpCmLihDhDZRYe1AUfgEWvnsRNRJ1ZaCJnhTJ7/dx5s/69M7Jh/OIYnJ2j3WAl378QqIE6WQIB CL9y6L4l4Kp5QY6xEjyv0gD0xgidoTpEj8xtANXFUCYjhcLPYo3MLC2DpyzhJRHCYyEGnbeYnnVy 6QTJlA/ObZSd5AdYg6JDROs7xI265AIUvZX56e6pG+n+t9sRoGFJ4NN9jDEGu1lw6USR289w5UaI gES2FXmkfeJ9mhpRLq46Daqk3hLvjJR7ERaqG43nAcaNan9nqhg8V8ctmBy28TIjQ5UhkHj+UfYS +2VYKVV4Mh1EWX7ruZ3NsZk1s4a1Rw/kvrPNAfd7+RnEJCS1E26WcKms31xUWFm76UNtOT+ndP2g k1T5chvZ3PcDqY0r0p+0Soqu9wgp+7dlbe+om7fKbwT4IiIkPcdyv8CQdmmljAEZuov1tkBdBe7x JlZ7RpZMe/8ix2rKrWoQ3nZCcawPHW7HzVh0f3vCojL4dK+aYJ+0JGgZ8RzPU6Pou2WSO5ZR9h0B S552LwKiWiOx5SpdStXx+Lfe/28IjfoCd7eYDSqcHGaR64+KHTeMSpYrS5L6SB0v5LRNvafWOpAU r1GHd+BnVVsTG+mvqoQkQq38u1rAttxIi9BrmTl6aCBH10d9as5p5OzRL2VDTWI7XQA6whgBIH2i qH0p/DuzvgRhLrlG6ceRilX+bcp+cqBGz2YXLubvytaxOCCcWRI0sq6Ex4QHK5utjNr5dUIn9xkE fzXXyAXc5jI4g758/JTq6gu3dHuheBW6/bAXgCmz0hv7ihivCgAIvXj1JBA557ONlBB86YMWA+di auU9k8IdCfc9n5HyBYzlbEJvSJQZ+xaFyVDO04fcnstMxfs7yXBcrG/TZ+oAVArlBMzvya1/eGJ6 EUVtFUDSKnRafLPR0ulP8Fc7MFabogzWZycoMevJ4VP8WEm2kMWAE6p6ZkWsKf9HsFhga3aC2oAE rjHb0eolVUbMmQk8IRmRah/zJzcnOiWRJvRmuJgCARpBF4uAz1aV03jgZB+2Q/ZQuP9poXoUtD1n 4z0+tU1dhlqN2sFrENfhw/xPjoZvZSbax7eaXrmviiKW1rR7LIgJxgpix1cPAa3TCQzQJ/IFSx21 0fGH1DSU3bZxQ70jxyMROaCtECCEnjnxcUMa2jszMYf1QDsEU6gEx8L/ZCO3PhYClJjrzM7O6WgP 3dicrF5zUW7MBh4vM6EvHKBNyJ3Jm2sFEB8rDXoXb+jcy4mS2MbZ7NSnj79cQB/7x/0MkAWP9Qi5 zzs4UG4exjZr2pknfA7A2QsvDnmwajQn8qpJmO8Dfj6m6gnyWGfXdT6/kZCkmkeA41Vx1QPABQ9i GeWqgwr1cRmMWdd64LzjMUr07/p6AFiAAtnNjmi3Rogtl8cLvBZnAgJbXcfFg5Gd2alPK8Wq3/0g IErJiupi4UVXk09iu/mIrbftcGKQMXlOzYme6For7a1ItP2Q4zkro8bgygbO3aA8J87eUjyJN3H7 kdAgw35QZvUiYweKup2y/yrisOElBfLVqFaIP08z+vwc4n5Gj1sIztF1xu2gFb3n6VmwUfJ8e8Kp W8EZeVKAfOxF3ZCbPYUEynT3xX5yxqzllRI2pijhb94PfUlst1cUTSh8yiUMupA9/TQ3DtDlWYKM 3iF0tAd560MZBJ5wpWnUZ0GOoA2kXQ1a8l4hV1qQwSzzixi/r2dqA+cepjVjKQDA3Ie0iIfOb/qZ 4iHu2Jc2Xq4c4eWWJ+VicwYS+X+slUfS3uoAXeDWC3XO7iUzjLCp493Pbt0C7oTZspTaVlXOu6dZ YJU5Ooem3KdjqdDBzbE1ZvEykGVsl1CV+o8TXXak5j7/KrpyCCIbqP6DrtXXuKW1r9D5HJWNih4N h7LIWwubJ/iHP4QINSl1sPV/WwVzMBmVzy8No40FFNnd6TUuU1mxrAnuKLHazLsNKgTj7+6lXsym Tm0OFcOe6EoCz41n0GT5tvQgz+2gEofoA/7bGrD84APgHyBHkEfrw9vi2TUiPPxs2LotoubMbK87 BtUFJTntT22EpCmP+6fmDKX5PqfNm4Wujz5KxHqAep0l5GCqh90WROsHxNZe1UBAvCuEV01JuIM6 mmxTToS+v6s+GEAV0JLPpOIk84pHVALhEnQGiC0geXrWMWV2lFaO67O42GCdAdJHtKRT9DdU+cEn 2mBHydr96yCdsi4kH2qqIJfvVVNajsh31QlnwgT+TRAwk6WeXe8k/JBOIl2ZFfHW1ARBVFSrb9J0 sddT1BvZfglsyZ3ofnhtPOotd7N8W9m24QttEkwySvGrjZocR5+/tuHvtOSR2YZ9uJpxyry1CKcL 771j1u4mn+1deswx4g4XXdk1VyPhnEqQcH4GHVcfz1jFe7w0JJl+5VZ7urVP7UFylxBr8d8igiIi oB7gQoNE+On7WlTHp6jjVDGAIlziijWPDRepP46lQhapGt8v/4cFQSsOdj1gB8pE8EuxCFiwVrCw tzbWzT1wrshaS+bQYe0UG/UFj0QDUhwtF3x9YEHVZHQzpQtKI1LlEpofNCStKWtol7AvzTunwJsd 5XmSHEIyThJiQ5MfxJP5Yy/33UeAVXiZCdjfY5LclolW/33tFrWfSModOSVm2AQUtjC2RJn3fx3D yOB84u30i9t+8Y1eOypEWNoLBDQ2v7GaXZRh/0DLDKTzs1UzjyJgP5lqPS5Ac9fq7V/tp/mbED0L JjlsbhboSbRWD3CV2OaMJdAK1VEwtQqzX437TnUV4yukEsOdni3M/zhF/uSmZTrBZF3o+T6aUf9y To2kmxqbL7fPbCo7Cc7ZsFI2fuuqPechB3gerYH/C6vd2qkYDqCvBiI5TGJsL/MVBjOZzRipvuMb 9ulLG6PGjqzpzkFT/ClVNYl6u/H85n1AqexT7lm8ET9DOQpLRq15XKAMG92tbUmXCVxb4bOoNIN3 YX8LTv1tpTvj7v5fKCaFglg/5IYmfDYA7iYWzxoeLWuR6AWhf4nWDHab7l6e9WdqxqYgtBw0ugk8 5DmbL7EkAE6Ro903d3uQHTDCuPs8ZPCrGr+2O8U4gNRF27x8b/Mn4gNq7QYwbSyTZ+KeWlaLTUAS HQjA9k73QJ0aYBxRtFwcxGiDmJyyDiVmFyDkSZL7VK0Q1VMyHeOK3KhKAshcbc1IVSJRt9eCKUJl BCepZj2gYgqE9QPXqWbuSlNY1mdl+k63X9MK1mCD4tOd9e+pTdCd8zYxJda24F0WToKNXsWmEqkI 8YJAqwWTs5UjUVOk5boAh0IBwRRlc8dw2jJvZk86L3DKyiXN0jj+WdxKWiVEPaVt3KdHSX16WWQj Vb5SivA9V9pVHuQ8IhAgKb71rafpvtLbBl0ff6hnLRxPYIJuY0U77OrDL+D4+eItFge7CMw7pCQj yjg/cmQCNa9r4IO0MoZ7lIhBHQ1wayLVz+TwxQ01tR9YjFBnBOm5kdxRunD4bneChzdroWuJT4+a HoS99hlGqO6FK8AkCZ1HW/DhV6EFhNeDpstofNIExXYXcQgDH9UgTeonM2ummXDHMGdx41PxOuGw Gj17wxYudRFu+iqlFiEanaxPv3r6KIGXeJSPkjput9OoZ1ZgUKzGY/0dnwTCwtskQimDDgMszX02 xV1FTN1+xWoRs0VzVCM21wyE9zISasw1uAUg58z6bbshVxPKZka9nXJmEed0vlcWINHQElGxR8bT D4UK8L6PoH5Ku1satmnjugioddumFuXExigWHbILQjKkcHAVWVDVV8mTc3h2l9T/evERTg8kyzPE ZBtdDiMiTl1DGx+R/+ccAfJylrNwDxCCCvNl5HZEK8tHbt9PR8vooocbJApP5qO/ljNIF7wLq5Rq 5fGGuLfDKBFHqnFIwra2cIrbek1cRtwk79jwg4TZtCLKv805Lhky38wGYQ89wCsCT2eqo1w3Qurs XVxRso+ryi2qLzUzOXGU+ro9frwetlL7q93yNB5ueH4EzQZoMByYYE/KGMje1/O7JcX1WUY65BXi k2QSyDWjyMJYPsdtmPOSZ+LMKq3zEZoLYAvGdnSt6Dx5BfAbqmDlnf/V+SMUKpzo5AAwRbv04xkh w2ceZIdyveYuT7B7Q1TqOH1QtTS+cMPGpolK80qbysOkDeYaHuFywdIxNIzlThAE/m4mKooQ2Iw6 sM0ykS46YQnlskkxZREqk88vYIoYuryjLCI0J/sO2fPa2h0NpURtJFt02uoQikKJHMJG9ysoEGb4 h7O5ULC3kI7SQYQZFmQrFxSPWz6F8+D8IzidxIxXWlOn9BoFf03LpmKoqFZ4vrk8oZjmIZ5eHY12 d+zO0WvzwH0vrRi6Dlsiv0DBrP+myoibxwyz+G9dojAP6I64+O9rR5NLBTIG8xWKvRF+ecNS9FUi O3JsOIRJFFeiE+8ZhSboTDgWMWqRmAogrKn2QyrJ44V+Rg/7YqmHbV9eFoEu4CP61mM7ojoYS57X P0mkLXEPYJVoYAe2q84ENjR5ip28zs8oqQDZUFddQcESDqCpcx3QcpKDap5RMJ3pIZbB4lO4blC9 YL4EE+MadztaZl3mtYl4SzDImOlH/piPz1JFl2QRswJesnBlF9FEwu6O1E4pi2lQSjR+zzB2XLba eDaQA5WMAa56RinFDV/7vha7kzXF3UJUBqN07vrI0JoNeuQiQkz8CWpFQ2J8Zg96dT1o/J9/7lwU hLX1QuFmyK/tCmShPK/6j6PxCatA5NchrBHhlW2Ev94W2kXj55J0erM9Qonq5/ONUJ7+wAA7Mbmx YzEFTIGC5kfJSKm349qUYZLWV/RiEOHqXOzGfMt1ASPuzf9HRZWAfJbNUC5129cbuOC2mIzsk0E9 zNZsb8YMYayCkLvhX/Uu2xsCp4xMNClxs2S8VQZ9FWHEFo2fHkqU0657DiODfARhdc6IyE4HOM8Q rGAa7axeDMn9EiPCgbMaZQznEBb4mkuBZTwFAZSbh7TGTrgWlEdjzuODA0m0eCu53n01F5LzOt/U 4xPzM+yemb/7syvCOd1TIlcJIKFbMRDhXS0kpC7T7qlFGUUKHm3qg3B0wN44rq00z58V1hPw0YQv qgbT9qoz5BuHae5QVJFY7L1YCZt5qPKGOlBb7CsTYkTceTmyqvgDqfdtVkgXwID0CzloTn7BD0D0 uHqdYmMePNyLsfwfvBipCr2bK0Ctx+YiCUcTunijFu3LeQjn668Un9CnPk6Iea6mxxv6lnG73amf 4Wcq23WgremARaz2pLMWqOhapJBqf9HZP08d0yNkByNsDm6uFB+YQKLa9V/7k/BeJ1iBa8UMCX/b 5bFjzwmEFMuDZu2aYsD0lpHCSLrc2y/ApVmVacDBebub1v4UIQSpUQzSzQPnWvRoYaxeU9WBAmDB +wttyOnszGq4kBB/v6vQVXOp5r1jW2w9nytLi8orW5E1cHGyCy59JQ+2qJUI4mTULOhi/RHL0bfx V4rvtRtrK5qWXqEXKfjR+Ax+viJAx3Wq8+PQ9Fu36G6n12/b1uQ9RXQ38cwoxMQ11glaIfpnvOSe 8OKViES59m7U0ZQOXrc4oVtAzrCNWe0yI+3IUSxi7XfKW01oH0RL66EMikf9Q5XfoUn0g6M6BYAV tR3cuOxYh2Kvg1pKOf0zKhkM52zxqbUyMyq/nWKDt1PbqXzw1ycPMBXBF2Jn7wwJlrHALRLiiA2+ JtrBAE8zpULewo288Vt2Go0E3VdePUk/7HPkzMtnvb7+AdRNUrJKWOOKbUT+BNa0/wQA8PST/45l 6VAPDbudaV+tWHKmZI1tkQnc57ZxStGyjaFKFVxkr9QfJ6urxkhB5OoPkpnqUUkWIo5tYvq7RnM1 dLHZRHyqPsowiKEEtaJnsP5pe/rhsQLGZoPI4pl59OWb6Xw3vqmPwrPzGRzWr96tblVNbuwlhuIC Vb+wKtkrRSkjZrxJXJAu7b68Bm9hf2nlTv1XqWl/71/Z7vJyHuGtNILI8OyVx7+yccZFOIjC37zY 41ocfD/di/seeJQd/wn3UbSC0d3+3+poLh5cy6yf0yZl1qiUHku9D1pkv944IAqcehDgTD6pihin CqvjzyOHmZiI7dTZ9tq1PllWMsOjBH9SqsrOKUTL4ClNjlqRLiWc5wLpGhoDy/Wmbwk/6I0RvaYs z0vJheew0EQyx6J0VHvSzltsvjh3WMAgY0xR14JDzwS4e1zElBghXyOyLSaDgOpg3tOejCpQZcVl AMrvtkOEYKP6/83SR41VNQ5rAjQoNHsGmWBe8qnaDZFvagqLb4ZcYy7eZCD4tj607Elha5hrLbEo FyR8V9+GrKP9Z4L1EENmYYfXC80lcInfIURznjF1kLQksGZX6+HZYXw4yRyjitwzukSRfUF5587h LMgZm5EyiSdzf2owIbKp0HqIt5y5cUZ75sN3ahqCVkIr4ZuGbGRKBF1KHZM39VySo2GmYGVkFy+Q jbfFgcdRwfd4Wb+xCLaEVdm1mSJ+5gWf3isHsWD5zs5/FJa3a/rzWg6c/ADUDrkPHtBMBRZZQG9F 7iCnUvw6XMFPHSZaPX3L6+zM789ELu6ZJjUmAuvdK/c18vcyx9d7iwKzAGCpCWeSvvfTH0S8bSym 2E1AUgdnhf2UZ8FbOP+/zKEiAAyruuxV2xbcryHBSIexQz86nvR896CImSBDUz/wwPGE2jOZvSae mtbCxzCwz0a2QJNFvAymnoorsMbnnyzyTZX5YCG72ar2WjBmVInp+H39CoHDxloZjQR8tCVDDs0t XdSO7IWHcW26Cvvjb+j2QOSAnXhNS2v7OT6UNJW/cE5X5gA4lbYsqSaENgPT0iUNKSDCmwrevkYy UD8D4oMfInN/JPnH1uIbVz1+EIg3l0smYwrpEZKs9L913o+w+QjjlaIPJsf+7GHtyYiZhvpOUYDD ibAh0dACxPwYFjcsxDzdCjpXqfV5BzdN6sFAqy7zLPAUQak/jQsnNGqITp76gjir30KT2v3ewSKF Y6ydp48342LocLcxbo0LE3qCvmdLnt7VIaUsobOaa2l4e2nJzX6hg8D31lFl5DuA2p3Y9kBOUIlS gOGbsn5TeCqKETZR6Rg2I5zkGx2rhSX5sZfrdnJBNFouLB1FRk5FctK07Xg6JwpCXlWfxeEEAeod Nqgs3InSusmAgBYHszJV2fwx5MCMuAfMIJTmnSBTgo+Et2g6tfyWKi3G+4LOZ5jzSR74CRhLRDKD SJ/SZCz6lknvaatLKRaS6I7phYzQzDgkMrpUSYXdHjTZTZS4inZY7RekzYpGlh7VDom5G3veESy8 1z3TICntQHuNsEeH/b8ejBXlgHhwhAV0VnPm+4CxQbnKZ/lxjkurKbK5n0JvzhVEQL4pBDvT7c9u Dak1JZvIZSaVBM81G5cqCh8QsqHqf4D8ZvcamDlCLx6YoejJph1T+bS/aXZ3GglYVcVmc+th6CwC E1G0/xXwlmQegiq6rvVnUuPwBDHNoXNXuk3kTfV05FpYyblDFZ7Paqrit7BzGDq+P92p0X+cthiT a0rEyDFblcshvVPVIKIIokX+gIFfoUYVjbtL7T6Tuo1xFgTEqNqQGn36DFYU4ekwyeHzcEmdznOi QNEDyXDvx/wlUGulgjnZ7xPTa9OEljyvS5sqkUfHR42RMYgQizM/85g9tJ8IA6jUsDRqBPrGHa9i L6cLRK6c9Fkxw+qO7kw2Bpqxx/SP9uigDTLXKEFDTn+o5erhasMpcSRW5zs/0ZLmbIqC3QtSSdX8 NeeGtKFFGcUuu2E0xDQjyF9xGFXNCs/4Ge9h4zCceAB2y3TFlmcwvv9cxCrq6LnOyu0+XDQkO5cK JDL7tBzIVPgzTh/0/+FR5K6bMxe5C1omjQkzFHfXxEBerpSv2yOCcfBULN9yFh5IrmI4y1tQx3jY W/zda2Q5jGYWaqhn1/ahiP0yv7V0nwHe4KmpWGnEx8eg7BldpYJLyxOoONcUOzLV8nJUhewM3MEZ BNb2HK1VSRoICai8bIQcLpyYOCi5p/Pr1/x8a0M4/uJx5k+IBBwhN6AMwM2x1gntkCelhwpi6FXa UtWsdtdgeQ7MTVZXdUMRjGM8RiFcvEfczytnPoDa52JaQB532MHHzZzpBqGPshSQszsOa4qZYhcf /TsU8kpjBgia8BHMiH7k3PRnktz6oPGVwve/jsLBbHYF12EHCZrpVc4HI9D9HyPgQ7lo1iSAZiIa WINBR8cFNMYmYUt/9ahd1X0F0J0rO2/ZhtkJfvvNpYlZtrpnMeq4RE3bck5BkWghyg5ADOxtbgRS di58/7la31TjQl9dawAnQGaXCuvjyYbVoneLgXKq93OHiE+6JL/uPXwk9x7rHnRayEjIV/GAzdro GZH2hnIM+03dJyjNsIh63Th0lm91Ss3P1UHZhrr7iF/AiRuK1ZNqxfftC85CRZ7xohrscbjWH/bi FodEEvZqfSsUom7jSgv4lmL+TaFIIZv9jjOLTrGNPH5BLi+7EMJztmhPpYONkwlNHs1ahXcA+wPF R3xW/oOYVCK97vvyPKpFv5+kZKDn5Uvt8mnv54gtlXVJY2VnEOmaAML9ndcB+2/d5uQhKQz0jDCD 0qELvXpnL0iSqN2mWoU+SImOQPePOICL4qGSI8KlljzkAYiUIXKYCZK7t8JF6RV6Nb4kwkpCDt7R A//t+CdZJDJ6eFZID4vKDWNzEyPKegVdjiuPTDv8wKkPp0wF0uMwlRbYZkliqOY+ei6p6d8X+aa7 ux0EPssi9IGIRLJ3lm++PgHxWPm1lY97wjWe+sY89hi7O4d/h3BWUbTWfE0S+BHYdAUA6wg2HZUG /RxgnLWh9fKU//WF1pPKEigIw1y184FDc1XhrdKlIjTcriFOItDx9jR/SL4uJFm+wCE6Cs1amu6J es4ae88J2PeltmDJlQGnNqTVJ3KbxvyA0PcABN5g12eiqSRNMWHKr8gaM3SCMC7U+5S61uDeffTn YE2UNNOK2na+JKy6tCEWMJrWhccu5qKWb3lPdaXYYKkz4MqnBpt8zCb54UlAweA3eAEheUcTOYW8 8XkWk73IvPd/28lsMjoeHtNBDZvko10kwYvJIcTJMFhMriw6dxRPX8oFPP/Qn1on3t1UqitunMD+ 9irAUCcChgfYFe+QZVOK/bFr1WvhVecZDsXrnzTAlRQJM6hbsggSf1zNs1aeoxuRmXWiCJ1QxxyS FClw2B2YnZFtma4e4bvNijvpe2Ma9UtrG09ZKdIrKpncvxcx4whF+gnjCTK109YCMXGSQtQkeZRt 0b36wLOVvPhV+6tf8GMjK6neYPfraf+eov4Ls40m4Ty4KQZ++mkwVjgnqhs4SslWM8M3OSv172jh hgPmAgUwriISfeRX/GKTJ5oTZlyTv4f5+jEJwwMkoezhzTpqaXP7tBdOG6SEtlvFG+KhNpzSYRmX Ld1tlXHTLWichwRuEHaE4lhoFeHBf6IQYrOwD8iMsiRNcHLe6eyFT9O7405TB/BXjABLo8Tm1TId 3ErJa9LimH3j0JRW98sD44N5FbMkqIwDCY/qD6kCi9b0UFNWC3ae3z2wcQe4FPzrM6FhkPGEr4wF op7SuoamXDAGacA2h9IMlcXlmnvRFXE+C/PmMNnAPgb8o65+PQmcAXLj/DEwnkGWfCe2uSBidRxU Z0fUM1vAn95fn8nVlg6IiP1GaPzMPvYLs1mfVE++r7Pi7+A6/JMAcRNLrOBXYZWX597x+Gcg8/CA FbtcrVmSADaSNs7vguerHimaD4y3O9ESQM3TZgCE0KICn4JFczvh9jjk0JScZ7vuahirHJX3iQ9o /h83l/5nhEQOxfsTqoWhMwIHJOcP3awHA2We12tCqeKuHTbKS32P/Q1DMnGi8hs9oGdblbj76/Fr ueO2jCFn2tfkkc00FTF1WQ9RhbHM+mAhg2CIvV0GIQEMBAXzj/8hBETTMREoHZrCQuTXrm9FXLRx FiFFcfuItwqmH1AyNqlFKM5oaGle56EobLEm8AOhW/dpRHFR5PI20rvmUf8SrQogh7qyUBSRsRAh Wm01DkkEcft7TiBoLXCFXdbcwk0+Llq/LoD1Eu1C5ZKz5Js24Wfv4Xda25hNmtUJ/ppofSYRY1Nu UBV0eW5TtEXomYlKd1eHcJOapirgMrUpp/kI91Hl07G6W8CB1k8FbStrS7FfRH9qqwia5rpw7JOz A7Yt7nBdVMA17jF370tPHhgxcN/KCpzcXg0RqE4JbwtXni1HeFYmENWhCpGlaadAntkN9sIeSIPN V2d8HzHN44lfR3Glvu4E+VrZJdTpA+oE4YBrY0KadBZ21rH2lKrZMCX0cJRPyfKalwUxnH4iCOhZ yjufFV1DlcorpeeW388iJwKnvDiCRDOwl81N0NX+gCf50TsxhVzgwh+l02e/VXMmDNMG9yf25rK7 kQjkyywg8XI574Exmz4WSMSol61g/ZJrnI9C0WanbQua9ml46GPcgnQEsdjCgZ0U1vtz2Ggg3jYu H13ffeZzLHJTkR7tAJz91ymrtUs36g9eDdgnicUJUPrJkwrc88X1v1QLiKNjxdI+LLlGfFD7k96o Plkr12cpP6cAiS0fQBxBUBRMoRsaGsxegBaGl6qiSLX9HGXQPI1fvj9ZTJmOb6TC7rjb1Kzu0lMQ O+EBINQwWQexbHIt73VHB1Ysy0pQ14JwJpy/c5ndfdxWxtzc5amKVRrwYbwLYyYRQFocuOpazSdg /MzNwT49qT0sshKUxkCnwTyRgPMuoeZuXhYfQy8UTi7fmzhD8j50Wk1QffTb88rbI95nNIY3t4YZ lj2ND0Y9Uv4ekM/e7ruoQTlnGFchljsNw7SgYcSUSU1144B4D9+qnX89l6qtWgL4ptUhN4A5xHUw WaeXh8FfIsdgTNKCUhEIr7gdaFx9zGugbBBkxQE3+PP/Yr6JfaX/q9ywyWTHmoxbbkmbkIG2zL0a AYITDX69L3touNUCGwzOVbDnWv1KIdn7YSOPfrMr9fVid0KpdYm4JB/7gbwOziYlrkAlbnTxn7xS 5X0ToN2ET1icRhZ7Wv5LicCFDav4XU1L/Lco51NZ01kkywp2VFAWcCw5Su2CFQt8xcKXW9MsTA3t CUEmd5off5i0AgElzzdC+to+0VPr/wgBjMRXB+BhulSOyXtrWL6xyvuO2ozpBto+CQjvgwhIw81J nVJaP6UM9dsoPd9LJJWgkFS1rM9c+GHjWqZ1SiQSoiaeSBMuVaGNcmCZDfs88pjCy7F8gehCGlQq nciJ9K6ELbdJqt5hdQ0LcfeyowW/sKbNE/Gpe3XJyd49q3So9p6l7o26Du+8YGHYEXhhw5b+zLg8 GBv422uliEZ00TyI0GawR5gwva01SVVgNQ5+VbIr+h4N91qzET0BgimFiRYq9wX3LWhqYWCL9NZF ApJLZSNc3yRgciAtaod7/gA25oyMybngBtzEGwYt9vbeZgUoFWs58ET4wk77l3nnXPyJGJMOU2xd DYMRD6iv2PhE2Es1fik4OPcwO9QkiZq4Vuf40u+BbbnSA/VHntjYeWi06B7Vb5jp30oLz819JT5x tB3cT9UNiFLKrEVoUVeT0Djs5r1fJs+kyd4RgN423r/oEl9bceaj5OleMagTolrJsF846d4ht6v7 DIzhL4PGyHkPCldOwGQHmjCrf3A4fuUZxiB4+MKCGF0LhaJOQAtBMYyD9+3glTlCd/e3zLQ6WJJT MFNXwKvUfNu0Y7x0vV4w9p1SbtY5OezMvOvHSFrBNWjnN54lbNe8IoVOkEaVlxHlDrSIPJ9I4HMt oAdTT0Fk15/lHSqpTI7TkfUREY0uh1mm+tXLVNBKZ60U5h7fwTO9fNtXUqFoldOMhHbQ6kprJ5ew QwZKpoUB6u4UtjZ2ZeVg66TnIpMGU/dRnNmOxROfcQaM4Zb0lcL5ZYMs5erWwotajbhuUl0QTaVB R9LJKXmQkqczOPNWxdUqDRigFPhIf2/BXmm4h9zNNEn2G/BTvnLeSWIbEHTqjLyWS0+4QH6HMUuZ 9JAo/Q/OTnEJBcH9025HilmS4c3ixYdycOiQqDYF8g0HKdcXx85e90mRJKu79y9YZpp1kDFNYYTX Wr1yNkPhaykaav9tRlnxkNHx4Te7wg+b5v4TYDlvjE0MhlH97BS0CDW7VuPR+S/NmhLBMjgpf4IT egd51sHjBryjDRBhcLvm9OdbRP/ENsiqf5u/XbfAoLwA8kQa8yptLvis95cYzGcdTjPmVmbtAfLv 3XjDYr3E8/luIISngPr7w/u06Ozj+4fXLJMrDvkwRnn5LH0uUIX+KU89Yu72NKBAJhQ2qAM0xIYk n0vMtS5abqzZfYM/MMjQfy4kftmVcuPnA/Ug6ub3EuMPBIC2cBbkWDFHOZhtjE0tGOadSB5K4jmJ Cqdb45KGq+jG/XIg6++Gx0tkV3bTJxQmOZLk7i48ZGGXNHFC2m0mm/ThGYgn+gD4dMToIIon9dmr bdAO2ScdB6+2AzUXkLd0NdjGDCy6g/qJjZkeG8hVbX/hD1QeiYP4ENPCsVijaBMjE7TwRDNHW26K QnnZeMo0lnlDKAmYBCsUAY7V4yHYjchZzSmw3iNYlkvS5hrtwe2IX1ryMq+622IPJ/8CcpZ8NarB avJ2sl8s/W3esloM0+XL93++uy5z2QGF9mCcSqiIto7yG+6WMDy3yAS4S62d+3F88lji0M+AUxRP 2Nn3bnjRqlG+vI2LtmwR7TS4FQ0Wv/RnH/hPzaVFGIvbF8W4QmgYQooBN04de4HVp1G5IABP9dNv +PkOm+OuLplOmVK5LwiQcVD4MKQvnQpfE1tpWU4ctHTws71bN+Y+2OFxJ4vGveqpALqYacFNV4Ty dDnnrSfSpitqJZ2GMLHCRtjL/yVkzWIxbg8r9vByRrlBXNd15eAd3Rm4ozZfkngBtYzpSUO2iIYC uSGURLkiR8TXPWheod2gTm1j4ZttlvsPz1h3Gq0PVHH4ST/+xPnkOzrH4ccQ3hevXbuW5dA3HUqB TQa8wp3gIslaJxOAiSpZEnXRajNsCXjLqhoEMe8oDUSTUIvuMJ0z+zh1szrqb9wS0qpzq3DhVcs2 2ZpD0xCuxt1N+PKcHvGqiHM1DYsQOyjADK6PUoJAHAJgftLKav4mpSV1tuPpOxzYfc9BlShLBeuI f1sV+81n9UAFqOtU7sYLeOghA2dGrj+fbt6fd4nIRKm9CwamKphqdgGGhJ2AKYcgXsMFVP7eeFhT LCzzWH5wRP4T7qQiLqvtIXzQ9O7KYvU1wqf7QT8OlmTwhKq7JQ483yM6verl1bSAWdad3BpxRan6 3QPALzz+ZuWB7Krxc/8QxH+lnNBsXwyLyEFM+kCcbXAjfbmNEeyP4XvanAhQxagn2bA+mpNo8D8A Rz7PS4LGYxajIwSE2QIGquviPkDPo6KaXB2DXpAuEpehejksAEgyoY2Q3g5kRXU9LBX7WuVPMMt+ uS3j76oGbYboT5ap8U1eXMNE7apCSFP7uLg4h1B+pkmLRuE1SLIP4SbokZjoSpXlOWAXbsE31T4U 5fL5seNKGsTF7Xqvic19AYYPK/vaGCf2gB/XMlWA0EV7y2V0gtRNPOgWZBE+CgjfUD+RBXKjTxXV 6I0on1yranLkPOGVnbtKt8G0hqEyeHxizWj3MSzMuKtH9pTU9es+FJ+4XBR3/nlm3ic0Dc8eiCjy NzwtxETSgo/fR7KHC1WeyG3Lfj8iotMxwNAwVPwVf293aGbampPsTN3vXtlhVWhLDHBGyTFMCZof hDRskrI60UPu9cLk88L+d81j+Zs/G6bCw9x4WbHFIhq9TYjUIqwRKAJMmWgel7TUBkriLuw7i2Rg VqzGOP7Lc9SJuXkmyqybaZei4sdg4x+LDn+RpVT+ul/HC2Z5ZSICW0t9FLz1TtOuz5TcMyxx4GqU +Pc4w2ixDwVoTQ4K4q3KNqeMQ2/U8Knyjk1kQ3f7528807Wy7KgFBI91VuAOFO7cIriGuCi88aXK D4kh2asXgoEfPgB+OFo4W1FOpj+y9pdaoEAiet/XG+3kK5+nT9o52aL3jnBTU2gG82GcNTYL9/qa k5FSnJFmai578EgV+9kmRZiJrUlgs3LqlG5DzYiAPORlWLiPI58ZsIuwBGKuRO/xWEoVvaMqOpmx SmyOYbD79qVceiun0WCFnkZwB+OJWWCdvVZumMHUSN81QRYOkb9pB6TeWPSYbamLUwqG5vBUfVMy jv9rPEwr+KZqlNpXikDarPrYZTog1l1b7y/U8hJ2XQsYPCfQiXjb74eHyHevtm5jHJp2vsjWVO3Z 7c2SSdcNoZAEPj8LdE0NOvTstuTBlL3czgsFIddXicy19XwAfF2oNEeAUZvcXejg0az9kXX54rb3 TiIza96hCGuTjm6uK8hMoiQ3plMxnTHCmkWXg6f7VyTC7oIWMddm9eILggvpvvxDaPWy3Cc5KCGz 86qck58Y+pWj6td9losuFiY+uf7AeNfvXQ1ZJA3agi9ssqsGjB++n0ABkSOvrTVZMh/K92xdAVyh IQmYXDXPYo3JiCUX8/LuFOvryBdGh31696MKbzWKDD/6OgmM50SW7iIedxBzxbEzjI12dLcapIL+ 0Cv0X6MBGe9GRfU3a9sBjMw+haIhN8OY6xbDrUorPzv2w1HrhH8EzSTzKyENti4/JWnWN3yp/tCc vaZK2EuYuJpCYOf2T8HUttA67pv7sfgiAjLOIxeXclcHwN00wfLcLUu5yrsKdrHiPOGaWH1iykaL ZeTkJifWa3c98tTjzefuE/fThp3T840t9G+RoyR2eoeECxxrKXSXI6OS1JO3J+JEkn0cgl7ctKni F/bLj/duosftqe9mbLmu7D9jtVA4rXEpEPHHod8D+D/wswB9FWF9kYLb6kz/hx5ZTdcu9eSn+mfL OsZTHYrBnemL64TIyv6Y/gtJxEdi8ZS1NJODcwboC/mlZ5MaT0PskEu/Wad2KlvFMspM87t8drDE dtge9UItJomgLYs+UFzx3j9Wq9SxEzILogGCd/Xjb6vfnazw4gRhEWc25brIIHiKGaZsb2Wdzucd AvMbGgt24HXyjwnUkX/xOHWMKTPpXWisldlQ+jxxEKEDISr7wVlDBVf34cFYVnybbUv2UpB2LxNl KchRVjsG4M9P9Lq3fvQzRTBiAs+SKjF5LeqGgGla/n9Mz3b3lGtxKo1MotejYK7VQU6BX/CvZBcW 5BxWr7nYMLN39R7C9K9JLVtbfKnqn13CcKh2lix7JrTGlq4dFsCQMzIkRXD5MCw0PrtSnYQefYOG o3KgyO0zJw65qxfmgKGYtpb9ozOLK6Xmwprbp5rm7UFbnnTsHsshSuFVHK00wbwwJtlFdtf0or5u XBt/vjB3X2U0WDVkAFyA+8NqOQ6dR01ErXYc8/EifJO6w7mrCMdQprLYPrJfCaJM/AUrKM35IsR7 JB9NRMDYzePuKwX9RYLGew9y9QcQ55A8QqT0m2PCVVLpVTYtWXQE//lSipkdbGVIWvoHe0EbVtCJ lwfmX58Iz1p0Ew1DMFurOfjudncpkMMPngpeEzCteGWzp/EQe9SLLJ26NnzjjPl+vp51qCqBvR6I +S5bMe3U1on7G5NZ2vK7n66Q5Aqv2ikMyAJ/D7pYhnDmae53yrp/IuENU381gtlPxa4qP2T5+Gqp Spy94zxGSnh5yvSeIehTO7kEMU0d18/zGDB524ZC7Nvk/fUbg9/dUa5MNwTp1rnZxMKkJ8jkTLX1 uk2l48u4SxxtXxYcO9SqrpUSxcZjsQL31jtc1vEYlu+Id7UkgokjEbN2KY99Z8haDr1NeVydEmPO 0i4JGY0aSGNOCI5NPZmMPkg+yCO1dRCzTXUnQgRqr1W3xZEbHz0lZi57XciwMG83UL3Hjytehd6D mMSpLjFkAs2FF+/0O3pd8wTTyaU3nm3XKgo0dAXlHlFq9pMrYifbMDJyWsQhGsITsOU56b0Mi86C 0v+fKJlVU03y7vx7JePxMlfwYzxfvsPNpyaxOEyed7nmsn8LSxe2Zm2JJOAY+HhfYEGanTAwghpp 6blaxcZr33CjdrOL+AIPiHMF8gdAZTjCdZwdqkHJKRzWOHPmQ55ZcQ1TbNpiYsDYK7/+UITOrQ6A 51AmBpUyRFmRFbcKV5wZqrYL2HQ5QB0u6CQ1syQYpBcvUrILYguDNNCqyDI+awGq7GRe1y8im+Qn QR501k04/Emq0/X+IKyQTo294ug36/rfM6OECmzvVFJjExOTuCOd7AmLF2sbR7TAa/OoBee1XRiD /u5EPZABVJsUB5HVfy2tSizMwG78Z0qYgFBUMf3aTKsoztSZPf8vAIjGSXkQYTWfFC2v+v0gWZgP nAROliAg+92k+WeqkTsWyy65qOlrL6o3xOFapr1XxRgt6msMg35SjUNDiOgU8UWjCy2m+C6y1bZl g7ipaJ7HHAYvESxw/qmFmYNF/GL7jvgC/SeIHX1e19lWIjlyVPWkJSjzD0uyJjAoB4pQx1SWGtDA 2V1jBtpAE+/ALWzi/rPqPcRVY3V/1VxMZlqE+Y8XdWXazFXgKEUgFLhGb955+zcmpnEIWPq57v7s p4tCsuEyhe3KQ9+MGotRjRZULseQHLVTRzFZusNcWrV6pSlG6C/Z+W6jCCbNp0McVTwgAh5BZPjX ikugTvwRWcgbx64XcGn2JBz6MS6lnW/sCQqheuNTs4E/4DErpThx7RKu9jjB8Q2cg1RKyXa2s1Jr OE+iKe/wKNm35rCSIcxzsbrSU3UpN58WxChoftZidWlFn4H3wneGBbkhk4aNdMp3162bAaVB2DeS CKmLYUfF3URQHehBqrurdMMApfMCU9gNrd+fBp74/0elFydITf3NZVzm0Ur/nPRfOciL+RIL++Za MOGGcgUMcVQTZ8q+21z5zeNf7WLgYLPlXzP6vQPMfr/3Ih3PJkjpoQf8r65X1DMk/E8xSFelf0sC 5B6Q/klwzc1MJ5suo3GcaKJAE0ZJmmbUYI1mWpp/kkBer8eRD8oBFdKAZs7UWpaf+D3qjFWSAMdh sFZk7Ui9BmySwRIosV6kDgoMrlgaLiMzTTFiAJZEg8ZkIr1S+6GnUMHsnuLXpGAzF1+IWyeOtTRV XkCrgT4tQ3/xzpkh0m22knAALLjHWYGhLl25RJfW/+0GJMCt7v3hhQi5lopmMkdhg3MJDkmNxhqx 1qwpm+hxVgwrVW5v1ntgJDIvygzZzFjJytj41ZtxnikdKr1p0WTGu3+yu0cIRi+GwbqnXDOpiQ+o U6xht4IAUHLwlCnpNuogk35v02FvMrX7iHttyrElJRRLCmHnEi5Xjx6LzsNh4v+MARQcjV1aB3Px gEu7lU2kJYTwoTDcy1T6RURpao/DNKPFiaw5UkWTtP/Os5NwJJas53XHhLF+Ms5o9fEfcmjmaxjw 0y9IxVl1wuEfHCBvO5+8kHrj7Zh2wTZBm6YtbtYnexjJ8DRVraN2Vo9oFFAvEZmBnjdioe8r2h9s b68ksm5FNESzrzfCkTyS32addcdyLN/l6jWuTzdbyP/nosTb7Q/pY855dng6jSVd2tuyqq6YmXNi je0t+lNbE2t+l2fUiA008bziy+h1Kk+70ftZ7OgT5L+NrfvAnscC1FhrPf5uraq3AtbuaWNsY3Zd FrsCwPfIR4lqRfdNdUkn0+jScif3EPqAbXgAOKWj6ZOkd4s1dFEZ+wyZYfwqMCCxXDopfXwajUw3 U8pEXTxFCcNI4jWOT/nXoqildZasVmJtymO/fP+7wDYaxRqzjnTxjRUJx8bOBsfapw0qZUG018F/ tjVmnygJjEiQSZOpkcuWG3HtLZKaTYS1zRv5TynJEbFGwjps5ydS3KWg7Dn0hrqWNiobM6bR+efY C4tXLCAKIUHWdFUbsvXM4qEugTU/4j8KBUmIgWB0ffcQO+RV57kngMHXl7vGuyA9cCxIx9l45ocU 0+1A9wL7m6oRY15Q+huffEZ49+GPF60MfC6K2H6NsQDYHDkrbPv9G5CNx3Tqg2LlirxdqtwgUaSG npEIHzp91LTO7aoSddjz+0GB1RfWCprawWrWe4vi/ycPrIZLlT+bKHTlhShCBzBnB49p1KcqV0Qs xfjk8ssPrpf9cd5+t70pSML5PQpAX3XJJVO/zZ7a337/PQkLJgmrFoOmQsIqWzp1TqPlV9PV2Bjg VhvvqAxct8XzwJjP6die2Bt68jtcrLEvSPK5ALQ1Li+frzpplCEdPoR5XXKjZ14EYIRJIcW0KH6U mUEub4yugX0r5yPVvJ9kSBXgLkQaTDMHR8EtB/T5M6UTsTe3otULzyd5w7AMeUaPlOyXWXv8b+4j J8yNkL3wjuYmwpGEZGdDMr5d9WfUhpcVRKLmhgqltR9P+i2+tZ+IderUMPTmreQ37or/LfuLvYuc kSxs5iQsyIRbjghFHTvRdmloGtvalCShYbrXue1ui2yfhHlf7ORODcf4HGCc7ZGZga0NAcSqjkc4 CmQCoBTj5BOuu72lGuU88xDBtnodcoRxqyUK155qIOgNCgAhEoxQZAQ68kYG0wpPAd4sxtyszRjE ioRWmpi0gU7TfKhJge7BLhHsO+Gw7K3jDe/2I+KWLdfeeGNlthHfyep/dP2a25y8J3YVlX23sz1b PCTuhis82SMUKLVvhOM2ccyEKqEkuXbmLLr4EaEVQCwIaBYYyy8EUv+Q5eIGxrBl1S6fQHtznrpm wDwar1HGYnnLjaVoC6qko7P5VEsiy4BvXf5tmpeNBuD2ynP79v5ZgVt4eeuQjYnX61LGMVYoEFSR bUG1zyuMbvZC9CAMoC+CnScm54G0jF4c8nc9XFOSkEnV54LtmuP6hWE65XNTy9YGll06DW49EsTW q3MAKakmGda4lG/YZ8xkpiGHpmTi76NSWSm/3WoqLOMBUiH8F5e/N6N4iO0DlJYeam28EM6pmjlR fCwOPe2JP63d9lSzpLXcEId9Vjjemcd4Soo8+L/mlzo0Ak6D9AyaSpNw94g92ZFX8ux7XV7zFGFF Wd+f4jl/9DPa+A1NE9n4+d6fpukrfwrKWa9dnsFxcNFdskBp1l6NcYjBjbP6ZbS1/z1dPc1r6OuK vWa55mY2sqYZp+FlVGOiqR2jZr+sODoZy6fjbah4V6WUWpFDMgn2+DFs/6EQ2C7Qx+ZxDz7sWMkw V+a9q3MUH/oPrumODfDY+wCU1mzXNNBnZ5fUb45k0DyNjRP1jTCuLyzDwRh8MSwaIebM3QfwDKio 8PEEU/jaLxWhelm7lLmcWVT52ODAlK88BwOTRbljEeUu3Ow2XTSNrGcSeXlEPefGtW2bicV6bvoO CxLq/WJnmHSvQ+H9cpD7mAVKqnEnR81gfAt/VSkLCB8AoZ9TzY/xLtYY/4xKuiHhUXYafUDoPRfv FVxuW62fUVfsS/Ern2KwM1ENnsiLdlWBrR+YnT/yCUJycF297r6zoBVv7cMkEXFFMd0mxTMen5bw Jisfd/DUF0OGn51Pja5uYEGD8PdvsY9YgDHoKVW/IWDLISBWMsYXmONoHD+WuVaHxXhNrtbYm+tW gURhhJJ2ZFoDfPvhUiEcVIS2yUw1+9IJF04uzCxXMALSyyNUvKj6G8rhsstYWVT9Z4mvWCFJdXqg pCD5bfM9AHop3tyBw2E/S0+q21zhenpD5dAZZpkZFcZl6qVsMlAFJZ1srtldRCVp8M/PRHdEaFG5 fW3ImEVEWGHcL+hGW+YZ1MxX/a6ZZ1fEhoAsGR1iihNzCj/mFllh5l7MLc9lwQ11thExJZrBuqVN hLC/6cYdlPidUIeGsIKeJ71KcNt+Ve6tf6V3UO0dccOOkgnn677UQ8gVkDz/PDvWaMxblpSTDHaj CcG+CAZrdpaIKvGXlr1lVzztwQPbCiWIHYrn4c1GiiqpJ/voQY4EJ7dx6Ql15W0jwsNFROSnBWL1 thpwvp5mzgLqRQt0F7SymKKtEWLgnrISfvQItZuX225MeQEXasP+j9FkJE9bYfbw8p7/YQU1/wQR L9cfFMfIC1kmprAix7I/JsX8pOi4VpHhjSXWEqPeB8qKLuDv7XWFS1Y6K9xREG55TqKhRtrw77v7 PaR3b0nwUObKx4zzWjKadsq+PJ4gcj/2eyySGwR4M3l7F5M8zUAwInFvHBfw0+6D75ZO3VDU+SMm g76r+hwXDc3ectSF2lApYBex/PxZ9Krd3SvWzzTGmrFZYKc+vhIltQ4deRmmAUZDiyu3DeE90mRP zeW5H6KGCG4sqjYoO99WxhVsoPxhO7Btcov5kO3rQyCRgAUFW2Fb0tnWCjUt4EbJxG8S28AnMWzN MBWWJGxWePdXHUj7iYtwDYejwzG5bAWgjxKNEzSBbBKQGI5jr8CciVrz825CNRv4J3oudhcAQ07T /PAldHhb090DGIurf1KZ/Z5VoXEQQJymOArelhaXWzgGWtFHVgpYMTxXiHjW1hkyzdZyrc82AwDf CNZI6JJH83KCQmVBEk266vHEr8JOSsN7Jl4MYsd65DKKErq2UyvpfquYom7+i6yezS7R3r/pHJMK LB8BymMsh1YIyq51NUcRwmuyr34OiqTwtjOkMwu5x5BEo0SEV8VnIAHg5iESFMcy+UcPRuln4rNk 1S50fKdnvYSsCXI7Zd9J1/iT4GPPWniHQC/nCMZw9NGP3arVrY/ZsQ+DZU0A9DQLBmR3ZsHnfbtF BdhZAU/HU1Vf0klNDuWnItme6EGlaOZ18zu4ttlUz1taC1SwvRilrSqcxFN/nbmlKCItP6Sx4Jz6 2/k0hEHIFzULrfI70AbFJi55DzM6WPYfDARG8B5b/8mBHvla2Ca7WFmI4VwlGcXApAqxInZvnVkq sYT3XY3yLc7kjCHp8C1Y/Yb4ZWmmHCIJScnijpcelMn7+ma2RigfKmw/4buBVCs7aJzhnuMEaRiQ oBLD2hSPU9TuzraybHhE4McyzoY61HZ4ZMEJ0Zam9/i3XDRXsKUXcAkmv9BuSCvh/8ceDdKaX5+h ka83bU3Z9hQWJzSgHQSjjMbrOZjkfZECPzoKtdLOOIfVg192/gWhepj6KmxrweR0zfHyxiE68/qX TBzDVwb0UC6nKIb8f4UM2hrG3fZMG4BmlMI189upioGFqFLNvXoSMEBzeCJR5XrwC+qvq5gYN2CS pIyyLeeQyC11+PyeyF6Mb8wpbVHJjSI1U5EKlZAKanr/4MvTPSGnXm3dtwLh4EZuYI2l8OoWUXvx mOcAgupb8GewKQpeD0RUkABeX1WCPYk2zhcsLSeLN0nITMUg43Bl1POGK9kzNuU7+LLpKoErbGQH ilJBL/FU8RrAASyIxKrdt91oFyqa+4roQp7HZZGsVKjAOXnXY+abIzX3Jg+lpScKM2iiTwJMhNsO Nv8PsMHG0aBSVe4vOPhEMgwTQhD2uT0EtuiUfd0qKcFTDdquO4YwwE45mm2XScqR/F7QPMgf00fD HKORXOHEelNM4vuqfKBlU2ag3+reHn5X4GY90PZkgfZ6rJeST1Wm88H95toZE/D17v3Qg8fMZ+WI 7tetVjTUGnVd/mh8z5v7932EPAMQc2c/c2uHl278b9HUEBtHyFfEEjsW4YniPZYJqU6oeW1cWGZU LgShWtaGP93rcDyZRfwouzGbNM92lb0u/p+yKqRYWgPe2gidIFNHPvhqxMCE+iL//bGUwdlaaWcI cntJirJb6xeNiwJUXf49200sIeT3ioylVKTiGbCZVeMqfl5ybrv5lOsnMztu0h4eHtI5X5RgfdF6 slfS1aLhRo7w1sAplN36Jz4sXIlrJSS1kfY4V9nng0Ok6g8ExX2ImhhcW7nG+15Z1C9fKFXTzf4d p9BacOU9TZ+qlZDsOoZlN13D5W0tgv8HAik4ujdJdqTgit/MV6T72f4mXObP7DUUYdFUudWR/zLd vkEKPFUqbr5ZyfTZ0mdxsjJnUvY9nh0PKs2B+5P30AzFcRj1aWMqwcJat2gZZg5F5eD1jl/sQgW6 HkWakXh6c/6Hx0nAY7cdOrc9g1O3/Qj0lEKbFWMdgCyLulI7xtBdMyUq/WRCMXfQE8muedhMB/0F LAjylgcFeKn1L5r6ZgdkwCOqHw6XmhfRZRdPMO+WJXQIk6AR9qdiFARlAY4M/zyCjGrJD1UL8fZv 51G5AzWhhoGenbQ746eTbbs18acSB89GfXjt5/jS0+J1Qa8a7nj//4BfeFd6EfMvxXlNOby1RwWd wWZu0XUeq4tzMJEdfNqp2WV+RmT1XmknMuoXfTkKUV68RNWjUgvQtSZeypHBiwIXE9M/vWOFFZ12 UL3R3WZqL6v3/BzkvCxpqxr+VmGz9eq+UEfxpQUQJXWysv4l7NIxNJ6oXQmV5KO0L49AUWqWd8Dm yVOYUJ3dgXroSpXyow2G7dZhqSIy6FajFNod3DhqyrrFPWYtVVJrx8yimAaqHbDalpwPKPEHE7MY B4qF8KFj2ZkSWlLF0qmvH4XTsAW9DDteeJQIkv56NLD6Vqee2I5WlKbgd9+ZWy3XDq24TwOHFjeU r7pc9fU8T6uKfZ1WHdPjuzTvG/sccOsvq+JUEz2KkTs/b25YYLT98GA63IvY20l1S+IQ31hFDTEn r7rfmcL1v+ggbj1ROw4P/l1eR3/S7N5PQWeWLAT9Fmi73xACqqntk6JnF7ozhcDquZlR5+M+dt+/ wKOWEqKqsaYnPqGCZkFBuTHSNNCZWFf8zXzpsqfQJJpImGhbviNsa/W1MY5EtonmdJTq/BTnjT+4 XQg0CWi06cAJX2CvsDHuLdIzlOdK7nz8QWJbUsu61ci7cd4tAsyk64aza4K4SpJI3hq+3y6KPiPd LE+BEhDkF0VLVFXxtVk/JiwK+PXJ6H0SSYCaC2RWrxl0feKovQDDdiEgMGsKtqiOIG93WftuLhdk 8rq7XHOTWft1SqTzvj/K7hUktf7gCX0Fu8MzXutsqwI58OfVtROuBd+MIUfklDH5H2FoKWx2eLqx rzh1QChvt1DRVBCirF2mQOgI5gmYQjgC0+Eb6S92dB3EbOwLR4nKMZZ1z0B5yhLFCexbnR6MJ5bP eLJRwjXfoILZR1xJVV86aBSJHbWz7EBwhfxH0o2jAKgrShHb7DHBfKce5TXLLOI9g8dv9Sh6rpAV YBr74i3/E4CZFvx/0hFVPwYaZ+cEcLJO/h64kYnAHuXLVq1CMiev7izwkznBgXXir1Hjp3I9XDxN 3VFHxdYE8BrYSnVyFgi8lAJgWP9dSFgYpfDVeUopTXfelqKbqbpxWcUe84MzJTlR7sXZROy4fNDH bF0hoUfzDsOqKnqVC6rqdPT3T8QxOFpCUaRpoUYj+AKQ9CqT7g6c/mBZlsvbdkez9yQi/rSvSVjk hDe2ROv+NoLEG0Ezs05PVGJitjh+O6qcUVlr+ehHdnkJxekCbUozNPyAHKsghwGNEK0ukE5veUrb ybpDQc47XzZTDMU0aecdfYKBa1ndJapeS7TpK6GJmpEMHet5I25/j7hKjgmF+B1X/A0e70pmuog0 nrkWPJdrxe91NLYjX5ivjkEbd3d5MmAaxl7o0n0S6Jp23mLXhAfX34nhnnafFO0GFCyXfVCaojO4 5BgebEO1sVmrg+c5yT+RWGOrSmW2RKboLb5Udrq7RQ57V6O92Pee9qEuxXMtylk1m1/RwC3HG37p /hwhB6YuvptABJ03TG1aNOb+Vd+1dnVzpBgeR/GWV31lsri09m61o1g2Il/tLIcIuV7nBoeVIYtw Hrq1zh54x7D1a2UGa7MyR8WqYYgDUkhaYrAJqO0cJ1J8a6ve8hVMkP/Hs12iCiphNyoVrhRbFfW7 T5/2rAOM0WbwDxIfuk8bB+NDphM41D869W+HBER0AIFSbLePW4Bu10skHP4lRrvUPc+rXurIsmlU dlCWfXd2lmuRZGW2w4iJAjQrst0MdSoCaZae5MAiSJJQ/ajrvpG7vuB3oI+lAIEGJWa0dTM2+5DA RcgNbyVA3t9jItNcG5TxS29Ir3CB7YvlaKT8Ft5SEhoogZqsAyEY2YA0YoM7SRDKSbt7UOuN6kKj PSprAa8/pIuqk2nHsMMHCIihDx8M0JRXWXzB8UKql47GULdx14XCQt5cO9MOuZNSsMoXyqB8hvH+ oeyIRrlkFJPFzXU4BWFzI4vI4gR6G6lCVDGwveWoLD6SuXJ6PsFZDVE2cVzplFkvp2WFO3kRGNPb tXG5KtQEYajHsLYHPlS2uvYUmhwZ9vbT6AiWOwiEHMyeO8CsEQMGLIbAn+PNQ/BygxORh5lvGbfh RYXAkFqWRKRYouYYwJ/KE4zVolYAwL6+MOXgDfiLwybYbhFefI+lklXVKZa9mqvK/PbtyWQNWl95 uSrVlO1XBysIosWHOdpXW1GHZuKlTNSgYYOuoFr4qU05O8EifnaNgHI0wXIDHwy7oIfMC46c1LAA BOSocjINzf/gdTAwzE3C1ZBSODzt2EEXtHH7LhEuqENe+Cdx3cak442iwaj5ikqq5WVH/lAiw0Me HiBTQM3/44CDj2xeZ2yDQg7GI8YIWh4V+Eyop1qbDuK7Ql/v9aO5zH4FZ3zInuBfvl+kK6iA6hTM 3ka5wQyYl2GE48Y9X6JM7uXVLdFSv3HeHtVXBmBAoclmEDYuJcfYD0AngWWJ17mZp40c2cdlBzos qrMltBDQyrauebFN8+NxRw4tIlQKcdTKedwIlU/bnJFLunf0hYvqdB12JGWITJ/nymsNB/NcThUp etIenSmb2z4XxEDl1fgND5DolCoBKTEKIFhcLQ4tfnNECVIZP1/BUzAKrWeLWHswXdpzeKucBx2O 9nCJNoaGO35pxIZDVVQ7qRyqP3dPsDkKfQ7qHFi6SrWEEDac3qlNY2U2SIwo5AJnadH4RxIGu+Ne H1HRdnafWdYRfAa6uiopiXMtXP0Hs8DBTMskK6CLsC/rwneGyx+SkBZSTGMlsLhSs24tbhXhD+dU cqc0KLhYA0z0G8T1aiuN4OXvsP3saE+YTaiKUBOj6gwUJeoNm4A50chAf8+rxsDmgMPwzvMk0Lti mgmdSKaCsc1Bwj9AykJBGLfE/l2dA9mJWHKgMyzaiClpqzDp6BERyjYZwa2PqEz43S0zbvuYneZm r94/RP8VC6vIqjLyL/x2G/EFElJ8T04/iBpsf32pVvDmF0tr78hjs+GLjZf5N3Jq+J23SjYLmS3R 8FSATknUL3SAd6KSdLUgEhBsukcPA9IHIOCOyhDpLxiLMfhnhHku6tUWH8aN7Oe5P7Z/oojVnqC3 Q19utUo2g29FAAJ6Z/leOA4zt7fRFLOdNza1+AGpfhkBp5Vx3JEiVMXENWlqHR8BoVhB83NVsPGX g1wv6LI1dTRvDLmqVBBkE3M1ZB4qxq/XsrEdhdCfsyhoauvRNQe0Gu5wqloAK1Qy3/e+5w72wQxj MatfrlS5W9v23u43/RqUDRCkAZ7Z1YLqUo2Xx3eCo+VFpLeeFL3vcgHITzGVmuVGI1tCTOBFGxYt +6vTRoGgRq5QkXUXWo8tqIGaSx4c0LYkO6FUPjF9ZLSv9vbCPWD/bFj/PSktPaL3qx3e7nwhq8ec jfnGIdvJW1O0H4UhtizI+3Hr7ODL/R9FoWJ4YQydC0vH3ZRrWf9A0Zi9xvL+c/WEcSvF5zPIo55P TY6Yk3rwW4jwwzNUeVF2ty9VGaE17M3AbGkugQS8awLV1WQ72T4fr6/Rm2Eco+8mfwTdDUxZ4TOt HBHElmKLIFu0C6HwoDtt9+hkDHpj58JZGeDJXw0tzYDZhXBMrE3RDiCbNeBnYo3G0b2IknCZYlgX Yl38FptT82EGfRZcNpqvmloxocpat3GWYPFOHr/9zcNAurzwrOtOdfX5jZZnW/Hnagn8CpFc4U2E 2qQDI1w3wxTabG+L1lUfoNhIYUbYscm8qaPvlrtjYRqaJ10wlMeT7hAu7YGNgDpVec4HrPEVCL6D xoRgRzjNCI2p9OWkeR/nkkWVrEJkm9zWcICg/b3OnEt+U5zTjZqoss27WoJfh7sPXViT0C1tOhgQ sA+GfkyQKYP/atg/nw4mbu0qXl8UerUUE+TrlM57DzBb8vW7lWbLj0NSBprV88DwZ4qxyeQA1XRn aqVCF8OdpCM0UxtRaWrAx2B6sZKXrUkB5N8DPAUCJ2sGS2Uxry5DA/IGkXTAlMG4G7xy34WCLZf+ QZIQTiQqz/tAo3MojUXlJ7XkB4k+jT/HzfZ+q6potlm3mrSmkZ2LNS59o8Wo6Ak4eAB2Q8FmkjEZ q+cb6xlEgg9W8x63SlZRPKzRinUWudaSttZcHzOxgSsyT6m84BpC+uXSAvl6irfbW68YaoaB8pHO GAW/88bJ4KBlvPy6JQO4FuB4fdz4f4jZ1CN5jFFkhsfkK0Domt+vHp8LtIVeudx19BvD9A83Jk+d O2g1YGb1U7LNWmHfOC+Q+WQfYzm3Xp65YeqZHD1zH2OEsTCDXasn0T0NBupgdpPGnEuVP2nRhwxT DgG6FDJ9CD8sYCfrRhxqRB44uWCX04JNXYfdLflOX3D+pAB72Tyw9EX9dtyQdSc1ikXFIp02zNj6 Wj64QWc9gc7J9T42AYpb3YZn+/hj/quQbStJeaiHGUyIe5BnldpWgW8qcIHA+Hyw/lPcE7EAUM3T HhHjEiJOD0f6+6s3ld77xGx1yHu+tUFtnMSzTLJv/UHT7d6mEudkKXj+3WtFrl13eplrTZTXG/8q MRat/x4tRfAdgA0zAxhfCykrzZt9dJbz4vK9Rn88TfaiEmBAPBi80xYsl8cwCv+h68OAwbR46sPv ATHOXZnklB3mYtC1fcbNyTd2RSQZQq9GB4NRZS000TPMSc06RFW2/omTApFi7DMiV+kVlL6SgaHz Brj6kKX4B7lMc6CNI1ZSG7r5eP7O7Grf2tYwRge6bvp2+ZPoYQ11Kda9m7er2m2Ye39MbbBnnzUq 2yYCMRr/n4WqhNe4sg7tn5H8H0hbOc0uG/35uX9ON4wzehv/BkXKgbQsrnio94zhQv3c2BqlJtCu j9DOGG9EciQCp2XRmOrpR+I/qQPha0FTnQvb5i9QBQWqljZKhx8EHr0zA7I22B972lWe8l0tVJ64 bpobubi3TGIusvcTwNGBnnJu4VhmMuhQVoy0y+8EhfwTIhY7G4PN6Hd9ERfg7WZIcVbNlFTDQpZ2 8WMliz2no9Unemf8KDrrHBy3Oot8zqicqI/eTLbkM09x7+OboPkty/LsqS4LcejKtrQrpA12DDZC DZX9z4oDY3GdQQF1ylvaJnHy6o9v+1bHeDicbtUn9MfYd7gLVQ6pGpwwvhhANCh8FqFNG7Aunsb4 vyX+uA+rdtFEme/PqKqemCV3eEeQPe2YdV9ZOAhBdhRvMDUK8sj1ag57nVGNgK4enS+3ytHqWRa5 jrMAY6X8/o9jZ4yTJGaev7Y2JX43Dpva4Lpttpd3CE+iN+yyetP1e+MMjR1jQAwxaAZuPoe8uh9m a+9u9NFmNUxan0r0DO9jTC2GBMylisCrdp2fn/OTFNQzP70oyGUpKhAGar6KfNvdc+IJgnaLqe0U 82vIfkQuujzShBCKc6WkH4VVN9Hp893nt6/Ha5dAEGW7UYrMMkNV7wWBuPRd04MIqtB66jT+LLPL oOCDP5koULHGiI47Pul6YOD+2SlLJVjR5u7cUfU8xTwhLuyHuHxOmofX5uYetrsb6+Kbc5fL8Zl8 uvYMLCQNx8nsKtXhsHyd+okjS/O5Rn28VQiciNbROsYrOT0pIXz1v1WQPF7FADOvUhm33ST0Vmh3 jp2F1svnbkr/u+n3qR/xFj8kqXzg0h3sNOZ8K+1BDazzAuNzwxsbdSMbV1p7RTze5i+HiG75a7Qa UhV0lFXYulY/LY4LrTIPC7c8U7IoK5HXdbaYC7Yar4mAdwYOq56RpELGzmLBzoH0JIT47CXACoLr YrKvyjwWMEyGtQOB5owEKFCP07g+BQ45OUkP7pAqWgbhIP5P55rOlJ+QvZETuAZh+nZSAgWFBU2s 627IP25FDEQjq3ypM27pc2Kiq9CJkH7nix9HpzsUVe3sUYWinQRE5dAaRdo4VEMwpr21ceqGFdN6 TNaLDW7ihBvbFbAzM4Roz/5DjatlUoFX6sfFeRTVmypoC9TwraPzRY9fdtJuxGgH1pQ2/ozeT9aM LUGxDYQZw34g3v1j26/Plhd+E0jWEpx4mKLLroJ7G0D/S71HC0uLw3M/G3VoTEkdp0j91crmb2pk YaIx6u3FzdIx6Hiz2ku7ud2X/CIbxo4Av/0iHs1qe9SfCJ7LZlxdp+OH3u0Xm2CIp8p4Od+FJ0XP S/RfuYiVwLoyuE6Z4wlN3XPN3nPJzj6JlJzTtCl1lJ3WIKt3HfgBD6CVIgEU+YG2vfa9kAvwvjn8 xwbnEKFkLsqHPN+p103E0gUeviUzg0Dqb03fnDw6+twgld6RO/U9HE7QC4nf4V8VyjSLiGEipUUs r8x9HRQhNWQej/1sK5if0RrAzg2ZVt5RDDxn6t5qtD/nj9GhmVb9Y6oMGRJprFde6SDOElmGTbns dUITHpTNC+ZO14GcRMgcK3SOFtQczYJNQmSwz0jTD11zoos4TCpPjoBILzf/EvKV8bKO428lY+1H wqrWNe2Gq5JhQoEegpngtrtksMwC6Ww54GyZYeYTG4xz6DPzZGW9wdowYwAFwA6/N9Pwp2uo9xjC Rg3OqUEDFTkkFfl7JCtbpx4++gcQuJttOuEk6mpzDpblQoEozxWcAmdmq7u7IY3/3KucAQxWVr32 cdVfgUaYjP9je4fNNL3guUNCzv9C2UO0U2ohHALiNEwn/cKihqmm8/1gz6cSPvXjsmhe3Y4gQ/Z7 QPwo1ytHZpjXcWQGgcWB6KZZTkPrVvyXRYdRE+Xy7UItnLpge9LHhHTYr7j92tiGD1UNoXvxTbV5 lveQjkFREZyyKEnXYBc79o3BmwoS9Hf5gu9fKgDUKfNFJXpUQFrX/sR0N5youcILAfEZBDDJ8154 KOKUFuDbUI8BP2FNtQcJev4rnCF9eidwzlxKunv0hVPiBRP1HDbZiNFnDNqCiPKbIyG3RbiuULkK gMIXendETPgzgNO33o8/gSLViTF4IUUCZExRaeqrOrSmGivQshsiRUlbqHM2javkKb93lBzAd6uL DNBD9BpNdHhVn/x6Eh9G01cWhjWJ6K1e2olz2UOuEyh9nnUSblTdoEQf561SWPnT9mfVRK44Bxcg OOtzRuSagY3KfeK8uI1IrhIxsz4HUlU8HIyIWxGiWiOhnW3dpDDcht7COrrXyECDOtF7xtvhVu6Z NTl8fH59eGElY2j16gonWi+y6kZFcREAl+WrHY9TkPexRLGosUXaXtB5/pSYfeiFi7KlS57PnaGe 0PE8F2LyqDbhqjP994OczeZlWJIru0fu6YlbM/kim0PM3cmYb5n64p0ZW/1D+l70Oj+k2D2+ha9T 3aEETACoiV1mFwnLMXflOBb+EQdq6i7I+ySuI7e2f+aAW6gr4Igv93V50mcb1T8krMAScqBDUbYL 8PaZEgHKsHpBw8ZSHSzne6pNVQ7bislB9gVZg5UU0etkFipPcE1RBA2FCkhP9PXAOc2i9sx0LUtk 6cizK7bu5juCTieVZ3Oktaluzufv7NjyZCtppSxeuAixqyv/xPnZxhpD8nn9usX7G8pFp+Ds6jX2 0LfAvxvIQvKq8oht6B4SdwbawSCmWtZhk/uIjOWj5qGmW1N/edZnHkvDR0Ac0RQWnp50Ri+OFIdZ w+sydye+7m/hS9oywQEJJQ5uKQ1bihhIJodfK3TaWxA3/q9YUVq0dCq5YRaDqMWUbVwooHvCDO7E KerAJHo3ZxAe/mJxg7Xii7GeWfmlZ2xsWhekdhweHNqvGGaoHHhYtnIk+O5wI/tAAzc9nx82S/0r bD12XsUSPAC5Hmc35SJWW9H1gt+dulizvy4IhPHJKnyOMr95HR5nlCA/pY0B4te1o+ekIjl52XpS TajSPzCnY9AbSji/8GI/HdlaQvZuP/+0Yj+SLtmfVsdC5mgwdMwdH2qVSdYdt+lz+mufZkpijbcq hNylZzvV7oQyVlDz20giIYQi0yYOvZJKx0S7KmeSoQe1ac8/QnjiZurCJ2go61Y3F17Zq9ax8tAg 5/WDTxCQNm8UBlHT3Le66QE7Pvow9AdYA4QZJ8O41xKc086JY6nCRI+ViVprhs9P1eZxCHMEl9bF hcuycErnxnNpgQCAiH8wZpKs/IAlhvqVgHUa6uVGS8VD8Rkt3CppoRsq0IN36kxLQfKYSzimpUDy XdEwbFOfdy0NrUFNoy4qiMYhn1Zhg4zbrBTGQhy3wKy6Tn0qNcFZsfS+4IpfQxlcQWyqTAwSt/Hl 8Lv+oGRTV1RYwZ1V6Zy41vE8HP2KgvwF985Ws1+FJA936ojVMpxnxNepYHp1ntkLNiWp4vCv48Y/ ZVqT/tMcpnBUB1XernrAp8YCkBvzqr/l72yVfhGS2jDw61uaQQK/XDR1jTZ7HblaTNP4qwgJleJ4 CB4qXtGmzKaGQGguRteESK5ynm10Gy2frnnfYY8KD+u8fgPlmo7/LuHtfKtzZIuKM7KlLHGEsbH2 4VPGUlJLujmUeQGr4oq0qmXNx78cvl5sDccEDpCo8xYJieqmQ4WrOZ5I9srX1NUEvhVkmGmoSJnj XEWOovEnmIDEx3FqJIi6TSNDczQHT9vTOOnwaYHX6db+ITqwW0KBpxzZk7R6SjcWJ2Fo3XU6D/d6 iiJI9w8Dix3q2/9qcsx8jy+tk/ycnqDDh38R0Y4pS78ZxWXCbn9iWIY/6bPVZtz+hTc7qolaXvmk wpdPVJv/u636ZVe60+XSnP5WiXWoCKc23FOLrbuxLefrGGLmvZ+HDHU7bKapozNR52MBz8EA8nAt 09iS/XoZy1TT/LkyAsfo8+ZE+7nEuqwDBPuXWPA2peHOkKlZMAfF10zVknza/8eCw3VUcco0O8rH dZJW2jKAsD3Gkx41MEBOhBFjaM7+g0U7cKjMCN2qMKUvTKUYXxsnV9159GRSXGsbsDJxllLl1/zC B9JdFrWKMHNOkFIErIYoCQqI/4/OArv5YNdo22vc56hIRtmj5VR/f7AlH089MqQb85xAofba2MJL EGYbkFyn9Cw52vS2PhMtFiaHRTotBnd+dRr5ZlqFs8sqo3a1JoYsM8TBi1bEGt+c1DX+1JCV76gL NJ2Dk209hYTR8DvKmSqKlub+P2NGB1NE6ftRILLlXkLgSzV+dlrFjCXgiySzJpuVMLMeDnuffKIh rD2mQqwh6OIa5VHc83GK0yueG+EPj0TY4ej1T4ZsnmTuOFavUOw/wRsMP3BKRc1UZ+OtsF3END8W 4+JaWyp1iT7yDoV1DLPa6g9QZ3olC/09ENplYU9MQ7ws3Xp9CHBlyZ88OdxKKS5nYVCEdKSZlg5G W0pI6Z4txTlONxbicqUthQfl/H4dz132m57+/xwVYosAU4IPaNR/7a+ogrt0g5tXGJ8tkPkYqo+z 7YxIvZ03F4MMn5qMyPwnAJhH6I8d2YaOwTk8Li0TFs7lTX/x0HMyhQROXSELiYAu2YZUQ0HHbNw0 6diLkfJzxH9r/Tx0ao99HpwWFFWGsvqvGyYRco9TdeP/ZOd8E34tdjaqedA+VAkMUpp9+PrhY/VZ 7gldzTfc2SuNbi2AQGbDnAiS2lfv/O7EULIS7JWaH9a3iAIBPozM6MrgbQTmAqOrqg7HWGroVGIv odBCL777RFHOwIhfos8Ak5gHv5/C45CofCw7H44FySxgfVsjNIbj/pmlImk2x9p/usVQp6mXZfPZ obOTR4NCZdhJsHNg+ZBI4LAgem8ezzfXBq2tKXmx4pt4ZxoDfQ146pVAGySSiswwn4bx6AfqJcB2 ITkeCPivFOJw2acr0TNuA3qPdEwUxeCD3TPbAu7RqsdYdG4jpP5ZVY8mc/wjji7LEMDNNwpUj04d kEKYHxLw9IGjKtrrdbiquHOjJkxpdR8hIfgYQLep64YBGmJ1/duo4QfN5yGs8acrcDM8Wem/cAQW ORfCAEX0R+7XRgY+u6tnC8UxcN7VInQJ2jiXa29RHnkpo21N+QK7M98efwZT7X+Qtxcn+YAosZ2V CqNaqvQeV8cnwb0Dwjyz4sdXKQEgj8MLAmipSPGC6/AjvzRBFJwiSgHvNjBPDLt4i6vLmsYYlDb4 uvqmsscn1PGB0D/TJHHpPqMQv4gETGD2xgEgROkVWODCLEoSA4KBKKZucCAFk0ZjeppipZ/wuWV3 2njKL9cC8V0dvLHj9xzCTxMHdTwlSWfq9gOFeGDh+P/AwpKi9BmBGNJ+BeU6GfKRzdbE8ZvLUD5l RRRdeFjv00p9wR435Oq2QV+pb/OPh3hnhWtGjXN5bm/hrQV35xDMBvJYFaPUo0YS+0MQCgDSzR9K 1XgiUg/GPzP1oHo+2GQqt1OnlTe7IH+ELOSmvCjTG6h7BBE0faj4UTX/Bja1J0RlYnMNcLglEPUj RA39DKV2t3Qjv2RcNrEXqmmcQwmg/SNewHFY+/3LMZJnKufxr5OJSSExkpwUn1W6nerItjAVLVem dsrDQ/idB+IDbZzrQu2WZfSOGrV+XbyU05VjPZ/xYQAg7Q6F5ItyUCXUKNQgDW1VTOBEShmm76w6 4ibLDguj+pKm/zXzzP5v+Q7UA8lGKsjVt/mw3ad8gum+32hGKqXAAWAiMF4yRk3DoiBq+Ck63K23 NH8IujnbsS/Viq5NU8tA79C1yxYKO1ubiYAZVIvvjWpetJe/Qh6ndIsqIOpoe8m/znfVqi2ltjC/ Aivse9cdssvwfIUbY8/m+vCxg5Uvqll/AKOF0nWscAwt8mGxFwS+mbqj0+P+hGXaU37YrfZ+dw9Y XVqg5Aib4zt90MIACbTwCgn5A4FAYPQYuHYexOPiQQ9jOjg+gcGY2/qjNYXD+2y06rSGNdqjbZBt 8m4k8MHLmsAH0gyRYejer910l/mIH6l9ccTroxINEZ12KSfMrsDFJFu4G/QR3ImFgeYEvSP1lQlc cQWf9DgGoaiAPFPb48fw4jRYTCi1ERT+OlMVa6KE3AUfiasAWsPzVR71B/bJwF3H8iPOvjxND4rg ge4mDuU5Uy0G0q63bjqEdVk5LaYVN9hR4vdqIC2lqJg60PIDghQIbu4L9/RNiCf4jPOcEBTMqSb2 MS7ivkEEXPVdc6iPe3u/yNdcQcF+KDP+9hf9uGY9YNPkJJ3B95/isy5mEIb/goWon2UO71ki5xJ7 uwZR1DZAW3GJbywvmqqbhs/X9E5xzFmsNTswOq2u+LexJ42shVqf8XlyE6M0S6Gng0bk+5KI6HUa wYLthp/ZCtHQkD5jMT+wCxXcqgxx6Vb7DHSNU76qO8zXHhrEXH27L6KLcLM0+g00kzL8TalXXS2S aDAETNASjnTZbn/jpP3PfU8Jm3Pso3k261gwH0tzpW8SXhandMrFOMT+vV/cylO/ogBPJ/FJWOxP Mt91rb/ySedSRVUdN31E7lRLeO6ijWaS72dlcWmrvI00HtZMcs2rDE8CiYCJsDhpaJ3i8EE6M1OS QS4wxOcz5w8P1RBhGD+x+HTd0OIT/CcFI+TCQQQZAmLJ2HeMj0LvnWGuwiXxhUnEKmagHET+OjrX D7EApaB9Wqh+XCiYBzfmGWUT4T0CS5O607nnPbINDNoQNwDKXth/a/dH7MqDpwV9WRgtigM48V7M hr0EsFFVZPycr2jNUbmlu6uRVmUkCRdwazxLNM9I0IsOXoHdj54CTA+VLPoT7GVnvNG9a20P62Yk yCS/u7oJxNE5Rs9gQTMNsLWIvQJyfI+GGnAb5lJ46e4RgNGNKNea6JoGpM11QNM0NHQDtXdSiTzA qv2usaSF/nr+JYE4c4hhuIOUK1k2mWqOQmJcoZ3AjjdoelKk/HXXwX9EDqiPwhuXVVw/PyUQaxQY 7OQHfVEdVny8UdOcOX1RzfFfjR8HeMmerOSEOPjm9PsD5S94TJsdxuvAIHLAuboUp89n5bojQMK2 nYoh+LQzwIoFFJ1P0fTQtbsAwy9YoccUiQH+wkeYw530NSIVuCj/0T3B9k3+o4T84dkKMcyz6tTP zLhG744IjARqKJCehK8Wlhoe9312p7Bg/ld/vtjKIiQXyTsx0HdsDO7JrDiFRZWpIAmfu4MyOa/N m2p617OoHAO/96I6y2KyOpMPi2wpd92hyT7wskcLpcXc/3MAH6Xf+LYUuMFQVhQ6y9hN+y7HEuMp B95oUPvWowdOeK8T24mXkhOyHN3GIl70jgNVNPjdIsz55ZwbRUnE1D8ioQ4v6noBnhih5d8kFL7k QGxK14kf35BCUsCsGN3uAPN3sZLhb9ijxkjnWC0jU56ozNzcJOFIEGbShH6415CqPHWvtssqCFiv PJ2i3Hz4DqBzczZiixxdofSHcbVFnnN6gBJ+9qbudWfR1p8JTPS4jwURHp1/C2ZXbdhLPGQzEmCu 5OSmSo5Frz5Ulam1MA3ePrZp3y5+y3rEaVmHNsXEPVqTKtxXI+6rWMmUANCtMzMXyRJqF/+qUvQU dZVQWfn2nkZyKBw3UPmVHs7oVbwmqeKJLmWVXX5J0ztwdSNv1aCp5ANjZWRBlhqhH1gVJ2+88/zx bPKkMWoFueVlv+nCGJx6+qmuTkdH1yxfG1wNZzRd1aszTloXdThGTkE/txaPsdQzsPXqskqqgJAq qVB8Z27ivXGk8idziZrKHWe1271By3sbWUVfuMGviXYhgCuz2BOoh1ymdM6vh61LT9HZwBmP2Yzd g9GpLWte9MzBdRr9kX8uM5RyMaxa2uX6AR5/4Ze1Qw9xmJwxBTbCJMN+C+Bv1iWtOYII/lEsjJNx mrB85jJEGtUCut8lQlvVKNNwHpNdDKXOvOLeShdAM3Bd8xVh8RNzQhU5q1RKPCtQHLH1OtVS/Qbb BaO8OsNod7kYN0LSxUiOMjzoLM2RkuinfNNrO2LJfc21XUvYOWg9UqFs01R1WdR1/N705nK5x/Wm I9FTfb9NrMbUH21znycY03dwoqbRJIGPLZwyg1j2qOcGf71P32bNu305yv3shwrDyinBKI8ddce4 /lI4HFf45KR9vSQ/CQjtW0au799LdYh087j7z7Z3SuXi5UJhNJntYFzj54z5ecJfxv+1/2vebn/z 79ht8COZ8QcLAFRrwxLt+h2Q+Rziw4js/8YzDgVM2BEpdgNl7rNQF+tLHPizF4f5Aba8B0xqMpjq ewr/dQorXisP9+waQSV5mU1jgtq4G5Qa0rW1F0v7OXtH2jF/W8Q0LX8ukxi99TZGcLtD1JkIHAqv Sv4K0puuLKmJQiDuRmfW4x2vCcHVNF/ffwiJ1RDh+mYkbI//xoTgdFc+nO3y83CD670uA59G91ld vNtGWv+Ee4N1U3Qn9HHV7jFsWjV3buLssPN7AL9g9iSnWkQ2m/AI8bzwv+rVwF90/3KhjoMwtzoj O+gkzRcE+Pd5piJF+U3rKXrGt6L4nxcoP9ZW0uulbbjCC7jq0zNWsU8wxIJl62OWt6YLdrmLX/Se oIb29EF4wO0cphPc8BcSRsQPYlCqpsH9e84MQu+frOL+Cv7miKa35pxOF3t3lfnwrNhEwkONplxW A8zt6wgHQxzqWdS0AYHCX1dwE8hlpWBbkQJs3Jm1A0KYBWeSCpbYOooiBloup00aeakl9zkMUCK/ MV5PCBAL5Q2YewxWva0e/PvblmayPwmQo7uYa3FO+WUCmAg441q+Z3opQEI96G4BuWz2gfPaR5n5 oc3LpQtPBJZ1/NKwAZ0IZPi9p6bqYwvebpXsdmnXr5pUaEvUl7lsZFvlK8LpjBVpl9qOq9AkA9Yb YSeYKt0FAw/Q5Yw0sTPEDCUedNYbbvxPYcP3VRbior/GLU38gwH0rYvh+uKWQ7hZEfPfT8QG7ZW0 MzqMsX3Ito1Ik4BhJyt/+emxy1bAV00hT9lF8CeZI2aY7ve76PqmvigdcrZMUANw5zaqdjIeEG+r YBNRj/Frfej44mUmpd5CmbVj4YXTrTiwzLs0HLGhPs54fe5IYaGlO5FjHBly/PsIJNOI2JhV3Z8b vwsqHjpGOlOigo1EYwFfIVVaqC1ImHGYuauY4WLM5VJDI+Ce3rybOezHSKlciz6OBw8z2T5ZFAuJ 3714ShGur+ZszPLIwwxlg5MHTZn+jFNHLrDnUrdjStDZvVX0LXnw8CjcuUIfY6rPxAmKEXZTRBe9 B2xgodW9wSsKjtPtZm8IBz8Cj4/R+tAXI4/f46a1pyKFkll7pv3zw9lb04OlMoCC2UvGJs9TysWD LHr/Av2m1uTDtHKqAH5qH0RbrnrWLjryoucha2A2yo0N/GgeVsEvjQz29aMn76QL1AhiVy0qfdk5 8iKSRAoNsTw/nIAa+DJkZrJKbvdyMXolVt68Ziiw17RF/IK8G+gROa+JtPbx+dnR7U3yNYdpTd8f hYWB3FFmGqI/VbES4eSziVcXAFOGTKhugbILcGkfzXkDEOtwokMtLxSLnOlHD/4aTqD9r+hI32XN 7BN4uTuS6609MTwAos/hNjaepWg9KBkpV+yc1INTidngS/zmQm1h4HynAl/TlglvVs9bh6t+HdPM +nNt5SFtK/IVrBCiMPlaJRXWyZIT/oT8sUab+bVg0Z0tKnffty2mTpYsmyhe5mmby0tD7KfboMIG veeR6eew1RH6KffsAFcKn27CD0K9P24gDbML6ow0QOLsXLhr0zFc5ZRNbcLyU2w2rOkp5p2+zAuX 0l1UPRA7iXIizM5hmdcnxLfEKvIjd9a/elhd5nGXgoW9Cnq9/qHaXkjjQLzXjqhgu92NGJxidwfK ii+o5Mz8zgFeyicNIydXoyHUVgjz9HTjwL3IacYnxcRymk882R0iW8DgAVpUJZuhzZxQ/pYWqYBF I2HKhbm4M+p9G6dbT+PWWDPj4eYOq8OtlfMrgFugPniaoG7IweZEbVI1HbCRSOb/i4ImHjUi7gyY 5bqMPLRpwwG9SSyUbnh9wUFwzw4UTFoIjQYjQWX6IEPwe3P+nFk0Fa5jyMr9o4Mi5Zp9r+WiPjRN mUvmQAbI/m6mN5FFtFLr0gHxtNCLuDBV8aoVAGSzGFiH871z4SJs0LQbkrjr++8wyMwBSAZwSbb4 n0zqbWZ8R6od2p2BYDHCO/JT3ae0OW9LSHt+F4eVA6tOC3tvxFlTLe/dB8oUZJ7p2fwBnORGUk4l QCkNaAiwgHo1EP1EbT6giIGtg/3i53PMetnluOv6Bl1v/089ZwTJ7sE5BaSm1uSbef4M9qO8lJol iDUW+hmp1O4M4T+ZuZv2pS/hHbQ7rolkMW3cTmIBnNiBpZdVzVzWI6O/+4+t03KlJOlaL0//dx5e 7nD74ap+U8YFRkFH0Q7SI3A9wRFIkjYiUrxykqSK2VqHNA6Dn4iHVKvFuqK0mpG6oDbUEkDCwCIM sTuSIDyX6cSwYvIbzzL5PCz7f+UedbScxQ5/FNn52KCQDb8sxVxqQjr27x1ltVABrn2vzYpuwt3G qOQO3lm06m/dkFunSR2/WTeeoXmeMBZuMQqsIT0+SrFjB9v42B8sitK6jmLRBqiuUh22o/K72obg DzctWOPnwF/6ys4z0FjQ9+so/RvxsWBud5eBfAjyfdxkRFAa9nd1uSvKUjtiKMomfPHiBH5Y9pz1 UXD+KzxK2ZvVNWCVjTcgU0qaDQLYslYINa7Mhy9U6bOzuDkd2aS8/yEoVzfepKtY1U96s29XhxC0 j7kwh7TFlZFlcbeeFZY0gVkQdpTHMpvdP/R5Q3EGu7cuFJlth+j9VrazUU3OfS4HIZ7vmy1cpd51 BiJuS0pO6dYmdmFiL6IaVlM5I2eQ1JNWu0OAr7Gzku0WgOMpRSLK0K9A84ed3h7MRt737NVhBe3X 3LVHCoYUDToTNuPh0nSTrX9cHdBeYepEWiA6l+DdraPz8z99dwBgwQIRN5zh/9hH8ZtzHc00x2Al MUG1G1D7hFb4mAxVSyryJzTF9vl7VQzJCZeOQES13nSchXgoppfw2/rTABMO/imu5ds2ZD1XTFjg zGNX/amB3b9Q5dBB8w/XTVSBJT3IYkVUiIFFjMqmVfH8ybCZ0EKmF+vJmE+IQlWELkAYDz7MU1Th dQbiQJPVz6Lf2tWleNhfjgq/cjPGJEfN0neL+AYGOxNJ6Z1YlNbvjXF4pGcjVr4LPCYyxnGTfr83 m3DOYk5dWux5XgNws213V/yca9uaKbMXepJ07e2S8J4CdCN9NHpm4/Ys56Bl15pHIN+APlsI6cqF W2R/73qSCAThaF8ndx/kOM/n/UngDFvghoh3u2dENtoTC2eKPi94mRMKDKs/9/HeqI/9tjJ7mn8Z jiM9+WIADhQCuCmwwmltNuk2DBTWqbIHZpzTHplgLsp8SuvYnW42JO4mmATcVFAmuz6lPrdaTrT/ uNxIUsp5NlEtMWWaOIIDgrBi3aU1BHwTzgvRJRkwtaQjSL9XeaqzY8tptLk1pw1h7wLCH48U7JmA GeC5xuFwKuILUOkONhmboty4YgZu0e9wXk4lbWKh2RqkN1h1TxhsfrAAjMqmHQtXu+X2NeYUEyEV hOKzQoB6Fq8JRcAxLaqOwE9Ft2WptSGCb+XEMSgZSrh7V33Rh5PI7BouR/zvYhJBAxTvOB8+jvr7 OnIZrXZe6tfU0QzJSKrOBd5sDXUWdfmdoRzmtk9jHgzO+1VIrU+RE7G7jjDJIPznZYI5i+1RVJi2 7p2EihRoZjlsRp+SWiB98H1j+FSifIPocL7cruMAkBdAZxRKiInFga/Uh6E2dZiHsqKTmlMi3xR7 wlZYfMUaTFshUf6PPIonyZBFSBauW0daUCqmx/6zI7VUz6pNZvrqlJMiijmsMH8zaigb4wdSTPIL 3MmIk9Ce/jtMBAbCzG0jaqRJzR9ZVqq3T0qVRuXe57zD0LChIgS3bOv4XD9Q500w/k8DRLhM3GWV FS5yvMiFY+z794Q+F70bOKOU/mDNuuWUrOAED6WnO0qCoCVuu/CQwzjUF2OYEvIj4A0Gow0lqYQ2 6KFIC1Ty4rel/ryM4jXj1ZUUye/YIZWO9QctXX24smyZ/kK1nu8cgxL7+qZsEuoBNTeygAsJJrtV ZSnedD3g2zp1ApPw37oCX3MKTM5nsm0/HqDtfW5IP6AH0ggpfccyvROW86m7lQ9FRwEnedhmkbz4 nDx0NsyO0veiD2F4p87viIr2K/84oAtgyqpTcYTJ1iadjVsPC95hw7q0rPgjEHt27VUVbKwIPjps WqTYaUfBcSvq7U8Nd6TFqKbXny+h+6XH8bjhUsdmidrcSlzDWVy+BXsoXI+U/acAX9C57N6a0HOZ wAsouNcOI1B7kROL4tlvyeZXityXxbv49xE9ZJPwsUUuQ0FA8qpA7H48SZaM8de/GVqTdmKdIELg dazE8gZBhpA6LUmeEbdIPh45DbipQrK2gHVZrm2vIoWPtAaODa2spTa//SemFuPI8oScYQHdZWn9 zXN+rpphthJeSAZbNMOpAwbSCvEvsHHu6wpaDsCrYGzXODDCRcCqarzq2w5PTYakoJDTOdeZaT5v ig8VWcSgdjYOCM4IZf5yDsXyJkY5j5VLzQRsFLMcmIN4NQfFGDf2v/wWDgezlYf4K9CF+ctOXXmq ssZMNrIzpi5ICD+vGIvdbar2AIDWCz6OcyO11eV/sz9c1CPCji2WidJoGz4RQB173R6GPkgofvCl VYOXWjuTpcKwOjBl1uwH9KV7T+u8DBhXw+yEcS5JrzSopATt837zWIDK5N2o5zPaMkyLDgp0Tsq1 IfpN5smYZHmQ0RfAw4K1Vpb1oy5o+4iWeITMWdB6lU8FvWnxKOmJXP/DSbAHxiY8IQHjZP2CIueM DL6iOdOX8TdJXFZKCORJrI4A5gkpBdO8BT8H3TjMmtfazucSRD9j1sdXSa3Hb9run0SY+AyxPfO/ ykz/TOcEDLtt1644sW6U4vZ01TxJwcd1EOQHEzjRyO8IqzYLxe3J+wutr2v1EJkohFhDBw7Vf1Mx 1RTl7pejP+e2HDcL4YIx0l4jPM7ujoO9T/+hUnEjDsDfov4MTST2XctSffKJKmZ/qZww4zVESchR tzLFgcM50bfucYMXMKLdsW44P2TsE2HWHymlQt61+5WNZpaW105sd00xnCWqsjcHiP3I1mfTftJA fRIdU8Q8i0iPOM5sks6f61tEkUiO5M+ZWyrUgbpH27aDTccH91mfI/YKy+OjSXC2rENnAihrsz1s o/31J244GY0X7Uie9BTRaPcP/DtfC1cNYh+XSnJ0eZxB6Yfe+MN7uU4R44W7Q0ofZmFPtFhfDU+/ b8qMUGYbUSAVx6GlSN5dwl2beOjamVOmi9UI4yl2ednXr3qM3wYvLcRZpLSICKBc69JziXEayCDv VZpB74Ls82WjD/ZQleV0nY1wzMdx2H+prlcaEMcjUnPtmwUyYURXifrJJXGTBCZAlKYinQT4BPLw QxmXHxq6iHP6O/TrPXB3bBRU+PCUj8j+NaF/xhf2kWYlEUv99ve2PdWQCYsV1N1cn1xRZc7DLgyO ulWUouV7IxYaZla2ECjW9h4m8PgsytWDBlEd2pY/hOXF4UcQLOdJxRrG9IVy9D13XeQZD/1BFuzr z/UpjMYJEOt6KNBRFRFv0cC3VkyIvqID/fi3yY6nCrTZyQIzs6pOOtOHbdd5sVWh6rgzlKVsLVX7 kgwmXgXevcthxdrxJuMjCkpsVS/cXNfGuBd8kl2aBP0A8KDardZCnF3XRy9CADyUQz38afKQienx twERCJyDtuDGBLnr880i6PJdaRNkRrp1JFEhWDpr7Yd1n+Hl3+UX+5by7SdNiKrkSwWfDggJWarM qaskSRwWzoqiojo4YUTrM3qpx+CgzBGmNxVxgZn/rmhUbVTgaYaDiMvg9Kak1R05yhRtNBK9vNdU zZoj5vpL1ZweXD+jFW4y5f6SigV3ZhjlNSVxwl4WqNsi8XCNnIvZ3JC1K689xq2+SDjN1VBeZQj+ RD95ZefOTqmz3lD4rIfVnB/HOIaRvcI6JjSEymcj+uvFVCaYJ1ip8XRGKNciklFuksGCE5iXY3QA r4LpP4lVtgnkD4TuuZBoIOEpsc+U0aDZ84bAEUh4QG729MF5w09USO9Y6SmlWaQK5A2n9Pg+BoVG UX0F2Eik9f8n30bVj5VOXvdn8ggHq0AVCk1z7RUN0KTog55LGSifFWFzAyl5z9jZPGqvOULFdYjh J1+NxBfrl3uEK7Cbuqu37iHKMjbicoDI44iho9NFAEqFMHY2vi8mB6j4M8XWVMLSEsO9FJof9pf+ ZY3pM0dLz4lD/5dgrsz3UaiTdK5GrczWXoS9n6MZ+pRNFTEUMTw1DtDa4X4wK1zJPzG1uUcTgMVa HcaeVhfkxP4d8PDaIn//x81KrawdKIsV4MBZrcOqjZl6+diCQ19f+PerCVjE9e4WgOXMba35IgAO qwQS+fQXil+N5VNdjrE/qvdsxt/riPO0vaGjMoYwZkL6Vt3dSGMOGDWqoygrfM57t+7lnucirqhu BHltBLFJ5mRiuwL4JjMt1x1LSnX2xaW04y/+IpnogU0jM4RxOu1tVzIudKSNz6mnw1xxVAE/kP/M jIR/Y4nJxC7lWljl6zhUhWagTvzmpp80ioCfwMfdBKUwhA6v29N6/QELUAstzFU/hz/1qiDqZZvf OcsON8ZqHFmfsYVP5Yoph9BmDap0baMoBg9Xo2g57kfG/p/BRw/3qPKvUYlAKezu0T9wIPLUU9Te wgxh0GQ8dFTceR7bA6wK4BLsN1/gkeYr+jjcfaUdIezPGM8QhKy+X5/WE1b+NQSVEdbcHN10Yd0x A/mzGJRid/8y1nvsSvfc7/X/oVGFflkw0JLzby3R06Q11wPkXrZY9nzJdfy+sZBtLOhkHzvSKTYJ WxbyGzvPhAVDQVMHUZ9Ndl4l5Izdhs/XG44xNxIQ6jAzSG0uVGhTOok4T3pTGDvHD+w9UtjObrQ0 TEvA9HMig5sBQGXTIRYrSfWIcTZ5dRC5OQvSTt/1iVVeKp9dqY1pZiCO5PUvz/kOEWzY42aiGUu6 feSuNs+km7tCBZqbrnyV/Qeq+XSInhSJBiiRBo4c8VaBDYIfQJBltjD7we2ZvLwqJXt9wbevPbOV fUNzP+hpOHeDDnaFV/QtDge1yf6lA5N1ssRdp48Z/2kICOtvIPfBbb5vR6N9f83v9i2Q776N1HCZ bB9gwz+a8lkNJn2kN7tG97+E7QDoXmct++vFmHu19QbZODE+Ic1nCbO46JGEG+OegGwX8ZUd6y41 wfll9Lq4J0mrFOrxlrD6Pg8aRRyqc3Xuy8i8A4Bey/Vd8T5J0I4EtdJ4cT3XzW2miq84wpqTum6j kwY+xhibdYLLwrjG2M29kmzHWzSQ3WwDHpuYOMMSty9Gl5bBlKiE+GHlCxLA3odVmfti8Nl/43jf 7khpwMNoK26GZh0V7CcjovFgg92qFCmSqRmz38RUQCnPVzgUtaQbrVraqyOlLf0lrCL/gCHn/CiL j0tbPxKdybcrIUu64qFoGiC2rZEt+5Z0EM0rnQz2g6Y9DRKexwgzF62tA/3RsyjTWOJr7v6S8FwC by2TFixkj17teroM4CwLdrv/iujGXlJy7v1mZ+iy/WtI1s4s3vH/DbUcoTZA1Q8JEuRBFFYhF7YY LSR3fkDSaJSnOhTfbCtP5q7GiyeoNTqEynC3NeGwLXePZ9rztIePxiMK6rtu3HmBWGVpHxbRLLEo fGtbjS4b1vojtO6lzvQgn8HRkqc7TxjoEmRAczfe1OT8mpRv75IPFqjeL3hQBGQ6z1jWhbUt57nv zyqHFaaR2lkUhLPmGMdH8W8elNpk7MVkAWcxyXR7tRPzWmztjfS7du/lBJPnVpLG3hbrSLCjAYDa mtKfoiXWd5gN2XYfMpNRB/20ubtNj6FdlpQh/iVfbtVTt95hkfhFWoYBnJzugnDNZ+vO39mi0BaX MO9TqLZidNdobajcp7liAbYekf/Ev6O3Y8jlPBe8xtnJVWdsY+WaPd3apH/EVL+BvZMVAW5ujWkd idwo5oc6a4nqIXUduOz5hUGb1t9KrCJoN4Rva80Ag6tp4AxNZ8Qs9HJAVOpiGYaj446QjUQEeZ4c uIHesWL0Khy2hQtqDokgWJYcLNZ3gwmDM0OVDgIa1l0ZfBAXU17Cn4X17n2bOxQnGNnUYEcqZKqP 2k+pwAq/78FZlcCJOr0c4NfP2OuQeSyFvoMR99mTv8S3S1rVyMDSzS6ZemDnZzMiEcP4ZELIiRN/ DSHmub3MLeDcjrHrjSmYfH86XE74tMBrn/6OkxZAy/0NYmT+8Tq/ma2ofEpS0n6xuqlEWYedw++A dy/JWn6v+iSvtPslXYrqpi9o4pOQWIF+PRUL6mp6AP/2O8owo9JQnmhOGfgnKX1a7XIn5jETUp0v pgSJ3HhNU/SrBCsKCPXI94ZynGN1n45/MPq+kskTa+fEdWJCAo/RFKsZyOiDvRMTanqeS14L2gZk aZuatFUjzaCZLtBnPj6wL1Zq54tu2/4aQnKYIxdMsDzv80auK4kK+EvE5aQy3hPfR2NGxTS1kdou YoziysZXVHwpvppKLUX7tCLDT4mgdx8IhS2rB1l+pQFOAT3NQ9PlS9cG1w7twLp++NEPW5hwo9mv mbql2AcUiymfEdIk1gKpepgRWhY6KM3zEezcKAHLsx/EOMAtP+lkO9SjlWbUt8GU2NdHWXjkNmM7 8jyOyGmrFy3oW0bYJvoBAPo3Km0evAK2Rw0WKvtbEqyR+KXRaMioDEP64XhqS2BvLElcoedAWyyL WiQZMJ2sqwhVju/fL+8wEao3xupt/xgby+pF2FVWh12mWQ2UwSJTLVuY1N7rEyr7mEVddGqw8o9z DjUKhxfh4ZjNwpwyiV5H6IgIYbLlDPKF3Gt6khdyME0nQKvkCAu4Q2D4ZJ/MuI4+CbPEWbepLF7W MFwbjoJICNZWlE7Dfskgd9Gcdl66M9XMhyjCeEg6/ZXvytdyRfR38MoIWm85P0/lDYMcfgUILSbo YvE9SfRxvqQOh8LlzMa/+TSPyEsgUHkzGxHdUtW3hr2W9/Xrb969SZn7rYa5li2vq4TwOdq0Vc1b Oct6vGELNb20XICw5UxFl+f0YnFokCaFe+WojxP3h7YKB8LTlwTCqQhSwA2GqpS4mxzbiPDcp71V onAtoLCbeSnXguwgwP/BXDWRo+bLnpKSd83tLYIf1hTk2itUcjHHDVIumPJ0r2hyKp3eyWUaFzLy KJMhhFwFz961iXJ7S8VThhxmrCby8SuFkuh0GBUV9PvE8NPdtK1dkF/gV2ngoHioopjJ3KfhlBhz tLzfSmaiLdi10jvoAj3tR5Rf1WFik7gtfhkpnLQBVlsIY6WsNW08rp7TBLO/TrTXNHN5oBRASKeq IcwGVd+B622r10JGGe5V+03qOE9NSLlwmJbeVNFnFZvGHk6O3MJXok1yyzrSppwmhoS6t7D0VHeB DHJQiOsmy8vc4QfHioTCsE7p8p7XiHeFrH/bQecvihGHRsNjJq1LXe8T2E9U5GvKe220X+GRaJ36 mlqipYDYdMYNpEfqDNQ3lLr/2k1UswCASEDSIo+9pKykl1GeYiX6vXxHhh3fzbplY/Dk8Io2d4tQ T0Efwr93JO3GWTRVW3GSL5LGkSFQX6avralcwicFMVGdFJlQ9mKVJmMYce4kakfUK2miUxT7YQQ5 9sEUF1YbiVlVB865hhcEjA2dZ/Ix/Rprw/nOALtEVRPUhw889ixWyYWMGPvNPi70tZshweUC9rSO Tn4rLxFNSWldBERUt9thrg2V9Jc6aJOVw1YrZS0R0f5ObndWrgkDUB4UyTwEBjzvzPgSYAIoKR/v nSFpciTeZrSGLBlZObDOjQlwtAic83ptCmiH/7Sgy2A7TgAPnsCXEGxrcHxs2xuPeamD9Vfm0wrC Zx18s95kTnRcblCfXfd8b+AlRVvZlO9khk6vjtVKeb94VWASk4Oss2CFT3kxXAsJHgnupC2TfFX+ AoOmcHlXxsquiu+7RxERDMo7A0RUDy906qwZoePf3dE5myVRxAtFDCNU0kTncnlA79h4fVbyQRFc UL5WpEenoa3aRCyfpPl6yJXJAyxnhU3oKPlWHq+gjzPbhPRI1O5RgestLuv2Mugy8D085mbwjO4R 5tC/mly4ZCdmUts8jhVpvCd2BdFkh0B9qZUthiZBtrQ/eEnQzp0+gdauit9gS3fEMxC8o2s/oV1m tiD3YFodnaUPwzJWZtlaMTVlzos9KYryS1nbN6pbcnC8yh1jgle/nBKBUddw12h0SNm+T/sMjHAE Fa5BOaSDH4B745h7RWFEL8iKlrDT1lbqcU47taOqcbECgogL9h5Dp1JvZdrLqSQNnvy1SWt4a45H 9bFnG64PFtdXFKRYnyfi9po46F6LMPhNYB0N0tAddXzrGQF561NTO+ou3fUv8hTs+abL0RECjKM5 oO8yIl9nG9uczNew00exc8l/016jEqcZ/33GywATxmXx8OULZpt/P4Ica8lBjPrXAU8ZikFF6B40 aAwCP9U3DzqGKheZWuVDNLFQPQZnV0c9TZb1beeECdvL+9xBfuHy6MeRk59+aGk7RFvJdE6EugmP HcchAbx9EgZrRfovv0/0N4xwO+86vCcC5Httnm1rUg7MP1/o9rQhTPmUb3W8cUNNzOmvTAU3yN1b S0WVCMo1khBLzQ2Mw9sYOhHj/lpKaA5q9OEI4QY5z/qtTWcgaMBLpj/EamN+hipY/5RgcerS0ZIp +tiMw1hjPwUftSAMmbn22hZNGYUZCMFdyyytR9nUI23NyKEbAJvKVtXMAGY5TjzktLMGY75cVJiX EtC1+F+P7VUchS7pWKzF7BvXpTXcJJBoFEkJQmaTQDn/2wPlB8UhB0E54rsf0vOAoCnHjh5B74Ft LNUEVCMDSOMKqQgvb5n2MYWQzYDR2dv/TkksIdLP8wTpi/AnFErj2FpV6WocUqm8UQndxCEqwSCR slB7JW2jJV0vGCU4jMtffA4cPJUvfq/7HzVkQ3nP/cEXfmkhK889UIsIGDPHs2pUD1OZ0rL1qIH3 LYJaDs7W75x1OhMa3pyIG5kRpoR5267Wa01JucOkiC6udqGdlL6imKUG22MJYCzG3iEZY1CNnnNX P3zJkPzE2BYtv7D0iT4OHOZ+DVQ3/DRUQ/eUFW9yg2noyEE0KuISnqrdrgu3GeDm6FXE2xEfNYps 8bWUhXYmPtqmaPRXCKt+X1BdYgWMp1hQ62u6TjVy2OsQeE5SiL702EnLgoztRuz3vZ/NFcm8qEkW XUw+dZAfXxM5B0v0IwvqcuG+vmNd08hNd4EREBuk0lT+oMn0d3rzydVplA1x58KTiLEDWJs84IdR Tl+vtgvqdafRSf58SzAn6cQ0RyfhGyB5xCfYDgJ+XH2GXgwjw+/rMe8awi4/Ne4fkkPTaHlYQlQD Zv7TSoaPWR7WjOPYshUJeE1saowzcKZc0knv2LK1/h+x63dn8D8P7pr/oxCu6jWVHgz4UwWKKFFL biQ2cwYF9YHirqmxxmiWFxFhUaK2Bg7fJmz8LfZpRVK0Tdh541wrWac1hpHiZT6bJlcCaHTjJQsz kMkvDW+TKqj2tW/U+PierE0HVJjw+ogHK/84Y9wCvRCxSTl3dD0lnoDRvLN6L6G98OGhMlOOpZ3j a00rq8klKtlEh8wBAINA+TmHoLUhQwW5apP21cj2a8svtYKOPP058h8kkrmlxztBMiy1sO+4Sqd7 7B5S5RovlaDd+/ybCzSv6Lc54xhd2CN++ylGrc/CyJgPqjOx0aLBWMkQICDq0Z2hiMrFhOk4RKyd T63MWcQAs7PUBqaBX+ORzgAwNBQgA7ONdLtWHdY3xltRrxPew9g+iYZVlI4P8kTbvIkBKH7n53wA gRUmKxlxz7f/IDmUEf65oBAnFgc0CmfeeqfxKr+Pcxdkzwo89Wgy/9CKAmccIYV0bUprMrBiDQbt WeOF60/75rVXBIQvP6nOn+SjE7jxfZ5XQGjoRj7jy8nNsJfmzvgq4VV5uQ3QU2ITX6zci13gMgQa 50z1SB6pV2CLy2AD6rXDnyy65UWFSRU+9decFt+3lklAWTUew0sdClLH57DytwUCeL9smHt3x35s FuQ/9n2XvhrV6THEF8Th3a6+D7Np5i6ZqDqHPmuSre/q3jdsYnLKx/Lq3mLxqN1kPOhuIeqjamzz j141Itl52wLVgwe+ouzhMvw2jCTQUqhL+xnpJPetE0IXquEBjcdR1gYbiwn+hOOKrlDf675O33K9 HybFIHThCc5I2tlKJ9q+DEloghsPiSwS3Z2Zcy6R1uuvQO+DOFPHUyxUJOzuchHsAtcYouFRvwed 6lFDyXlr9+Ya/lnSG9uj5Qd8RXEa7gkRDZP1wqql77nP+HD6GGdWT8PVACz/XVWfWiYZG2WZ1uFm OO7tV2kxac/5ehtntvqLebMSlsM2d/n9UmijimMfEgbFe2JOYB+/ILu5iESJXFakAmtk2kyHkxgk NAK36VA+jdp/xN2/v4JDEjBkSJaDags3jMeam4nnb4p4KlXGxy1TDMgISFBJm7QHxbfMaLnSDlnD sgT6APABsr4M5vkrnsJW8G1huiD7s257LjWkRqnWr4tdfBmZWk5PJkdPVys7Xo3Yv6gM4LysL+ip CZ0biI9kZ0KBsj2jM7LduXEvE7BcAnTDmBA2A1DZYMaOrwg4R22u1NsJbcg6A3ch0TD45aiVfZW9 ecN10D6ONIC8I5WXVv1x2NpbX9XV8/SK25eH/B4PJ1FnpQs6JPWr5W4tNwbdsUemiCHFDaJ24hDq CJn3HvVO/a+NEG9OE+cBS758EUpThIG8BPJRSdKEoI27Ot/3sVonLdfgPw7wJnWf6YDLEbVrvoVU NB+VaojGYHcCssOqAmiTwyWCWmUJ0uHYfH9LFTT9Yx09Fixqyf3jZN5y4BchjMZUC/7mMAOUMvXs DztUX37DMf+kJIPSQagXcj3quxquJwlUZi2XslTmyh8hhdPIBIDhLoMhpWPh9WsbiUsgVcDTuNW9 w1c8NqAspZRQx86rOoafe9Bk1EAtx557P3JtY7n39zx6vxM+8U5LfMmNkzuF8ocdXw5jCKHCzxkW vCTiCJNMkQFrTVh+EO1jxmR/hawoKdnrQCOhGGiqkwsXYGba7bVYLqwLPRjmjeNSpZmYybITVVqj PWJpB9jlVk6CkFe+OgfDbTauWTtyr5rlXXwMtASUCz43dkRKTC5jVm5b5hfQnvXNIXu8fNQvZjJt azc/zoSphEO0IovHwk+ICwbDRHQLMGdPu5a/DTudBeklE22ETMUGhTOKK5YdWMtsOWr1vS/zmXZd WUXputq+23v3Pc6jQPES2j5IEtzbUQvH9afdW1De989rrB920P78m8omRRqjbpjfISFpdVptcrcX aLet7h55QJTDB0OIcXAOg1IhVjQTTIZwwbD5so4EgyNqKuDO5WqfybnfOi8vobmIT6SsKIUrqVQC oWPm4zGoXy8b6lSW4rFZ91PLwiZEL6ysF9goHO3AuSGt1OTfA1g5nCUhDy3L+m9nLyGs+F8sZ3JX LZK7LsTSYZQbLadB57U/AxeGtbhVHxvt7LIer35kSk8MU6RAFRzG2OO6ytvfsG5CEEIJiDAjqLEq 2IVMWCs24UEzdlKEaXUM261qjv8qGrOTuYqDTzXrjENONStAWwiLPpZ35qR/KENnP2Zg97YXDhao cUK+UJGcnNAss7vyOwiCB+w8uZfG2i27I2Nb+exDRkIYYXSGvHk0nG6d6NpbAkXr2j0zlzKlKWIZ ExiXJHduoUJDSuMFCKMWV6nEhTDYxIYwrna8VDsF4PD6NZ2ZWp+8kVEzcZM0aUsqLm8jx3BqolHH Q8t+hjb50RjJMk7BgYWaPKmL+PbAqNIjA1HWANW6gDLEjOSw3a5+76BaTWahsFXApi8KZ++jUsbl 2u+KsC1tJDJ4CMWxv3s6hjbeN/WvIgdO/rIWbl/mdevOJtSvI9jnHlWikR4QhJreaHx62s4l+3PI B2E9+BrPEsnpbcKBV7qKpI1gbBUqQTVNn+F/33roWCR214TR0P+s8LABj/DDD2ZH06fYrcgBSJMY ypyC+BvcsRKziwi6nNBpUkMxoUnvgiZvURpxFJKgrcgtfoZRtHlVOLCdCa0bMDRaTGa8Yi6DdB/B 48OgFXcoi13i0j/nEmEbxULxQxW1y46aKsEqEc1WBpsAMDxHBoP+LihYQCo4j+MQY6qX3dUrn+Aq 0Vkv85cteMiX5aTj/ihx6HDoAGw9JPcjrmbUonBrBvclWm0A4y8NHcT+UwZUoMh8TDNkodADEf9q sPs5vT6D2GgDNguxwPDx5MqHae5ALBQgz1fYL/o1lVKwk0kwEIthszEbIpXbhKYYNXyM4C1JZ1Et DSiCQtjX+Hck74nbHfVsGkZMTZcyZpFbJZREZ3UcbxzJqEsKt1wUcGWx8lwvxdqqiDHk81pXlaob z27FTaMujBIaK+/zrAvcLBZoYQ13awY/UGD2gPBadfN/hymmY/+VVgAtwI2UY8tI8AKa/068ZjT+ EHKT8StC3yQql89sVvEXZW7wYIKTmr+jD8hh2Fn0VEDztSTP9wqcd5fZuPmPcPakS49s/6TSJjPW 1ZZiiQivjlahlrzoDSsHK6G0giavgyUhE9dc8T3Bk8cQwVlOSdltNh3o9xmyTFbV6xI2ECMfVKJI qmYVg0KETc/TFnOZbl4uAe/bGnXThShcWEVxiilqgRyDLYSFB6XQkV3gxcYkBKcqno83+Ytp/Fnl 34/ZGEQTuQWIF5eefdXzjQpXOtN8kOaBZJ2E8LOjExfCOtzRVyuWTgh3b+eYwDzFxWAHTaLZI9FT R3HOjxY6EMGJQaIPHeVBLI7tJsFTDOvvbToD2zxNlNn5/90qnBhW82xeDgmiDhjxVwjCAVdA2VjF FBLCrpTi7M9/wq63/Rl/yYDBUzwmkX+OSIvLDC6cMmpSU3KyqXuplEvDiABnV8/4JxvSnBMpiGMq 4EFrGejRyxiXoO8+NqiSr6eXbMInncS/mHyi7didZ4pg+gF9gfqVxfeQhf9oW9a6UN6Anm/1GV3t QDaFhkhB5mpqKmbsvpEuZuIag103un3B12WIEKK6p0e73vbuMSY3/iLinsiDddoj6s7Qte9hDc5b vv1PIY3Gw7FYGG2z5WLr+dmnpAFBVp6dxEwujpsbSxBGx13PgSxl8NsYOpoghg4E6ZTgJBZe888A 8uR/qztM3K3mr9x1/BapvcsNTAvSYPSpkHOvuOMFjiOdAOFz9tgjD/+9KStT3MP8f0jD5o37Sfxs WBChKAdqk1JGWRyziD4wATWwvHNHqhZFACGCoMJLyI5NA4HX8lUnkIK8bGomIKBLpIXJ/aqMW2gC N3rueXKvAU3wtMEriM3a807Uau8qkjT34fAEGAdow39615jRiSOLiWZkWPYYdVnyQmdXtZj2WRiV HMnTaHETZxaGmvY7v0Fg6wL8YpPk1kNCuWHLobuRKtGx8Pl/gJtttK2FUyMgBKbjRx3gxYHiX245 PudRswMFh/9MJssgqtXhZ9JbYe5ba4zmrZ3I68jODu/cAe/zL3qsM+Nwcd/i5goaEocOP3M78erS gPQrQimw1Qa/D6eHlSfO5mASlR5Jt30rnBQ2ZyO0lcTDSf3JoeYNkROSZInWGlpvQoeoCzCgZ3g2 eCAsYGmJH/NHiA4qLmLnOYVUoi9gUBixIH/sW/pzGkonqlOG0UJ0ZLlzadTUTJEvrH0vngmDwSKW w3he23WhxBuzCUa+Se6HSeB2uXQLLZtZYajuCrJyGhWZ73ERilZogpps2wUiO2wMXllk2iMQuXCD 0/c1wfnu2BrzUIsBn/a2aJ02zkm6CXKaWluHTRLEKKf5KQH5R/Z7UY2/7Q3t8S/VzwNmEsULbQGq VF/85WCGiB3ww8buHb844tYjEutNjHgecJoIBMqHwb46tp1ewFAZ9A/BLXvlKo/iKQ0np5DSB4Jy 1r0m8ZFp/mho4zwrkla0DXyU5i5lBFO82RXpOgu2X5hU7/yOyVfcviUAownFkYGrzuts/ZoaFv3l akBYabvWoG8QqGmkQKGKVKlP1Cxwt+oDkjo8YSoBf/Cq2fzqJFEbPcd+TuLFI30EDB0hWeTGLgPj ALQTZCLgdw8+dY3OmcIkO3uKfbtdrG3YQJZqlBNswLSrGmsjgxJIDs5fuXk6T6o1MUxIda3+VUmp ajyNhXibR0JBeo0fI6aptKWgRSlikQ0NBpK68OuqZJdDxlhkGApoaImqkApWEVlnpqmMAFSVbRoR c12G9SRBj3jTnLvFndJl0RTaqA8UlM5kLtHjtab/FVfX8oIgk/CsKCNomRe0PyU9aoUjbzPw3Tfs ZcvRdtAa+XMSWTBVvPWMOIBTsO2sKZZhxSknev9wzKPUpZab+OnAjDnE3TWMhnZXm05CGNPiyUEh V1DtdMGaS49ecyxKx9jzgDk1osY7NMgtPO6IaFMMRiVqTISr+QZFi1uIaaSTbyfhO3lZQRVMbznz bgnBmGG8D4hUTHlVDuGEjbazrstUaCFLn1Bwo8V3SWWQYRZn+LJZGXOpEEtkPLaFWCwV39KHatgk pDQE5pNWWwogPm8kr10F8ONt/TtjdS/tNOf18vblLOu5EIMkQWUnP7d0k+0Rs4Hb/InXb6EEhZKB s7ylsVWkYH6zPv3oWxigC5YBe/LehPAWgQ7xn6gEm9yIhl7wyek0ikE1GttQ1cx8t6DpFX2sJ7Mt X1+ixz3AMDLLNYVCpLBdgPUXEqPWzpjoWLt1GsQRvQJzxGra8Q2UC5Ph7dXra+aP5VkkKhi5u1TJ GmBsdxSbomznASr4Oti5aDJR0pOCF3Jph3suEYdch3Y0z8/p5Z670j/noQthw16xE6LqMsvt4kdo gXJMEPfZb3UaqrHuAoUtPuVejZ1adZdKlu+nKrOyUyGpsrFbs13tY7ob/o0ZYbQxu7WPVPCCfaTm Y+xi0d86RJhGtjEWUhyF09lypIbP0VwupguaHcNoVM1NVmaRgcRfDWjPxKUJS0Nle8iazXbLF76y NpC4JNDW7Qho0/i4zZ2lO6nc6etik+nApEWIiBD/cnEdTGlcIMD9RKsHb60SBQKExkX04W5RgdeB dXzh/1cQFPflW2Pf6jaZ9xCyQqds1oX92MAdghF9wsHn8DL8N7XtQyh6gaYKojtCNq5Ft2biw3hZ R04YeWloHoDHRLnUgnF2rn/dpReZYAl6EbXWGAz3c2zRXlX7FVBPTTWbvWfJDjbPA/E89d/ztKKj /0qj/kEVmdZjrmAUpmmEqFfx/HQDMwq43F2KuDQNBVgEaaKJUNeBm6jfWx5YSotWAKW+u75gl/iv DI0xFXg2feHIJGOnyOrGCKcTVvqt36EojmArv0LFqvoIOeR5Gkxa1GLik7BrkMB2n0R4gDogH4Bf P7Ue+vBf8aqws1Eu7I3j264n40ayu0IkqV+2RE8uInR9dBeffD49BPSGuopcQ8pW+0R0UmOqKuQb kYnn6+rgIHpb9YW+k/kPxwfMMRipz+pMo6+hGRkVPdLJ/Gz+5mdfZsO6k1IgDJQgajPBNB7+wJpd ifuDee+BiczhWAqbLYnwpGdUkBOQ+fvWydLY7ZShXeOAo03t6DWEheHrYJE5kzkbuhlm71LbbK14 p9rQZjqvTOG1n4oo5jGBbxB2RZ+KXERvo+st14nT1E9JOn4txKPzLG3fSpWC2XoMPGatkL+rRxCY aqz4HDsKs6k2PB4IK13EY5rM2HUDtmqfi+nc8dfvmqT/7+dVhnG9aBKZbylJbw1vIN6AbGqKprIV Dce5BGxsVVYwpibxgBP27kRGerh7/pCv1eUZW+Gbu4mr3+Fh8IO112NmY4G12vP6TERxRcveOPQ3 TEaQijX21g0e8r9DE2nNW5pp+Ga2TwwuBItxZlijCXfIl04r9idbp7aILbRBGsich8/YGJHe8f4Q QSsCtjXkGCTSWeR8G9rcPcippMiyYtI3/FuevNs2+yvaZAfGe3CLIPr9tRE9auzPjCG5LZtlF4Fo ATcpVUtPbTVF/e8aAj/ltViqC0V3RtvRsS5u18yRg0sCw/+F0o8OUv5cAxmXNbIC5JNEZvt4+Ssm kHov2k0oG/phAfxUPb/+Evc4JeOA7xPhVF1fm54FMQN1gJsGetWzZSHhcDIRY35rT67RZnSbkBrX Q3c+xwJguJsfWUtYNpS2vKotUqD4/sOySDgMFdT0V+XwpEk4zaH02vwOaXyEcW6o+rW5J8v6ylCc RMVKzzKeQ2SmL/LiE6NsS7yVwUMl8bE2mVkbJATrJgoRl3ps59IsFXEI5+xdUmJAYt2qC13Gu4A9 GShNDrqTmu6rf8+ltJ439on06DtKm4zJTjaIdEZDkc5Aw9ylFiUkWTe/bbEksAAIPx8WagkCU6GN XaYwqZd/7hwVwMsfP4OafUg45/GIOA6XXfhg8yh2Lfs9s6IKSvPijeno3h+PSfA/yOFPjn4r0fiC Fk74GqYUYD5gpeTkh6DIB3QcJpvbQl5WXFoB6naYcOKquXxq29Zpl0o+3qEfIMFfFkcV0rhWyrYL R/Lrbnmx5sOW4XHqezG3EBGuRIBZSNIg/m89WecEtVpoBlKjJ1Oap7WaE9dlE1MGOz6knlSfD7bC qFjXtPhQu0WNLq6j7DhK5Qlpp5ZaaGuVDtyiHRlNO8y/SDsfX1xnipuTN5lpeX/s9TKH1ukmiucN vFEmAOPZ6Libjh3dTnnlaTW+6TZ4cmzRRo1Nj8BJMI1ymYRNIn0CjGui3y1o+WTrMUKs7K7YkEKu HG51Sjf8k4tpQRf5szZNDJ+7yJ0nzFCSHI4DRJBRHnxHK+c3sWvDqPYQZwrV+04/V+1pX9jJOCY+ mVtBt56+OZyF1Hm6EqUBZd6uhedh7EREOcv3TcVBJDsf+U+xk2QhOcR6Y/XVWnKXPJnnCc4eBYuH TZiqZwFXiLRJ2A4dzxm+NxeMNdEyautkxsvqWOYkxhXXDaWBxt3c2rOo7gpqKXpLSGkiguJnc1tr eEMsxAsNyt/QSwlJIL8ys2HQMaLVT6uuWJC/ssM+hL/eGz/FjL1vPVoiXykNNtN6AwTEfOi3wtUn Z0M++buTAypUBxdrJ1KTil5kX9wskT5RDlf+sUTsLiouFbPZzdlD2bnMREkhVG1bK8mBlU59IRFz lsC3xf5GMyukxn+kR3Zj6ZoGOkTwj+EeFUxH5C2fY1+OZKu3PAtu5eT9Au0sABrkLCfi3fmH5C2F LX/awclqZPtnqyFeoHITNcdPcZQX5X0zSc+Ots+gmYdPnU8D99PQqIuc5G5L+D7F5OQ9A1+QwUDD Mc0vLWCANrRz6JzPolOfrfVeVJcgj93inrYlY7VL0lLihVEGrmWcoR8+yv7AhKL7IeSXWKJh+KXX nhBGCTqY5uqCktyxvVuBPbi8BM8o2UC/nM5GSfteGlUnoOmskJwGKXCQ/rCf1gmoTNIYIHwWsLEG /paCPsE0renca2TKgufSw1mrUAbZvWqFCVoPTT/0Lgwvo/CtXbNuRLfHV7rsQHIaHy4FlA9jL8+P P7WlQg3JYv2rS3H8YNBJ3qR6d0E8cq36PC1YipUyPUntcDw22f2hXXN9ehDgpGYsWvB1JwfBxPdj wqUqtmUSx1CyZXp1dKY68P9f/yT0hV5M/g046cGao4D+4f37UAv7BvHw4ETvEKxbmpePK1q7T4Wr +qkHrW680nf+d4CHLE2azNt9H7RHpLD2RGDQPPWOG1mPbbKzCq2q1yqW1QyQ1PB96hM9PfNt18am Q4yXqiGz/6Z8OnZxBcm3Zp0/jiQnpn2lRfvSYuryZtCBOgtUKFHrtyKOKi0PaRbQfYoSlv3lmGsS GFZ1Qd1uGdtn2zgD8CpzO5QCKCZMmGLoSnMWp6HI7Lw8EAWYPJFpCEEId+dgtATeMbiEmSp5oqSy tMtqT3THzrt6Jk9HfR+KnmtdQ3+vfMvHbTEpoZ1t+84RhZzQ4zkKIOpyuGaD8RMLQIroM01rl8C1 s1HtAH8NZ/7eI2wh96aYzPgANbc2KC2PVGnFfpZB8lslaqQgTPpLO8GkYg5iym+6TKxSkeRPFWPi aGaA+vTCvsyiWO90/IrKhE3LoaRgz+Ge1p7JDZ3eEmftA0nJGptmTuLF3QYczCh8WDbM3loAEtUe FDoUzRkYPGuRhrslv0RQfcyUIgt9LsAa7Kv6bcYwspzvkh+qTFaMX31CK0BD6nlth4M2Lzmdiu17 /bw8oR4pmhkXGExYk+cQk2GEKtgTZXYQdVpoi4O3A9yOymz0LsjuWtLdguJQXCIWAYZsdJhJFo7f KqCszECEBJMElhZXoCMMC5Vhr/u+PSPgQwd024xnW0kuY9OdHldudUodWCzKD48NNlPJn8Az4nY9 w0diCzDnLcDml7FgwZU7/zhhBKIyTINpyVdVI8V0/W/CbMFg65rmfml52Oebv/dq5w04mYIRKcoW FJ9y7OVzWE7Ja5GoWStYay7YTGT2uXxMx39JfSGaomYjVNSjnfjMFznXsYTCZrJd/HVaNi2I9alk tRb6KWaSi5QX3ER1f5x3sZydPs/dhUIuQBhq/XgwP4IjufIzwi0PDmE7YZlmxX0MpQDdxBDz028Z ujkL8YH44RL3wi7lcwOhUVgyMie9u4c6TG5wIr1r3fG5QtJfm/Iek6bvl9jtYPWvgv3wXdadLkQt DhyL8xjYGpnUSTdUoG8SbKaJII3WxZGEJL7cN9KxnXvf+0vn/5BIzW/4/U75+G7PpheXusKe+LQO 21r8qJwDWtKJoEdzzww8/xGp4AAJ5tiv36jsUjlaCD2FTax9P2F6Uh3Bm5aMrzbdavCMpNozJ+wb FEU0vT9CDdd6mjl0kVDCIdBIOjBOnZnkeop7iHEMWzLxeghK2x4V4NQfAez81lcq4QtzTXHM64b4 Qxokoz1BQvelCE5F59/7RCiwPL8KdEFWZE9RUEyvic1lFflNCC8ZiBYzHPwUa4LbXC+4FWLzpDFQ iYLLQNAF8IEqKnhpj7J3jeaMudRcVgbD48TTFRFp9lhqAg2z8cU1K8KAVI/WYc2v2igbVHj6LuUZ YX6Kg2XNZ3p/YbdrsBa/YHwlDSJdnw1e7q4RQmZ2jYIcjV1FlOY8ay7MEUdpuQ/LU3fpjXMq+31j XQxYoHlUeEKhJDnP1zlpP4/x76ONpu2mDDFi6OO0r3wtuCa/A/GgEk8L8mncH8cdv/YxlmHPu9FU ZBEiLgeBzqmjhxYTgk/NslLRLAJlUTZ47ekpTKesvEekX1anjvyuBD5rcNgp7eaYEa/q9J8OkzQk Dk9kPIC8csPVzOfmvIpcjcZet3a4/m10zb0s/dmQ0MHrno3V1ZVF1gCTETyy3jVDmo6M65GFoS7s s3GIWoh73XiyWBKRCdpXOsZbKjc/EjTrfyqHNsOKcEvv/U5DQMNnWNydbhTKvoYOn7Z3pBZqfjtk C9sgskIAXxARL1aWYqlNn6sfThqn2iFkFpC38x8XlSUd5oJyIiIRtzqjjxReNLFreQedMzLmdvnj /KvkakCHXy1B8emNV5C+sMjFlK0kfb/GnIm8BL1wAVGNshliPmXY0mAuFygEiH+258a7OzX82mbj dQtq9CUjS4D9qDRdKCz/xQYwTNdKfbmCwCSE0NENIKrkffbON6Hq6S4ZN9TTq2ju0Ii9Ec/wXh62 mqoJerVIZz/0Tpa8Nl8de0ChHE+RXFK3Ipckd/K3Sy2wbJXBHg+6qT8XDWziM2pcGhSgQ2lVDid2 lJeHUEC+vPG+spA8o1wC4IpTbOU8Ky1aovYi2wUY0W8BTPwuahKo8r3HWMR20iAuQB49L22t7DYi dKCQH47MBcOVz4Mhl0mbsCrzI8YCRTz4F0rOHprMSNS4/Nsy3Sa1WqJWfqVmQI3Dcug+nP9fZkua PDxXZZUYzhqcsxaArq2sfE90oqPPdPh/oAbcr7s8SOch3Mg0r5eNruU9TolCioNaR5IYWhx69nEk 7I21GBnfsx/Ptiss5XfsYV1QQB7SNwYbaVGgm8Vra5usbZxuL97XsLo7x1ajB0P1zLQi3CJQY/yX BcKHUbdRgsV3D3jSSG8oEAqt1uve2nRdCjmxAwKfOb1ho0qhrQZoIl0TQdLQPNso4lGt8gegduR1 j1s1RlvCsNpx+F1nE0W5fBP6RrLYh09bMKxWoLt5as4AK4zTJLfufBKkQJPCNdngIKYFuyuHBlIl Hh1BxK1bznBqhHzdWV4nNu3K3+wEqYjlCySV+Nhnq7SYl+cJsAo4a7uhzwKfnDinsQDYI+/OQR6l AbRowLVNQmtfNakCYIsPJ3cFqmKe2EyJV4+ocgtWXOhTqwMAhH4cISrlfkVST/AS9QKImfu11pYK BtckdNOf5D8cTStwrXexz4kwXlKXcRfSEIrEyvDH8iQLFylG/IAhtBCzjcWJhMIibagj5fRsNZp1 UNvFwSmvHFVaTt7qgdZBTyudQuxKlJ1UTW3EL4vH2O4dJFe99aoIOLHup9VM0ER5gLuKoBh+PxAu pq9plthmZR5dZNtkYoB6VXX+Lopz8u5CQJMJFeJRucEVm7k2Nx+EHy8F6d3NwEgD19tcEehXUuTT IFNeV/XHml6eB9sHRilMrRkFQXLtTRUMaZrTCiXg5LsB2CUuDXSBjcesASvD2oSjq/DkKoYwRI6Z Cfl6P7gQ5QJJd6MtRBQQ5R7oxt3KezE9jxmkZiNFmdh8SCDQOlqP26qTdN/qh0uXYvwGINBy67Gb JMEObtGrFLw600l72AXm9N76vAxMRZdcXStgjyGM3MS2t/3sTj0/gYvU2KWwSiORwxb+zqptRADq UScJJ7Jse3BnTYGUWSuXbr9nJMdwc1He9y7uSuteX4leXqI9dwOXhy0QyQD0BhzNkw8qJtXhweHr zktGlJcye8dlckOJT7Iv5CAnlhMcOrvl47hqSUj9hOvpOWTF2YhcZS8NJoRhxT7u3eRJcPJ1hZTh vYRruL4wL97QScnwbW82dpH0HSjwnsXishL8tKnkXxw/n9r9uqSfy+2lbjokjIPS5qDzownxskZS RRw2gtJyHpINz0BA9bAHxalzPZTmhWxrAPqfwiYx4nEptNMYUBWSyCroTCrl3HC6g6a/Lr7QPUVW 57AYojYOo1AjNQL+3Ho/j9nUFyR9H/O6623UEWjTU9XZCvKtgMuvrgG/XCNpvgrjgHCYNSZJmxsh TVrT0ga/mYQa2CMq0cLNVIfV6aMq8RAcmA+ZtWJWl23QT6nM24VUSvp0JSi7b1zGHV9ng3NlLCZq izD3SRn6tendIGnLjXW6CNXPZ77UgqymIpXUyFLqqYgtigXoAeiofHiSgup4Pm6hrxk55Y4zD+Bg 4wtO/WHXtPSPmq8YNhYvKwf7Y+39P/kYPtPB+/9kuhVwJ33CPJnhhVRWEV8oh83NgyZsJ09QtFJY cOqUKL6+pTC22vboZfzIDgFjXebHvrOuMDrtAJPAny6F/kNkaq1IOU6D9Guzd8DgLqMyzdCmd0ca eKLq+qHBedkptC+8JLGBjHh6kHzObCsS1OQQX+ian9ezoyXWFweiaqtqI0G1uCAMo6tQHHt9J3Bm 1LS5FUEpXMSLj185eoHJbXXLDkX6j9qR9eMetVEMumHyOL0CnldpwqOo0Avn6OK2TNgOpqCutBOD +VpRtZygLEFSTgsrCcVZC2fqIFfZg23bpCk9r5f+NIX8C9pRQiSVbbwaJIxFej39rkZ6KJUX38wE wGaDmdyAryk2qVumfZq9qmJeffirRlb3iBhnyFd3W6smkg2LhJPOpo9Es+qRnz3qR9nNrJadCX1B jgVdf/4GdZI/Q1YlsF4xfPtHP3ljwEeLtlD7rpIzv67bJcZ9kiqHbIMX640c8fTP6Y+uCgXMO9aC TLP5Du/wWOYWmS6afDCjHtEimc2a5BKlXYwoTWYsstjGZlLSrUEIAg8su4V9boH8TaqTs4WeSBg7 fPvWAog/W2UVByRLKcdWSdH5vlfXQ+l8x+Vf7iQMTDDW/NNSL/66bvAMcPeygkmShTQrriz5xfGe udh8RHgqZt3BvtilAmJBe6Zyn/Niloyl9CaZGvAGaMAhL/jJqotMAfk6u5onifFn9rcFDN6afxVd 1brWbhjoZqMzW9Ff+cp13UatxInxvl0pu8u2Yy5RUSwJU4yv8GBgZPOyjt+Gy4xydiOGb3FnccS4 0f0qVI2H2SvdR6dr4YF035Up24TCGgglM5pQfzjwolYOLJHUXeTu8UmzaQhcDv/u8BRxIjlpGtTw 5zYb71kdAytFOxBW6D5YQQedGmGsrvXVF95+P7zNkEb2vQHzqoFchgixP2u4wSlXzhgm9j/w0HtC eUewFhv32LnF4K/cXgfprdNJjmJj3iXUgvI/Qr1CB/+Ssrf7EwP4hH3dGjyTto3kNknUEjmJk3G8 ZYJDE2pXV5xH6gOvc/ilsqtbywtSJAucCsWxbdW3oxDWc/0oUlsuHKhyx4z7N9zRAU+4LbSeuPoV BMQ6rWpMRCNCqRdRlTykhz6MzlsoqbDQ5/97x8DmlB92jIOyOfj69cf1RgTmjdmpPhzDCX8vRKq4 F9h+luq//5hh8t1Tfbz6ZbeOZAT+mLgbLkPz9cSjro+xRDBrN8umeFGcwNQsV0iNCeOneMLMqwzq Lgw5lvLZt59xN8Bpy1o/gBBViIjmhKXpaPSFsQXGY/FpdhGtLusNsDRB7UYjqSbArYXl7thUOAG3 dedY+h09ne9+DbfzV6zMh4/wCjbLylm4hA5zYwR8rXi5nq8Iod3mQa6eG6fereER60eW8SvwE4Mm Sol7ofpmxARxCiu57KxNWGGlDOzXuuyd08xzxx87LS/ZLqAJ1LTx0QV6SiGFNrxp4fFCtYp3BeXd Bxs1TFzeGXSrNi3ClXxYA6iYQKbDNtW5ikDKArnDpi68xAm+aD1BzC0d8Mi9utSmaXOPh0oY51cs M90bChhEfZl8ZVDHIkDVvpiuk1UbZ1OysT1idsEOqjs2xyzn5625SuYIdSw4vyO7L1WlFpRBZeC1 fkgqSHY+Gb1whZSrL4ZL3dlbwDbZTr6MUw3lMTk0/BSLnkJAWopEPwqC6KsRW+r0QIlNjPhUCzc1 +bSwKhczRGmv0DxZ7/sHVG0aievIfJlKVxeTCHYyOkixG/5dTjXe7Pk/7Vm0UGKmzHt1QAeNphE8 0w/bShCqN9ZVC7bLu3WehTegH5zy4Flw4raoGWR2GRaAAJ1bjcgLHOU5WG8aP2+KvKXAyrsY6rAP qZJtuG6bUmUN0l783Ua7xT9JQppBT/ltyE4tPDD8mnwh5y1V6d6mEOf/bpFRLe+UHgDPdq12t81k AhaXCcYCV/dfoyU6xTR9Gr8ADqNqJq2mwq5i79MRMRRm9S44HrYeqXWL29f2uvWg+F4SD2hwgN+v VbYws4wP+ZdI0IJ+Hc6R1DLVzJCrE99Ynj4EaRrQMrPvhn+OoTRIBU0Tng9AC4W/T8hyn2zmnMai k/Li6qbay2tqVaH/cJfg1nI3+gxvSFVCIl54WoW3S5LRnJHR05NMeihQUvYkY6bBOx2tL1g2a+/i OD8M+qzqMAjkxV+XB+4+Z5ggL9TDhFEp8wr7BiHCL+SWXhPczGSdSW1eWTGDLrZTOFGweyDRTydA D0boSEBj1CM4nMw+vW2rudBbV1puvkSZv/kSWJxPpO5bVrfQWc0SyheQN/1RQqoAXeQExRu8Lu8l mrniPZGT3yvTMEaqTQaVEjdL5Bw9IsSozX0ekL6Zpdae+ekhpVAWV476cnbmBQ6hT0pdbT7VWXVu pMVEq4HIHWW32qtG7qTfwzAvyvmYS8l/KqcC4+PfYZ5xXclhGn64mlHDpiljTwpaJHumqu3ENuaz JuuPXM4VNY49YRLarnxwdOumTyHN1osE7wJCYSPKPsSOKukQb7244+UIeL2ovswWVnPJFqVmd36S ES8e9FHwdMODbTMJKpZsLwXzMv9E6ixgRZKeIX7wlUfqg3NGvFSLCwAwPJ3mu+VnzQ0t7x1YqFuD i3u81Her8KsBczbIQDGE/I6b/6EOncpiiowG9GOZAHQcVB0wuxDj9sNn4geUr93pNQabsBCl1Qwm XWoQEYt9r1G8GVqLAd1ahn8wWuM19yRpHWjs9SO/BiPw7xOa32WSM00OZHH5xhmc671vs89Cz3PE UGoE9LI4UnrrAFzmooewl6NS204MCJO/l1DPc430Yl80Bwmrv4mVpvVAj2V/Kcm1mj8lNVx3vuAB +XZ3r0cwbC4j1ZaQoIesOEookkuHpiST7t9C110/E0UtgXj4PBQX2f6dDnTHsQECa9VvFyTyibXO 4Rkl4hsVvxJU5XKsDrEvYhze37QyCSkTHH+592h/3dckZ/wkphudR7sZ7dQi6vGaiKjgU7tYfxHn fKBm19kXbZMQ0z3AEBKPXPpS7RdJj5dp2zIO9bqmrGUR/HAZYdap4PA3SWIrtoR4AT5wqqxTpost RjZoBqXY53Wjg3HkmBP2AfSaCgLlOlzG13dLCx9RUNOQVB2hqXodwQc0eZAtU1oEJqFeDNEKKsK1 lTHFwNrGuPaeWgO+o0SF9kcGr3fSknqIFnW5IFkqvYWGuwpfeXyMwJUJNAaPArVJ0lE7HI99Vyfh QgrczwsKgPradMN6nMzKD6lD5OkgKr2LXZ6iiCyXvDGtcqchDtJjJPuho/39zAtnAh4L8IPlEY8I eCofnVfH3CRRrkfmA/OvUCqSSNuGNpNsZcHH6saJHxvr59FKheT+ljqIZX3OqdJ4PlnJUGrDII93 yOpMRLreE57hnQEIM66qxCBFburhMbH1Nc6wiwlUMVAsDfTO6w7u9dNDJlUsddGp+7KwDrhvIpYb pGZ3VJ1X8fb9QydrbW7/NsyqUW0I7NO+EWOebeQd3RDnfpiH2t0YZl0w04ecG6MH2cj5HjMaLfB8 81RMcKebRTD44mgK/sZ0i1Ny3AeIUXDZLDnEY2g4PbRGfJgd7lExaxndRfNFOP++lyYemGF9UBCl CaKjzrzJGtCV2dkWLS+P4RpyUVznKjWQ2w5yctaL2yPnjzSsIyawBHdtdilWotayUexuhuiKKLXx S+9gJMAmdkGFlleFmIpYsmzgpGlnescQO+jKxrdIl/MRBZWQdITHUwA45vDtvNp5EOk87zPeC6+x e493molYb0eKen3wwKJk9+ndMQP9VqgNSvPMkBVeduz7vhA6qaVFObBuQiAVLTSvsUM+jXPlHubG nfBx1JQWWP8FC9fjI5LaOoL9mNgm+Eg74OHxadJgSYZo0WQB8bQvHyTn0ulHUsdDNdxYgFa/pKNE JXIXnDSZfcdZgQa4RtMP7XzKvJSJrbzlI24KV7VD0fbfF0BCSQs69qD2JqxleCHAJKPoHKk6+Ydd ncU3OY3qRftSlI7PwH2+qCWh34My/hlHYYsDOY22ANTAyRLa0hDU62EFc5FCQiIdTQpHUpr0ak36 XgYjz1QHXil6yMBTFbkBfpHUDO9v8VQrIW8NPlYWqaiqEVKHBdJjtwHuZDrHMxWOZY7vM9rAgHMw u8GAdto6umSpm603SuKn87YU5t8UVDtt86LgE5VnejDke2unpVs6bGH092PSCTforDZEtFTVxS1a 7wMakV8MkpTObV8pu75qnWiODjRDjucChzm84jouGF5fQLa4DRFPGu2pHayJ3OY1XztqWeUPLooe 7+5Tok7HysfkslrJb72apUejhDoTRSmGy4FDPwP18CpX17txQ9BKE3kU6ZqM7Bk0HtF5Q1tmVlp1 ubLcZdIVj9i0SG0mUUj9uH4/iaRl9pFkmEVohOPu101lz3rsaeCyx2w2q+FDSmfm+cdTVIgCLhFB Ei7uTqLhq6DK6xbnLSQe6xn4ngYid0Uk236IIVXzmrSUnsL+V6NEbtp3rkLthhmgrtDXhyAloI7m s9fGHXYA7//5khfFSb1/FlvqNRX0ncePKUZsENsQAuDhIuG6GBeavHCCeGnEzPHEGyKdOHriSQqf NzKWFC4WnGvkC6W5k4hRt+jFALQC6nCgI3dUQmK/cmBakc9zfrqS0BJV24uBxEpd2EBoAmmU/c5q IsHjdhrppqfgJbkV0QuinuSkQiphy/EuWF3t1eLv9e7YgXoUCSsUJvHEiO1bndisUJ4P+op/mTe1 jWJvRiYYW7sqqMD8YOd/ihXRONBUU9o2vytOrCq5yyYhqFnBWaiVmUNA8XOCZqV+n6f8Opit8ZNv m036hvGBmJqEzLD+TA5NA/yzt6WWGLawjhaOFE8hhbPPxfY7MZqnDIRIdnuKNtBW1GVQeNGl325O BAX5AmCZEPOqANZDLJafZUOtibBIOSwYvyM+6FYzUAmrmAY666JG9gv+RXXcBtk9zCM6zOYbcOTi SwXgU6gtQ1NSRVKFKdY3Kh+VixXoYPWd7x2wefns4BoYAFMQED73n0beEv5ami3hsUycawyF1B24 a4RGbL780bJ67BAi2KwFkNlp1Vr6Deh+Iuqn6WU/4PzpLo3Citl9FTL8KvdoH3sWq/Gs1vuEtrn0 Wy3csy+h7GSpAnlZESuA5aapd+VzMTMFVO7WDvNLP9iUIgnBAya6BjURCTuAwvS4upsFbRJyVu3n s24VRZS4bb647Vimog8OC3ua1q7lbMM2ILlGiyaH0BleMvHVRcRsHRc1Pzb9ygCHs+wMGqhb0rxT OotkQzLVShDGYIeD+7dcUh2/w3WNx5esm06oM389hSfaN1ZwUqnRXRBIZbdeYkDfObiL/xVq75ll fbzTcrT5kqFaWnEX5xyK+0RfRQZVaAvANMjbc9g5kFR7OfWRM0u8CUSe5gu+LCHj+pe+U70nv7Nl s5q92mfrJBdmdHA4WkBl3l90L0NrI2asOnj+2r8wQ/F5ulwtyohkCz6SRiJ4wDLispRrW60xR7cT /8VZyfGbDC+yYkho+NoV6rxzc5sIMEQq0wAWox8zx5iVxZB+klfm1mmCAEoj7RzYM5LvXcnHqdMg 2zakz8m8Eh0qd9A0zcX8XIfP1tJDyI4xFBFFKk974ZN2kuKVDBuNRoblP0QAxsF6cGHeQjLpNB8j dJjIpxWN7MULUCwnbDHFUnrHOwFJZw8kPWJJGuiGQuKviH9sxG3sgbnI5zBmu+fS8tIP1GCb1TrO fDiIuTjBx4NKBaSc2djBaBtRDRZ1LgYjCaO3WkhSHqfHW1PYt9uhT6aQ/2UzWZv/4ViTgxFGrskr zEJ3Hk5bWvKeIIKrerq9o80DJYQqVr9Gl9AvjmHxht1Cz8NzdIljF/K/sAV+B+2rRtlQGYdRfeYZ TGfQeWk6Bq03Kj7whDK3xxMLRGjzIhtGCj+hBPI5iWv/LXu+T/nqstk0KLS6qQBSdFP84gLb76En IMRhcak6mbAJS0YPQ9qR3Biie/83p9qr8aq94OXbqLhCPisJvs11uUkTnWuuls9Sx4pUlO62Ozf0 N6HAZFNCO9oSj8Pq0JTxV4JFogu39W6JJdBdBliApII6G2ehLhqab601Fwqsd6e4OYg+3NkH1/nO wPiNXPzStkN1FB2adKDDX0aQeU8bOTRep0rUDz1RbvSQAWacma0aN/1uC0MQrzNOivVVwtj66k+E MiQXCtvao5IV20H4LbzWQatKofroWnCBgbtw/ObHeV65pbHXaAdq+WBKL/8h7uQfhtGE66y/Ng4J X8R1LD5h3RTdJRG+IGjvLXhmN9jiLgLE4QfGJMwQPtkQtxNXaBJ0ogyta6fUDrT7ZRVutoOF6ax0 kQf6Ym1Z6eh/OHl+NrYE03YXbSI1DsE3bHDTM63E4yQz2cZ/woZ76g24Qzuqg8fB9nuSejPy9KLE Fk2CVAY2M8nx6lpjGhG2FL6J51kNbAeeWTEvA2fH4PBTftdvVYIbF1g3NILDfarN1a7hFRm00CoM LhKyxHBGLQU8Wy4SMb/TDiwn6Nw6SBFE1ElW7qJFcgXid+U7oOkl5PkHlZUW27XtLxWPiVlnPXGg Nq89oxvPzN870sdTRaPJ6TWh/SYUQb+kkGOHDvQpeXO57DOGM5yzpNDxWXb1/m98qLWsaxycou1m fqu3zPsSne/qsIH+tK1PIto3jb/PeXruRWmgQ6c+UsKcohB3USfZCZy63nFqPqvHi2wp26eLZDsT AmuQHC2D8+c9cNbJqhCJ2hHV+gOwR+QMZIAxJFo4v3ee7CFmPeuKCmjLWS7NIVT/SvqBpghT7Aef h7nSNmAQE580rSfeJjYrUf/K6mDG9RlmlbqUD2KU3c7/7GUukN/8KH9+egfjgeoSaEYaz3ILrxxp EeFt1Xp3SgU9ZI1zudibkjNQ+8o578tLfoaX/swqh+WNMOOkMrcruxN4vQBBmd/651yl3mZq9Bs3 6s/5NoAKzxE2UWAkmXUbu3rKOh8SZZjhXRL1HitoMuyufdn8shbzmHC9yVqM6Sy3cHFKYSnbOkla 1qrBU2BDyxCvzhM8i3uTYdnCy4nkIVBuGf3rSPbVkYt+Bx/xd6f+yOrjQGLiVrBUE2mgPhOAdTg9 584YIwEdPKEKUAmPfnNWSutR9Xy9xU10Rpfy0IczWyKg99sb0WO55iFxhWZookhbD8rAEy62+OE8 9itPtDsGMDACpsDBKDc9ZBJ1djhD3pLZ5u75HGoUoTZ22lUM0I3YKy2Vdsh+iKPYARJFOA+JcgIy 86TYDFRm24OT6xMjXkRjpsgwFGW+sKNu7sUsa9RQW8vid1YC2wJmvpL5gK1JL+PvCPB4qvSSVpUl Qnoc/KFYHKfDU+iYtylZVoXROX5jlGDFF5Ig8jyDiLPu8TXYAYBFfuprXoZoVk1vSAtG2IilNdMA 9sEuwbXss0oWL6JZN9+7qV5eNy5K9lCZ0GIqdXRH0oU5/8i7+EhTx4osW5nD1AM5kk8F7r6kX696 NYXawM6s/hZiTt6kP6VAv7kZfDX94I0hVDN/HB3hx2AxRDAb7NgGWQBN3XfaDkMTLkAGQp5/PsCn PHne5eRpdYWOzHNKTMfcLlV0/wh17rIOWEFdH92e0bLDlb6LkFtzjsTVpAwFBlhZdWGKFdJCFx+m UY9cBToBkALAkmEB07wgSjZRtLLV4mjG/6p8eg3xueb/BnrXSO07bz8c6jqGj9RjxJFjYiUnVeI2 IhiJSiDQET/tZOlfcGXqOwWNSJBRHVihFgf5O2k7AgHbFgXVXykkKZbvHcwjbfG2LhB09SBgQ9Si RgRbKVZgRmqqouot6hBjaX+f9/eNJkUxH7byZP4PKN5zMYEbelyZxsWEQhcqtMnCjmxPuMXbkh7e 2uEsVxE2Qn7GiyNPZiS+1YQUzy+1xNTCS0yYa4vqdDOkJboepa26dCF/cQlvWBz1gMDVyxBVaY/N 4Og5TbRVugYT1gfpdEPXNFVEI+iAMe0AuWXQbqoBXYej622Ri31xJplUB9P6JpQn96/MTIWSQ3V3 z3RGTfa8UVvTl2sXl9ci1TIqFr022yKdl+GaZ5+OVDjhfHLAXNiq79VkFw4BSMuPzdrigZ3hSCb1 eEpuykOeaK32stoc3In0egDmJ9JgstA+prJthTTpyj/opFWNjj99QEvcvclfz7JSZ04GQF6+5hFb Tua+eRWgK9fud4z9BY7CLwS+elRcY9gAIEav/sKaLXdv1MfVqJ/Gr6VTHNHPA8tus4KJT0A+2+GX 4zN8p5xPH6L3EG/Jig/K2BeF3/+xXawAl0bg7bBlUPh6wmrRm9fglWrD6T4UTEvDmIzl8Eat4OMT GwHhA9fjmU/Oy0ii6OktMZEy5mEc0p9VFQd74cnVsUnibeh0A4suI+inQYOn59KYFuQhrA42JqB4 WIv2kDSq8e9LDaL+lBugtfJ5qKWhkgRDLNt5VqyUwhNjXDgcdgJUAGlRbL5Gd77ZGAeQH8KVhFB2 2CtxQOxpcAjpkj0HmskzGu3L00Yf4Bh84k9PWx35IE4b8itBhoVcBTQR973ihRyXWlJfqZ502L9k TYbaCOdq6Mfqd94Ze3LMw0/wSwIYRbUngSIYQJKkr1YDBihoyG0bY60fT16Zbie6mPLJIuI0JkrF dTFUtVCdE7iG6C7y061Ypj21GlG3e827Fdh3EwpO55IjF5M7uMISIDTIwuy9xBTmWm07q9V4zAMJ hzLUQVR/XkbQ4WzrEEDAbmLJwZnj0hMBWQY+uQ5L82A164sfqGzi1oKITa3lAbtrUbxVT9ZTkWoY kjWBR5Lb0pXucwNZ13omtwc5DPadGBKHQnovDp2noTnS48TJmRaBr8lGf27at5y+iXWDFUc5osYD CB7AUsU5Z69ioQFJQjrh+pfp7L+w9IXviFyRchrO4LdVQd9d9MEMR+RDx/KrizuDpr5zYKSNMLqF JDYT2g377YD+6ZUy4vcqGJMzUc9RR8SzRCyGRM6dU0y294skiuXJfSgJm67MnndQZqagatH3Otcv I3VM4Qc0CngVEGbjdxRmXHT4no+7vW9yqZY4UDo/Bz/m8Ybcxvik7HOx/NJo6WsaarYgDwJrveFn 1BASwsb9TyI34WfBP8p5YyngvBOxK81BBvlb5i3cgi/8kobQcJNkuxdw5ZJO4DhDwubJn4TtaXDS bBsnjQRIfSVjRRU1jL8Hh+r/5a/NXelascdNhUYRQRjpUryWmB0mv63a6NHW2QjWOICo0lrv99rw l2ngiDxEBjYn2D9YZkJia77F//F7YF6RxnpvsyEMnIsKOYUXsR+Fvo6hWmTRKZb+ebRMuVcpQTtU qpH3XLCir3JBorV3ZMueMMBw2nyzUmhaNQeGxkRPgLQDA6Tk7K+SmbTKh2ZQcGCy4MGATfvU0cqz gzBz/lpUUC4a+F4OYnibiJEJopdETI6Sx+Ncuodj6J4/PAe34Gi5bWoNm46qjDbmyZ3dnvT5cEbg GpQLsqt9ishvXzbtKBM8+xYDmvdd2LfqtO8rsHEme7AR+l9xn3LeILWTXPEstOvxqVMvI8CCECfO CO7VM69XH2E+vvtTQ5AjL1pYvJUMUOGyi43gfQNUM082SY04bVnV/qq52VoRdmWS2KGmkOaklDuV BX4Nhl+cUZz/8YSfNAgaXrSgcnhrcmpZFtd+7tUNM40qeDyyaNy4mB3UYiCinWxk0eqQ/vbmfiV0 Gyz9y6Lh7ZGgx6xe3sAqOp6kgci/Reaealzk6ovKFySs4G0xOZvF80grhEyGS7jBmNaVn6zZClOa hePAsMTITM6wxiog6qM/tg5L8Tyza+y4jCF1nYARzv9+IS8iGluGYra06Wxgrkxx4S+AMDEaNs64 BH2vZMpUxqy5P9emZvSm0g9BqVz5hwrUJ+Mm3mi5/wdBkK1HU+1J8Vh39tQktuTKCgs54ldGPfpl jEpGTgiXqURPXexr2qdJvE/88cBNDnXVlg41jGR5oimavZZZ8dGtlLk0mSi2F+MeYewrJ1lPyScK tCja4KKc09wH/K23wiX0YPgj/WFD4p696Rtgas71O5Jnm60pE6NSN+okc5ZXUefmcksAU0BSkVQM TZ9VPH3RJ7QOhueiGhd+fKjv2Rx/ioY6mcUN8UVFyfrjhcsxzHX33H4obwagwuNbzUKVgKvtxLHn G037riBM9hcHBu4EYswsm599DNVUNN7LWd0U2my4fJsu36jn9RqAVl1tCxCifORP8yOlFH6LlynO KTqo2GlmaJNADGcycdVMk2yISGImEzy5UtSsODPP3CoYrU1zxyV3ZJLp5nP1XBR/22QtfdONCQRw 0bX6lW6WE8n1rZTVjZfOO6U7hgdUjUTytGjiC9TIOQp6P8EnaEIPljjpaaytdTP/YyMhcRwhIiPo QTxYodgtrYeYWxS6DjHeOctcGrd/rW4tfqWdLGuf1hJ+PAnUQ2qRU0SeufnYd+FOCeWAi1N3KAIb e8xTrlKSkbFHYra47KGtdUcBi6+vi94/q4DXSGLkplnsfCju3slsXcJRSWcWrKMddt6P3j49nWlQ flwvol3+gshhx088i2wJuZ3BcNe6CFh55puuLDmXDouti0dpZ2OYifQqbBPYm6eFsIN75DrEwDfP AKS10Ep029aS7Lb2yDOo1ka1703uui/vkqA70/MhZLEj4ullGjSFKr9cxx8HjSRxjDLdWyaDnJ+W xY3FZxSTvSyJk/P4mMu1rSqmucWUAKdqYu74L1UoCL8F0E07T1wRpnzvtUeinsVixkrioBcGE4eI 4+csIWMcPfqSBjibjy790XZ5h+pJH8VWtmcZ0VKbp6WwHS6vuGxRZvUWRX7kdbJZmVP5SxSjQslt arcEcoYbK+CAN4YX+QkYX3d0giuTOcJNv+zLHlbPCH3d4dg1zt4YJJ0lj3xDxasjzuJRnClREI1j 4imRWtYTaGpeY8Zz8Xyo73gFsxpWkoslUO3AtbHJ5xc6/b73Cq7mfFHdEFYo+efneAIdnMCIM44a wYTwRkBEJHocT1HIeVl/ws6xSIp3vlcV4JdsPIG80no7VbZey6J2gNsj12hf2L0EEtcLeKKnmHEo JgECT3gm3qYjjB5mx39W+0cQGzsj/0HXKm4/8D6PR/aclfE8jbGI3rHrV+/Lz3N1FFn+O0o93vlJ p8xoii+fn12xshgUhaVC9HvQ7fLXwwQezwHwzxUm6TW4xHYKm+ZVDJl67BzipDlQxVFzkt0yRCB1 gAd7ThObFB1DjE+tn8MYRRwd4Vvn5a+u1+PxV2gIAHlbz8gI+w+w1mFgFpoICG3SN9KlXYx0PUNc 1My4NmKGMwAdOmZwmX+QJck9ZeR5RCGJWrhdko3bR0XgfG/Be8f1sQk/4oxcwnCqSz7RCqtaHELQ AttzmgPfKaC1N4rj90veGV6xR9MJo9CgHuYTYbsG3F4HmgCokqlXq1FKfcnCSzOVFtmKj9Swm1ms QWvCZ3WPB0stH6sQxnxtUY2qKfzwUXF8SeWe6P8kHkaPYJd4pfsHgbBDuo+md3eUOPO8T7d8VdPS +6ib1VpVcKeC4C7RqQ4GeuKS/1ML/0cN3WByCOXb32lPvqVAmShlUmhBJPsx3HXN4OSEbCVzHTXc 9X8HStpz8RzpFN2rU55B2GEPWNZ2U4ZQeIK5ENtQlv0ID8Zr2+wth4+inl6kATHPpHcmu6yYl0u6 tm9HUFUKGzx/aKixnGUaj1vfDh+eTbGgrkFaFsM7ojGUtaF1VJsM1XDNJxxbZ0zcwNl0oT6Bc49X 1mf4K3dQtmnO9wtCQGD/IdmfmeUbY3dzKehiyI6rcZJArGbgmFrRKsqS1bq7s0TTdbBVv4jB4OcJ ncuiugJrlL/RfsIONmIc2Giapt8jkWRaEKwoEqnyL7Z33E7/PHe5knQwLILbw3hk3KydjdcYdLrf SVCVM3ZQt8q+cP+HhUYWz5j7sZNF/bwa5zVcJknGy5sCQPUd0Ni+pdipKbGFUnH24xk/SHz+cbtr j2/7PQHC4+GCrvVRizlrj2x8j5FeqjwjQVMdYXD8d5Gy6Dvd0rh+8BJDY7UwzxvRfaOnhbHE+Pa9 q+gGIMSiGbb4mlWM6VQ3B6SusQGfYu6n1J++gQcJ3lt9x80NSRQWXjbQskb204yr6Zq8KSgchVjk Q0P0nr0piAirKkMe0gjmoZTdVbB5zj/P4lezrWlO1gM14kWTb5s4niufKSlmTdN8TzhlSFGPIrc7 l0lDKQRY62pa494N+i9g/Zp/RER86mb2aTqtSjXrt6Pgqfz2F5cYsWnttlLhBcLf34WRyy5fGANJ z2r1zH7tw6AB16MqpBug3tDOdWcqcQASJGd64Ajv6oiKRUqucCxmLPrkLSI6YpzaDxpFQXCWGqHy SsxoX/6XRVx6EkZ8FenV33BvmdFP67hk/c4yBc+Z1l8PKfRncaZEBKhff8e9hVmAFUXxyxl647Pk Ps6fgZf6IFYVMV4RVJz70TDFgUyzb2P5X4YiWxveJjSvswqJdVql3kPuAkuQAPoDVj3Na6kDEMuF w/0FimnWPA2S3uyeF+WunlR+8CPhlXj5bPh20mNM3Ee+In3hnKrldRqbSJZlgekRtTv6Y8Nz4Rr7 3ppNjIrOBiOmbwtxVem/oxvgLm1NFM/wA8mRKDIdDspUK2r2C9ntT7sBhQGHc3goNRU/ZbkrpeGs AxIhCPyqW022/TZP79FyE929G59YYBPV2AetTQ2/GAnST9mHHsIcLZF8LQctJF7a5gZ6dVenn+1U jmy4Zy/1Qit0t0dSeivTdtKxc0CKu/kWSTBVgFCzBdLZull3N+7h+js7+2Kn2+Fhc/K3IApTUXKc 9HA1fiRtp8BtJvfjy38WORrRRJdKRi3fMvD1cokhYbPKKOmEZklHF1EIeJlLneQpgzxS7tzqZW/N lmWtfZszj1qB69t4PCh38uzSeZhq2Z8kELCf5TvzmC5cK9CfnhjyFAmUp6LOFzOsL3hNqzVSemBV TqmVU4WBIW7AfTvi7PNUp2UC1UT5FBmaAT5di+hOPB2+wMaQLHzsfFgmaLoyru2Ye+cTyVzLW5bt /tq750VTcfHFq6yJbxfFIF2ZzbXTGOHeudBqH+FcmqrzEPlLhmpQ/q01iGFCYtheMcP+T0lxwcDm qIQ7RZZ4/wEZs/Aw0chnSxaBpee7tPupeQ7SPwoNXBcbW6K7/8qF76KwjjxjeC8C2WxI8oZP8tv6 VSR+IVvZ1Xwdcgp5BfvK8A/D/blqIe8Kn54rehsS3hvXSqDnzW8BJZDKhHzJ8X+8Dk36fqVh2J2j VnGrZRiBxpOQkIBPKbJrHRXKYljjbiNTJczqSUXQKhqipAe5GfSSbuB26p67WzsHR/fJAGkxJPuw o8gaA67IhZo7fbdxe02oGi9KBq9+ZjCDHDr0griuH/LjpxYac+7V8gS056hopSSL4L3V6bdpPYdO MMWHVUQ/hQy/IwA3zNWxjhGjeQ4PU5UHLSPID/bAgDBlIq0hqKFbTKBBbpCEfdFnO9mIXCCZoh4D i2+e02EKvtvdMVLGO0ScYyfGrGBV6zwdt1mAq+aHFPbIUPNuODfYQQH0w3QU1ZGffBtvg9xv+tHF mI7rLwHNndPgXF3dAhBZuy90vtCJva43dW0GTsvMiAWKpEm+Nf1R8sLCrDvLFn8kKnZR7tTlrNQS fp2nSfZYoZFQZeK9pzYelymhk84G4SRk5G4TOqOKWyys7nEEnqMFGziM8IdGGWWj8OWGAj3b9RDQ qkfNNmzBvlrSQw1eD9JCHyZoYxbtaF1mg/gsQ71Lxa2BQr6IHq2Oq/YfTnf1yra2uOZ0bu1bVmSG NoTc1iP/Jh+g3tzeC0fUStYQWrIFaUgi6vzKG65Gd3CbiC9zU20bZGjm6uBZf4czLzDjcVAUMN0L Z24rmGObTude9EoFrlfO3eZEvYs/p8ELrJvuVFnNU5w3os7RXDduiTt4Lq6UYWsmTNYqq6OoHz9P oYpSckczNlmsNhgihP0XAapuZTnKkkzGQq987sQEspzYbxpFBDkgnKipmeSEeiY9V+RHWUB3Sa/9 vj2VynFOq9q6qtwhjJSLam8kcd5YZDxg5cohzZykQI+Y1l6KU0CL06NlQxw3x58OBuAu/tXgqyD0 fsUdblET860+AqVsGVLph8VUbIDY9iOzR/yfrO2QTHoYwIfcl/zIDA9PelwKhqUj5Jpr9T3uRSll XA4PcJDDw9MA5fhVbSIOuuDyi4oGn63fRQqpwUZ5+lDUzemNsQWobcctvd78TZNEe+RCPAILZMhv f6pIn+C2ivGOhlIVZtneedNh/P15nRzPqbKMnceyQZP8UXNEKqOvltDPHjLom39ghTLLwBPIMG1w OnFP1o9xjKp+Dx1JHraHDKqehfEwuHh6L79p1NcdIfJnTNti2eLHYcrMrmSprfryn1F/zL4pLqZc ew8RHVSvlzHY7hn6yLIRwxpsppr3Z3y1J7qr7Qf6VkoFYuPLrSziDcB9tNeDn4OST+sjkhDhhnxu TnkGSnhujUuHR+RuBElPCQ5bI4yqEJjashRQrkKZz2ImOniy4Bmc/5POHQDr19fNcM/JbvzNrSqJ LJ2umXVJG436NT/0JwFe8nrVK2P77NNk5Vh7pNokmBRJ1Lv2xf+NTP/ZooVEH4e3MWqE+BqjRzDo YFlCZEfN7pKal+KKPuC2+UJSHV1mkY10EpX9xDjftuEM+J/uCFWNwt7WFRx8doK9G1sA1rSfauZ8 FuzaCtaPQwTODMUGQE3qXwsxhroFSRdgN3k+cv0wQgIGO33ah3HX8InzblhzjOn+7eIMUnzm7r4I 8e7To3lik8ZfTTYwtVz7fq3icIePCxsYVKe3/yFueepQK8d6Zx3loPrIrIPGOawv3uGKFYH+5NLc PIXXb0bWbCogPIA6pM1w/wjwlLK9xoZCAAWI1PuRC1WngX/yOAiZmZFaI8RTI2pUBwSaSs5D1e5y jeepupuDuEjwr7mouWxzHqkiCw3nad2tTbdLx7pfLyiuOSROfEl5DogaKIsqUuf3YTyf1RSO+TIk B/FVYknfOUyd2PsqqMf5fFx07DSCoFU3bTiZgcN722b74sOH6IVIvEx1x/nvH7hlp1gfId2GMBQ0 +0Ggul2vMzDu+kGYRXuQl3tGwF4GrdXWXZ4tUam7v6IaNQbOumA2sclNFDX9NQqT6BvOGIY2ZLuV pJqq3loEIKlcTM1Pi0OFBclSy7axL69HhqPt0ylQtFR49A0ES7aHycQbwpBhg99GqS4tnOWPCZvb 0suudsXXDw+O/GWDS2yBxWIVxsu9BPX2pwPTL3RnXd9t5aCY90137Eeq/zX5/ZL58lUTfXp1gLIR FzTscr+Djv+5F0XV4hCDSBPOLvSIcQ7xwgLrWha6ArTtfs9kCJYjE6dMJCJJu7FvqpozScMd+49+ kOSrPBmxgB9xoiQVeU/K+YYB9fJOQ1iQZZEAnUxkmD2XbuNJ8MGXAhUfcVMEeOLOBpnh3dv4pOZh k5SsK8kaJTYDOIkIhfaouAebHOSS0tpAsGuuc+qhkhDhMdYiyvstJOeNML62RXqh5E6+IdIwNLj8 eGRQHlV7EgBH2mfWA/yUF/SO8nm6nGuw0fsGgjfzIifCgybgtHBUfl+wIXyA4Z/inRSFrcR2ay3F TotRaasjCm+7HL3P6/lUzUzZfhioZvNh/Ih4LONVG6JKMKMiGQVcGYValVehVI0QNZ58VTIEa9Ko G9MdeMHAyNi/UQxXXhLcaMiR91lePYvoG/645hKUZ4MUIMJeR3Xn1FX1m0rJo0B1qbrkPk8k/ZUO jVEblJi4YUVQbHrAeixCZ/WqpQB8t5yIAiWJdJbjJ81YmqnCyYytdk3afrAznYSa2GSe6WhPZ+P3 7ccjxfKbFH706zI2hcnP38b/l/r7c1vgx/uJEr1seL5Jjk2FjNJ8knyrxo9ViefSXSjPD0+4uxjx YZ9Tm75hH9QzfU/jXhS/NZ/3zjTAmnvq7cIpvmnhgUEU8timiKiQrUJqupQ1NP3mFKlLXPj8AsFd Xesdd9JN3CazQfKLk9f3td/sec2A0L3/hhGt6GxCwYYpRLkGDcUZYWgyhoSZLcyODErIjIaeGl3w vijQ+aFkP1G8mfigQrBmjUAOIanfWiRGtdBLHE1QLpGf3Eynsemng5iSHeBDNEYb2GZPCCjJK8kq EBDH0RWbM72lTOOnqyGKsxhf1A42cis1VMYHyvvptJo4sea9jAb0DG3ewdEpNuctHFYqg6d40IfB UvXvOCUz/ZyOgTdcvYf3Ihdx5QVq/yhq/w8seTzJo+wG85U8NrKy4+GxRQmyxKcbg79bAVCdRO6V ckXwXCOhDWZ8ZIFCI/SmDMzC+2SvGf9pkaOJPDERDu2218qndQvAxxLsFKX20std6SL+cniEkrNl neEeCgIbObg/zBXWVL/k2Dqw57/81uzZzNinjd3KjDMIbrGVzzneTjj+WqN1y5U2djUuIUXghTpp Akte0g9oVRHecN7scT7ZChbXynvCSABBPxu2PSCmxNPFY8CPul16TIG6y0VtmiadIaL9X8zFf+k9 n4wJzN3duePIpTsp1xdauFzcLwOyRvYbzbWk3P9w/vyYalnJMOktG1y3z4wwtqGuk7WinTOMQyim rArTq2J9f212RgcuRh7CYJN7EdAvzXHUZ5/Ht4Hc4hQO1sHJac35AmsQIt3iqzFcs0r1Kfqh74NS 8jMzYqXd16we7sPmU2j6Y/H/cdmFeN9OHtgZ9Ecq8ZVKxpwtFnSI1AvNctLKgA+qJ8AGBhTZSngm t+TSjdvo2QmtGWhxwaQOlJ52l+hi2iSwUqdKlyYZcvJjQ0Mea+8/SDbyo337T0Eeww4Barx0Thy9 i1NcWbRmtEVZ7f3vxVi2UEw6oBV7J89bkiP9LFPfeTwBC2UxLl3DPCNCfgzF2IhZDsEapLdKzm6J tSwVut4JfInwmhbzOfC5mmEjGaaQMPtznbsPKO040AbCh1HuBXYorL+kTMNv4UwlUcvN3gmiUMIO gTpAyuH1cgYshZUibGKPq7n1szD87Mug9jiNA0MyNhQ/wDCUxJWG/jrK10HDPXmXTbmg3R+emLEp CzL37aGw7PDwIS7XBZhDLV4W10r0xZD3bf4Eoc/scfrSdLzVmKgOQ++zbq49YpHjF2W7U7HhCsbM Q6ZHiWxCu2LpBU9ON6OeITgq6/CkBOIYYhpcWprgpUAjJuTAMbZAL1hH1EXnyQk905ZRKRjQG8B+ fhxZDtB21D4FmmWatogW98PLtHmKGdP4HcjBB5vKMQW75AZX5uG7GwmXnthYlvybm5JkA9Lynvht c48WQfCwm3cFc+l/DsAfoV7heyLt7gPD2Jn4BFrMrffDEquDUtoDqsgGnxUjmXc91IavrTTPR5k9 ZlaVI/CNtPJMeKXaar6JOT+3hNU01OVYbl04DAON7yyAJH32NKnL7PryzzU3Lslicf39TJh+fMeP S0MMUKPJr8OsD78y3yFiWgVQJKpZof/17Qxl56xgkXhgKWg11KWhXdKl7uYHvCredC0/aW0c+6MG NJZk/wA834pdiqO7s1Iy+VvVEbABcfZDecPEspzfkoY/g55CI6Be+kqwCc/JK10V68xhsycvNu+D ubGUkPMCywtXy/HgIlARXFd8A9RoRuoeUpulibWMU3jcj6m5IyuNYPQsXNN5JrYcq7SajBVz0EeR qN9gft72ekeJvsatHUehWxJgnpHV/vhamOnQ7L0m1QMKiA/Wkp1zXykCBHao2vWgZCLaD0uoDN7Y QSrXO9W8+hvzJPrjGQ1E1XiKluJxec4xrHK8rKK3n7EAFHvvz+Ej17TICz1uBh+NvCWIcj4K4Zd8 4DTW+8I7PTL7wfUm7YHEEwBvmNkQS9dDFI4GUTzOzUPEsYwAz/HZ+WuGHon5soXo37CuPAv7rg72 JGiP87QyOR8sAlvrqX1IdMNzjgqMZ77iU9wmyHkjsd93PZoxdtju9ybmRn0cVWtNF8ij655q7Tnx Z5eq2JmYOdmTrBlNDnnfZuoAC/4NGlmgQUuNNMVZ8XzwEEhFM6IkZhVTlrSnFUOscpa87jvdYeJU 0XIGQ/iDd5vyrVSGd0LjwLyTyJClnxpljqWJx3YR+kR1anaZzslH2CuyFY8oMUFJHzMz5LC/8bZw VBAQuKIzhgMKsT5FWC9TS/VP3/z0WWWWkI0YFH0yH/azz57kJ9xf0w3N+K2HZtpiiU93nvgf7g9a x9p+6Reo8Jm9h8ZP4XxoYwMfzL/PGj0UmRZL/91ivtuB5zpFJt2iSI5aZpux4SJouyRyGES1gf8+ SbYqrFBOFvzbD5cqr53Y8vSaIqaP3fMK14sgqEi72YM98sENrc2S3qAgDieWqkBl1QG41SjlH/2n 0Zz5XHwNyXYcatJY8sumCjiUkJpgZnUFN00et0bTlr4w+QqzkHknW55rH5IBftWunybdSAPItNj0 sjRXCiMnqnKKdexZq88oH0MQ4ZTBfK2VBpY3sBAVjqL0lbTc2ScNzzZ4VwILy2jL65zjC7s/iBdG aOy9Mlx9uiKtMduDHFk9W/AcDBHVxXOlGMyKNlC8UlEoDa/QZYl+GH+ePg9W2pOTMVm8JXNnGaMV Eem/HO7Z6vNiJ5Oldo40m9q3VNTAkwRFQT6nnpAl/QMjXEPUDumUchFXIQU4RNl2umHHZwYlQdR4 sj7044u580SK+/mV0/3lS247uQ674+kG5+POvWkmi+9u/H+OcSFRTKciCrt5TeGhwSI1RSg8kGXG M56uOg/6iHp57SPoGkbCs2x07XejcCegLyBB2QiN136aGXtUtQFT2ie1UPgsTgZoDGZE4RZiBzVA 6Zg6Jo+37pbO1+jFQZr2gTXogP6g7h24M6kgm14ju3iBXivh/Fw0zH5MJPB40Ecz0DUUPKDZkNh5 R7E8p//DDZr7oeOpzQAwOyFxz8WkJSZWxfE++HPWQ3wuVPGOcUYWaifXotquHRsheIrZT3eTP8R+ u09s5Y+pqbSTXwJKtnwr0eOZjSuY+JqdHyqNKFAJ8Hv1Yn+TyVxEfXvYzKVtPee4FU6ePixw5GBR IEdMBh/Ncxinj527TWskTdsLoAe/TJFCtwlGaq1DR7SLZLMk4hEpek+EqxT4uyOV4GjN+FbqLgFj sQ25GBf6KmmDEDoUWmVT+dmEf4rjs1fMa8xCfdpGF2Pm9ZFe/BaOQTxrbVQe1SSm5pkdmXfIsJC7 rrhZ1gcgFrryuOJUKZpYvC1g6DfpUunQn6HtoYm3yj7/h3erAdsWmv90+hNTnfJk2K4dcTQb9FIN 1JEAh0Sx7kl5Ku8/XZ/Le2yZ8/+KTyTgE2KjbNs805coDWVsZ76+MVBN9xUNldyUsBvVJNtF4TtE 42G0J2/UWXFpwdwgLRgEEd0trvQAOZbTkJDTWjWrk8vvd1dSUCQltf1x+P+4zeCYkRjhJFahhRmH ESaOaSEDHMVvpArPrX8Wf3PAuxyumf/iRU1XdrIW913GppwATngcIb2gwiSpKtXnJPeowDc5PnON J3Uc/+fXE3Qom2TLooNfP0E3kyJrVdNg5FnSrV14FOcl+9U8gmN2A1uRZRZQQ+EaF5XmvOAIkJXV DhMVNiqquLFzuxyrPSJAV+Aw45BSkvAZobsGMP61hGkyQGiwSoDoedPU0i1Vi1/y7PS4tx9DFalh WCud/b9Yxv4RT2YOiwiTV2rU+j15wt9Vk+oRh7ffizBNDFrXvJXSC57m+NWjrekr9mLgruilagNp OPbMPbcsNdv1pW3v2fXmTAW4ks01TuDAvK1ZGG5N/iULfuRiiUD0v02hzwVn+xnCDRiTt3nclFJ/ bbx0+pLMCF326/lX7yQGOG4Rx6+4OSway/J0CTWYAB5Vl8j5zeuE5lxDMXG1TWqY0wZAMIUr/Ag3 Vv2wSUsEBss9dwMzF2b1yC4iPNSQHz/nI3Bnw8m0M2fIjfVaTg9U9g7FSVFaX3UKxBCliwddT6zI OqWHOJuh7e2AKQRaroUdJP8QgpcebDIcWA/MFjMNjSS1hdAbaX49Es3XzOft8NGn0cWMf81WSUkk Wl9h5cbXbKCPVUMA2m7AcWiHjbiKCOn1+Jwjj1y33cAtJ/3hDm7eH6z0Gvb8J7kco8vspNODWQ/f P2ZWVH5LbuLSzMxx6FbJqAdEnOnkQm2PgTUv8rDNxJPCZdsVD1jZl55vcMN7sPvXpH/vbDF6oE1m dWXmZTtk8UoGTeAdQt5TZ+R/JZN1GYcEM3n1AJisG+nZ97r95dNQjCz5uqYjo8zu0rd4aT6mPj4Z Q+RhK+33p3/7QuqJqGq/NQuw3U5yJ0gcKEwHeoER6H601UipeD4MO1TtYnLESPWuwn+c5R0/hI5v yp7yLEL2ZRUlv30c1+LnRkbQaiOZE/uZOgRQt6OctcYX2+idXwFTpR9Yh6BaWl///COiKafRv6Y/ boJHi6a++APsEpNmZijEyoIbzGacRSu9nlnTplTm+0ZHtjM3E+xy9xNDWobaMC2loIplexRRtBAv 4QVex08w4uWRYjzxwrZOYISUdZ8+dVEwVFmASeP2IGungvMg1M2NjolzR1xNUkCpZIZ/iWapRmx4 re+ngjlGFOdy+Pm1zKBZzLfJuvcn1rRcaJX59ykE8N79tybPyXsExXcusTUZZte6fbKUXhx9LSFZ RBmgGEboIIGTjF4TkScPYsERzrDul4DUYYMy5gUZ57X4H9dpg541b8oqdiohb+rCslG7UDQNF5B5 iu1jVbdpwEvNzzOGx/l2omdxF65lKmhlXJYddFqZHpbzxb7Om+C0kA5yaz2LRUzeIA/LRziyrMbk /WmbimIFPgFPHlCBII8SorUI+pfEgcepUWffVRvjCyAXNXJoVLY0Xrx0QVeCX88hrVGha/t+T2y1 uDpyTMkMe+Qu4S7WXeXjNlWJFKyS0tx06kBz1E+awksTnCo9TnCKva6u3LdZoim1gsYNaKvOa8qX hHvi03MV54cbU9AVhDocXb8f7C95BltAK4v52FN9yE0WDI9oKrVhe0nYQNXN+ijrYsUuk4mJH/wS JnyuabHBKmIVxnWj47Atdek/6ITSMvo3gdG46CasTKHDLK7aQkdPyX6chPB0lWlLo6NLLNAjuLnQ +a62TbDD+MlcwjKMTSsW5YmFP4TPvbr3y6FsmO7pHs9SnzHo1Dv8jUzK5ks2QIf6GL04wfin7lfZ Ti3zO3M1CCpPbAX/oCuvKYDlfd3FF00agCz+RnXdgpP72d2Ej84BWecjqp6fR5yVfMcPyHv7KCrA 2Lsf68w/pr8lXoeiDCp1k4p6cjc6MvNTVPvWt0hxPnqHTRCJjalPC8Slo69eOboMih6dPSE3wLKq +5QStfieLHe9abPpui96U1qAmfrrak4A5vimiVyXypWIPNkASqbJOtNN1X0556UHIH1ZbCynVP5C 2rCLoefeX3dItcCtQsCEvcEeaSpjMMFa+U7huggIgvwf9ho2qlvGHNpj72QVqa1kFiqXLSoyiebi ZVYkoyjvqvh8zgmjv5Ww2BOYehL7dqE7S8Dgh0mso8YaEmGu+3jLCp5tYCCpF9Rva1kkt5kN5UzE ZKVdrYZ+u987BurVZB2Jzb89J08qFmCliodoYSnJl6Mjdc1aSHy5TDGL2DUnG6dU5o6G6hfO9Iok CFPDSqj8x1qtmPCyg6AHjX3+kF8OVLJeZOg89Q3G5JiKlSM3FKXxKwuxR2FK49sC/oYlwPd2HdoD JCuXXpoIFTwIIQNq702mBKHi4N3Q/IUy8uab5g+ze2Al3rvOyrXoLzGjvS7h8z+rSzTSN+c95c4x 321dseVxlvLcc9xdRWYiSfnb9xlNufZdNbQxuECEUdsn7LW5BxGmaoTJh8j90csNYwQ1aTjsVhdK 4Pu8+U/oDew97GuxuJFXW/FmkBY2ddTRNq3NXch7Ijy0ZExQ2uOC9LhRJdcPABc7CbAFQznMZ8Sz zWvnQQZVegGvh0sFif4x+rkZYf3DGy6VqC0eC7+vnKKGBjwO9AjwuQanysh9ogTuKvG7wTbeUsHM emFZb7dODKSi4PxlECzNybr8K/XrvtCg7gyMIuxbXkVmJbpwVnKmDM+P652y8NH4HtLneK6Vijdc 6arV1FQMod/H1HBaM8H0YEgz/yBmv6X7E/X0N4ikSioMQtcljFsopuvy0xL+PeNm7w7rLW7S1Bq8 XoMZc6aZzMgyPy6Et3RiUwIQ9es12UlYwcFibD2jom2IFJ7dYNos+s6axmSkQleoZ7yf4o1nszIf jwt1BsHsbv13sK3a0lv/9HgpbSU84FgXr6kAP8un1VjsDWPQQ+xrtbNXZ0gY9AJIzwWI+V7BQlOt toda5t1EsIDs4YgyZlhKeowITMBZulaZrkaRj5DF/oHW+9tzoyR8lT8mGDVLA+lB1pZv4QrnYZ7R iBTFTKDg4sNZ69TJ/1QhPZ8sEv+nKsM+l1FFrNkCKh0DIDYbwo/XDAYfSKvTOx8D30ZS/LE1ZD40 hAPaa4ehp1+kzzSRzVxDw1KWOCVGCr4vBlNQY3x8PEPGXPSbxsRBZzD2xUu70TlSpSzDzqxGJLBl 5hbnggrj2xhbQYwVm624UlFeBeoCHLGiR10f/Se7kw8lhgc9hu7Vejauw62kmsUqYGkp/0oHmDTV Nm+50vVrFpvRDYoEzmcNr6Lt7SUIb3BPT60ySe3kysIA0BkUtXEDMclbU5IcyKLE1P1HZ7f5BWEH 6d5hjautum9aTHoMfuOCxurXBbjp5b6n0UCzhLNN1KjlMGla0G9vls4BB1nQS2LI0Br/kl0/0V/N 8YfQIXHPw1RQGI0+Tm3e+5Va+fG4i6DI+DySWgaWmD6pLsNuvRonjSL+M7OcZAcjaNSZgwYEerrw 0MUPLXOCA1Qi7Em5vVe8i6vqaBosXzHHrqIEyqTiJE6XuwKx+Mf5KjKmjYTFqSSSqoMDzXdbC3Vw mP+kZ1P1O/Axh2nWbvEaG0kzH56p9Umry4Bz+MAFYmPqgUsFGsviB9JBqkG46BpNJKETp5QmXpZ3 kRw4EuPrc1krmHcdAbdTX+wBgx/Y9q+/wxC2d3BagVo27zNF6LXkMMLutmandBfMO1UxwP2oBTwi RpJZb/oo9FOuRUD2CQl3hIhv3EQ+4yE9OPj29fImYhVrVyMVz1WBBZrKsXbHN9L4ND6Zx6u0nmB4 xNh7sHvlj8s9DrYvO0oqE5jkVpqdlMB4bL5aoVew04H63P3ddbhA4cptvbpCCKGpopyiQPM5NYLN s3qbw6mQsOjphdSXI5XMPSfFQBXZfjBextg/v8HWg/AchtAgiJoVVT3IlWttPImtORYMOIuWuVyz 7QnO3CBkR5j/QTrlvxQv1sUKAjfGeYIW3J8Fs25qlLdpTPr0dwIW9KDH22X/do90RQyioMNLsB7c 5Vd5WiPUorm3tsG+3hckEqcaLtZSny0gcbDrV+qdb9KULv/k5lvuh5tc5qAAMeHhdZrxgYjsQCZQ L2+XIosCgrLD8gt7YLgH11YcDaztuXjAidMcrfsvHVNrZLEoo0ehvxeGGQ2KTSFmc9VCXbxQbEno hoMdQsIjwGcC/vim4+hDTnC+uKBq6uiOWeBDwiLYzI9MWoNk2VXqaaWNC78hJm9iQ+d04gI9iNIt pZCGMelMETRvEpb+URX9wfH5XMFps5xhV7Yx2gbShizMwK1Gjd7uFivPF85t3EKZfgVii5y6mc7v S2/BcptrPtKqGLD56b7ATjzMunU7NBUda8qRUTS8k3kT5T6PCx0ts/tOxtgiRfyaEXh3QBIU6nfX uJgYKKROc1q054sJOjOhr6XO9KRMT+Lp/yl4egj55sjf1+el11joArkpqNz1sfD6BjzRjzUXDUM1 7GIYmJvZYSPbj4qpwm4jOzLMG5s74l7UXEta4OUOkPZsf1bbZF+H97pARndhCtNu70Gs2Hz3XTDb Cpf9Gc3SBYPsjn5iRjk2ebZDLnBuHoE0uUVetQh9gZ3yTV95+xUkxappD+F/ZCoN9PfKTocA8Zax fiOfyRNeL3KqI/jAl4888bNfi2a5GyeqdIYvmxRXRYPAEGFzNPB1E6Qw2T42pZ4qvQNBH23ZZWf0 ZVRZww+90dPyOmM/70XVG/UPvp5zU+ETSXJNFPC2T6gZKOdXezC9PBAB7YBSiUay1+wFoZb0J3vz F4Y3R1jET52S6Az1je6THAMgiuJTjcs2XoFqhkhnFj70+tqsC/uPm0nmFVb4/sy1DZ5FBjIBtnrh 2bWcz278oyB8Jytg+UphkNkMuI+EL/Pxff+t6jlz+9HuG3Q/Wb1N7rifL5WUcxKWn+Qf27REcY4k CczLFyyRlkLJvfl5y+UaiW91qaQHP1B3Hd9Kt08IETRvU3KvoczdWwjFlxav/geHCkXIyrSkU1MC VxZKoHC4tmMNdcOLodabMWlZN5yU2EptK4oqGfH29ChCySR+F8mNHjqhO9H1uRgfH0mIYzwwAXgH XcRA/zg2QTbHngsiHkVToUvtA5SyoR3Sea2zNHNQeEzjz187iLw5ZIqhGrL9aSJPbzP1zN7hCA94 8mEpl9suEx9VnlLo2f/G3QtT1fRlSm7KZXaeMowrX2VKZDOV3Tbl14QAlwCPfsP5900e84t5aaGe ZPeOZEw4ubYjezYnz01renkWz8gGKDeZDGS9Ykl5/T8rq1iTrkyQF0kTQ1t9XrOpBMjNxDrJBPuL mAwL/IldcB0qGZN0ZStHPdminWtnvP2Cy4PVPrIz7XvnQyP7DP9pktZCCDm3GtSf381anlyQO3rJ aor8ep0Q1AQCU/hfkSIKaZ7k+gckmKBXVI3UUo9bK2pSHS1ylAYsi/D/u/v58ZZHtv73ORWbA3sE EDkkEB2r7OyRwC8vCvrGx4vELfcG26Lavj6FoAgWMqcWuf5sjslvlLCkt6zjaMxEe0Bv/I0oXYsA 9v1/VdcbY1fKMv/LYp4E02LxPCWVjMv1JqLF8uXEUu4s/6UntpucMqwUs/QRId/aoyzbEqbwd8jG oM+ug0/UksTGOZLG9Q0OHffLz54ufcyS7aB786Swh9WIZHWExLuvZSeHlLWqV/KS9t4VKkT+7NaQ sDv6qlnIPglcGiBhdFB8HBVNJVTXe3yIyy1KPb5jaiB5xqQPouZpvKnT3b9syHF3qEBRUvFctS6q N1mwXXW7UQUsmy/qVnczPXr2iS/Skjv9F73VCdeCCYhk+TSY0KlyKsGdLLdQ6vHbdyPUmvQ8Y2/i W5zij8s1luDO66zDkymhxVM8ktHZ11QZeK41gkIaY20V+0Bg91GfgAHR471CkxSy/nAjYltiZABz yinRkcb8P+FYk6sqmZN9oQf6tjybJDrf+mSVFoSVr8l4Q0eLAqymXyYHJdTCraRQdPnfTcK1oHkv oFWreq7e8dzfezTv36jh0n5++zxOJKCH442x57ZZcqqNRlRPL7MKWSc9jOpG52WcbgiuDArs61fB sLvhnCbc6s+EkJ0a2+h3JyIdy3KNJo70hHbHnwGv/v1drCbL2kN1QYBccjq/ZduAHW4tsYaqMaGF XUBY3yCm9p+9j3ONzsEGhgQyIKHGSXLbYpitEvhYYwFk1Y/NfIvlZ82Dhe6r9wG1+29xZPA0nnDY qCCsDeTmENdvRz1ONRapcJfb6NBJKVmttNSNij70SjD2Z2eEQSNiOSfC0sZY23zM9AgMFCqH4rzq 4BWt9yYkxE+Wha2B1wQRCWgh7YT8RY6N2nSBH92vJNSQBIAmYCfPLBXpR6wiJPUVbCjfd0XcJOTf oiE1TJencrx2xOX6xdumUEmRdHeKsT7L0gCnOpIlwf1OMABzS6iKxpx1lGREYI2Ir3Qrk29dMi2D CknQ/jRZB6RHEjUZDiiVgeq2LkVSc3bCM2+P4xSPC8SKUWckDwg+39KbLBJh0pQZ3x8IE94wxQ7w tntR5orPTqF9eygHvvobGDxUroN+/Pw5uLZdP7OUxuN+bdTQsLEr9FMrE1B48HjKyWWryOPhEmL0 uoClHqFKO+vrr7Aq3EWL1tiwz0RaaX90q9+gK5+Ev5Hqy8AHZxKC0VUERuo3idFzqwcD8+YiC8cz U6Ft1BsR8YTD/lNVlrac0S0d5heErS69zOnYYUiuwA9hMFlbslsZTacBVsDXD0CQDmlTVhuZZM3R AcGDQr9c2oIIc1iJYLh9c8FOz38hr1DxwiG7KhRxdutSkCiu0NWpI6fTOKk2sF+Fu4Zt+YYx9/+a PA4n2Q9tu+PJAKUxBLttJVKF1CiJYtI6Ud++WEMKCiupK4TCsQFPkTh18t42R1m4hUycJnm3+kW1 2NSA4mO49PRZ5ao8K9wRcYmjk/7E+bbzMfLZg47u+xKJbt39Y4x2delIWELHuGR0ja9yibkDVngS DoNoVJxvDBX03uPYXkyqvaUJzcNAvS+gWp0gderHpsNGu5g+vx4uY1UGcVTWhEPviYabAiFJTObP 5mMsNRDYnZQZIOF8DfIKlM7Fsq0i9nNMfZVqcXHub1JUi3hu4GHH/8cjZCEsyckVyqk7hijNl5pf OwewDlusqb/Z9DIgz3f00sFR/O84EgW5FTNqR+MT/ZYdPWCnXSax7G6qbdRW4gzJe92NHGcjtsxg rqxzwtbIZ6nAyqyPA5TviGzDnrWmvg0fJJePNaoNFGZ7x0X+GV1J+3GhLUf13cmMqFVuQblLVsif P0Vn0b50iN0Y8IcT4z/JrFH1Lzf2DUARUhnsrgtJipPgRr7iVTPqWVhfyP23t9mce47C3G5N2t80 hPj02qj790YRxpufOZv/RLOcSSPvsmR6zmE0lsIKNfBVznzBLLJ/k3DLpOeNuTJLY8RzprxPqTS8 u30pGB9B1Xd4nQfem6ZxUvuJFIgicHiVNgeIx/ef3v9bRKUvw5NobGEGtjgfOwNXPl1nVo17gQAz 9f37DIX/N71x2sEGLLcEWgmutB6OFr5Bf6otfb0p2DQZ3W5nJ1PGXeV1fcscnls5hhGoqx7+Vcu/ eQ+8ZdbkOo/j4hjv9C9yCNwhT95IuyrLXlZB0ELTIqNmiFPCAnBSTfLbBZ2XB7WmwOmVuHyR1Ztq JWNHtszJ9mCDmzDZ8W9qbUiSsggqPAAwVhD3vulLExqJ8KcK0faGjtrsn/jao1KrgB70TbtksW1d A4viV/q9FCp8TeQqLybV+OFTxH7ekhvxXtfJ0jfG6bi4n226JZRcuaX0etixB/hrwmVgtVp+dz/n N2pvRdaepnR+Ddn04WGlK+5tw8tDcsuqlCpv3ZCqFbzr9m0MHi+X70NfQqlTSt8prrBWaFyOE+23 b3jwaNGnNcmW5fRk06fcMe0RmV8sAoBa2KFoU5fymtpZw9UpZi06K07i7A1TADgQJ38lBX+Ynxxr ce/h8ciHs3Rr0uFB4zoYbwsnHBJ2jLYIplFfmKI5vVTBJLog+SG8dnFrwR6uB+7nrihIxQrdq1oZ 5cL3vKi6yIyK01l9x28gWAfFvqZPZMHqBj5sfA68tOhpzniNz8g41U+sizP5Nd/qwXtAwDhhIsEf VbB1GT+Gs91FcyaTN8fJO3DqFWFxXU7tB15u2mgljZeGq2CVU/apyovX/zdiJXpUL5Pir22ZVcJX 6bHOEk1ScfFUhnK849hubHI/6KrVK5P53Z7QEJleTbHRog1nzXa2/4PIWteCJMbRyUiOw3tpugHq Y6fwhVOwIDajjmcurVSCM+3a6kg6tcauBPc4Y8I8G66oZd5oV8aAaQ0MmjwfmuzNXPrO6aU3UO0E wR5sZ0xGfR/oaFgT6v2TM6lR67ilu+xF7vYZelcIkFnPHdWMiqQEa+sP1bphVkGL+JoSchjg9Aiy Eop7vX1z3cie0R5HYQennH4A9BovMf5sJp6qiL+Vgfq/D+8lT1qkQL4T3bol+Rmx4uokRHlzG4nl afheS6ZhxV8FW2TjJSDiBzJ3kzr8+MmoskZzDCO6SDTPKE7nV8y7jI+pCZ7Oc0nBYNqSC/vMgb8C mo8jxOhpFNOYaEjanXrHf3RF0fKigSFD1N4LtqNhrdz+H+PToQc/V96Z0Aq1qUyGjoamMLxrNZLM tjryvkPSTKGYTbhlzxBfxKbfKp/Ighj8zfRWgxPhBUGQenDjDW9UJCYje7/2W/dzETxdtAJy2r2R fp6V2V5V4eli5Oxq7Pb/NXuTASYv0VezK2TiodnMzfZ0omxPZIb49T25FgBcxo1aToxnUG5a1bPz H5o6l+qIrZeJPYxvhxOgL8egpei6/NiP7Gc6ep906mMxEm72k1Hibav7GUCjFE/y2ENr330GVdo9 nzqK1DytOdhdip/ilbabL3cd0Ol0L8RSHaScS7SS+0O0h1NcPGkDNjpqSq+OS0HgJrzFCz+LrdT/ C1r817+89rKgm1QfQeUYyGAI1VSSlh5Ep4Uz8c5efgFW65F2zOOunXP2rQRS/q2FW5K4fzmZ5o26 GSVhMzLXVeShK2JXFpRG42HaHRq9vsH7FqlbpkEINmZvVEnLe2mjd+8bCJhzaLuUkEF38eO+mqx5 Tehd3W0O8ESiZh7IzSQYIqHj+qyoqKUfuNv71T80JDGUBEgcWXdGcAu7cLPFM9wul255tI2pOhol 6dViwaAdDo3ro3XrxscIZN7LU88g1Uc7zxIB7lx8CsKmgI/+y3VbPKi7P+apZBDnSgcGa24ttPhH X7p9SPDAcIkizdnOa+z3FjfyV5oW700C6+MTQHGvvqnCyio4hpvl6wbvV4DcX9AVj6IaViXNg7ss yfYR3F7fqAmvrrhHQXjsJt8rPcetuQ1rY5jdqzOtf3dZMklaQH0NskzORRRoqWYrycL4o4+aSrSp VbkN9zOShtvdD4sesoxv8dO1Jk80KGmesyoeM0t4mc+dhj1hP7rEsHIjRX2k3WZWagzUb9erkd8y sbOEOGK3RADeI7kzJ7ojQjHp/Wx4T4dAhTggqa0nGArVO5LNc3ATSWQP0An/AeLxcn6U1Nj7Hbwp nZYnxF4hYmYPb4864hdQd8h9fK2PIUj69ueixx8smWXSIIo3F03+e1rE9sCxwqUNHZ98EyVQCFcx STMNYqFGBEutjK8mzE0KOgb+jQLyzKlC9oIukimm6cP3dXRsQ32MUAd7+55BvukWEwnu0zEZHOTD 8UjYf+GTN4Y7cOTsn9rrt7ylVO6AuaqAfctNc82jPPCQu5n1JjqPqG2lfhX2SVXlcz5rMyQMx0GM GIA0EmElwzcZe5PDHxLEf7gnfie9ZRiH8pD2GNX77WiX+KZHDpX39+BPLklgy/knk8IrvwXmMQn2 TzqVY3XgEduNw9Gq87QIb3KwXsi1vFJ8V1yPlLuaMAdhAOp9zZx68Oz+aLV77TWuRqI9bxqiv4tX kcWQH4X7Cn8xN5R/Ku1C0siDYTIhfH0SkJQiiiY3lLEY6tPVt5uOK7wuuGlP5rLnfSMskg1u6Z7b 6JirNfTEp1OvCRERR7jdQ/q0Tri98MgaDfzxe99vfIz4UmNInUqnQTxcHmdeyHiBYagfvRW9nhbo St6lluEvRdmso5Ir1vfMa6m0Nk8Iw6pRgG7rODTfOSeg/qWoser5sNaO3d9nncbbuleV6gcXqPLr +ewW7AcWrHSFlvlttzo1GmtMnmJaS6hqOgwvw+3fyJTT9wGHhM593aiF7mGRlH/UcAifLUPRFcnW 4mW7LDQdQimHR88N2A7CEgrVR92sBhqmXpAsEuxh0oa2/ghMZCrAWtUVq/sg+C1dmAgxxXDC5cg6 2clHonL+DbBNZNBFhylAHgUvvuWQ4giuOdp6lsGWfkE4AlQCBjPdfdFYyBW+uO/GfgIjDsx515bd z26Ys7QR6F4uQIXtO6GNKqS5kzH5i1lyvOJjrw4oZJJu2uT9bXQoXs5oCdc/yOVxMhwuwdBlvhg+ p6qbOXc+3HYSfDx4DkNZoukS93wQ6I/NIfQhoxgwvrOUSlkZPcHtokJ7vZFt5N3g0BeMM0sJRePY 4GNPlLdBq7VeisBSkSQCHl7sAvumI9brwGK19lHBQamjJu6B2VoY4S6G2jKD00l/LvAp6MELbw1K GfUUGBMUCy5g+VxfzLD/yYAn24vjjiz1WUI+l4OSByhNigRoifhNQXXLankXItM+4EUKnvVz7/0s 82l6yRhhxYxzhSnc5PZVGw7HSfWZ+Z4KgnPYYtgtwVSS2kByQCjYiLKfzrdOsBKtbvnhf2B+geDh RmjW5io/ppL2y3FPxz+zxpumxIWlBJ2OyW2m7ceSUyo33U8zwTQVDpf3QVCvyEs6P8FYsl/W9GWW 1WWzX3EeinAYv9rWartEF2w4+gqTw6zlsFkqMeBcoBOh6bFfKjR+XbqJLp4zYw0aJRc6YlpW/F3Z fr0mZ7FxQa88sri1N5024KUxBdlk2cYq5rLHWwNIdjmUiZq1XjZxLAZl1mqHwPbd6LZswTUuB38y 0gzpsA5IuzatAjF3ugCLpzfrwEvZawIf/QP2Pj+tfcf+fLRzfXHfdIlOmhb1y6MRE4qyVXr9/jpu YZej2cwsnd2i0lRAsQnvwsYiWFbKE2tZ8Z/iMbQX+ulPJ7eGWDUzqRRihl0c0FZd3NbWb5DwJWZm y7g9lZMvWKDTp+X2gtiXGSVhTCrResz/+RG42VrQ9I2AXAmJBOXbEGGkxJ3/oPA+b9HIpf/RTDSK Pscg7a4jq+TwPJHOi3X5SMIWrBF7dd04COaf22wN0qPXuO21uevhSF+047lRFKLqbah3OtQDm1g3 ygU29oPPIv3SK+fMNvpB6uVVcet7wbR3QEjSyy0e8C4DQfN+YsbLerScMIOUKOqFYUqjFE1Wz3zk AZktKpHa51t80xoEGAgOm+Lr61nya9xkEUBc1XjFsgIi1Ng0HmMY/n1+0j60uEYRkLfPZcmrax5t Bq7EfN1samO91qnwZmaCW38XAz2A1cZtecJin/6XFPSFxYn1udkT+cegkb4eJc6gilklMT5faiR+ STR+yS+qvWwV3ze7WZ3CAD6SfvDfKD3A6PwGWxS56hCwnk+J/U/vD17Knm0fstnqsBiH+1B8eA08 GbKllVoRmKjG0nsByMFl+xYTwnvjEgLVJo5zY+CnnPuf/40ivnJXbW9c4hbhycEfYsMgYaPBKScS BUtQS4a+CyVOeSFLqMCMsIrM1jJYNKGcgsNF9FBK6VHchtLR6+8foSRQ9sOpErDNIULLemYzNHSY qhPbv3CgNXts2yckJjiQu67oUD4qQzlBgLuQVCiDf8VR1qoGdV1m3ucD4EUu22eyXb4zn1oHyT6K yIiwdzyjQuBewQfHwP7aaZqOyfrq3H5EFw1ShLJTEhMzJ1uzv2abrQ+NlrVwokJryWh4hKB446bT 29LtQ+1BU1/UaafONg6RoMJeGRQuQNcVU7cuyR5F155CXcTmalweYPuB1i7zEy1CSL5ac08o7lAn ISLgeqOkMzlophlZ4p+o5vUHyUWkGamjtVInBx7fZExBMBw0MwwZZ0ypJnB5rfpJ2sqHWS2YoJUs 0KUy8vQTOaL7tSkyNwEyXyNaeryemRPEHI9N5H4k2oaffbJS9G6YX/sYT/lA9QZiPgsRAmPo+U9W +YmpfjQ9pLqsvoyUnuiDg4A4lrs+1eJpmIFJgT0VSz4bAbuX/A4e+QFp9jycUOsiwGZZst2DL2po wRA0FQ0Gw30XlHQIrALFhho6vaxtJbuqjU9ZsMnGodxacZW2sRFpZ6rMhwYTXdYpSMfihLGHAvpF xemNCOBBddozL+7rCvG7YJUzeuO9ho5mHTiw8apJDoXkMCiHkX8IH8UPOOcXMjQ5bwhXxNRkC6Ha 7s3FrvqQBYV21achhCK7fe6OFxEsZrPFkqxj8DLtSsnUHPJQvQi84KMCFz4EcTFrmb4UX/DO2aE8 mDBIjX/uBvPAjf6X/N/eyFX+/TVJj0xq9dfLDb4edlUCY/0QWlaI0LEgMLy2f0SPeOQ5PxAvofsK oeg256R5RDcuOswY/e/m66fNtMh0zSur1tNGUiNyh5UVPnNUbxOrOwGEHV4x4YWSRa+6Xsyu+pU+ 0+4+BOZvbnsd1S0NYwVAaNcUSvNrxzUj86oxX/jx07FQHToxF5bUOVQrOE5QUOqXB7WcD80CUYou OcC4Y1y3j4PR+o1jT4Ohowhc97Qgp7dEwDmoT7UNWT8iSUZ7YRmwZjZrM8450k0yqV/hNXOrwGK+ zP0GH74vRu0VTDg/fcq+isiATMka64ifmTkfB380khWSf+G/LZcSrdp1dtX6tXaH2Baz92UAGWY1 HjREZLKLUaHN0umtMtpRF7I1/421fOzTy5vyNNkCSdGzpIhu2IYgNjU2Xda7wDU8Uc+2OyndSKs8 6TCd+OEAyHChGdG1a+JrcaAGqZ5sLSZsO+bGi+xTvnO0P4MdYJQiU4A1YxF5HHSSOTXL5eYKM9GZ FoJP4IseUhsteGZWpMJxsv/1OZq87a2b8Bv4FJjNz4c0RvhKJBhXAiwrMyNk6qbBzmQSO5b8EzXK XsVGXRIUsur/xUS2uF9F9NTHEkTkpvnouvzgCN0QJoL5Nlf1KXrGOX8HSDJrxMGzRoWq/VLQKE1n qoYDw1TN8y56xHujvLVYrm7Xov4hDgNRph6b4KpFVRK1ZXpQJskGCVxXqF7d0t8x2+U7MpyqByFU Bc/mslzMAhKAIaWdVTOEXn8gkqgaCVSyOIadmgOb0VwICWkCFTgtm2AcqKzcQOJNXDADktjRk/cS jc1nuJ3HbbxwvUwwFj9crbpr1JJHtAKFuWMuSQKZ8d2GTZ4MIjKDYhiYh5H51nxsD4mWjqeEOeBQ ubZfR4T5LBCVK5gWohog2YvhPFYrcRo6Ihq1sLNaRzKXyotLehH1fn1LjRl8jCOhXwTqRPsK1kdR l7l1MLNRZWIKog40V9oVjHN7nkdEd0IIKfXgtdzv8n/Za4/rXXo/b8WNfvww6k/gptssrBz0jyWR ZERgI8J0eBZCrQ30qI4BcpxOQW7ReJ7V67b1grBREimyZLrctlzMM+yum65uoN6I3jgDwemedTp1 3FhytW6fLjL/JHBP8Juf4HbuchC81hJeQvYVfQ9EeCL+/J++11Jwu6WkmeTAVyNmhWY9lAC9EYdy +xg3dNG+no0HzLBr3tCQ+n3ZFGgIdM8ECz2libKgwa2liXYgZa4+22SWspfuj33ljR2zK7i7itM8 UkHXFhK2eywinmsrG95UUeQ1eZAtQPImNiD7MyLpP7DxO5fXfMVPzqdqqthV4hfUroyhEFEk5rfS eFNQ6sR19MO+t1REypmK1czdkY4x87+m/lUC8eyvPC19mywgQeSEmFSVVb3RRzb4H8EXLJCJgCf6 VnkkFHV33sOZyt31vJAjjl1vIesoM8srfOz+xPjiQ2pBjpbqdQmTsBWHq0wKFtf/ESKTTy1QWDKt od79rRinvfQC0Jtd1I+uMLPvbTp7wWp7IV0K7fSuyegFONy4duGAdZn1P/rjV6JH/KAu439iwbUm G0Qs+CaqAkB/+pGjBkLkpzBXupQCpspuEZklzBzzcTMUvzJLup4yuIfBU0qiPMa7lXqGhaPi3iWb 5O3CtvrZohg17DZqudCVfqKdRyITAQz3priBQ390U7DqN4SRuAXTxjX6OyWxl7DNNGUnT+vbHNRw 2a2uTxzXW2trHdF0I1S1fuSULAyb10F85OJrm3UAthQ1RaOSAcB/Tdv0QPo44VyR/AFFBuemI7PP BdOQaXQHQvDkckTJuAnTtefzXteHOCRoCtWCZKBIoRfFuYMJiyYtu/G86oEPoP66B5OtQ70GsQAp bNQhXCAxMNH6oIMIsuZ6kk9b1srLJ90z4ZiSb8+D3jKtTYpB82d/27PwSujwPDzFAE+9vQp9dnjL tBuKpW+QMquJl4AewD3kzPzaagKJA+A/Qvl8UPjPE7kMcOE8j9GvZ+CbGPEdKXLM29Tt9IcQikkp 84QtJHgz2PPtSzFIDee5iDePADBDm0pfPnCn1faqS2QGG/NwfA5se4C+KXLZN9tEIchnvPWlGqZZ chBaqwjpt+rdRk9lMPESCdqL4oJFk8eDyAwIic0364Qqe7/b8shhbg2RdU1CW2Emhi5QZxJOfsfA 6h37PS0F8h/l1eREzTL+Tq0XPMmf6dyULiyX7bbG2D3oa8bUBLTB+c8PAMWfWOaCwA5+mmNWouKP nwzj7PJ8Kt5PCw9ASGZWOlYICoNf21qbqocqj8SIte6TbYTokigJeNYwJZBy+i72M2yxUqkphvX/ fo/O9i1UumNz22RuDElFiM1Vvra3cjmnYZbxH00WDRggj3fn7CQW8D7ER6FeoF5udZ+JHRCvPNSL NgXLR2/ASr3vIRYWALEdq/u+iZdJc4dHqQUp99CBXtVQCNIpxeZoP54FySTE8SM10ehrMuE2xZDv JY/v5htXM/nNKXjLCrVA+d1CnjqB64KqR26tVzeJMmc2zmYYl/IbToLEd4XdZLcgRKDCNj97UC1s 87CEnxbSloJF2SBarYOI0+yLeNN5wJ+itljPh/C29U6UCVndtCsE85G+il0IZ2SzRZ+U22zL/NEv kf/rDuIM97p/Pk+c9zAY+BuoUE38Ma7haZ4r+8WmCldPg0ADbV20GJpVkbWVAlX0xXl1pwcqvozx TcFVFCu4tu+N6AdDXZZlw2k+Y7k2ZNND2qYn5q1EdVraXsUOei7TWk+QxBDEiWeYlQZu+6WQStyE XjczDHKkO97xoAip8D4pzHIZStsyJDhcQCaaxi+h+tqlPo2kW7aK2jzkVYLDzJ6vZjmatgLjucYR linnbOSj9BbPT9jgDPRpnvoh4gsg3PQ/4qEPLk5FZA3VYZrwnHIVgJUQ12AH/gmM8kgtNe1t40uM Gn3QxnNntFroSvgQ+EG/xSuYItKSDxqjDpVRs1liCrOjhr/3vNtt9BIuHFPvr3O12H4wWLe3aSE0 /Mz/2DZ29XsUiW7dmiqNf42+5tSb8ERC6S2VSl1wl4/CvulVSpSzSWJWng6bG3YlZ1lF4cPvgm26 P6sPiPpaMkpg9onTy8CrESUn9UK/MulcU/dBxsaVt0bDtFOIzgOqwEaBon+ScubR2oYV4+3iUSPd fxo9D2PeEZ+Fz09r9YlNZkoGcvGjsc8mUbWycmKJQlbdQymrdUGKSiKXN6qn/QDfQ1yAyW14EL1e hNrKJwxTQGBZDnzS69b/K4c+00QQI7OXeeWJeNHsyk7ZupKl2LdEpc4KRbFBZYgJzt7Bhad3+A+N Fd0UXEgkZO585FyrRFVfx61Cpp0OvsXVloUw1egcwXkze13vpC3YILBHB1X8+Cenb9ZA31T6rMu4 C1d9KHdbvlcYGYFjWx9QsgHZwlmXBmy6CN/W+Ni/yuNg/W7KCXs1CJHoXjl3l+8mAaPjKL+P891G HIE8ZW1iwxl3cownu2q+aO+L1LlRsMwnsjDPQnqwqnZsrTIX/OzhfLIBg81wUalGLliaXfo13gUQ DMNNS7mwwQdMvXnQLzO6n6GXKfEB0mczqfp3Scfmp0HQwlSm/91q315DFsVGUH7pSHosTLTBvaGk WW6lExmDIQCogrbvc9097y1BAOmvBiVVhjBhcwSfLXSQ9ocq+OQgzERd6w15zY3Pdoz9GdUkszjQ bAy8lCqLoyOFBw2EJy3KQquT0KqRC64mcohqb2zFKDrb/5pvgwt/+sBQYQbAaIX1BF3BUCYB//0b 6oml+XfBy/Izryx8mITM9osN7Q7pVJpfZq/8Yj2CRO+qreml+aXdk4auAWd0qxfMosbibzhn/XUN eA/rENLYrRv3KiNGuKxSHWltBK/9OZzIg649kDXR+v7e/JI71SJqhmVHVt+JIFXYU4tXDdIUXb0X AG07ZfXz1u26hXU/gUFgx0UTKB+PkCxK7FP6qCV38Eh/q43EaX+2Jwl9zj8t6ZTbr+gC2Hl8OHbY Dhzw70MwQVynPgGbixBBA8yUrCBXFy2xJdGpj4GRHLxUqEfqjKAiTMyI/j6yPN1k+zSEAZcqK51y DRdHUmo/Pytlqcxt/j1FwHpqlJ0ckcZ/cowNcp80ST30hi0Vvk9Oq3bC/MbUa1d562NdP2v+oghZ 6DLpjX4eFHgZ0CmaZ8teRF2XESU3noUVom9eGGJlxKgbNDgKJgjUNFkQ7wPZDZwClt3sgyWtzbRH AUpJHn6CRSNNdgrBlzMR9BCJXEzCxhUUEUJf76qtzlC0QXtC6EcRWpOVeO72sazlg6cdyJlIFtu5 HL3S7qtbd3OKkzoXncgbyEvk3Vzp/jzCl3a+HP0QzxU7E0SCAg/4x8+AeoEyvd7lj892+cdRxZTT lgNX2oZmEmVsa+f3C7h2Z59J4y6ht6sEAsIaiQj+35wk7xHzA8mrcEquuRU+TX1PoTNwfYFtiDnx vGRwAajpqPD5ZStHKVnhfakm87ww+eUQ52oXcXmTZgJP4GiNmWStoEEvfmdqfSsQCOYmt9jBDYF8 2GFmFKy1PJKNyF1TF6MGfrrsQq8/Q1BORAZBT+/eriNs357dhojNYD1itfDEvyfTQxbqxyy+ocM7 0MYbB3cIGx8VAx+uYSivTYDiOgDcKv4LLWxhkIzmf6V8litgKAgeAIzwO7O0W4zANpWKSHxLy5G+ PJkSQpe6Yuoxj+OFIyEAViX/aJY/2MT4O+daXKQA09/xqOHbd0KAJWSyHHyumN4zotSVs9l+pAFF 9ntiwrVWAIEfc7/6HZjicVZ0vVNTTEko0jZHzOgwGa2+eXz7k7KRGaEGDHC3HjaHWbXnNzNNlmOw e+3f7LcBN6QI/l084Q4deiGTbSkzFfDe+hHyEntfc0XRG4W8MgKU1cLPNp+M+74s57VGdxLPlf+Z 8jSj9Uq1a9xu5hCEtQeSP+828CUHw4K/erInLO5OFSDCVl6Kb+bUyXU6tZeX7kLyOMN+3cS+LXjP BY9e9R8AFi0GEiyNykXjSMOEmmko+d27nNQXTBAEnnFXJ6znHutqr8fKHHa6d7HykPvNgpw30k6S LMtYPsAiHb551FtK4nAkE4lWAiPN0F+5MOXZAeacxhH2HLeANDIDSmPUn9nthDpF6SIVLHvlmvok HV4PZfUQEBTkofqpD+m2kXww1unZAnv1ZFMS6TK49wKbpsGHlcEOznHfm145IrbjNdgpEcFzGagg gv1/u66LeYFahu88ZSywXBM++5R0SKab1adShr0NirXLljEelBtvgW2Ox2YM2Z9+KO2dwMSv9h6Y ZNovgaCsgCO3XXaR+yf2aePZk2lWduwTLwtAg5TalSKjlx5HcnwNfHh4qNpF5yUxqVTSNLgmPZEj P+upNIOIxWvcjfc0iroEaxLVWI5Mx5sUl/fM63u/jXI+ntMczJQtdS1N8iwAQ0yjtRhmBP3cfcLC hvFIw1F80qcbb1pBF9TP6H0Uv8h66hxRkDnf/nc3UPUER9o65jR1WfqDEvNCkzVQnLuUwTxP7vfV 2TH6LxutF3YsP+O3vSy1Q8durmmo1Eqmx1IYUlIZVF/EGV4vumk+BU2Za197SNRSlYY/S8I1qew0 c5BNjPcs/dxz4TB1X0hvHV6fN83fi7kT+xxlEjSWb0uXSl0Jcu2p/HLNfZcvghZzi0vmZfCgKzlD InbevRV7tIZRQS3Gv/oVm+zN/XYGejM9lAsJ5OGqne+GbCNbQ4cSdy0fvblsMCcQ61/TvC26yglB Gp45AhHDBOB+qeqAiyM0qhtRhltNUOXSJRsrgxytDaAy9yvAi8vkZqYQO8SvvEwB8dDRCWtEu8zm BrTenssLmtsaZMqd1IJBWR4PDpOI2jFm6uREA85NdcqinUmEcLEmi0hNNqdfF2h5W9+efGiMuLQn xVrlqnRd+hsX/WwU+qNjtKSXLuXG1WV7JTmrLSlC7W4LbBFrJTOyDHh+GBcyE9aCHDovpQbEq2qY gXv25gyaKQPY/YNp7m+rFOKPr3Eb/qvP8NNFfkyvFqn5DWRu0RWKP8xm/Hr8zAJ8696McFeL3yMr bz8ZXaL9bkyXoKjgiThIwQsQGuOZYxH2Q6cBba2PBaXU0B/i/T3iXq4YJYHLugk09x8o0bzcOFM/ ZMxBt4ZiZqRH+ei1nCxauRxcH/0x32eFnKbjhMs0U3G4MkZGpmUCb55aqL5WW7D4wdyhJpAl4k1I Exyc4jqu+/ZRLT7it7YyoHHzFeNT/+iY30ruJARW8ggqkaJ6+LrfpLF/8IxqvdOyxwOD6NT17rRW gqRe2Xmv7DZQwG3E4G+SK/69CV7oi8Sso2Q2PKNfnQSNJ5FpgwxgwBBTr9gWMOz8hvn2CtwA8URv ybiG31LysN4CW3s6silTCcPk4FaD9GCn/RKKR6COu1I38IMhWACkz5XdwgTkSE4oL7mD3QCqbA3q 6Oxf+pzk9Y7lpwkBIxSLrnllepbDO2EcWd48quibfC+cYYqzXLW3qsieWGdMUnf9SW9mI8u4NYEa 48fjYoUhxny5/0IfNGO4EiGOtyIw/N0qLbTMxQA/5+v3XB5nrQqLlseBd5jNfHKfkFazEePNB2aD goJAm6/t+F0Z8EXvmy9lDR/CPZrq3ql7PISzQV9Mg9CtBprO2ssFLIrZnqbM8/OeG+fim/jKjgZ2 HMiOF6B+MhgGKH8+OStYGPBPxsqJWHTuCPsts60K8WgDh+KkQUYcg518/geDdGOY7H5LQcmZ0mVr eGUwWjyM+7CUy2Dov3/JED6gH/zKdQY3FiFDOYFPrvi3Vqm6ff74pjhaLZ6qMV0aMDvPJJic9fsr YXS02YAWUOZ/Y8Bf+X4EekcEkX4nGsZAs1O4VviKQ20FVnoC1IoZLqPf4EIdUlVK6fh/hCf5dMG3 zOOk3tZZU6qeJMbbUOHKDWl6nLnNs9fM1Z+VR/2UCQoQ2SIsV6+rzgejuT27I+3GVjLW18mGL/Px pjtytwMFtAMKCloZzjbQZrnlNDI0Ra+aebUS4c4EBy9I2vySzvCtR1/efwhzHnAu05ILsYJbMyEL XbSSLX2Bi87Ffi+sSZFgLaWc22kFT91MwObin4DfGsRzfC5mZ2OZ7iGIZCyRObKVeGByvU3FKomB 9dmziGxv1ODGETIClusbTE0crYEpdd1Lc2fTHumNV+C4mGxT+eaRBCAAk0mmgV3fZdNvpm8lKpyQ EfdksqT5n44t1V/0KS0vxp/Tg5o6PRGARYEpWqKoNJ9BIsP0HNvSyVoi6yNfWkUlgMGMW/S7AVzw YpUMmbcm/anu0d9Y8z/CGYRkQB8QF98BLKQT/RAD6GyD2KDiyGirwRK/PT3e+yxRVRju8Ts3TSWP sTJ9Q8hOYz2Q6kfI1mTJA7pbCC8Jj3rSp97V8iLKONU9lkVWxWIXxtjIX4UwMs2Ujx3lcc0ahfKT 4c/dYBXYj/H0iLWzDw6jz51U+7iFA1Hfqo08G+yNm6oKgl20oDzn+H0uQz0MXvtXRf3YckWFGPt+ JsbPI90xf04LcroweE9Jv5j2tTx5DRqiu2uao69CeUfPhSEEqsOcfcEhHDj1/zEr5vmytcOkMa+y ARl68wHhZIm15gtm9mHuTRicImgWyUkFhCncJiBt47MNrWCAUc3eA1gK9k0lz9LZFoAV607RGzvT vu4UG/t5H9huAghtrfjkMKU7gvp+NRlafspNFnklHm5xgS4jCBACrufQ2PFlywrkZYVR2DLnWu4O FJ2eAk+L5EN2VjSHv84kWCPFCwmj7kZs1sTkjKl/B3WEl72dSSDRwOYWH4Ra43s71e0rxNq7GHzi tJ9ZsVXwPkBuQRnasZcVKXVLWaMLpsAtWJILIY7CoZGU/yWANhlf1XsgSF/N5lYbvXANg2I+2xn3 MCqFGt+EbhZlsIPs+FXZI1SwYzoSVy8rqE1Mr17Epacmt3zMy8w6YPwv/VUzBKwI0BnNVMTxzAxu NzA+PheyxwFT9Mllje9Ws1H8XqKB0xtYZBRK1HT8+7EOsYm74EJMi9LkuKqOsy5APMqviV3Fpr5P 0fnXMTZdmAMV9UxvgrVCaRyXkU1L8cgvU7BkA40G9+s7hHHfl+dvdMzHTtoNkFZ9hPiqF7wDVSL0 yLXZkm9Z9Osa0h7qIqWv1F/N/JMLyen2WnkjQaTNz61bWhwOVGn+e6ixuSL8UVDanP2oLce7VzO5 1tow4QWLq0yZeDd9E4P3e75bBdxccsJfYFX2CfyzAFDvCntZ6bph3/NT4uCBWWR+nru5yOFARoZf WalZbX4/za6VrAv8WYorex+yGtdT7rlpfMWmBlH0Y9u8TpTX0H90jVr2L4mbevlchDeQF6koX7Fv 8/6gNcdlQt3B9Qa5w3PDw0mWu4y1ik0/1yVE74hjn6UOstoQGe5LXkrYnxaEITNtpFdxr4Xbx+5d Zav3xv9uERExJJuClJ4/YqQvb+JdDH0zGKE283VVbSLhgXdFvFND0IG5EhJKBtLuhk5fcMxDJuaD 6I3GK3w+e929wGLmvZLnHhrENJlmmm0MbJ5dzonPXW7Qp7H2HiM5DKI9FerqzT8zEY4oKkYzwd1L FssRNvBFdZ9CSzp6xxqmeDHTO8FDD7l6b10hTWQiLiehCCQPnLGYjETPhgGiFxUcO7BevUR36nRR l03yA2EjrdPpA/I6hLeoWxtBuNasLNBIdaSsdVfuHE5axAl4a2KR7f2gpMh/8LxkxtKiYsVOB/tc YE1TbbGvIRtj3oMAEenNwJHe2p2xpFA9XVP0w6c8NDjVXd+x2xy9s/pjRRvvIqOoEGhqPBIT5Z8c 2acr4vQEMpsIAiGGaZRWGjq6HQD/qsvFxoo8aKkBblNFylUe83XZAQgBbX0wj/hsgPqGmwDDwkYl TTwggCoPdeg6RCwuOSDik49O9I8eNWMUHzEpC618xXWtvIvAFHIGLcKSfgLj94edGpcamfMcvMc9 YJlR0EIjFvjiQI1WFxruo+h1xk2vdZDmxr2IuN98aTCPxU2+PcWpJIbi3dGSc0xhPugvqMTCMtAd Q0SHd7HQEdjGzmaqajqo+vei4J1PtxBHvvTUcYeCgoP2LZSmGWNVYixzzFluzUiBdJsIsEx7yRl2 X+PyIp3rdCXcPhOhZLLpbBcEZG428IuUzROA7JIZ/7f5S2udkcXqHA81+36mA83EoSU+yIoy1gAh 3nZzrdys19seSHlM8cZ4Sg/K0aC3BpdIA7j6s9f1TcN7ORyaUbA0kOBjjjVCr5+OWsBYQj4Mnbvo SjK/HZk29TuY9zKlIjGtB3AWNzWXL7V2gsg32+bsHUYyS3ZtiKMsFXDYCnsZf71q5hlJoBc4lrTm Jg5R0iRszASRfLuxWTRJllrfOE67w3A06YmW10RwpMDNnxYeTE2BbR4NiLYwJx7hTEOriBZtzocu TVgEa/Zpy26BjjLQUxERakbn8U2S0siqUeM0IxXguSNLSfjWiIMlIME5qXboICpfdrd+goBw2Vak ZhPDTuD3muXu+CvEvb8T6VoV3pgnjwCgETlZEaLB5UT9nSXm5Ap+VouZuL1f2w4DgMwcN/Zjd539 OzAf7J5tlCC6i+b6FTqFwx5OCwzMvQmhFKmukxachz179UoEXY+5xr8Mv6ph9Wv02wq8uZkZFJue vDPvgFn4kFOeKD0oq7/34I6USwkOx3Xlzwafe6lABvrtUv9HZHV0+/SyQPdk/Pzfe721sCQMGpOa 2LNUHaHuTC88FFuJk7UarMC8iVgqVNKdEBtgKE8uNGw+2ZKGpOKbUJRlWGrjgdckJwGUMBwYwzm2 8K9fbLqKYq61BSFo9n4rbumb0L2jdHHUQNAJ9CCi47uxEEJY4qAgCPA8Fzb2G6jjGIcQPzycvhhP Hdn7Nh4pFiEAYk87UOsC96IidAZYAMT4vFmfrDw1yxoA6UEn8EK5XF9b6AN2qZMtacUDciZiJIFh MkXA7HkMyXbKn/vzJvWltT3gCKmNciXrWCZjWhZxK3x5ep09WbkGDZaj8Q3q3c5qjYkkVPSA7hQd /bOkNTERVQm9hyckHHaUmCxM1pGW5oqy8z96ybVNDkDTo+ygYWAioFaFiYIMs8ALM8MWb/d84P2B YHnkNdKXRrwkUKqZfOKQfY02utbDj3tnW5PoID8eXthTzNk5EKhq2ww3bRttfUyN8oA2cj7JjMcL jzIPCH+QbHUqG2iCxRBiwx4DrTtZhubZ8QPfE54eb3Mg0yTcoQRpJEdtuC12uOvOM+RRPHzzXDGh ggXlUOkDn1ncXLWYCDW8a8m7gQYnbVPtwNG7xMHjS9HdAxu/CE1UQx9Er2cXHg/Nq9y7o38osHrM CrFuyH5UnMb7AwnlUsFXUDe2sanisw/LLSt9sOPofXxP2a5aRONhh6sICDA645tKXOuHAFsi84oj kWYzhdfCO8w2U/vfBw5MOzdOV4KJbtbwBg8aDAuH2wxfDJWuMselY1YZGoUVTpZpNNR2xmiWEFI6 dn9xIeKBzAj2NRG5zhy6tcQbiyOmrg445dafbiVawdOlrUZ4gbv1kBMimpYcEZyEM4wVHOoCm782 MsBk+7bCRCWm/j7FnAdHsjqG/osljBWbJsKqT/3Vojiet79vcZXVfDr5LEHDAJ0QLAv3ahbrXxds xX7jdw1lFqukrCWrpLwj+/uqdbCr7NHtinLtkMkh1ioqOqSJziR8o2eYjJhYWLZDuIAUoKZRsIQ+ dH5p2X90KuFF21UwLeHMvQ/hnfH7bfqK2t8nf8kyiQ3iQvHnr+cZuQ0V62gETTbmabT/PERjLNVV QADVwXgDas8soDJzyEqkxdLZjBnyV4bTpU/pLq8CHIjcTTm5WAGAmIvIfOvj4edktgb7asyN5qgX S+iT5d9Z8qJDf/ptX4Q0HT7IOdjeaDOzNPonZC+xgIEzMRbP0kjd1yLCzPiJlitgHcLswIHDw8Yq 0vjF6khumQnc1EmvdYZKtACM2iN4NV4fgNunzY2dXqh+738+Znnd7gIeAHV8zRO9mRh2vgZQ4KjW I3HYRMPu1wu6jvG7gfL/jL/iUs0QkiyPDr28fz/z4WbICNf5/vKAAL0KRyEOQnwxcxRyE/9mtTDr NmGdn/tZQ50mdM1Q8Y+lXWOAvq1kko0FxNfsPBuS4/2q/3ETKOzo8c5x8ogQ/uKcd0ewI1k00+AG +yMCJKiBBkK1mjOdNmlm2Ei5Y7d3x92Ypqg7a7WlgREiE2vmu9vLigs73n42aCQfgSVGQ/+fx01s OVVAnDvH60PIOtb5BC5+VKVujRHl2JTS5IOdTGpDGX9No6WCtJKXqaVfKSfls4eHBgeTYQUvfit3 2V6XcfEp1rMrKkHsNPcZbfrad1Uhd2J8udLJG7ySsLFBjzRXkcHzj4N1o1qAtiE8pE3F0kIgRH7x 1VxqHPamEOmCr/mfvuV/32Ezioeny5venCYmZqrkAt0nFHOGnOee9IOTPV95IrNNq5MSQZeR+MIe bUIkOvNyb5in47oIoJDNCJg7LuHG8YhQvmFHoDNsr7ovB52dlFtrrMi1T72VfhFFCQ1gsXwoj44D QVo1Uouzmu0MaFYhzQ8Yw+CG3rI0oEHPggYG0D4SAiHFtHBLN6XNP0LTrWziEEhePg13rG0vArCf T3KYW5sAGny9ee0hctri2CQpq5579ZPuSiJNQdovogyFdQN/RdiYIfB5BRrGUW77mouyH8Nqtb0/ 5RFzWJzLbx+uZ0fEPA/JrO+yR4hUWQ2wqfNqN9j5tw98tTMfoYxrSNZayH7cdvQxCa4U4a/9UoS+ cZBAkqWrcqNer1rhz4wkYfhWQDpiGlfsEA5cE2SIKg3fXDY1CKWIv4RSY4yyA0nzswXqMDG+2L6p 6UCKLpJhQY1AfHA/v8oSW6mRyUy5cgYjLOODWDsccpsHHKxwBDTQGjePboqQOscY9Rk+THgqS/6c ou+nZbIil2PsEoLn5hKf1qTs0UEkeNVidZIAZ1RYb+Kc0TN3NWEH2nDxFx382wyuWNqurV5EW4YS dxQEQoh8+p7GudGS08zG5kW7bhxEsSqPQ5JGJueKs9ZVpsLQ4RqdUKa5Gj0BmKBeri83He+ABiYM zCyFG/FOGhhf4tjQB+hUyDWgwRgtW4rB//SwgBN63lsQJMvH1r3AitpFlCKLB7Vmn3Vmr9kKxBHf m1SlBf8UsAjM2UHjOFuHahojRm6QrzlPZfO5xqBFzDU1fdcQkwKJ5n5n8dzRKFgnXcPCR0EnaFMR XH2hOsLEUpUlyYWgEES8/lKlxFMyV1po79d9+U6mg7gCd1tcrcpzgQrPC5kKd1z0Ff9uiwaV5lnU NO8kEJaMChCZCPGXLZTJDreaMfkxaKINj2stIMchh0AGXW7gwRfEKIgDB3zSiu1coUez/V0QBbTQ rAQ/DJH3q9y1lbILlYFn6WHKmKb2MBWsbPuLkX2D0KaYSFoJJy7EKw5cWqENUREG6HhJ+OQZ86Gc n7CPTHa0oKl3LMZxTfZiB/avcitJKK+caGV9ACW5EeJrqn/QCCL0kNvz4DwpYmchb1UsI2pwDj8H zPKfXR5yLt1WBvwkvHsZvI3swovi4vru0fj4/f9RJ3y/IhcwYv6+D8WUZpFca8d3KcCu57G9s1Ou 36YwAth/Vkhw0f6v80sh3r26N/9DdFvllUGda8XsQFi3XwnCF9ouTI9DI7s6u8tN0jUEzbqAPzqM evClr7lLsXbV1BMfMzalWNDM7hnXUD/c0McSUuLcquOQG+xsaA2/quuhXLwexdKKNnIRB7WkAVZA WOXLn78jKdWJSSawkpl3BarZbC+D/7w4Qte/XZ161Xtz8ONokAgBX6ikD/CUzA7T7csJ81GNheKX Tdj86sitmI8dpymLDUvzkp2oCpy85PsCWsfKYkthIxpFUQs+z3UYhxbOlnEqgzmBOJZRmuF58sVd SVt/JxEcRJ82sv/KhKkGFRA6+A/TSUD1SgqNoC7izuujo8E4xDymqE1WZyL2di+6Ps9cetvU+JaT k7a2bZlaK1wkoZZp7iv5E8x/a8O0/lw8DDdpWN2PxcN7UNejG125++SA7hFrr51ToPKZO4zozaNH aqfZxdTNMfclkxIsWFkd9ZNz0JjkFYczj9i9NmyOwti/xJuf7XZmwb+nF6B8KZ9B2puVWPUxD6Ct MD0ieTGmLdhgh1EmzGiknZPUMfzsuzQmXDio5Y7/VRQw0AZPiWrq9pNHi934bklJX01F0GBtYkJE zetmOgr8L5Sf9q3bj9rXWLLr5deBB520CT/1TaLck1cStZX7/kXlEBSxQsP7dUMf+y4e2k5xtP44 yoWrIOC8oNGK6kVb6awcSY11uBLt3b1D9rmLiH8Jd+Ya63HJ2GFTpPIITbD9oZ8TGjgM+N1aoyfM wQSJ47l3gFkBO+TG6OAYrCeLq/AjP8vsnoTvgAR+XKlEYewlvk3JM/8Ez8oRb/VFKuH6FNkzRpwZ dJBauNNMEKtvQJDAotsQ3P0g2xvCn/R/ZnGYTY3oIbgk0NrKFTJDGoiC3D7c0oa3LMbNx4QmHboh Fkj2xTSpft0+HNitmbkTjfKPiDVdZx+hELJ3tEwuC5K+IMSVgP6JDc4ND/dmC74Mi/qUUayGtJ4n 3rjKauYgAcYNPFShO9Fe/Wv1FqGvrw21PHCFYfwNPYqIP3cuxAWTn3J+lvcGvYKkvKI1FhiS2u3D gBhFj7w6e1l9fZVJhd7X4RavJ+21LFLFSZsmdRMWKLuHbwX0J1yl2Xzc+UIGhbULYaOxng5Gf+Bd 59oBxlBwMB77lkOsZBWOYDVNR01F8537Dfjvc8QZEKYfR9P4ZWQlhkAdZMIXWftPstHjhNis4DSx zvmTxBxYv/2pUU//Hsm5GcR+D7YIUAApxpFlQ3wOyWECssf3YOWLOrPPCge+6Ks2e9dPGvcEtlWz DAROxP1ASsWPrLBG2qGHBF/JhB1Tu4eHp0dqYtiArkEdrwsYQIyXTz2N3Hy1q32oqjqry6nbtzL3 CNiAbICd4ITg7izvvMpaL0ctKiJd1j5OxFOpCjX8g8KFUP2hNm9SlyFSdNjeABs8wGJhotVAXemY yMYrg8SVQRUzluoIB/ULfApTHJ4M4imMSz1QJeJhD7oNSLbBmJ8YyevV505zLGIO4B+B0WHJrWIn gKGdaiVShXYtBYWlWlXFZqkIWCEmFHQxm7ym2I01WKFGNcGeNyayjitz9lwE3jVcQv6Tko0mSOsp bPUADyE1ebUPl6uuuL53u0LkTOMPjcbkKQ8ZuWXd3ffDHSkAwqLnrv5a387NfKXGywJpaUst0dCU rDYA1UkXJVnGaeVTSqRCOzZgKbOt9AIaEJ3C6oU7g8gar4iNkpdKG+PCuYAMbNM6c7SI6woF3RIo po9pHHQAxSxB4FIhsqJO4hS7S0TwLcYprdpnhnhnXeBnlOhjUHpPVdTZ4kmCeLVpVgQmYy1Ad4pV yjhDxi88oTtFWJAmzThW5tIFSgw3MIbvkJNWB4/XYS7LelLvqDkVX5sRYFCEWyAzoEHBe5mPikAY 6AJnSB/I3cCPK0+Z+l5q7swW5BrXz1+smhYrravu3I96kx6aYmhBiqzVDMR6Vz37BMt66MszorEL 80jn9mM0jNgDfbC9dIKfmA7GbPqtrdJE6CYxfptfQKdrs11GPCZ99/ELpYmzmIJznExmPHlS5/bf kLjujp0yArOkxmF857esUQgavsZL48L9gkSrLhwnYbXJKnRwUJaHM7k6tCS0Gg8boCWZznZXCIva k2jJxIH/LfFw1y2RzSGLapj5fBZ93xq6by4rtT2KjeRDowvtNZX/QCMsdS4XU79Ah2JsJDAE3/8W tfdasc1dmONQIgm2ilsm8OiNWONGmxcamnz2jDdZmbVtfl+uoCE4ItaIhWAmuIlrc2TNhRWTlqYN 9hX1/uvh7+a2mTKp2ZZeX2v0OWAyGWLEqVm+XinGA0STh5ehgwBUDAVEsg2iMx8biHzS2c0XzLkt 4JALZDv/nA4QcdO6t79uk7ERqSusTJi6Viaaa6RwYeY6wu2GXBY39JhI2iy3Frn9RUJcandtZtWC wSw4mnMs3K85G/X244ec8JRt8kBs0fjY7bSOuOhLRiNfvwS78JfLZ8XPrs+1Oap+9QRpQJTBjM99 HubV2HuhvOBH4xOekdgA1MfFcOysWO1MwgkEyxJtMaq0seeuVE2qJCmqSvx0JjFkGsYgxFPezzWl 9+ahBLU+WwGBd9KW0FeIvnb2Zlue/NiXsjH3Qe4JzOHC4JFsALhX9yedOnd9rlFIBaNeHHvXrv4K ZzwCuHYIkR+4BzmNVaXTonhKwdRbhMB2nMOQ7aWMgSQ/VSQM/7MMNljPQzhQv3xqU5fNhm8kiorL cEv4rY/VBiBWGmIQ5yA05A9/k4C8smvh78gHevndFGvrEX6vf0GPikWJca8JxlZtqbgXW9kIXdg1 Lu2yos6vbhIFq96XArKUrQ5xZYqzIse92zEkSTpA7ViolQdlYtBgvZMO5FaKC1mGlSvpVH8Emcv/ BkA64MKB9FBCYm5/TDDXIZCZQBsvUDmlrAhpVW+vJS0vHKJXOci/tGPlfq8gaBRMMxpJwGqAmdws k8PT6o5uMZW1c2SvoW/p5RA2wWoPAzQIqalhrDqJ51rdtYPTqntsXF59vnB9hiHtcof/BU7xWFPM JFyKwngnh+jhA+UevRnOQpB5qAVgnxkLJyF5eMIYlSlAs9ZXNq1LygTUjrqJqY570aNIUDUcQKD6 zCSeGFVibjFKz67l47f1b+BFjKgDrqt1CKmhNls1ZhVq2TBNsxGhDUAZpWzlXNb5AsULlmN25XTI GDd401f0kTFXUYC7uvQT+biCgWIrsHg6nVoTn9wC+je9YCsOuLUFymyRcXfrWBSLDalJZ2pIzkIk Wvl0LRA74waOa1fmWosGcJox+dYfxKjwxUQB/MI6URTLvSOnURw3qiAr3J1Y4AsCLZ2xAtUtFfzX 31iTQuObmPQJVNVahBeNGj1oiA3UyAZzGifo5AGBreHR1hPXplpJwu2Jyo0D9GYDScGWSHcg82Y+ q+baJzV+4XVVUSTYTe6uz/hvAE34bNly+x5TVF4Cpgn1GlamrjWQnUc6amrygNy4nOTnnRrbbX4E B4w5f482o0XqB3yZUDx7aafLEilHQ3xXRsrCE9jld2gOI4QtXgp4rFBSn7anANolR1MHGSXGD1FY oGMDrse1aBaKWnFNdGozrWGYytSrJfO0ScglYlnt1Xd1qGJQv557b936ZL4NxyMar/fguvl/Ruii UUBFA+c0higUiA7qdfwMNP3MMj6ZfPqrXO1d7ioahLEH36Ry1k67juHDDqNZKDHrsaxwz0cBVTY2 ULTnJ/4ZaeJUCgXhpX+5EqDzmjYGiIrK0bx0R/LOhWn331E59Gj5H2dbMT6m/wUHvqT4qaDkXqUO ELykqHnnlmPNY9ANaYqvz+YIg6Bqrx7CqDJL54fGKRVMTnwMO69s2ftEESll7AdSelJNu1Tf4UWT FkmFL1Kl4GH5DXLviHu2IjMSV/BSS9JHV4Nq4eTuF1/ADj97lXLHo0HKmui97AED+2TvGHHj0qg0 azh9sQwjuXLE1D056RJyb1UzTNfMyPgA7OCoCj9q1TRT2R4me9dfvsH+USTclubisD/sweQ0X50+ ysa9DnSN+SEXVn/YG7fLYzfvvyn9K/3nL2MmpvAz2IL05uQkd+MZQ/pdxwutHi8jLwX7SHwoVsP2 Eiql/urRojrmBUmMejgE0ZBLXOkG8QBlZVna35GPQsFIRywoiSDPMRjuGKUkjgl6FPR53Fqlm9Gc IjMhBKgPDAJfrf0Lc4hAyG9rIMp8izNvtTipVwsr1GCeyvWyAJ00u9KJIADpah4ILVxey4umIhyk 3A22Zgw0dxIf9cK3XvKoEBt+NntFohjfFq0RWOotH9fe98SEmbowAHeECthZdFdfbIa2m2z2k0o4 c3HnEf8/RPWWWlWGrmnUWcY0hz0k/6b4r+Xh72yw31/MlEG+OYyhchjqmf6kQu3egGQky9ehi6E3 w8es//k5jIBsIjBYrO8w/gJZSnyth+jeQuJAZR+eI4qJDbU3ThgAUeP9ELG0CKE57ezP0IhMHpNN rUQzzSfR7IQFUOOkr8JzffIBM/KLSrs4d8of6V5/F+5KqV29gS/DUPfXEPlgMILi5AO0+faT8Mln G4GxbsI+ltLtButlu6kFteQ+Nx5zxe4ga903q+GIRRauawzB7FQj78Q7eGvVjl6nVPg/+dPbVXmD 7/csd81nbCxjEcdze8fBta7+uU9ywom7pIUn8+EzjeHJwH5XmPUT4GcS9LMOih/v5gDU2UGOzHVU zwrwyEKXl1AYAiFP+u+iBFtT5miilwzjjg3i1nrdKe3zOG53JdbRjl1TNmoZnYwKJ5QKhI3QWV37 tWWYibgtId2PlIuvXuUXnWhdrRj04EmcT3QwtnKZwLtjrw/7qvz4gizL3UffQKobWxO1fpJpXjO9 Cj/apEephnF6ywYJyTtdQl3KO3bOdjd0wYoHcLfGuciYg7g0G76wJzJFJWZliRHNHz3nij9zqyaZ D+d7Z+G0Wb4fzISpozaOIrcJfEtKIURdHtoN3YF2gpHxleECjB7dbxMDBPSvAaZxp92yVoG6CaHR syIu8fg1tewE4OjOsm9FBgXwpMhOYCVO9Y2ZYVzd6cE45S5aFRhOBjOzdOiMv7kDja/l0WqgbuF6 FXh+4B+wkdj49WDL8L6LFsJ62nl+b1uBrN1vdcaTHVruQh+REYESBDw/+Risug9bDvAjqAQBMLca RXipO1azap1MzpJRLa5K8aAUW197YzK6lN8ks7U/hb2ojDmUL0KrRM/AB6SQdlMsZRqLacC57ji1 kZIziTzkLzZ41+ZuJVzacemz4KPXFA+H2rQrfJhlexWmFSuwyTFIPrwWJvo3vQPMO6A7aBE4VkE4 SrNgWEC2TqQp8MHSawX77obY3R39xz7o9/JlvBQej4qSbehw7SpWhvw2KK5XHh6zadtQZbqZy/19 antpu3vFmlSyKJOkI5GYiX/lumQzmt3EPTzJZMfzX1PC5/jc/Eij8bQ9J49vqCdwap8w+F7GupZm E3XfaTcdZD3bf2GfQBAQ3xQn6VK/q1xPTvNYS10yCVuapQVCs1wcg2E6V+Tre3BaC2590AJAQKiy OlitMXzDxTldG0HaUH+PK3VcE9r+SOtI4LP0XhLrRQNgpjvs4eLssNNkc132N/qHTPK5nXdt61rK x36+4r5KhqOlbusYIn5Brb+kKVmNxPg+oWFHeQo5ceU/qqQtx6QHa9A/UgjEXbx9D9jKd7u3TViA 8MMTUzjkcCEXbYCWW5lQJEL5ST714v3Q4FdXjDePODPwyAHNfqbxOKxzeB3PRRpMuseyF3n0w6hq LbMLO3u3Gu1uPsGiOY53lx8NFniU2hWXomJBUWjb/g+LX4EgdRBBgGAdXcWuqMrBwqIO/Zcxx5zh LtwnJNervhaHTbXzumog3N5l6LVOvuKuOXsWGiKCB4KPNGhZFFpmsbzhsTB21C6gmNOByA79slT9 zaOg+WG5S4Fy2I6wXaJtv8Stx89ngirAkJ6Q4Hyp8W5moapaEhj6Qmi1MPWq76WNSCV09+WAZ5Ie Qh1hyMQ/A7xOrmwIlaaXweI3InSE2lIR98eeaWQQF2waHYt/QhxASmjiQ8y5YGD8FsFcByI1eHCt enPI3n8TB8lm9FNcT9lckNDArLWia1lCe77wyPeigZWpB5BDtCGSVXK9I27fx12Hx6xSnJgATORL ekwpNX806gpSMva6RhPl3BQm2LjgkJbFOgpzWBlLnDwORB06bQCwRX01YnNpicrfiM77weEebQMn 1rmiuME9zQzKozcmTS/sgxZkw1hC9tpCmg3uMpq4Qlf7+9k3dPgQOknenbCMYLaFu0syYDj1pw8I yTx6gSrmSUr5Fq+9awjwWZ3Z9LXlT8N10NPt7gKQ9ELzqha/B9CL/Ng5YRoDhkWJbO0CYH6aTff7 SLueQeeH52SqOpbcy9aketGHguavPmqibb/nTV2jKJVm/xf3kvtPHh+fqBDbbzlOnxnXSIAgoFhJ YX3/0YHUUK+dsgTXFVYhZ3iwICVmTdTFLNna5YhjU2p8yRXxlnac6O2tcxqLCVng2rY7xE4uBniJ gzdkxvyj5kZqPsVFgtQXauxUvvgdeUN+lkeTuJIRenNyvxJB/hkDfduVjUSlPzQfITMgxZ1NnlN6 e+Vk9v0HI+vjSYI2Ggx/mqQHFeufmX66ie7LTxRN+Kv+q3GPqP87RTBZKSOAJE9UR/4Asu18vTft XqQE0zndP5+2ZZPjdMigl/FwhDcYlJ40CbZRZ6va2NPtVgkyDFhUJ3bCa2/5r+pQCmjXPb0WV54N aYva/9470b46d2aitTnnhclnz/1RmilMkrT18oo9ewfCxC5iSlQ8q6/jIlg6xq1A1mcoyrdroAF+ nO52WGUuCJ/eTIJnnOSOhb9rsjS0yfy1+TOtdUgtzlog9HpAqxHSeQKiGGxs3Zt/Hxp6lrhP4X2h xdafFxIjkBrjM3rnHq/1UGWqFK5CWV1l2P0BBBzuwAZap0w71f7o+Mq5+KMajqHl+VnPLkUqTD0E R34TCYBgU9R16ahARPay9pPvVqGsIjbL0RVo820/lnDCVEiFVq2/fsivjXauwl9oOoOn6M664ghj bJuFbahajNSejZrELvNzj8ZmrWOQgq5NO4wWpHDH7FYnkivVMjg/UpOlFLHqlA43fwJuVQ9NfSSN OFcIdu2TbHuwsozSwlJZKoe0om6dtWp+AlN2Jij+69zxqyESK1aKXcfmnOmR3x2mg5zJBMPGFgss cQbIjTp2bA+er5Z33mqD6hGq24WOdRTTrI5HnMKdkAHNOuuD/x3IeXjUVsPQLn67w+V0aTG452RK 4ubu/PLI8b+kvir2575CEceLwZlxLOulxwdCSkck5IqKOM5/QOEvLYWFxGDASyAaqAvyRjPps69K 6BGjkr0eUsmPQf+/PoQsZcJmTtKxp+KD5ZaoeqN823TdO9Wv3luED2aS9IACEdrvr9xcjTzK36we /cs3uhspG3LWvpVGbRJPoiMepdR+wzLkvJnUDKgx/QuAaO2NkVuVPs5uj4EEzrOu0UjqnBEEVwmG lFRBk4Q9oqdJYqJfWMtSNajDseZ3dfWRhK29Wa9Ch0acTySo5kAeymjr8OY5GCdVtIEySxTy84ML FuiLTgPSrp6d7QM7SFBIMMDgubk5Nxf9aDYhKFODmLLKmp9wrH/f1uZGfruyDatFZ4k2IOnWviox 5m6gXXBDzxEYPYQ5Uk8+Fr05bR9IXlSN7Li3QNxKzH6RY15PrNDIF4nx9g9VOwrECHvsV/94TCFZ HrN21Eujz3iQhO9dqwPk2CdUG0Wv2uDlXmhthrk1LomT/KUbtExVYJVMt4WkTF4+mmNwicmOvXba xsmwRRbZhSD/6NWxh5Ze+ZU+RBPJF2eIvP+B480SSh6FJ97o/f7UdF2EFyBnMy6AB2XT8Cc8a/1l IRLp2qfG2zZPl/Kaf1pkZytqKI3nVKHtlbqX1ihKsHDvHbNdF4negyla6JlXflPXuGasnFbeK1Sd uk+Us6QJHeF5DgTqejdZyvzRbag3xjCLSGhxZqa4Yz3PGbt012lq0rBeCFbQQRPwkJCIulvwO4R/ IY2kwUfj3WpGu/6NfxU5kn8ixG5PTYtj8Ktq8/3QITA9H81byxk7CI5CjNJeHO110N6MjsS6Lorh /irMph9r83MGOd3gB6Vece3zFAwXUHRuGhhohQleXdA1c+Qq5NssGoI7TLQtOIKS8yJiAbxKicGh 93s9m/nMUdEu2eHJ2QmfLNle1I/7u8C+x82+i+v7ooWGnbGO516KAY6AVb2+bpcI+JJPtEFHrbCg rBsDiKKFQ+jiccpphG5ddmOWBXqEMih5IxTwvsk/rRe4GeEBOh5LJ9IeB4KWiJkKNF4yrvTO4svu QqnO9lei0ve0zwEPq//bpYeaWbp/MoFp94ShgHKm4ALWUmK376D22f3IM21SoNRj0GjeRITJ1DcM LTkiFDnMiHvU0XZRO6kLnV7jJuY/SMDBfW1SCvGzPgNwRWLrSyi/xniVhKwe5jROfATsklDxR8S/ 3yoRtR+J3TAGYABacGTHj0uML28wZ/GABoVqoqF9NsTq1H7thbU+Tp2EAQkSxknT4drszNTH23Fp O8KQo46XQEtHwyOGTTi2eOqcvWSftUbWlsS9bTL6S7UUJ3h1dLDxxTuN8UdTBoLrddUTQ0+3Q0v8 CbjOVZT7Cf2M9kEDgxDweDwUL2E3EHBtsMbGZhM2t4FMgKTD9mmwp663Inw9EGOgql7PsFfSQa+3 m2O7JuMQcZFrjab8a85RpTSE6NxjIrUAK7CkCt9+zqg2KtA/ipHDO/4apewPZDY+YzF03eSfjtmr fQblos/H6aNmvZazjg8k+trQKUT407qYnmsn53xYbYtY6mS3amZtIaErbDHc4dsWoiB03MVjuNVN rLLrFGuDQP+oP6LHUFNHBpoQeWhgENfDTa50aZ5zNFGSPpZzKTm+KpOoOYYPED6LUh/MA+JVOY77 8xVsE5UxBDIzsj4+uOB1PtVxjx1NRiKwvav56AinYUv2si6OSmFyxJDKPdPx/0QFRsLAE5tY7cba 65gtk6WtH82fiw69cfkBfmZttrzhgiaRrlDxrEexW6XLnXMSBaqQaNOsUkHvDN/roLBBEAD/Rdry DTqnf/3YJb9coKqVivpShfrLeVSkP7Nozb1PcZCyrj99niHcNyTt0rV80cyvcuzKkke1lZj/ELjl 5QfbLBs91fyi9AS1YqBxyNyZbSAneErJ9lFC4W2EQa6Qd64LR2L/9pA/xPfGtcAJxF5gN2zOia2i RXJjxGfKhW1PLe7K0dbSrXrkbrbaGD9VInNHeNOWw9vTM+CNT5RYtTTM8KCbSCzEaFOw62WW/TTs QU8MpHe0h6dBfnjAeslNpccoLiyqZj5K/5ivTgYmz2eF8ks4X7FPvwVYdeep6GcudR473U9lTDwI kIChtNcV7/xuvSUhB1KFsam/fJcNauEOpeQNQzWiWc8kJIUYvOcDwYinD+Pxyypfg76yauFzxoSH ePH1HmQn7YZk0/0rLgYLx5AIVxpx1cwK1XzbJjzwWcFjrfaYTX3STz54Ub65z8jg1F077M37IzoK 2hK36uJ+0uRoPqKsUnkEhP4fiDqiAkOzsGYrndDPKCeS5goeqHv8aPk/rWaFXuf4pNl3ZrB5qlTM 4B1gZ0dfCgXblZHqaVsR93s7fwEe/+ABZ53AucCQS2vhWuJmEd3Qv/eErXlKeDTUWmkocCrXHLWt yWyxX99jheRx7EN+CbUZ0zdzZyLWhyuOgDSIUPQC+HRsfsszJFHSLw0PNHa4MraoHc5HHGJ46Q/M qReeAM+EdSzjZadGNx3hW4Oal9TLtfoTFzDE1NDYqPGW7dqac7poJHrKkmX2SCdqZ4BjVYU7J9FC JLI/sT3wjGA6yvY0Sj3xim2aLsIWDK6izjguL7U9HBjEoSITNIjKKW8MgsD6ewVvIjPDJ5ePdOAQ tSLeva2vzgkiHE1H2Mi1NvjrV8OoSBKmIniQI4fw36W0r4amhxs6ZgJu6h+y2VarMnsqCc7zx7A2 7wTnSRC/kv1r6dnDXNNDXoRmFWaZUHuopdMh0LRNTD+K5AXoD0Qbox3HHwmgqVXDtSgS744E4l9X U2fi/5UOecxN7mkjBMuV8WqYk1gUl+qTbI/TY/iFsLbsouSEfH/X6MN45D4/HUZN3bqEiMbFMmj2 dm6sO9Tb7mOVSjE8wMtCdYOmjwGitoDTb2/dnZ52gi4Fa1uSU02U5hEKh7RSFOg7tw3qveocvAqr OfluOO/yoMleKl/N6SCFmg0KadicwgtpePTpQybW7SdO1VyH0rWncZmQIpMBfW4/fN6HISApGQ38 ENylPMFDMx5fHMS1lg4QtfU4MiQlOz3N9HsGyAI1Ac+WIuf6p/6qRD25HocScnU/dTQgU918vdJp koBpd3tLE4+/NGGSYmVIO+6CO2mc6tgSX82WvZ3vnobRhOj+6xnpl42jWHIJrWB6G6dX1Cl7ZVYB 497F5H6hAE8qStVxDqfqiv8oDbngx2JAxmPiS48MzvP3Jf7/zqqshl+SP46diFYFezKUFX3Qg3LM QxP69FePaXQN/GMEz+GYbeq9sLqaLEMfVG9adTxFgMHwFAMPCCuXHss/ONKyKN/Mdp4zFIG6AWlf ynLxqhtX33oPDwEbjkP6WyAbA2i4gbnkJBJylo9Fa2knjdgEpGhbu7IzFZxvU6QMZ4h4Eui9ZTCF YaKB2+BkNW8sNdOdrZM4y+38Uunndsn2KHPDnVrS+E98JO6bG61ZSz5ROT74+kz4D4viM/Cumvdr 5o2sWmXS8+gKVubf76ktRo3qdOKUgdQGQNL/WP1ZibxzLCHblpqHB5hsvFtk4pcb0rTCMWUDfge2 NhCAXOh29U7dawQa6mlUMD3ASD+XJJnBbNYybkCYzeZwONWNHg2qXP4kj/SKcbkEunsOf+oYmj0E 9CFNwV6/A9l8NS/1KR9R9l27hRhADA+Jmreo4jBPlU1gcbIWfbpJ8KfN+ODo3JY94cD5r8gJ67h1 yzhuEa4OIBHpMQwGXLGzYFdFJqmPfna/FTXpEUBn5gktq5D1WDIKcNrT/xUXY+KNlNKNVzMU2w4V 0KPZbYtayIufuOW5+Z7l3JT7v3l+0h6YFeo7mCgmrtFOq4w4iyjouCdPH7ZH//NWbI7+TX74YVNT zGRBKepNpAtJif52AMHb/RmIp8Q40K8+AicM9RAa1bcFqCwnykmQ0DBpCDU/Jtns/Xerf7il5f5K iKiQuzwy00A7fhKWUDUJNtxtEHGSNhHSFm4BDAdpV/fqGEJOTkNZ8LM7TxjovkjZ/0XyKktHPKm9 EcJ78ENGRg5CRj3a4NGE89g2YUOyWbJuZ6Evj2fiqePjTj7/K9slL1ElAb1F6f1JtaW/RZPspPzB LMLrK0ymPcEikY3/TN+7LNoI3CeXFETCluy1MPAF2fGxpHkPmCyiXSZkmTc4/Ulp8WmyYJVDqQ3j D2zIkZlkUtd9QCnGABIqy6Kk2v7B4kYf0jF1oudRtxS/gd66d+is2S1YmAmFHJReQbrK4Gpmbe8B fim5DXJ+uD57f4ZzJxD+yhlWENte8nXp5ovK1Z0LxcaWtHXgnqCn1QZSAQHn5q9C3UggqU7xq/5F sI4aUrK3UE4XD6zgQWabndhLwDr4vjGsPBDTIjDbKiRlxBgpkWAP6k7ciSBB2ovdWmqRLeN6Y/Eo CA5vVPSQQAVfopdF4Bclu6ebM20YB6K8hyapNzNgvCtpvnx0tV6Oat0AXulmrL4qsieNcinRhNl/ 9k1JCNlIgMLWPS5Becf9EI4F3aGOt+l2T/Vwpr56drRP8EDLUzfpZPvRClr+Ba1/jKuoiOU4K4V0 wPkX+UDxh4NmjNFyB4GdjkkK4HmyYunr7k+CUf5h2hwHRmJ5DDa0uooMyDROs2RZ1et1cx02megQ RKHH6S6SEHLPk0n/l0vBa1H/9npwxmuuNVyNIjNuCA7uXpNImTZtSGzsFD9/eRF4bwGLjQNOIhyz j6vwi1FlslVxPJPc/ps8hCVQUZUsw+82OKQpXV5/bEgf7fxvNGMr20XwNOL9SCGOFzesxZdwYjcO rLyZKsH+AuvZoEK8Fq2rHbGN5hcFKTYfbUapoVkO77/sEM6IE/2DaVmtWVOanUxAESUBRM5J0Zt4 CIJ4iujtkk5YlybTgtNdenQEi6bWwBKs/PKyh9nhEhmKzHuCF11D6TLKNtPlp83fK34//Cn4NR3Z cJ16cn8//9+TTrylwOzUYzcfRSgcyuomZnH+GIyLp5OLihpwXrUr4cdNgVH9cPr83Iw/+S0Jw7WP YmrMGarWbhennSELgkfV8eRN9LFr3ZjGVhwqSLqDh+pr8GQc67MuozP1ekXKBv1LenHIKt/M2gp2 TEpwTR7FEUDwpvtLD1XaVZ0ihM7+YUiYrbRwZkHFpHPpejO6wsMQXcsfkx36WzMWxpaqcKf+Xpgk 5mwy9t7CS0gXcs1yVtMbbo0o7kkgqwo7TGE0+o1NZclQ/Bwhx1tNLABLTHV1kwLvXSuKe7Nzz1sY CQNJSh9bGsccCcNPQdxkzGArnDITG4RTd8GqFKc3N/E4nWCndHPmQWU23t0Wfk2A3oMYKUs9hTva 0eGAORSmiD8EUmnsUQwVMjIvGuzvQ/MS46eF+RKC5zJU663wItbZFnZ5p8Z2ZOvO2eAtBO/c59je oDe61hFqY+PNug0trp1abVGTsMHBQJoKWKJ6PyxFv9HKWFklXl8FuxRrAXR2z5ED75LGPHWkHYJ4 4w+kTY9uNfPQmsTU9KcCne9Yq9LeHIOWxWOlP8NRONhilr75oQWpuyejXpEonfoYq7N06+D1+JDo ljiZQmItt1CqxuSyNnJ2uKdWZP1NgczsGuTn10OzWQEQr5QxVqs5vDqM6wEsMgDyaWYPbMP2dTOK Zva+y9ZWgTHNynpnb1cIhZVSbFhEVLaja+2Wl6OzsdfPYADydP+0MLTtsBh81LuZbZ8o2u9zUHph DYgstex/fGzDIZjuR/C5qHitxf9sg0ktwUN7R8ZwYot7Ls9swUvmpqHY4Q+soqfitbtaO55abPqS Db7wFlmm7lGgSQUKXho26oTe93Y/nsT0bIAI+iSTxrwzEfer6wuYcG3ngyYDilhxstfMFE+Tjr/m xZadYa+spIkE3mG7WZG78iAxmpwNQXV4jN3F+VD/DjqJ61QCgOn7MzCdpNEjefcE8ZpnPZaWQgtB E8KFUrd1ferLY1LWBago3ZxgObK37TEj3iNBhE0RN0JUDhsXG2Ih8bklRtDZNEzF098s+sVbBJ75 SwpGKUx3q1PHt+MMECQLjQS0kg9hp5xqAjVHZ0rUqBI4Q16snBga6cVNRalBqC4WyEWzkgY8wu2U A0DyBhky5AqkLSZKELpkMWQDvn79c5TTjNxkbNTLUj/N4bm/p1IrNLBoaKdHj4o2e+QpFPybPbHg bpOdxHUM1a5hYn9tnzAKrnBpfTKxTGw7orqpgQt+lPeyRD/6xnfWlofrksSzrbbfZRtUFCSBRfpT 9DT9ocmmWHnC6do1xeFNF3Rf2mS48wdK0Z7okrNbUs0EHiY8SWXhESYKflyGyI7PuMeo1j3FTyWc fQCo8nNUDOh1F3dUugiDIN39OfWbqZsFE4iqC7h53BjHtGFOY4Kx2P1SGDlWRKwaVLDewN5iU6QQ KR9UEoGZSO/G8ABA3TEna/nVxizlyvWULWq4zJthTy8xCzq2wDNpeGEOoU5Uh3/JTGcgiRsjyAmA 8fZbw0QdHjzaEzW4rw10N43PWKGN/azrjeJibN/3C5h4XosnsOyJbW+I1uAqZlO2MvEV4tahHbeh PagtCiwBDspMMIkNzrQoaLePj990K8PVHRJ15kGlFZYg9jbY69+mcAozIMDbQUUt7MkTt37cXNk1 sjeB5dmB75hiWGuBOcsJift6mJqSZEGldSQ2bsSCMvvHwO0KkpsFTq0rCZLzQM7au/FbcoNWEVXH OUg8B3xCbf7UEJ7NlLe7qASNs4Zd/99Qz5vKzklTqukpaZlmL1YVNCoRI34mQZHQlJn6MQFaX64w YuALLJBWjYlJ9mFTC88BjpAnxO1LMM+MQohFQ5AfHH+8BlCZzIv0HcKQB8A4oHsRJV1l4aQ9IZTc iFbuRekJFuACmQmPHvdLOtTZ8il2hDkeCMZsuhmceXcSNcnoaVpYorSEXTLtTnRvoIbl2l7fILli wpeeayRbf+vQn3/CScYzOaVcv9w84b5oVQIjindndTD1YgheYyfjUwbmPfYSSDQjW4kc+FAEZZ7S OVTZwfUQgJr19fri9DfkZBxPymkY3oAQKNz1VAeGEb2pWjhPzcH74+Gr0jrmeCl9N/ONJwa72Obm GHcoueMsJi7vLSPHfguT1miX2kGORKuGrGgDc8Y0BPd9d2rkPCBqiM8Um2W3TdvINIpWRaXWjog7 sOCWD1QlSRK5VkrbCngOrOCsg0z8nfK9407VMFv4joUrUTrFBMsq4vOCv4FcTIFZU9DEOC0gfdRv 9WnrvwCeZgApM5oTbNPNEDOJIhcJEJ5ap4bnNNo0Ai87fC77AMosSQfUfUm7KgCKdstgrgdXbcHD oyTLmpMy4Hl4Ockf/9Ii+WksUj9i4FdGhKEAda8kePQs+iVH3B4nkEvXbw7FdUi1ZuJpoi/1n26O VITRQFzjkp8j0HLOKQcK7Omz7jAEAD68/sUvNb3kV0QGW+WK90QLYFwiArS4djqEeONSJBqyi2Qg tNv3yan+LoRj9blnAA9znJjtROjlHAzK1xI7L0UMlZHQz37hgGyMT4RfIpqazZ+6JkABBUU6NcYT uI6eqdkmK3Y2GZOAJJRtZUft7+k+67PscVIyXRj+vgjCdatUzyGnbuu0Y405tsdZqqecytS2t+Gr tZmbRCrWU6tehIqxyERtqVPiiZqvaaye2ge1rZbBqxsgUd27h6X4BM+o5T/gpJAjMAKMwbLdTJsI CWq3KlQBKM9C8dLo99WLy4hOoKgkRdM8Obf2PiY6wLVx04Dgai9nC5sLM2D9D3sz+LtfW44/gCV8 8IyL5hM8nCpiMJXRgJZTLor2u0LGO1tMYey1Fw3UKKIwpj4Q4UX+vK/QtzLXJSrCPxBs9dPFWEQo bVfJMxZ3o3NMghG/P1+9Pj8HbMNehDnLoaEvBG5m95OKgMRga0TeyZihJtKSPKQzYBy+C+cpeTQe P+jg7YeWu6GLJaD7hr3G3I8rB1axaFRXj4OCzVdEvCsEkzwcf9uZzxn0snWh5KGCtZ0WbnMMUd4a WXnq5HMT1/vu6M1uJMh4Q0QGMsg6ReagICXTcDRaid9pPOeZapEfhyUDOWLPvhrMzl09GeTXIE/7 ieP67LlmiuSHzp6p5vCytgZTle7nWs/lWr5YtNxmoLUiEZ8RE9gu7Yh6d1Zx+1lq06TYaYZh5yiZ fU7GCb2oeJu5sNEi39U+goFm9KR/6BfFsR0DgnqS1Ysnp4yy2/ffP2fiDXIvh+lUeGLBp6yc44jp jBQaiUc0vDIJghBb1G82gd8EpEM9gRgYu627QVG/Ynnp7Y6yE+IbTy5HzqMOVfdOibWHWqmq/q/r QW2YkvOC6WafFJ0+HbSL09pgF80L0MPHDyUyqEfYKS5Ob9PJGEifOyj3DFOyHvzZIuYoCUXrrmhC kuykRFVPE//VW66LX5r3KWq6J5/V0BFlTmfFIuf/4Cbk22NbQM8IkapMNt5kWuxaL0c6tUJjvadj uX3Sxg//TlwqyZouUW+ZZY8T1GL66TvMY+uxYFqD4zVoT6DOkPyNV1Mva5gxlbpgZACOt9NqQ58v sBCqfPkqEmI/pRvxHEDaO/XUSye+DAw0zqaXhYz/rkZJWcOzfpYfva6OoHUX+Mb5XmQd7ejFCgPO Yw42zhOJ2XQu8vFkiUcUEwWzznrMtqCbBTzY22VQMUFJp0muCbPFjReCIyKP3S6jwkI31rHUVGEU L1PgX6VD4dCzW/h9O/UX5rgIM+bqdN25JWTz1jbT82MHgomVpTugOnJyyFuAlgfqkjKEwUp8/NaO 6sYYfuUHUtP5LiGJ/wo2R4Cu0grU1NS0wkbs+d7J40JzWiALIKRAweFcLFWzczogxUT3XIKruxrb u61qXWghonYT3+1LT+Hf61xgFVXAhn/LsMyy+h/R1YasTtKntPZTWzEp3ttwDcn9uFjF+tU44iSe LE1D+mGNrPGvZZwGBHaqeguJT7EP8UlD1qgEG+Dq4uinv72064ZyrGdskL/vn7hIVS5cBW0efwbf Z5w3h3jnAaEDs/PF7zjVwJNl4l1+u953t1y/sNJQ+d9/zjRbZu9mMkNK0C9+gRQiobNieAsR6Ged 0nqx2Z+0uaZYSZ6CMWmkUhQRfJdIWADqKzDEDRQkF6WZY8weudgQXhMpTPQXpMWJnvs1GBkkGKNu qAAYIqIKlKUidZkyKF8uRUng/fz8rGqBxPJmXDFG5T2OSXPZ3xC3qY3rZxmaplbbShGYrHUYP8ao ukr12nP8zL8q1Nn7KdmPUEgpnzYCde56qiJEgE3YomPGNwwKbfvWTfdrid7jlpYoa5ZDt2ImhBew EcAy+PboqWLU8lbVFtb7gbhu2+yqtkItVBzlvB9U/f9sVhosWjIA///yiV0axN8YoE9uegYIkIpP Onfzz4NAUgGDAPkwoWM9b/Hg3qKhlLFuitvJhYGLcbaYNs5WjjYJe3zh/P1ImHKM6bRU+XQ9m5/8 s907mvyhG7E5IEvC94g7+6ibMNCG05bivE84JzLb62xVrtfLnffxWi80YOnVMj15XJK2Dl9+FHlD mn0+Q+noS0Nm9P/fP7MgcyRhH7pupLkPA8C6gjNPA+cv9ce3Vv0r4eFnAyM8g41VbSmB57CISrlz VGvQCeoX5I2BVlCEewHk8SstyTdjTJguaSusegFHt6sJMG90pp9NkxcMCpSzi8dfBwFJ1+lXn1/V +9XhUAsBIQJq/F3SxqL8QAE+ZttypBtdJx6eQJnp94N+mM4gF0VoaEALAhhsHU3FMkFJKdiAemip uhTbXVfDS0HlzXidrpEKfrS5ZtWde76Ll09FoWib+Qh/yOOmdwt0Ym4hN9BL3kn+1N5cA8IEp4q4 RSiK9mVLwviB9KONVOxVMH4fMX5TW0AHfh651ha3Pt4pm8n5vmE22hJ0k1o0mtxx7cSvCtV1jUZB HkpeU/uj1y/o2XHnxrvkKukTGyOtw807HQ36cBqTwnnHxAdo7VebaJVbur9VPFhwZBFywZ9gVrd2 dJe4nAciWIkio6QItu3J84y+k4dnJwpOvmwaiBn5Rl6Hz/T5ZQzv/lkI1kHrxFb85rQhLDIU4fK9 UFbMNBXV6Ee3v0UGuSnv4GNJ6g5wDu1tx8neQqbYG87ZlCI2Tr7NL0kkn01j+LgQtTlzWe5XlZ+N Syy75gfJvInewU1e2oN0HyOtXTFnz5aYT00PgPlcUAwucyl56FEhYvZAmmTD90IdDcMK3w3uk4/3 GEgpMkO3GIzafUn4TF2mSZTWm41oHR6JlQRcGqoim6n+T9Er5TZk0drwFmOWh0AGzNxeP2yOf86W iYErkmmHZVhfoXBYezAvawUh3g1Ts5WWijYM7Bq4P1Ty15ZO+rsWiLIrbVVTMdzEHANSgXV0j8S0 A4xffVl057T4HQlYG5AiTbbaDAvJUgtOeTFTulm1ajrklfQwDw6OzrKCkWr4ugy1LQd2KvWGPDLh snXNbdHydXlu+Vc8QhJ4UrC1Iuwz34+7oFWziklI4pxxA8j9xTdOkPlzApDm0H59cvIsVPESCYnc d1C1gQ9fynObbgE7bC7bju83WfrdeHbImjou3nSnTJ4FcneCuoc9W7caX0J2eHAzGgXNgBYOOIXH nWqA3sYScT4LMjTbmYaAiKTNTUWYavgrFmi/eNgThUr4YltAn+nF4bxdGbfD8SXdQQ/mRfGc+ezA 2b+eA99ILrvqBFVUMth383iIuEx3B2SgCxBOb6/Y5hSDyv6o50IxUXpioxU5SOrqgwLDSK8hzlt5 N3oKCon+zUvQ1M9rgW1J6/ZNmD2/BZFAhM/yjGmFCtu2PrFOuiI894ZSSBZNfisRlE0JNmJjLWpn hzwXq0dxgCxUWQuBCruh8KdDg0jbNGAE3p5nckaojLPO/Ww0Wa/GB/vzHTf7zjoThYOQpVKFuNYI aznUqpBaqdmbqlNe8vQ6dA8tI9h0eYy54RqhLqPAYNvs4PpNkAaNIvDF4z0LkcgFi6h4WV9Y0mbx xbH9fzBq0vBRB74RJajp1mT03RIu2yYa/4KKFQup5EY3njOEI7hwQoGG3qBETrumGLS/aH83mY2M UEDGSdnb4L7/wVyKb5MFLtIs9RntqjtPUyUemyq2p5si+sNsK7pc56FQ8oZYprdpJ6u4erYkCVJX iSBnnupNh00afQWOsvFb4FGIvXWuQCpbeVbhp4BU1zaAd57BVwJLHWVhU8lCEKtTynWDvTcAI3YA /7SYq8vJKmprDW8XkwK8Gw6oFQQ4lf0cTmINbeHN5NHYxoy7F5ePgqiRREx3JP+Yq54a31pORIrz 1o8PgPdp1gXz4qqbnKooCuihcVg5XLh09y+URDoKIUJkSs+5XeadspUO9siEUfXgtV6Meecp5pHp bpra4lytVZXDLwWUsNx0wPJ+DJa6/EunayUc03FhTKtOce/obfxlJzb0/+q1NbPQyjwrXPfrZWZU tPmQ32aJyA+ebAizIKeeEm2VdEsT5+7SZ5S5brVgJoFdPUF4ZvH3PQ+PrbYpI7q17sQHYbk0wqI/ 8AjVd1wyDp7HbVO2V3BhCl6aGW9gAKvIdj/s1kIb0DwxhloOd4K9j4lEOLQD+/4G/5HjQf3jvZVP ls58JGOLWoFIvCKwp5N5U8YQhJDwrPFbXZmFGjYyBHoeCp77YfWxI4C+LQ83Gv8xgM7cFLQUouKl XeqXXkDreyVrn/P2SDAkouyFy3evO5OVk5T8EQCFtESG5W0OQPv6HYp8hu50UbQIy99PnzBFcCCU iFFl616r7x4C1RTx+Ia2XIKtZyxaQomPJ8VL2/SzDRHbHY4PkKf3C3At85+zs17RfpB/fg4r/xer B/JIJ0fvEulvJmYgEphB8XCy+cBfqKuVgCZSYVT4SpALZmf4jSo06DbEhkhDgvSnF9QFZJzKM16+ b/GNZ/ijE8Ddm28M3SVs5Es+ZPcdEmS0bAi3R3prpGDuoq1QawbsAHC3ZSzwj9uTlI3BaQQu8w+A j9TNNpx7vt6vYTyh39fcddDFLb7OEdSVeVX4Uss3heZkH3EXrDLNIZV74GKcnOdrEGp1gyArSg2y s4HvRqI5g5Y5BKHubzP+qn9gCcQUH3+I25HpBHbwjmuCFt8DZqJs3RInVhatjimmGr3ORkGyYPgr i3VifwqvwQN7K4UvJQaGehuN0We3ewfPLhyjQY59x0tx8p0amp/FFvtHLaOGVXznqwyiuIOHD/La QyMGE1m1dnRWTVUeUE8cvmOX+B3ffGWZEDUnFY2okxVjI3vlfSPq1kdmAzihBokGreR/aApbD4Z5 EsuGi8+gFgKynHN2JPV8GnK+FC18cPBPj85STcidMs6kVD3UP8ULYIJ4aEZhXUkpLHH0P71fzFVV mnGpVbrciCAYdpN2nYeG1ThW9uGRSuAJ2NpUX1NzxVkG6spoMwndkCZgo3G9qLzkmnUzKCX90Y4D VmWFuV1/dWyeiUrNEhvqGvXaLtvaimEvBAOsJYBnTLdmvPe4pI7IlBe/oy35nqZqj/S1VHI4hvZ2 7GJ1D1MCm7/xmfW1fODUkhZGnHVA5SWieqo2m3+foFJuwqnNYtJYYXBg4J7rb3sEc+RqP1xbmsZI 9MbnQb5wA9AUfaLdZ7jR5W5cKCvTWOK9pCtT8vBNdenvsqXrNpAvfd9fg52dpqp11HRtFCVt1gGM hpTVvt9WDM/jB7Udd/kQEfarOeuuAIP6Z7SlSYUBdDJuS/tBXplZF5oyQuhabeQ2aWieGY1DNMzD j367yc0KlPp79fRlRR5qIMUEtP73ZBfjqFHFTCs0qohtu+rb1wzE1fE9kq1NpvxO3iFMk5xT/ULe XpegOh5cy9pUHTkN6ajbQkioB1et9MDShj9C+kflAS6+x9pCDbzZLGGWJaZw32wtcdtdDfYtD22j E5M+pIv1cdjHSmi9WJgZlBrEt+5VmSWQ6Q4pjxzISbt7pdYfdKK+ed3NJjVVnmt8kQepG6IwGsT4 HmFbq+N3QLKqkzexL1ldMh3qnNfE26aF3JXmmoF3MkgvREiJKnQGyrdVhlS6APLfuA/L2GzV+Mag tU6Ev7QNmXgXg3mzAnE4x6Jgu/mJDv8LimKuZvkSy1+q3wq+z8qePGsKfRS26dD/nhxRorDTLQkx y2h+UFY5fQNx2Z1aJ8ZMDxsAZ245g4/7HhGyIwOSoB9YMdJfopyxK6q0OU5RvUw+o6CBvjFpwLHn Y/pzOSvqAY62oxyzbqct3HqvPuz/XKRstGBwcUXKajc1saUCNG2s3XcY94Zm/OnQ8d/IgWG+hWr0 hRYD7wnsSrltZbGoizh1/OmVg1q+KTPJE/ySeCSV7yBOB1aYaV4S+2oQgHuFJuAgMH5LDKWyyJ2S mWpUdAI01Nk5OcrUxUNLCQbNFPZUDRFSXPEAnurEp1ckrFr1Z+JfrsjDgoNQkKBH/wDLnQdF8tmP 8CauoykmKlaay9IgkFh88lBWVZpQ0u5im6jgpfRq2QzZEaOxCNEU8tpvHnyFPM6WFBabRxeXk0Ab 6ErupAcUX8ZkDnazRe5OHZH3X97XyL+HgcaHd7QQAblue/RYfw1GPbW2Onw0L/2uE/1g7RFZRM66 ntebW2Rq7DvZ6c+FACCmlL+R60Dd5VYIRdale8JXckqki2UVY3NDfz+iFiiED9/nND8TYVK0ODkz XprSRj1YwheeBqrWpSASgftWwIXitdlBrIgzi0eHFFx0+5evsMi/rkwsdnb1aSJDGUfYIU7EZJpI /3oZjTvS9e2HJmqXiEmOSTVMkL5mv3L+eodyg6mBgnmCyX521flFhgl53OR2xwMAMAfk19AW0j6y rSZAgKgMpXWq5qA2WqC+yFOuW+s7wF7zhID2srro6WtqdtF2tQciJc3MhyPBaBzyNSquGkdv352b OvvPi2K3QYqqfbCvs337kMNkJj/jOKq6kM0LHREg+IMxuXZ5xdv5nE+E/tD0g1yy5gFDsoGC2rD5 AH/Nmt2IUWRbcm1jhQ5QaR8ywKUIHBk92dE9kN2AvRAGEx9nWGKW/lKTiA8A86Ngzy6qwlJGT4vW ZAe5/oGNsUX+XsKfv8iOXJLqcSvWNo6lLuO6GO4HnlazgZRXjFr/Vmr9RV3PCqnJy0NTbO4ClJF8 QkjV/w7yIZeuJbxuiXMsEZldn4kAJGYDDb45Rq4T/0eSMxSdMbVRFhu60bbcHtqDlpNjHbXLECTx oHUwBokcIPT7TVSMhwZSPLA68xTYjFSQesoGcm1Nf9R7vTPsun61f9nxALxhQt1gDhJLAFtvK11B 1AjnVjoQYYgYq9yxpwDJ9o/hbsR/wgIe2jHgiwikmL0rWnbiMRjp4jGpji08T1BL8wrHPynbZlGA 7j98seYtedLzAJNtnoDOZBTKjkyaTpd54Eh5wy1oFslVBcTYnCW70PAAQG74mZ2pmlVlTml6byKA iWCbfFCySlVk2c64xCbeZmygQc9ZXXvyHVcIU2xU+WPfAgVOWEQpeOMHHHyomNqN6m1cvSKReEhW XjOZMssKe6hEuUa7yOORM+84FI3Akz6F+7GoJCWQV+AlPgfM8jsueEnj+VTf+7DnqUnSLNkAtbaK FApV1/TF44QPRMzWtVqTNCXEjLtNjMeqGCCdRX6ISRu4xsOGu9MVbfoHa0Ajb0YJTLNRl4WRBctI 8hvu+Xu5Wodw4EPXhdPJJmoFydgrJwk3kNKMsycjhBQXcWoC6jF2tLF2/tDegm7MtCaSuL1pRk9h a1hFAD8JTI6koLFo+DqixnjkfEopan+vchxWSiKiVXmmYZxHP/ptSWev0MRAE4GkJubkPnwRJeTw aVUaoirgxf1197TL4HU9Db5trQNB85edoOsk8t5h9ddeY/WSYFZfSa94Z1lzAps1b5PKHi7w22Ll qcg7d8GMTfKDdSK1giSa8eQ55JpLNN5tOWeOzxE2OiU40SSQlZJGe26znicr0T/TZQf4PKNZG4nN yDUOXOW9RIn7VU7Gli5U1/8dMV1pF2ZqYr9at3xJUngUnYbC22H+Veh3i4xBDeNnXxnB7wx4/cEk ebUNNcvpC+HcIsPB31NX85edsCNRxwYHJqUok1nnQxifkZPgUcY1l8KuaOZOACF8dS2MRX5OJTuF 22POS8Dh/1RIpn90nKFvW/YVuFUDsz+Wn/GHLWT0TyaYzXNY/+txk3SKnFeWJqH0vWSlBOC7GCb5 m9+iudCaQsHEZ6g/Kv/s2auHqUZhpaDpM/CJ0UDY/rA7Gu3Xdvgj3DeIZyc2a8N9zDK5QZLIleLw SrbqcKQIzFI9NYKzj3VHUX4+mLt0A9xqtG4I83z8w/1Vl1UhIkv9bB8VTSSPvk3VdLCyHWedNk4h 9dvHKMgmKBOmOEr7zAXgLkQcS/Hwvh82dmJjlSrt9e4A3kRVtbtpPRnU8gMIJrifO51To1Ivtq5p LcLrtsnLxhbeAUqHKLXbrxmkSdpTGR/W4UepsJGX+JjbVyK8ggXmpNs6Bsl8hehHstExe9ZTOA7o McY6xOiLBH91IYV344K3se98JPkgve2jUYoX84cNeFTiNsqet2d3Teg7A+jYMkt1C6IxjXQibVCl nQFBDNrXBBh356/IDlovX2iNfnvS3jfIOXAQhQMvCsPjJEN+/ybopjfowi/cliIasZK5W/DQjUWr iGQ8qeSEXcGmSGuPp9gHfh9iwYWpbbOb9pz2KvmkFYCGEoFrsKaiE56hCWUkfPCiqz+4AxgLtH3i w9P8BMKhEiyzi1sMp77Us4ekuO5gtRXFgmgtVWtjFZSyg/JL5UonRaKWhMwwklXAtaKJiPeCol3q nHjlpNu5l9LzFKAfcgYYfWkY+ZU9ZSNmJt+iIoFIZQzvcr9kz88wMnCn3bAyJ/GwTo/ptaQ1i5C1 o1UrvNx5LPE/W0I5XYov2ryavC9fF1g5Nd4iC7XqjfZuyX6kC3be/RitBqRJTDcba0w3NOnH84vZ T9jTCZRcUhrCtJO37YokdIWMG1j3Tv5gCsRTCY3swdezBx7NF5smDDPIgEMuRTlv5GtL6eVHHKR4 A1x5rPg8Ikq0byrPYJfBYgyS5t4nuCvNUApi/tqEkgoqELjo8U75nkkprvn94Ey6yHtgr5JKGJMm JMrVEKvOC7279OBa0MEBKX0e3/l32pwj5PtbNlyPi05/bDerWNeKJwLq4pWpYs7TUypmnkSMGiII PyRHtvstADpXo2yTychLragQ+zfT3OXESEEHgm40E3IXF2xjSgyXCxeKndV5qEeJQkGmj8bBfHpW lwA4lGwpadeECWpmNiXvrPznBgDTGCp19hc8fgIjVcIUlCPfTvwBpkFqY+25NNZm9nWxPh4XH2dO IO/D5ov37L4z530+lH5LgALobzmvM8evgZeAP1R1J0/kvArPXUXsB6CtLa+SOLJQyoeDrZ0m6Fm+ yZHnO0FaqvqfLZNTrBt1eLKa+9Cq168a+NL7IJEHgkQ6gWLZApq4F0iV97YgsLDbbKZjpwjC80qQ TXeV7ExvBfJg0Z8pyDOGNWGVCi5202UQMat+9NSf6dO5MeVwFcKkx2pttbVN6rAyCLpJ5lGWFNPR Js56PHemvasyF6PLWdPZe2brjDikwGDUtmderWv3iAtgP75QJPBPfYhL7kVaj5YQyJU/GoJRMsee 1XmJ+AawT2ezjo6qLKMJxCz2BK7UStt5dh/VFH5UYMHKZqod0NQR2oK6d5KChbh+RkX0ltdEf9y0 rlzINLeOJO4++shVYeL17y3jRWnFkVO5ujdnLp0wIOgGS0iG2/+JNOg7EJfAfJuCTzYbZwwBE51z Q83DLx9NHFIGFVNVlrmBisuMc6LpTZryRMQkber4wN2qVSBtGdtR/r+fyci0xgqYgKnru5UfpO5S P/SjFHRqiqXhXf4S5QHWN2ZEsbKJV0Tc+T3vn7hNxzIq1QjcNiW+pgFdP+l+Xa6p42tuNJ1BUJwt QTsJaNDAvJI2NstAosw7duyP923LarN3RzXa2Ebk6TbjyUPBA4yALUafJjXRCr9eq/QiTNzskqqH cJOd0PL26MD5oPpiOL8feLmdzbFIQGnanPq9Q7UpBEAbnaNygoleHator5Vs3zlLnxOhV+iUn2G1 hAgyjt/s9xmLpc4qFn+KFVvQLd6wCUIoCosyHI8mhaB7fiSTZaXsszoUrsJ5RNO7XuxIVDjARypr ZEc2WIrTNxqM6OD+zasEMVMaspblhSAA9m+Ip1CiQpdR7l1P8AsmbvkFMMKHg8wUPvZUkLfIKAJY XZjE2KaBQ+m/pjZPbl3Ghc+pNyib0ft0Ve656gzzA2+1kvm45+sjtguJ9+TAHl52CikBTNUXR2Ky hMGA0vqi8cvm9zEVYwO4veMFcK53J1+8YnZVBGbLXhnFp58X+sq1R9awxwH3wAcro8nRLi7iSRTd puDTXyhbp/AW1Aqh8hxNE6SFoP6uZBe3Bw2r1x7wZXUfji5wB81m+eYM1jD+KHKaE7gsIWaLYiDy hiczyhkDXwuz4XUFNIR4nDcCohPNgOY+7oy6p8Hm9sOvKSXJWJg/6GL3dBBFB20bTz4zoUli1o+R MXNJTyL22XmIuRjSqhFp47N0yK5cCPdwRMOkAjT7aS5kmtR1KqAuUVUPfRyX5MMjJ+fxlezIyaD6 VtF20kNBCFqBKiUS06jUvJEDCHN5Jn8XFS16kS7N2ybqYhTE2tZSflXsq2xiDakAyU01CN5DZycR lPZ/G2/oYYgWCH+QRcLECLmhc4uLJZ2J9avibLE9m3A4W08wblSi5w1V6OEXwTF2VPs5/dwjT34D sWIHCxymZ71PVlysSQLMSHX91UNywFxkltD/CQDEcKUZK20Pfdcps/+am0+Sk5bgQBlZGeIme17j zseInic9ZWp59gogJzPBoh02RixyLJqrw27bROp1ahEwnTngLtcxlJYCWiHQn2YyTCNoDx9wk3fN n8dfCOH0DAICs4pQWgWcMpVx1+3envwxKEpnQ/nioB0DchtDV8caO4nLCZ42asQXVzj/dR7hN5Th JnCoxt7fVhZWhPdtrstlYycB3UCTtSrW19BkNS/cnWHWTdDwzR4uk8eqwNphCJDw5a8VAMahS+9U wPwut/4JuyUDsqB72CjJRKvOOR8oRSQhytykqgZmSGKvj99zSr6U2sQYhSbm8h272AViJ50+OtL3 2b4et3XPNBb05NhxaVG84zWN83IqxIA4fMnkId9Fk37G8GaYHNeBkS4Fza8AKV4zpXsBS+rG2lUY fsDTNEesiuscaXQb3u3l9Q9mgAQbWebot4RrE8FmF+df3OaJJ/jFTu7UEd4aV2sfzVYTDSBvc4bk 1HiFNwNRn9UaYwN50rCb12jR1fWX35OloE2RuImsGxhHYUmMWB9XalDb/JCtAqOZfGnuycti5ckQ f2c8ejR8n2HPMmYZbLpO3wqS+qGueypEMNqErpa3wIXKOVQ1WGh2HcK4KWaCYfb8IZpkBwVyMbRT Jgdqp5GQxqS3V/SK8y+oaiEjGPzABejonZ9ZFPRqxyA8SMFaQHnor+o9DfLvwuYWrE8lZcG4yYHZ zAUjAkCb9RH7EmtIbtXcRncos/fwfGs7V0NnT5+w8UPRzeuciMBxYc/Osez/EdqfFxaWMvcr/35v 0jposDJtXYKyvoW/6RI4KwZMTjTN5/DQ+pp213c0f0aQf7rPf3cRZs/JBxl5Yfoe4wXjl48jV0SH tTsrUQ4CDBBuDovEbLBfSSVIO74mrmI0cbtNW1Nbb6NQg7x1/NRlm4Fnen2huNkzqEG6+kpKwMTD KM61YOY6POexEBE0DG1SV7USrgDDNa/ZJ5SZ6/68dpl0n2ueXWoJGYv+HL/J7RGCDIKbBpXd6kxx gQdlBRhIaswNr1+ADDEwFhG8CpYmy2zAfgw6EMyZ1pyHIprqmbL1P5fEOHzLU7FAaiAQYw1HJNyU H2qMkySbdJ0qOxwIwyvtZq9JNlOWRtZVq4c/uE3B0DbNCTr4N/Ei3b5hUd7yTEE/61GoFe/4lm+9 pPpU3vns0BpO0JQEaA1fh3w+r9oM2u/PT698tkxyK53qXi6o4Cgk4uJSprAyqM8JG8UPQxN9/+/b vF5NIYomzqylwpFnAjWTCkEL+BH2Rw9kCX34sPlevJgtnFhw3onzUWFpvthdO4vm0HelDHj04XCW mGsj9K5ja8icUz6LwK1LnoejhR8bwQtNqBKWjtD2VyT1QwmxLrf207jeMLb1a71hh4bLOMrZWeA5 S6BYnStuUXwDFa8f2yytJHxJIPCYgQXMHPrxfSFghVQPz7ottz6PfP/CleBnqfbhJynNTaSO0ZfX nVDQR3NfmjCF+hFDaTu/kckiSLfY5qmzOKCcfhi+luEuMYRZ6kBTNHor/uACZdXFp7mer4WlJPlA oRDIpnM1958UUfSyJt/L9cDNTPx5AzqcTADdoeCGLQ+PSYeOJHS0IDJISkLInYlF8A5wkfkY4dw8 FThGZGM8NoceXXvEN2aLireu0edEST84bzkWyLnjkwxYgI2SLrq6wIylbkQhFkv7wA5/lCdPwSdq z0z+gqvjfdZyRWyhOMfBq2K9XONoG+DTx4Qu+fWEPqkd3PFs6jKwYB6XZN0sMeU7ng1JYkL13T6G ZQgT+/8+SXCjpgg+zuA0zef/khTIROONT2tU1BqJdFRmLIp7QE9yS/UCI/MgsTA7QVns1qoGgKlI FmTquoaUH5EX7m87thesGtvK7tL48pdyprPMFn9kkZgl9WN5qqWeg1AMQdGayGhMXFyV3fZf+mVH HqJoc/rlyq1QNwMUJCJBCkPC7ZMCd79uNOhxPjjNReCBlOcy7hi2pI16TOuy6H+DyDT9222IYlCP lPVuOyF1BLy9IvsiyjVoMbxUalhk0BCjPVQ5w+bG1ThnUhQ2KCus+gl2RA/L0gQQZe2p0g+pFqz+ CDApq4o2xkYmizIfgZHnLHbOs993DU7Mj7fWg+6WB+9bqKbdG+PXvf+cF6KxdHrcalFdKBM9+wo5 /2CucZQNs+HFXqlXfDMiAuISg1qyxGHq1y9g3boTGzqROJ+jRcBsfrSN+diK1FColI7rq5lp5LDs GQho1bPY68+CW9SXnXvgBEk2T2y/AwbxZ62hFvw0t2nsQFlEWj44d2dEwNYn499Ejs1WtjOE2Pvb SRc4XqOWO9MNOjPtQOB7SoP/myIlSRi68i5dSZsjj9u6TDI7nSucCaGXo64ep62jtxJIZ83RNdPP CXxz0Q1fSQ1y/7ljM92BUaUJVa7I06MlcuGnaPs9rDfRkAqHKDEnyWPIXc4P9F44WH0/JTzGeAWT Ka7gY4ILgKGmUdNB6eYEjWStrqLj0djdDp2TPoaArMjgtwJHWKARX97g/JQvA+o2s6tSBbGCcwMA Zu/0CYvZYcuMu0UBstqg42pJ4+bX3PYvxU54yflrFmgEoyRbECIZiY2GsdOV/D3s5Cwi1TxQd/4E sr3X9WlFlQVsf/f0pgeSLg14akqhfkfT55MPTjEXQ4UfV9Zo7WjW7P2fw84pJ+gh1TK5j8xNiCrA fPjGhAMadoSKnIjj1O16Yfv2DNkN7gcakTJ6FjhWJFudSJ8axvRBbcmGrAERFcyFlOjUlRvZJFTg xROq+glR08i6/AZOiypAZsbjSg/YpX0SeU4eIODZeM9bFqJ5w7lNjfjSKzLy7RTSxbpsi/ArQqBM 2CLNegR834C3cMM/7XxWnA5DIDoQu4cMJO5Xlj2fXMpHDowAGASJtsD7Bt49rfCtNUwEDA5AG4A3 az+7viJLhM8xdvM/KpzlWlyaaFqpQIiLLmd3uVQPT8UcH1NEtDaB3rVJcsQDG7FrxzJhN41W55sp EQb1rmMUJXe5G7/7dJoUnbIL9zgLlOoMf2VmfkO2ewD+5Ds4a+2zp3xQV6vMyBjBPALJ++yissnk Uk0i9moD11oXA/jM/25hEbuywBLkw60YeeSBOvi4iFEk6bxL1TUFD+aIQvJqSirDSkx6TXfbnRwM jYlHZjn7gzW+UmRYXjDBAcWcr5pvZHcT8b8F3kIodJIePQZunH9gBmvgLP2Lhe3+LdMYm04znJc8 57AK5jYuqF/S1ZzCRJLdZk4xPgQOSSRPDdHMpzVv2clQb79e/7VN5e3FHJzMi2lEIPJ2zP1skK5Q XqU8sm5CX2hFjFEsHXfFt16LtQNsaCIkFwUpkyfSeFit6B+bY/fipo3AsezpPXx6+9J/2DTYiK/z +4BfcMPUETJJMn9AfwakszoyAQbI5LE6fATwU7p0cG2+uY0Uecqt4u+kssP7n2lRvMFS5xq9gRlB t/XvjgUD5Wzv2Auhw7b2gGhShKS5Lh0m4An5h4EJbGyt1MW5aWp1hczJVVoS7bX1juHDhryXaufN Fa7Z0ngJNRZ75C0pDe7BHyRaMfeE0Mr+NQdCZNK2MAAprhAenYaTqSsEKmLBcTUZxcsFAahl6L1/ T6Y1oNdrgs+29QJI4d2U42mSKUvKiYLND6yCm6pyiPQ9VQvNkVwt+vYnJp5+TTKLJQGe6+LE5aHu ISLodZSojEE0LAQS0hGZyvOJcZOa+EBUY+K41KbOSpwjIm1zW914LH8wj6N97L9sFkHTKNG2Z1CN Jdkl+USxaXkasndX/UAG/R8UV0d5d3weOroqJmMd2xupZGfnuWcm7wNnOdWe9AJA/1ZIPpgNv0MC zbjFv6PPAgoSdL7UEBUZraQMALNCbOZ3AJaTq8mAR6QEX+mu/SaL9U7NgMjbnchqKOnnhKpr0/FB lKAWt+8hWlE1Sb6kVxDGqxmfqZfcSzMi4fhS0+3FvMZRL07SBFiWNbmWVB+ODSfNXNy6zo2lfGxV oQ/nGrZjsvDpCgHsAYXaCBJls/DLFstome5LkMKP1+Shz6VAF86r7J+oHRVQiaKIJIlvGYWK66Lu qaPoEthKAeIKblymaiMjvhQIAamGggSCTDC1RLB0hQGHdYCttkv74KmuT4Qz3cTVCEz/6REbX2tq oM2wFwINUegFBiwwfrf02Vjdflobz+FudYZrzhMlq9x6IQ9m6eWeXQyhw5E+t/AMCJ5JMe+mHpbx xV7Dte2QX1Jx3SySU08bUF0xjZkKXAIN2y4USN2aC9KbqRgJs+zzrHlaxw5u2XqPp7w+ix+Cnt4T gRaW/+Zypsh9zXGkRmhwuGZqWDUCrpz0QbFDxy7bQZVDuQjkhfReW9oE7X69pT9ehxp/ApRU4Y+a qHDGM7ubnlmFc67AH81vSj12yy/wGHvyIMykyWW1K5Ux612VcrMKlfpHeTm1tiBrxqOxSybsQ6uI G/ZTzMI+aPEXt7HyoMK95obp8QP6AELvkI0AALjovda34we28Oc/67TNZ1cUAnuNmlEGizd4XeXR FJJDE+reEftvLRFDWRmNARbxN7lR5sNKEsdOkts9wqyMC/MkQX5wQBBMWMK3ZAgYXY+5M1nzwby6 Nt7KzN1YBCJLbSWACLbfE67I3heQJ3/hbnrYXhJrAJ33z73bFd0g8cYAx6VSrwGMoTVKrTwckmKd plcuVDeAHOEeGeM6PuR5RUIQMlsU1qD+8H9FbimjsYEklVVIlXzB8QoNml9linPqCSYHjmslU/S+ /o4mqjhPq3o0Iqom3gd0/++VkN9we3Yn+4K4um+9jGzjCHI7TNKhI9n/JSnKBBTU3f+n3BhyHUYU +g7OJHLpMZAxQ9rDjA4UI/To+VvlerzGRkNXHuw7gMDQsKZ0N0odYGcd/HrkqXiDo5eBE4vcDhRb JF1Oiht+qBOL9L1QJIq/ZhP0RcD8ObX1ZpDbktb5sGRG5oOFtS9dBqFpLNA9xrHTLXB6C3aabLhW yAMeJ19iKFG7wfnFxxXahYx3SXIepgpY8coWwXgl9btiZjZ96Gdns0P5K1Yl33eEb9loh8RWGZ5l xUzkl9TqWj7fsYNHv9YaZQrsJqYtQ4CVOuUTh8PCdD5ctonyf8rKxfwKhLnpG9vgTSdrlvBdD67L QnUONxxVs4M6E/sngcrJISs5kQRwRS+Y0Jlekts63kVC45wcb9fogG1oc+mZ8yzNby7L0ax4srQk fO7GNErbrtw8hR+McbViWLOm70CWd35sxeRK8z4YwVeEvhL6Zdx4Ht/5Ca2WH59inwkes60s8eaB MSzyRz7v27vRw81PYBbSzqLgVZIYGw5Ks86zPP1fECzUvDu6fLfPGE/Q3EBFTWdjAsQSGUNh3xvs P5NzjjsVaWh2wiVjJN1131HGVI/ySUTpUEnTjOanR5RIyC+vlCQs2TM6C/x44B3ISsU4CR7xTKiv 4prS9ub0aKjF1V3wqvzkLUfBEBfH9jF1+jKdQOuNcY7svbJydkVY+fkwp3pmXwdjo5/yvooGLmeT IytWu2FizMOB5J6DqhOnt3gWJPYdID3EpC/WXLigX1ykXoJdGKI6so1pXRe6qzB0sBx701EObIuL w/ocBjmRVlHdUpfoJJGWmEVd1vUMBZHuTmGNBcEI8A5XfGpeqfnBkRmnnDID2+h49fW9KvFFYxap Y1T9c64fLwOlxKOvN5ujk4W0WPHPeiMn//LkzgmRP7PoRlkXfOJBRvCpA+omSlOt0qc1iinNAK1M 2kbbmAB07xScaCBJ7oSUDLOldPa/+aVSZsvcmJXZu3+WdZ3H5SP0yDyqn2vH+ZRO7fZcdkn2MZdF YE7nSbKwVSetPaTJFddRUrmk27XShASv+9affwe+CANKYCyL3Cf7xPIfVxMyAoobkNGsUVpYYiwv NO5vjVkzblDY48R8GrkOdZeFXAhDtzch0VYB2girjmc5U3hO3xyARcDitzYGGZpzFJUXqk4Xd9oB 3w+yYdpiCh20qFZEAsbGzaXgo7eNERQDb265bs8UbiWAyJo2XuZnuMh+hGL0IUVa3+T1A6/ZeH5X KAsmprZObp+DbuTiiBPcXChQ8NvGPcPom6ZoTFV8dl78EtuUwuAp9muic/LF8QeGaRK0JrBBqzrz TUdAb67z4FjgX4frz+DQL1vMVRhwG0+LERxgTv3BxOcJDmQh1FWaWfOfTNQ8Z67gc5XKKtdagoT/ hDoNdkq7+Ke4P8NdBAQHntAOS6+oRy5qkZPXO4CXIeFDitJfpBx1OHfDHHazcq9HlXxbQ9IA/hgM VhyB2HRLeWgD/daOrEHAAt2z81vvFEdo3BXP+Y3qsmY3fhdUeApmXpCod9koYP93p/MrMXktQbyO UobK0y2VwFgWSVqo4IvqWWi2AAsmsB3hIHxnSpsTwKltF5EnKpGNDDur1hMFQruS0RUNfKA1jT78 1XKX510AcnLMpG/GIh4KrXkmaCaiL+FMOccdMU900LlxVnfwNIARPvo7ogYMEu/hVSuycYvfTx+g DXBe/apLgdvhBunCY98RfLA9Y+ft0R+5PBSLCaUyAj2q00dHAWujeajrYhK6uCYVS+bBMSe3hMb+ /i2HLrHSbOzu5OW+4e3mGm5bUbvEq6RrVM7QPnjbVPrN/9anzo2J6xhgcsY/gqvC/LcglF3L4mDJ qdit4u69tqeEUhFZb99q2dDeYl6vaiFNG9EkRhH6n8TxSvWJ+0QwoSkKlnJ2Qu7h91Gi6PNxsiEA lsUQs9nfJMhiP2wu4WEYDlfmbO3YTTpgksCYhtAGVCYT+wvO+iSFR2g5ORBjgmofUddahgx9X1Hu LlnRVzCxzNX1jxm7iSUWQd/c3wQt7kX4Dvrfm7OUBfxejzJGj9Zp0emh7Yxfvzy0WZncHprR4nuw k2S6WFDxkpV/uwdc/2Y5WVUVoiQbJkHWwTv3zXWyCLDL2BDWSz2oejtfLYIG9yb918uhA+k2LYGM 0+wn7t7sCLXtWOLgjBZAL1engM7/B/J9C7ZGXFEAIOEmJAFNJgTI3qYkLq8UgRojc/VLEwEa7w4z BW+7m94tQ01866i7Q+Oxyqois3LYQijd5MvPa/kHOqa4jFe1+S5X6V+kJIqnQuClYcDw7PJQW3Rx b1kP9EAyz3xzBj8SLxtyXqbHqNXQ7nFgJ/caoMy9UhfAtatImpf2rOJQgWdEZptdjHL91e0Ni22k nt/R5+4AhleKX6LhGfsSidBoriTJ1PeyXFUE9/yb51RwMoQg2fiE1ajZ2VgBX72i8xBuXo4cOYhD o3ljyO2AQYWej15wcLxqI8kAfjlKhxulTnzhzvWcxQGs/odYSpHVPP2/1NfRyLnPscMy7R+iSOc3 nkJNBppyoPABgA97pWoTd6oAidLCzFMzvXCWsKxcBhx01VV+XckXdJfezdoBID3NLCrmdRjIsU+B rU5U6bFFE1B3XN0xXBrdT6yENE2U+JfIwRXZ/sk5VPs1e23c7b06zwos+XawRBfvxkoEfU2LrLc+ Y3KRGsRCNfLzcBg0Yx5j4Ve+LS/clMsI8qwo5QiIP4F2Q6lqQ1YMXHlighYXMnhjdb0ke0eijXqk JxOPkylt1zUO90iDk6KUctLEx9Pbi47ZkzBFdfUkJ+WwRwnyQzqBqW1aCJFbcGgzF7BqYnnYqXS+ ghyaiPzEdKgDgkJ4bxE4q0TZdwxU7wGcCrUOWQCp3SW5S8ojnPsICAEaUCYVfwSKkC0N0Qy9oiKI TeqwHx05Nd8P/YBM7VmSvcwjzgfJrD/YaUQPneO8Jjw9AAoJZKTpSp/jMgQHYdabY6a6/2Mn6zGu YqxtYryHpwdB/t8XpKu2b+m1UlgOMagj5sVYVKPTXf4NFDEoOwupRAbVddAMaOofIscBUshC5ppX Bv0AyCGVDdsBA81A+iOs3M9pOcxnn8FhGi2VXfoc1uIwhvCFj3208Spqg6BQhZtpJUvYMMD7l/kE rsviPFvU9vTf9ZMoqvBkmkw1TQbP7kqDiP202b5jdu6xPYfEohQpU8W/tPRSJQnum/lnFGyIROKy O99lwF1ZX8CKbqvfiZwORBnQyKYq4CCI0JqkmDp51NsPTeiQ8MJC7mfmd6M58o6MPrHcNeCiA+/K LBp6bpnObdQkotUF+84ZGYIpgdPmkDDn8p17O+1uQRwoVtpqpkkuxXzsYlJAUYa/uqwS3uTN4SyG vYMGfAhXeCWcfUrJ7wBjpmzjBRpLxlDKf/EaXWVzMGBODIRA66Jpdvb2JEAR+H4D7QwBKvCkax4R yRd9xJm/HFMLcA5RmNwA0iHH7sEPoHvw2W08x8gnt55vOA3yWZAAY91wns9eHBJTsu8SSWoJjAzT H0zT3G6khDdZrlnJkLkbJZM00R+iD+gjqMCthMpE/DD7/ntEiGkByxE65e4XuTxOM8fRjmlQCc9Z uyKj56gydol759g2wRQCIQf/aWCW+wrb0To27pevLMEX8t2u6YPQUIgNnhhFpZzzoIkzFtVlPXR+ kS3QLv9WkAkZsT0DTEeVfbx/zUQv2AZhujqDBmkM30rsREVIyn/8ZeOCNiBqc7juY8VXnjHiJaI3 41Q5+frf31SC2aBWo3rh/tJGaVLfKLBMnUrygBp1DsG9UAPBVI5ty689ZKN2ffKDtdXdOHgJsvGY Z+mDA/MsKBi3mA7mMAIrpVP26c0HjbcyWbm5TwOI+tj0jG0xTGeMAIUedDUnBEjjyeuIKu+B/Xqq V4Gtx/iYkI4EnRP/v2oy15AbZeaSbFZDFn2YsGqUE8boJ14Z7igsdmZ3g72kAP5UErmAGLa1w60W qclnubD1wbVypJIHXw8yM8XcSjMqrU+c7/d1Mb/LZOmwdHlkZj0HLjXLA2l8KgnHH6nP2qpSKFQS nK0N7LlqzlJswQh0fjllk10ZwCxojq1z+HPv3KcvAvnwFtiuMF2MH9QcaZdnNtY3SHzNHbxE/GCO r72Ev0pa77xzcjnbKRmymjI+4UwlHq0lqh5Lf60/J2Xx+bn5RAoF8ClOKhlUczH60FV2JdQ7g1x+ 4wqa2kd2TtWj9MnQP8pBd6xTWoU/hExXkDE9+BpMwJ5HJfkSdkp2rC39I2iJk9CNNAkgf1sdEEg5 OUslT5iGfENsWIHLyRun4bd3PqpXagocdqApJkiIuz8X5xCo5v62oyOwYeekLLMI3bL2zGWjFGi5 pxHhJ/WpjP9Ywq517aYhhEidhK1zfQ7QJUQRe41VfaK2RDa9goP2ZBrOY3cXGO/8cIQy+9y5okoT axjkHIvzMcc0F2inYUrDaRxkycZiJ3ILi/U34o22M4186SCDj9yiYPnWcRksDt1e0oNdXIstbXxt PyNuQQRr8Ikuq4TkHB+gAgV5ZHtvR8arKWoljNoDKL4t815e0FkRrtOseUBaJa683VfderFe18ep PjxaTD2nVNa2V1VANv5mMAQt/chbzgewjHfIZUePH34sSA8m7dG52vMg8LLDIt1HzCt90HG7KyLl 6yZhSKi8uenM/bz0sJvcAyTV3a9gEFj7UrGtcI/tGFzUZVWfVo02sy/c/8I4vD4KYKKxVZ4sbcTA 48vs9RNm3FYoiHqWfhWxEE0NgxE7t2hsmYjBCCIKhHjV8ys3MNtZa4klAzA7a3914veiU0qty2Nh jEv1fnwLREFvC2s4hnq6yOJG+FpfqzWyeyrnMQLZO5RKqdxQ61ifDAtmBj4IQ0I4hR2aS4mhLmBz 1rDCjhjsNTG//4hheK2RNZsfufgUOzdiToEh3myEJKipQpKbLi+fLwvN+c8YRgFz5w4EPKpO2DUH jUF2/bSWC7VtWfIENs38MIt7/NvTVdXbLuk7zXiXDEUv2m9/7svzIaco+VJ0p8cHAJEO8rqcp3xN BmtmmbE4Z2SK/Hw4U/S7AIg6sGKk8FchwQvrOO0lgLc3uafcwMFR8muYyuWgDw/7gFtUKpfmlWCu fByU09T1eMPMBHYvDQHNQxFV4LBLKteQ5PL2HP++Cvwbql5On15hrC7zxZTeMxnT5Bke3LkUdIVN vOZr0KcN2N7+t3SvzHMjPd4n+4ZPHRHvMvPi9OD/TFyGe8nZyyfU3SSNEzbUjFfvrTJVi4iRpOWA SfLPjm/A40xy9dLIVmcdOYyds4jx9KHT7hFrMjXCshQsS16s5K4UgqnPyjiEmAwDpBTJ4/5e5Z7E iAgYxjLDuMcqcdSHbobjJilANBGgtLJXANZ5H1ioOfbl9UcjKso4I8qZGx2j5F+ug+gG75naQUkJ dPSWm9Q0O2k3sRcJU5kvgh6HSg14sVTjgfjhyNKxhThOb38JlpryYPEIEdiaKk+1Mns8znv8WA0G 8EgU5BlHxAyqW9rEQP06NfTx9OESm7vFjiFGL5kHPxWSukjfSichUK+32yszUZdTrlWx9WiJxPt5 xZ/Io/NrXFEBFL3n8IZmbgqH9UiV/gEClQXXgK1m6tWZtAG4/+7vuaGUdph+yC+97RLey5vGohPp g9ufoRKq0nUbJ07PciuKZ9dgzN4JGjqN2Ze7Ly4MCfsahLsKqauuDzmYUNc/qtCA5jjDJlznVWLB ZPXyIsAvY5+ui5dNbWvF++ymNHOHx/wkRYRi2pXCwMStwFEr4HloFCkeuxZqi4Cv+/a13Zk5ep7Q LKiidYxj2FZf9L9PBh+3SCmdUobtb1rxvk9ls6o5VSUVTWmi/dAB8kUMdy4aXllzXoEvyX+Xk5Xb NWI9WNl2bn9gNg1iRl+fXwQAGRlpNMb/5Ntb68uASTGXcde3ajgEID+ksixBRYcVNhjr9WxEETR8 5tlF++R8xxRPd+ELSUIrE8uRgBCLhLg/GLoSLt75d546Hosohw0fKB/YSLibvHR4abxynCA0J0XI ydGvC/fYOq69kNmSa/nGvQ67g8LcA72Puz9QFO9+bFDF2FbSq1KSogibsoxcnQaS5beAtcE9SjBk Ks39vRnxWpX5AyENaYvzz/ZMXxk75k1m8TlP191oKjLsa/WngXuEp4OUoZHgc/KiJG1WQDOho9/m iKFeA2g4cJC0AluIT2RDG/MTsg2MAaWjAQ8SLhTmhyKBPG1LFBIwKgmoLTcBSwzbgbPqWx4J3eZp o7+A/UDEFuP40CeoLt9gnbvadVb14Btdg9xm2LNrcgKVSTkfJzoZfv2vtH85W7eFT+h/DMe91y7n 9p6UEL4uWfKUMyTex6KWHyE8XEfxxzpZB1AyByf4eqvhr7pcdE3EIOtMEFdsQS/SzLzU7z+zYY8m vl25nL5igYeBEZDhUYSf9Jy1bZxP9Adz0A672Rz8AvU/P4OsnqUx10EDd7/W0It1qyTKMhPBoLoy WE8HY+Cz/2ie5nyUdpFf46MoRLZoiPjB6ef9zUvUI+5+EeyUuRd9b+2ovZFaClX+yDaWwAwtxCBE G5/vIgR+7zVydypi7wZl9malr38WJgM55vzGWZWU4uZ345qu8hkzD/coLi7ZX1mQ9tHIh5+d+bnx y3VjNnecdnv5qp1RENtMYnn6OIep1ZDL+MAWAGA0JNQXjYwgJGyrDSfseDs3zYXQu3PlmTHq/R21 j0kKnyt7+KS4Bp3xS8Y2sHZnGlQM2QT2hwtVN30B1Bh1Z4EcasHPSeo3OOQiN6B2hMK++Fei7zRm Ye6Ry2y8vzaCu1WHVOKRuYs8ZShwDzlq/ZL0H3dzSaPmwG0qxcKtFd0NgaMZEmDSsPdEzmXgTKj+ pf3ErKPeMemaFy607SC6jz6vieV/qoEcaXChn4mHbMwi/7g2G7g3rP817WB6Ja98aOIgIuRBfctD 1ObDDHz57O3JwwFB72/gBQPiagBAiMZgvFHFdEr7YWs4PRMWqN1IDgKG/uf9wFc9x8/HQxMJkrAi 1zXQIsNRrLqwMn9CDHhYVrhEUe1HrbNqU84UDT2J4/icYbrxGQ4bCWrRaW0mfsXJ2rx5Lxcb299u 1VrKMdgU+0JZXtq6lfO4vkYALXNTzBJTUHrNDv3B/elZx7/NX26etRA2lohs3KutuCY7iRbeke0d keSdLZZRsAau0mWaFDOMpAHZO2o3T1nbVHuNWbXktOfrRSEn4RKMX3u2r7vHL86GRYShxdqKWLl8 DSVA2DveyIoTqEGDYWjsxsv26HsME2s/RzW+mD1zpulMiy5kDyoysKBYZ4ZqoLkOrdUA9qimKeJl ciJTOxkVhY5t57PmKFFxuRjNZE6A7MUdoNWhi38HaSzQLshAnJH00gJGl5zbI0nBxjW28RH9Pnu2 dYxVW096zwd1lZG2P10OiEp511pwTN3WnWIRsxCCm1fNl8z63mRO+/VtAhyRNKqhGlMm1JKfWd4O 1xmW6WgCxbn516Car84omJwAxiZ7Hc9gbCDgcqhivqGeI4XAwxc/5uWE4dvhoeh/gyl3Syp0kCsl a9gZtisVARwop3rT8Hp27ZIvif3xraPtPtYgezQufpDWY5C6DU2EFo+Lt0A9EDsZy1pkWV34ag5A MYcnz4BiXbHQohhL4gbN0r4zVI5mjhA/5UCW00CoCSfg6xewCNEj+RCZC1g85LsVH+2sP6GIdn7H CV1XM8pRewzMkJlAwn+kjJZ4nXDGvEq5UwT6LzEtXSAD234xNai783Au4M/OSQSAHBPQV0QLKicH Y8tvKlImwKq+ZgONfZ4LG5zDRNhPAZSQWcWjloKwbFU61TtZYMgdBhSCWlQNJ2d7Zoawbml7HF1t HcrpJ+6XPVY3q30CEs9IGOENHe08SOKw9iYGUMgXls1qycwbnFR3v4K3xj4ev6IwriIQ8GM1OxY8 09JYbi9UPJKu1r0uL3X6qRTx1IG71ZtTANTuyHttDsvXlQYMcPnlvsoWVHI4v8cfuJSY95xenRkR 1r8J+Q9L31O83piiVZ8GCg/Uoo326bKtuXmLR2U5QBNQK78A+WP1xqZjiP35IFn6H753SmJu7Xe6 ac0ps48mD5dJPI64jaVlfe90DaanxA8i5uc2/Jc299Dj9/46UZnwDWNQy0UoJIhxJbsUbOEdiM2x aEqtvxh8zIBAfDPcT1NGz2+7Qqbx98SbX2Hj6HItfR2UotVAQk9AjpHmmyaq7sXDELJ+ZvkKw4k1 FjCHBEBaCUcPlxZR2IpNA0xdOakLkyrsZjhC9cyXOymV1pYjmzehuEXMw0NP4k5JK30ThKx3jWjz qPNI13XcyQXR5Sye+eGVDamyw2FqEr4tYjK1+8XOTFouUhi3SDxDBTqguAkonMmMJC33Q6SY/+oJ qYNUestUAuMEg4ry8p3BZHg+5w/7QuMYKzVQej8Sw5ReEiVvNJcJfDz+2JGx4mwIRiN7WS/a89RQ D2obQQ7083nrZu6yboQsJeHlyEf55WVwp6VxMKM/qIFYQulgUGjtQ9e3C8Os16syGn9VSty/v09+ gBwdBR6d32SG9P2BN+ebG4WrmT0ZGSRr+33obmJDV3tguLuh4LdxPa4OWvQr49SLVSPvW29X97Ms Xt+TCTjnZsBvRa0WinmwBICwYDwGX2c6PyNfGVfUU3uuAO3wOijDy6p5YhChZTEhpqb3EslfGq4g yn93bCPaj2oR7jhWFyAk+TafI4yocGU+bKUhRwUXlk/t7QP1b0o7ErY3BOx9Dm1qZx44owNU2ays vkDICxnfaHRlfpD5qMDT/7dstlR+CWIWK99R842Zze5lqYb/OHl5xUWFSf7z5cubXY37nD+GMWhI DWIWgKB1qbXl5bKt8IiLZGEx1miNxqTJhOHp0td9CxUPRFhA1Uk/Sn9HWMrBPcFDXN/MWgue8237 rf811AVGxlyC506+WEgAXj6OiNuj8jUd2GuuB7xWrhIdImje/g5wmdowu23BoYD+nDYtvtLR1voT nUMpWXdZBEsm6tl4kCmQ/UldkIcQfz/0AYsy6+Y9b2i2Xpj7CnQUEMObSFuaJXZr5i7gmnZnk0mg qhBZMt528hzQkN8xQ+KvFdcfsgfS6BkRWeO8suCG/ZWquWUWJjp4vmC0mYNSR1OMj3iPy631x8wD 2HL89Kj5oawdF2TJmN4kAGXDILPBiM5kjLLbuhpBC17eMvDkSfWeNtbu2n+d4cxgP1akBrNHyePN 0jY1SXzrp/gmtNJVgKpZqYFjsMqc2gqhqGr819vWX215uLOYcQ2SU0kijv3I5M9QLRZLuioR76qb U955VzP4oiHW/6UMZO+Y8aEEhAbP5g5kLO+KPrXfGfq/B99WLIW8AJrx3rtWQhvN0x37/f4QgQAt JvvaR+sNwlQutEF7woEUP+oWxJG1evlOEciGPBbU2sXAiJjr3V1JEfTm2mqTgDdGIfmxx0+7TLxg zCwjIEI92qFtgTfp8uH3vtnYDTY+JqFuX2kQZkH3tFl7pzR8Vw9o7Q3WQ0L9f3ewD6gvff8W+HIE l3p8Piv1BzGXzsdUgnbo+ynkCMuDGtqBUpWyG37eSLCkzHbPmgtvlnHKNfGdRLfFqk3SM84Ql67F 1equ0mHboVa4UYFzZ7QwJZumN4472HUN+6uV7Ou7JeM+ASSiyKsiumJa79CnMZEWlyXmuNjtFBHb /BpfF7eTkBlt82pqtHBeg+szS+VhziYCWgdVuJvj9iaUWxoC2F+OJopk770xFcLoWaz1Z3Kbt6/r 4shtqTjOGbj146KgqUJokj0TG67nUvyiq35sUXz0H5CEZaB26CSJd5Q3o3QPyu3DwV9dtwFu48dR z4CeoESgIyAtcBID6EP1XajA5RgZZu4OpUQDjMYGHNQ1pJ5g19YD6lvW0xR8EdgKDAo9/xsCLaIJ LTeesyQB/jGoKf8R8cKRfDtQ4u5xf+GChFC0AQFmXSe7TOW8emC0DHbPSWH4CftppbbGwqQr1Por fkYhrnS3Prn8+VUF1Rh7WrS5Sl74aYzIemQ/Uq+S9ERnqm6e8Oxp6Rl4A11JA8MlmQAX4aAcAqqE BogEMEOrT+qPbWKZHybiwjDoloQ4blZtuGR2FJXtJ4pmugtYwg7jmSyVIQj4isGRDSGHH+IQp+KS Nk+RdF6tBIBrjLsvZjwCCtRZ4HJQzwxL0z8Yvy4WNB8nNI69gv6YiGTVkXvlsPyhnuCOl8joo2k9 pKNzzcTxHxdqqOibjenzfYdLU5tbrVikQYqu5Tg/s/s2dSl20088oaKXQVUe6u575dspSRYvz0La 9IK/q+xyYBh8KhcfESmEE4vOCNxrdFWXPsEnk8navLXdPfl65lDH9ZWtHSVAqHR2i5e3PFCcRsCb jnaYgZOwocqxNg4cwhLn7sMiJJA3kp7SjxnFajDG9k1Y89YhQorf7NROtYbjmzW6LU3EljnAovLi Cujnl5lNCl0/g/+dUvtuZbWqyIhX1lYvh8+sh4Vxj3NfU3/BzkPbyoU9yGsU6IPDIKpbvU/sVVEp zgmUv/CE97+Zd4WEB5bAnDm1wcBe9gaLMVOqhD1QowsH/ODRxYQjtbfsQ/uKAecFxB8pPdlklov1 Ep10cKuP48wxNutxfE/QUv4G6+V6oi9kNCjI50pyTSF7eVTLQ28pnq9WgK+R1HQRX6E3dvfagutt +A+7yKHVK0ABpgIJYsuVVb0rLyA0KP1wBE86/Z+oake8ZavFROe+xHrRY8zE9rtS9X9DufU+BLiI KNPTDgCFBhPlpQ0gE0cDNTnc1StLBbury+fmfsS2I5QVmHST5fkS4CtDZAHC87M28XnzFmR8eSaW W/HSu8mdW2SMqraLgAcV4wsABAtFWFdMJp709U0OBbyM4lVya8DaF9UUlOWnCMDTdtMj4hMnv3eV tVkkIuyJSzIv941U22C3WBXgD2rxSeO4DNzMkQicJ0NOIekwY16Bl8SjrJ99e1+6DxT0jdKDL1KT FtYAk1+ez8gH00EwQ+tCADqRNmRk8r4+sQGZSFvBZDjzC3YyrMFFvMqdcbnmITl4oCB3gnNFiEke ywDJh+eOOMB5DUylN48P0LlDu+6FkBxiE6m+fRS32r8va/eQO2A7UkLxCxTSmvtWcXjHOj1sTzA6 Y4MOxXfXHbzyzfC12FqjAUJly8+lxx46h+yOxiIe3TeQA7g8xY32aBb7qglgkwGRJwvcXAYEuy/R T6EiWPqpHaP5kcqUGN5yAwW/PsXfgzwKAFQVkfDzl/ZL9ZdKL5jJ4WWNRE4YxBLEMuvgoTfoGubC h8x3dE7s2nYorM5I1SGFXOboHMLWKYrPWbYn//4G8Qqql2BcMjcrZyUhS5wSdwoeulnSfTngFe30 y1Denx3kdzVTPoj7q/cqiTIhwf6ayarNZoSKX+VPCn9qr72PrwKKaNWqdbR4TP8CTVIhk4zXfq/l 9cmf8lG3NWtnM1zUUcHoAdTQpeSzrGMHClSG3M2znPzHqjf2wav+U54shs4fKSLVULSIB13+Dytl YrBpzXRA+0uziZS0DiQ5qGN+QTR7rNy0MhkJfEMDOKCZIgs3QeK0lJGtYgIkkzRyI7xUigfgLVsl 3mpuFJ6E4ShxZ8P5X4vn1haN/7qzTFnmQ5SoSZgqc+xX3bAAO/jcMXUKs+aQK2JgbfQBknAe7s5s nmrjzB+g3csrmnKcE+2BhybIMeleBaI0g8TJtCQqEWRFu2gMThnyRV2CwHsN2GfFEsczH30g53w9 ydR8jVc9f566R5q384gOjJckqMKjueDXKBCXhWTz4UYHpSdcCHb1akYMN0QYR5nGyXgpI/1EEk+o cS/AwbSHxyUfwkpUTDPJFdrlgznlqKVPjPxfGlJ+UZoNbZSi+l1fGWnpwsGKNoEcVtM0l8V7huRd QoYr7q0v2xhzygS4/0U++5FRTVg/ZjUaGSV2kgJfqfBwdwfRmu6bB6qLwUxDQKBG1CF5lemCdtc5 KE5ue38gMb1GJBgtbJjyTlE0s/MFNJbMrmZYbSD6eG7LFvOgM9if3U/Kh4u2hiv0JA1/g+JySdrQ qHKDAxWVQCYiC3mne1oicyvhBsvqZbnMtZVUK5wJDlOR2l6+GEFa1UBfuWd1YJmjNFw+ltDyXxEM XxNnL29zqd+A/bpkx/PB6a7huw1PM9vX4qQcj9PvYhbW582XO5GgFp+7x5Y5zy964Lbmi/Nr6Yw7 rdwSn3T6+s25iC0lfSJTBfjAdem6WEl7ek3wKbR6+ALTPndbBKhFTBi8YWj1hK+nCh+7VY/KQbLu 47p5v5P+vGbIiaVh9fgLPhYjGx0ga1NEVh5ad3q1ehYIIHsaFU5xv2YSPihSkeO/0OAfHy1nQP4+ rcgtXXGmGs+ZcpOdcKYUrdsG/cajJfKLCQUiFlX+6ZNuXXwlV0cBxjUHKLYcK34eLAofLUVXVCev rBHD81qJQzKZLjJT2koiZNCuCa/0PjR2SxY2LndtACGSPltGnS4V2UmvNbsSNexoKsFeNMZbfIM2 bzo/Uo3ee8N+NdtQsyWZghANE/+AGj0oSuhyTbCQgPE/cIH2Ulbv8X47jSiGWoDL8XY+NYB6oNg4 vmnIsUPYCSk3ys0tofDmLuSe8nut6DVpksN2KJ11bEjrt4jCPV++2f6WZNF/8POLE5/wCQTEBsMg wrGBqO5aZFI5zTcVmjc+A8u40Q02R2xBXSRFXPWCYO6UmY9SEJOLWIeCu6qzQ5mZ+yo0xjPL9X3Z fvWmMTOQ7hmOuCovcuVwzU8AIWWAqXTBgyR75zPD9dcw3pVJEOfO3Es8wZXTTZi076fmdj1S2QZb mQ+XjuL9BReROJX8rE97E+5YiAr97UbeauuQVwpWqZ0pF++usuy02kkHGT+Ff4yZm0zhfg/ktgzj ZfFfiS6p20lf3v2pIjF7tMZF8+7zakJO0+oyLVO3n5SK3TdICZOhtlwBYz6sY3fE/fMCeM0s/WHj WGWAADwgJaZUx30sbJlDjmwAwtRQPldo1AdrGJlfwyYL+TaAq6w8FYDvvwAbushYJfovQglgXyHf GPUoqHkRxT7LXsQOdxHYfnB/GjwaVFT1vXEpL53Fpp0o7zf9wUdyDMBbwgjggg/HMmhY8c97sfC/ 7Rr7CYtZzyIj5uAUwVt/Fr+Uxz13t3MReUkCisz6Hq5i1yiyN40ptjUB2eGHmVAFtO6TS+lWBsQi q+Dy1GmHo4kcDhc0czi7uJ0u/Gn39PA7bnPwLbBnIwMH6MjX7xTfegddjQtHz55G3rfAN4ZCZNvg pSW0F+4BE8QpwrhawFXSsz16J/uCgRgR76OwN/J1g+HMYogIz0DFlYpKm+p5Ov54XRoszt9gokSD YBUGP+gMLn1opwO4vj68kclk9y7ILIH3IfAvpsGztTSjvtjcXglGO9ySnJr3NxBe7ZyNJ6TE6nLS Xni7XaUFzp6nPM+uemrAhJBNBUcOHUGBqVuEwXQIYBb1chbbOHkUsHeQKiQeLdB67r5UARVDc0fm oglFUw95mrfYv7AsWAVOvZtTLOjCKN0x10R2rVWV1NOJmutexK1EyNnH55w+qe1UyDQbxCnOosaj Qa+UaGGvrWBHsYFfx0x+FRUDmYVhl8yysTk8qYHseHvPI3rpRUaVizsbUHP5h70b7v1T3e8DhyYH OkQRm44lfLiqxXaYMgH+0yKKuZ1YPYvGioMlevejAdAHrMSF506fazsjCAzNpe1Y0tDdPMVpD+1S eoH8QxHunabKgy8Wt53jqLyKr/xaiZZRsyWfno8gv07ir09JUuu9xpVXHkxdKwZUFPYP8BdWN1j8 +jxZeyZelHgW4wARjYE6D/NaNguoV0n1qCgpfg1bGnwOoej/X0vFqP/3KRTdHzSoQDsOkk7y8uDI k45oK7t73J2e8oE0S4gFQn1VCaVhVN6Nz+Gq57ZnbLBOXmtsYznB8YZwF8PjtJiiHGMCU+tTEGBb 10vo9AbITadf5mIA+TIZelbtz9K6HuFI8scG2xzLMU/huWzTDYu5KpWPgIAKmwis/w9OrAIvRvHk q920cR/pLUaqyoFppDPyphYtc4C7cczfa21pm+oWvj06Xamf9t+1SaQ6rDVU5vCPPSUvUrbbfvrF gvA3K4uxrCrdDX8UU85fo+CJ07qcBYrv18eJ/09loabzyjrSKpkAexEk9VxswSL8vemzr5IsXwq1 72ImoAC6ejSyan4oZ67MMIvoem1kNGKfJ8CwRIqfs+Un6Ura1+wMH7s95fvHlVSA0ERo3XMRNmK1 Qj+xeHwBLxsf7ItpRQGX/kHhCl5QZAQKROC6FNno2NMSHOnQZFyNtIhm2RujGJAFVkxIqfEcl0s/ xMTjoKEu8mL3JA8CnQhgotg5anFKQqrgFeYkouOBQOz82WnKXFCWWPqG/WmYQCXSFerZraFh8TQL smANfHn47Hw2cSQ89HAcZqVm+nZg9dQN0V8067um82EcTNCDp6G5QnKjp0s/pzNGQ0cPomOvZlD3 DDXrdEEuXDDSNgKxDfLeU/EZ5auT93L/KLJa2ZgLndP/hNXjcYD+BCmbc7JCTH27QIvhSXBlP1LN Xw4g8VilIAWuoSzvjuO6WL6zK8tWaomTMvl/re07saqg+u1vo2mXH8aDJINJHcS6IrTmGzKnyRl1 6x6AXQfiU/v2fBmWb11RusSqYFhuL1866lNr9c85M3Tx5dSQeei4EWg99SyzLINao1WzZEzYjcfh 2Q0LuAVrRCavcPKrnwsWOamoSS2JH3kaHhHPt/xG3MnSXtJKbNsZoHGtHLOaVNT3gxaq0BLYjCqV ZShdkWrBa8eI8F3ghNqDZtlnEecdQc0yqpMClPMgzmTmEzS408fe5GUkbPho6IUCP+b6CDKNHZMg T09CEcCTWEjpSVzbGomJOk0eV5YGczdHsWLzawdUekHylxk3JAyrKyw3Fm7pFhOTTAva+5F9Y5cB ACj7ulTk9SMzAm7eDfzRABbcbjFxgCr2y3B7B6cdjvJHhjE965OJDeZMaq0WkDKyb+Cx2XEZpPXo 6IK27sfP2IP7+HTx7oI9PTBrUZPULiWD0xeHjfiPXQOJSKfLmCwsQwLSSJAMNtYz4PPX0hbJDzVt EWmDzrveXWA+c2T1vkK32oerzACqiOcPHzJN3QB3gehR+Ky3EDGmlYs/hIFhJXOPkU5+P9vXsiiW hx/QcjpC/VGnk884ktyXwQT5NTqRNFahp7uEs0dWzqEGIFPZXqSuXOtJdRm77BKgOHzQ7sSY6+7M 0DBNa9HAK2m4H+G4hXmL9hCnJmrSo0nAV6HFgE1BwUI2d4dKmHdPL4Ia3ykTmKZ9PZ9WhGKmAv1r g+AKHeAyn72D/E8E7LvIAqi7TLXriR9z94SdCGBg1SIDPH1Znn2GvxhIfnI8/OwwCkF7Th3Aq9FK rFfijMIBkiBh6rtYzg6WYAvwyKNDm7DJM/eaihI5fyzEDTNYjR0pXWz1VJ67kiXkEEkeI4Ip9Rdt ED5FhtB19Nyb8YiI3svwGrPxW0gbGBxaOG/g0E9MZ3QL90uyl/w/BWmtJ1heZEjuG4tbkKWl0it9 SRy5CleiV4OgtmSp1ts9m0cEkMkbKcRZLHq8aeKEBV/Pv2aaemXhHeYHEyBrSNKIZN/WlxjDOtPL Ihq5zT6efkO815xXJ1ZwB8hjVcpr2QVNAeHwiDg79P2Sg6KhuDv3iKtwl2GbxKx9MK4/aVYYe8t3 LsGwubA+V/w2d1TJu2Y9itm6U1yg+pZ42elBOe+fArQvpb6mGzw0iXteFJIIJmguQf81Ax2vHTNS WyMSNWH8Vw/lpCrXJSFk+2Cf9H0kfxh2ZVSM2/qcu1oECxRzdT2Y6hDv2Ayc3d9MJW92Tx+xWjms 0olDywq+boowo/sviqA5ThZ7pQsihO8WKkyI6qheXrt/vHR7oUdjSjHdUZ0UCKCaHLToDr4d1Lqd hbeEYI0UtudtDmcaof6Y4gt0BJZev8BGN3FiRkKEV02qBRNbDbNQ7tqe5Rqa7pM6liLcX9qAR9et QPhzh3m43x+KwtAp87cvd8jKh6M7k0ayV/SP+vNFzN3Het6ozMQggPNYl343IM/De1amLI/OcqEO eTpUNWtiRRnPNHwXZ72lDXl7PQ26g73IRfQHKegUUdbG5TRhgzpckyMzpLO/qbTYt2U/aSXVcvTV YoxmcRKkZ5JMsRQw2rFviZwmPE6bdesPoS7A2T+PvMTW4uUG98sbrbzE34zPadIZCZd/nyRVuWlM MMH8alRKzxO4wamehnI+rjcpl+OyZHI936M/bvUiiTGIkxlVj3Ufu1B0kzJRmdHWO4mTPzs7ARfv A6Rq7WM2KtjO05IZY3uKRaU/x+S19W/vvxH+T7Zx47seSVfSKQ3PWl4ucpqHoEl7o4hYKnBE+wYQ eFQeSEQIQkiMbA02Y0B0REDeX4zgYPoRDh0utHc1PdEDcsApTwyPk8DBJnX19eFnn+9PbAdEPUi1 I72uMDfLqSIj12XFKFABVW7W6fZu1H6cFrrsdUDqxvZyuIaIxPh7dLBGbJsUbRovhKABRKuFE63F nFzy2Ro+dhSPQ8X9HbQEemrtYmEn+LDE+Mo1N2kxll3hbqvppdSsmUC3eBbAHuh8EliH/hO6vQv1 u/tROh/yjMMof29v/fqBu/RTyyMYfZTXkobKz0c8Ydmpj0GzI3W7acnVmahfhGm++IsZtntoR+Qh /s2SIUeEmuHzkPJXwNh00fFHO2uRUpRuH1BRFxTazlAs8Zuuqq1q6j7eWVqwQLEN0lRNzuKNdXAT eASIbIIr2WJs0Thl9JAd1iosufIfkYLx0JbYF36bKcP7coAYT/wEGKOM3OyhV6hPMbwxz6S6kos2 uhukL4IaILhFBjci+cR009Hddbqul8rMCuZcukeX8TgWK1nZVYwUud7w+W3ojVGEdoTbqPh6pIn8 VAJb9Mx8J7MvGQaxITP8HIgZyd3XKivlM9u5txbASLUURhhxA0tSwztpGit/U2N4YcgiuNrD3gu3 WE9T+rdYEcM4HjREceeP42lcLyj/Spzkb0F3ku7cByZ99lhX1Oh9Bc4Toji2Q6GoGnNi8PDv90sZ fFoHkkUMV+UPXoWgcSTv2X+m8Raiy6Zjp0Nsz30pC6zAGmYC1u4wrgyx/livuvj6sTxp0mHIhqm4 1XG9Uv8QgF5ihttp4pxPZhyYf2lICX93w/9yMbMvHaoWty/gdL81nDhx28FBLjeS0VupkWYiala2 8lqnYF86N9YP3ZF0glTikTwjjoIRGyZER6XhMYlmTs1vCqEKjbPNiC88MnF9mS11/8WHJBtYvwu9 feQ4xzjAX7Jm13VxLYWeL/BspGTbSxxwfa6lmJjOMXlrDF3UUmmEWzb63BswbXwlm7cdKz1HsMeA 7JTvRaJZ+0obArYaxHkySsB5IeoLbiTO37RiC8kBD4K5SJpMJFQRFwJL3FQ+p1lLlGw8e9gmY6fD PKl2H6hdusH8fBCXOfdvvxQnm1UwgfNgTsDC8MYxTR+zV6xRcYgMQhFrRo2XmEtFm0NgvSK5hO+Z fspfUDfuHRgo/L+F+SFkxqlyfolInAUcnJY4z2J/QgASwVDArf+GhKOVzhuOAJyN0xS2zs8QTfsm Qz5J0zQrF8BLNpYmZIIoKFAHHSg6MkhYscIk9seTeqYMO/UhiqGseBjTIJPTZ3st91uEXodoeCuf QvyFaS0zjiRxM8JlCiB4ShhPb/ax55+gLJN+cSSRTWhatpF+PzR3Vj8Q5Dg9MKg4g+L+efn+wZ3E 9wTWPMVXwxSqfsNRnczjZkIaBQQYGSggKIYWpTbABq5qTRAlWzsF32HfOZHoWwXTWgbjrRPVyNbH VnV6Y4ksYCqTxnOVkcJi4B2A3PzgsBKcTOg1zKlkQJCC6VznW5K+jH5kropIgV7/6SToWAgs4+EL GG4UM3imorTPtNoWpIuOgBX1qNRq6QJEHYa0gz7KOK54mUKs3mJ52ThQJD/2+r8Kg5HgSjolhKDw hucly5IKQc3b5jN7/zRhOyTo7uhgMqQfT5XkjZJHt8srICUKgLJYvk7FsOf+0IamlAinuZln5WkH F9aFPIuQvv362uvSLSp+uPnI7yOPVYyIFsEZg3Ev9xpbuFifL99yh4bI0dZ4qeRRoYCbJCd3z99z bogHRDtMnSPUFnNIGbp443glAIHcxPsQ3Z5UWvM82+QEqR5c9ij36gOhjKgyjFYDi7TEE6evOvzR IM/DVMecpSkNsHug3JfOHu1fKwE6aE5kmx25uQkhrLBZeAYAf3UD/7E5r5h1uXZykcCqhqZt9Hbj zd2GWyJBECM4MhcBXPHBof/olXqeyIXzRdW4yQYOxB+i3wZFaMy15yksBaWD4qJURJbm/U3l0Nke /OF/JKtUnkYgCPouJjoVw0ELRnoRkvLnoH3nUR5OC+Ju7kNXOsboNFbSzy1Cws+G96/Bs5LYX85n lV34zFzsD6FrCEU0XOvyVoKvvyePjJKXz2udFumyulm4ZcZStwMZy8ukL3LC268NepVnScDcCF6K d8SSPgLS2VDyKQOco4Thw8AhK70kKJfILF8KQvTDLx7zRHzokjVD7tdQFQ5VYwRZX9Ktzr1Tly+y 8+xnBKggaA32nfzAKW3ZYn0sCn534gdPyPx2DALC3e8+eoO1kxdVOyyImkICw/O8H0SwEMMY4iVG mvBTnbev+JqLxtVu4W6suKkj2BTtXYdzdmfCnvwKKtkZpPMupYyh7mMCXb8hUpMr012k4NIyjzPQ 3SJ1ryBCe81AN2ieYJOhYL2pfhEsEuEtcIaJ01bfn/cMBRJwPoKw2xTUBYqoJjCpxEazHdmObeuP ALG53mKMUkjDjyVxsGa0WgaXUzgxTiJ/uLRF0R87CTOGmAK6RfyzsQ0uwOUrU68wyUS8Df9iwhO+ alMWOerLzOjH0X1BtFIo28qSoDCMpKPbKbRdccVENfTawvyq1Ae8B+qlwuJPJhr5eyzXpp+LFXH9 aaI3RZSPv2rYcJGXJc3FrFnRQv0KcoqEyTU7x1pfoWpLnvHz43jcsuppby5LUauXlvqAWQMPk2L0 +X8+/pQUtSvAN9wXbARgGAT1FXeI/aWPdDkLUnUBeO29wLTKn7cr9Vd2f56HUveRKmeRVcm4nUW2 Bs802OHnNhtQDdlThdAZBSDqqTTYJ8Ts/Pck982K8i4k+clOkRHU7gVBftRgfepq0JpObThZElyR A2BsrsQR4BgiaqRw/3/KmTwTMvCGkqJ3ArkFkOJz9wVHhFrlckmk/6M+1U2YaQhBd6n7ROXGcFy9 fmAfh+SR326y5xkFs4SNwaUkudHlY1583r05gmPmwLUTcntrg+UJMmld+UortsIY1ddmHAc3Akrn wX/SCwe3SAEceXUrfthUyagw7A3E7L5JgAKw+bIln8nyoEcWjMFLwL4Iuy8hFTgGG/ZkwvPc1n2h 0ei5D9myqy4pPh2S7baxOS5N5Ks6If47Bc8V+y926e9lV8m/HdEZPa9KHsSMBo3ByeLtrspjtRlJ +sJTyZPru51YeOlGBIU1H8jiHqUz6oXdsfht//NwpxuiHuzTvEO/uCHAWyfDmKr3a7xgxZZ2BE5R AjdsUGCR2GQHCXOo6awv0oXMBKLnckfnniac6AAP6nd8EMSiODHbdJJa70TuJvpkKYWLQaX+/QCI fWS7NZ3XwPhJsPJ7092Yr/PFjYYQn7vT74WEQaeN6SrOw+uOwOm0k9pFr3vNV6jxHAXa0grbohu8 BG7xd1jri9pwpoGiiG6AogIZD7UhlXp2mNoGe6LrkOUqE9hEM0QplY/IoDxNbU7grEQLIB3Ef3QX zD++zN2kCioLkLKv7mmaYwaP9b5m47SphXy+szZsIxasKX20vU/HhhtHX6ngDn2Mui73sKf5if10 y//k3EjhkpE3Cuwla/ZcpqbXl/jGke8VXYHFCZqTd/qie/D0UmMIaGarGcjV5J8JyjLcyHdWJfUK MUcQx90mleoF8RwK04j3PIcfu3DQ8Iji/lfVAKZmrmd4SaFXlezqq+v8qTjD3CQPSlNzkghv8FrX F2Xp3XmhnFbyeErzQ4SLYwr8LnQHWnHj7srTe5UbhgfReGIalfXVXd32OUf7B0pOveHBBrmr6GBA x5n3qDJUjI69sb4cMajiT7vNuNKKbEsIOQXnJ6hbrnULIEtWggue1oux2czZsv1+B4hZXCyodwmM BgfBnmw5e6/4sNkAig8BNM+rUzm/uN4AYyvX9h7NJ4XT6Zmpw7wDYD4ZMAdxtESCSiUgv6oezar8 Na7WnGmEAiUyOLUO4iaNabkx5mPwPQoEEFrx/QWIQwVlax5B5tWARKi39edgnbec4A4m/IxFQU2d hw8a2BmrtVizwsK2vcjOV8YNMF5JuxZAhUsxR98YrIjde0xrSubqHxQNIBEosqKYuboHtGWUDown JiV3UqC25PX5JcHT8DgR81WSrbvDnUlt2/rXoWcIEA7b6VhRr6WX2p0SvuTroF9ubmRWEkuKvYDu FXGgQuhK9DJneG58DrA2eCGXE53u/UM0m25QcLbgZwhYuS93CW0yY9HpajRBdFx6qVRMmY8qd0Vj mUSU5Fjybg45ymPDjBiemszYuaeGyjTNbQFDe3RyYjLFxi3+kbIQaDtRIghHqPauTeiCjA4khS41 mZ0uPHX14oMgV2JMccYUvUIlQmJOuokhI5aNrWk/At3eMrZDUOC9dc6u4lxEHHPGh/Q4bJXWYwCB lLoHqfcGji9tV1YDum7LVrlM8ga2AueM+oMx556hINgMyRDIYKpdF5jPVrvsatBYVy5qUaprip/h zb+aSLF3L3f5OLgpEBIxRdrKBMaTM1jKvWAvvPH6SsQS09zCxHrfy7wlRhEwySKqP51SAbxlSf22 3/pqlm4JhmKWqQhG6e+COCGYwMJVBmWO62z5n3w/WR+9Fcxil/Vifw2wDhffydiLEwF4qBZ0nQaf YGT1hVwjyEqKMse2+28WOGRU6LAVukhYfYhNU/Q9AzcRcsOS3qYygLdEeE2QxupXy29vLOBA7HuF 5YM2hvMlEV3u09OJWheSE4kjD8wJrDQlEZ5nClMM51mxIRtDR0RRs1Z2r0kBTIWpsYGzUNfQO95E gCOBa+50dcGyFAHvT8MqEhW4mzguj7Vwf6d7RaHD6Zb6fspg6YrSFJs9Pl21JL5dBplf1/UlOghW 9C8Gc/r2ZBLMnGwroXRGKABiJC1qptOfz67UcdITygYVEQm1OKrfvYLqZO+7/fjUtWm0TPHwF6g/ Csfvx2WJ9Sv5SLZwMOrdATiOQQmxaASNMkuIOa85DgoXqHxUd2v5DiwODcYrheM78Is5L0HfFLQ7 sseHjQG8GkhabV1FOVQmm04D9IKrtnw237LJptfJPsjEJ8QEltA8OZKiVp0H/vQaqyICK0y9W5nM o43Uw5fpU9nCQj584ECwtxKVcbf55VyrtNWsb5ZMPx6UIZctKEhUSMo4bUaxoBszDzdciwEo61ZR ibQVTs53//h/7xfWYMTHorbJaQIseiUvvbQkTKOt+/FKeiLEgZD2oiYEJRT0v2jnRPddY49XcsOS 8Gyg8JuMk6iM/JzTu/jEtbekiLUFG7UOiWcjq2+Ng2W7WYsDDqhpQNCWho0YIeU+FdAyUPTjpxcq Rqgv6MG7tDbtCvLlHMF/YftoGYL0OGkOXW/j+kfRFzCda9j68bh+9vd+TjCw1mKlHPiQsCnlb99x wZCeaNoPbdFz7S7WTZQvig8iunqO/+GF87wE8m4UZmigBVYmlvcgxcNQv3i9c+srTtTW29wCo2fL GfSvExSkIhz8mnBEYrk85SrQ6XjADWC3vhu9wJv5afQNQNY919tnIq96CAPQ06uZ54R48FY+1Y2C uJCPHU8xlLmSi1n4SRA0o0Y1wKLWHWL0Kd7QiBP8JZ+y8x4Xn+p2lLFlmA5LKKr1K7i420x0RHXA Mg9I7hM4oXlllmVkW933Gnu+bR2VbkAap6AoSOCVO/dJy2cWuCu9AP1y750Us3jgcpXe4HrPWmED UytLhyJpO9uAA9VnInyU8a2aS0gQECXpukm4dV0L8z5fRqs2pBHTWZQcobXX5AAV0NwoAjeT2X3U yiyRlpzehVtkKMTSLojM/a5lJ8IYd5ZOdMWYUzCexwsTPADrSh+GabKYpJyinZ7vliF/zOK7SBTf QcW4ahNwi/G2ckzxrcVaRAjUUpVXYk48ODWR3hs2VGQTbF3XimtuPVL+iADtyCdF6PPqabM9/w2N LzIiWlPIyiBvQNJ0PYc2BqRdLEl3d4blDX9/RVKdUdaXSoOkCyY9bVMNXbrdIxxS/oNjW/qRNJmV uqs5dUIw010tzvPjhZxgMpxAzLYw25MRyyQeMyYcrVIPmnh9GuuXc4ZzgUtMkUQzULD7Ws7ey2Cg xZflYK/eK7wBMez2aqEQEDHrvvWxWRZFsiepm9oTcORyaWOZUf5LMLBdRMCMs9bsMI9sbvYiIlH0 DWLKImHbpwKMxaoo2F7UVy43XOLSRsvpaiMwI1HKCxYQtZLt+j/3NFleUoWlCUXMRlpRlrJgAZ+n B6aTrDCiNmPPkhYQ8lO3ECN4iRGTdjS0T0iEUyzW/oZ4pyszFLoOm39/15+5G8LIj1EMdtqZ6n55 +keHi0inyCRqm0x581XJPhax25wBTeQ7sePL5F+NAhNjyNmzA14lS4mtzy2O/8xM/7kO2LHuH+Fw +q16Cpt5S6FaA6UTIQqjynAG9EGnq0OPO3WmEjUxv0cZzmSEXylS8WSqrPTuJK2wFm0YUABCjtSR WDzYohNe0GTwMBqYoeoawQrTnVMWWV0f2gHvrVfbC1XaQxgg5Y/q07vY4Z//45Sxdb1l+SFp2Qzp GAzp18xC85PcsG4w47VBpV8i306unRou1Ha7vEiWh2MUf+GZSn1wETBliHFrQNFHIr4OAr/qmwxS o5WQANEpXvQ3bq4uC6/0eQ2pMBpGEWu8SJ9CwU3vcHaJP9Uu7sLaY9vt4bchZMRVuQm5O+NZRo+n dY6rkZSguoJO08tVcLQcoGaAElu5z4CVTlKkZuuCPcQPi9eQozK8TrRSk8bMUDzt3n9edCItyIVn CnY06IxqAFY1VLACTEgD34Y0kKmACiwXla6MC2aCXqRX3r05Gi9SjbiSnss6mkQ/2qJGlGBRmM53 4JWuLv1aff+UTr6971vCDPaPNqVgyUhoGnkU5J8iMRskAAFkr71IYAGU6yhGVB0q5pIwGXdx0qqb Hf0KgUocLkqX+a7OoVMKHiwEk118NIAeXjOb668gBylSutELbxUL04FFhkj440hC2kvxbzsm5Iw3 ifSlnc6Rps8onuusek6X86Lpagy5ZyntHR0s9KJftDxRig0wY0e9F8IbPHhz4l2/AiOaxDezg222 jt9Sv4i7UvISXv1yCva9ELig9PiSu5tTRwBE2vlYmsTbckOsJDHjcoI5qHNHNKn1q2l+Ul6vLbrG jYWfhg6KOgvyJtPg9elTdKPP5+vOZkpzfTjCF0gCY83CgynCDh2hh2U62CXmsL2pPf6BYH1xvChb op9LRHuZgJACaoApPAdbukwuP/xF016G0Hu3mDKJ6AgEzDbPI+v29trb5qoIquNM0wfIDPiAPNs+ W+DscxpAHZDXn0QpTMo5KpqNWxTA1rpT5O1+KGc15RnQepcegpq4qSBNCPVceH2PXU9S3hrJ8m0F tbUh1TxLpD2hSbzLGxFuMw7LEYbOX0g8ep5GQB4BnYM8jILRoNwCOJP5wLBdJBfykWNlHxHXGem6 RfnEPXOn4GfmTNmrKN5kTGKY90Iqhn67HdrA60CSp7+7PB3uNbgu45/jORFWeUXilR4ra7/riUbC 0Vv0q1g9MVKjGj7oSDGjiyjRbscHGO39IIot/dgd956YdAfOgjCVow6FsHn93xzSXQjRle8ZvZIp LCP53xx3HwyXIXjt6WfYqDYn/f+vmULqqZ/40787ZuuM0vCdAPkS0zbZMRnfiVx8Nh7+FYtWZoZ9 1lXO10gCUIpMmIVmo2dkzSjQ3Y/Xb59GOt6L4QEfmZnqiOehpQDJJ2AgeY81gz7PWuhNhfU1A9Nt 6nRvySmITWmpmblCYemMHqgNcA+7YGyVANzevYv/E37Vt6GWH76pkD5POXMRdxbl6vDqN2osjaVx pWZr8Vgip4dtwqPKWQfpFIAGfSCB182hy9Gv2VswJPhhR+zj54P+qLSy1hv90j9u8W3OvmV4ah07 3P5Fc1L4vAZDxgJLZGIeog3HXCXwWjAmVgspGAwgJsZopasyh2gY2u4SISdWW9LdWiqmvDj94o7B //Fs0TS2Y8X67nO5P66z3eNIpU1W4p1Qln+hg1VpKUbx85z6Q2dtwRv4SYsztvC4gEVYMqou/Rlb VpHjw1bNSF6O0D9FT3y6ZFDqOGjf2FmT9tetxTHLeJSoFQXcvQMg0cqSKk/nqNmiGZ55AUFv1Ecj SfnW+y9wsLFCc/E4EuL6VlGQuxhYmofILPz5qH5m7zU00rNHLm6X3jhwg83KiwqMYOLIq2w5zOUJ JiKfd4gBqX/6k0JWYtF+DtPWMc/SG75XfaC35cSC4ZEBulUCEtn04MQzrJtZEAEVaKMcA4yrdrEE NmHFLO9OVIKlnGjRze9oExWjMy5LYwDqGFmeUnGNQtQ2cQbqKdIKfWhG1ThXW9juf/NfqmJMN961 FXTMU1XXeJdifFEglLFq+INUsSVooOvP0x5saqGwW9UZOyx/oefKscDJCDDiPpalGv1MehGa3NOm RSSa/fTR71oQC6GSboKkyVUylmdLqdVWdUp4W8ZXojlXgtQIPFAzjA5zX+tPnB4GC8knwZmlPuWA mCAfpYB80DqnpZGdAX1mBT8vpi8WfJggaLB8ZJ/niwe6lFpoojJF0mlk0iFNCciVw+dGyhqtJRHt tpnnDfSqIQ4Qs8/sphnDBo+gTAtGk5A/tqmWAgTzwtbVb0k45d20mHtcOKpTJg3NcMdF/gtG8Jng go+OTDIQe+hKXSFemZW0WBzA8q3GnyDaUZBK90PTWvi4qHgsJNv1KUHYdSFLaEuy1rgQl/m1rAQC M53j7bhVDjBdF0wPSvv9F72CzExaqR0gpDQxI9fbUqWAzwOeh0+z1atMUdNV6pp0EfFdqxp3HtJr +0gUJC0JH+rcXiIIxHE/+HmK+pAyhxd1yrjkqSg2dWVjIexzQ92iDRJ+tQWAhouRvKwl3AGmPsIm JHbM7PPYtM+5V+IQW1WePSnHKHLW431kODSDdgDPADR7W7SRKq0u3rDlIrJaCmTuTTukaWbRVQZP iEOA4mWdPCZRKAEyfOc6CcGm2OkboWIp/ZAG1N2ZDE1uWnOUnDjLiOFAk3gGcjhbasahRoWHNjYu lQgA/DblBladXBvk61Z6CywRDKK0SDEpUV3K59SodcvTIlDVCLvhz5KdmT9Y3qOi8doL9xdiXniY r1k8OOMRk7hr2vNUvnMXg139qBzRLj22LDIXtFN9xVsrFAwftbFa+lABtWeI6AAbuQa5FAnRcXfs XT9WsL+LfyBVnxTw0pdkaWGdNrRXyMR8PpHdy9JSYXYvnfR1dArc4VCq8QupfMIGbtwA8iyu71jx YTisVFO+YRpAndmF9wLi0Wr0y3jZIFwMElogcIku+HjQMUOihfv+yrNkcVKBC6o+RnDgkVALq0KR XDpokg/JwtJYUyWT5ustoVqWOEWDC3yVZSphRAKQ57Rlw50dcdCWv/TUh4Xqv0OthpGzuFyiS1EJ l3VMf9GvjAHGdn3bfpWIT86TvGd5Bdn9joHXkuQZETf3Fyw3PBWS8VesxcIVY9cfDIMfWrpYuqqi 25w+7uS0B9fqx15RNseG23ZnPpDiwiClKLNTcIkiErHo6V1KzqbO/SZls6OQf6enUR8BYLVj9dFA SP/sjmP1lrRAfcRm+WzNRg0uQWxMGA/1dawtCmEVJg+kTMOqYsjdxITsx44+tUE2ruisWmLmWPUQ YElx1mxe/rhrt4UnejE2naqsoTiG07+LndsWt88pXM5GYTb1BIvljC1jjK3elh6SULnhb8WtHcSs cQqUgk0U6pIOIWrjhPHa4ffbUFGflEIWHEtNba4OVdB+BjMb3nJj+Jnl/0reBSBC+Ny9dpAAmlWA h27GUAvnAvC6sG764bd4V5vStDI7gK0FzDw1PjR0Xybr1Iu2S/p//Zac+QsuWl55vPcU+QUTBzVA 2sy75Cl6K8kHE5hZXXDOHDF8JeKA6+4LqpfcxSnftaU6/ZrfrrsJTwMWLIFTqKXblMylTIatwL5B bzsnS3EU6a0mu/c6Que9ZxGWqF4yOGMspYXI197g6AUv/78GsbtK3PBB9x6dpGcaFRFmKLg2hv3g 8VBt/XEd93wSeiIhVt59YLcdXO8Ry5sItRjGjs5Hcsdt3vkNbtR+ZbvnGqxAJ3tee4E7kqmgBEap O67SzuneWY/jwOkdkUXqlz7Vmj+2TQBIVjOJTmMcl6xpxzs0Wpquqbci0NNl8Xc31L+l9H94SG5V gja2pD6eqW9ROo2xUGxbjvWdUcAoVOA8lCzhdkGGuguFGl1lp25sgxGdP61yXJGXM94tTPebHXFk zAU3ovZ/p/xywCbb8G/6vX37940+JsHkpGGdmEU/8rTumIigR7KtMyVpgYovMaglaiojZBVCB8jP 2HAz7JxTKeTldexkqgbxriuj3SwC4JizZKA28yEroLlFQiBhLkuETwUGJVQPD+jQKN4qbn2ZBOqV Mcfb65vDvpRJON8Sg2hndsHRADYUZtScMNgy1EOlk8cXo8xU3krC+q6ReDcbRbt7/NDpT/6IRs6q IDWsl8S+MhYP1DBwmUzQ4/KO5VyysQ1+u+i02lySQm0RAvD83ClieoHsKc/Utn/JZfzoMpj+F51+ L3VgMuzeAvuU6rm4pgDNYIxs3CcPB9a2QxtzOofSoIqTiN8AzzDbb15kESdN1QKN3z2O2bsuQrmq OVsj5M3bvqL5BRPAfqFd9tBs0wTniKR93pEIKgC9QZx+nCHhD7A11SO+l8Td830TPbm6aBV7kB8i BmJSoNw9K5d3ruuYRgxEz6A0SgkgbHUQB0Lg7A6ICbyD0y6D7mkuKTul9jQGjuR20gP4zC5Yh3Ub M1bcHu5CrPqW90gxI8GWJ8vCXoAzP0twzQEx+oUNiF5FUlxW99Tvuz+c4Ok/BtcxmrHO0py8AWVO 4j/A56Q8M+ATYLCrQ4lk3MIrriC6xbTYB/smQh+QFf+MCa2AA9HkAK7K2to2T2PsI97hFHRFBFnQ +FApTas2xHlGFNPSiffsgkEM2efsG+E1DsWHSwfzkjkQoJZTT0TzZ0+JsQOj/Wv9TE5QzZbWu2WJ 0qDKi1H0Lx/kFoGvrWRNLpv9aP0yMPzYi7PtHhw3QfTTPrbfX59k4m3QULtWjou+hNgSYTQ5Xomo uYTVuukGxB8+qv0BNsCudDaPygyQsD6OZsupqYUnotRLVFKTg0gWpGz6XA/cBFQoOk+O33tiuG+D hwEPtLb1jP2g4Y6ss3rBTd3JkrrcQNdzAo5t40Nd5T18rJlqeZ56/YIUcEs2fW8q1evud3MFMdot EToJf17QffFlSYoT1DiImxqwfY3wZPnKbl8AhRdFGgpI3djDBL+t3RhOKr9dqejOrRXKzkO8V22S 3gEe6GAeyzhEoEY/qKbWYuXjpIVAk0tg32LsGecrSuCsPM8fEV37X249dbDSf8pxqU9+CZU+xhLg knb2XJHLr5uMEBJx7+bjVn9c3kPrlH+b7eFPr/yEFi3n1iDSLHHovBxN7PYh++QxhQlNgWD560Ii +F6cNzfwhpL7ATT5v27/cbL6Ag64Kh0yKB0Ou/EQT5+VL1z1citHPtQ3GqW+30szb9VOMj62c53T T10Ij2HLyO91GRdQB7ITtZa8vgH9rFUj9vWAvH5O6Vo4bK2dZ/nZNqT+WouIJfAZkCh9QCoH765N haNAlg/vE4mz8OASlfaIfNqFX5dC4WecbTB+t7hpM957moBkgts/rKdgBDDPFadcxkfD287ug8lb Ti5MVenERDnEP577oilKlsieuRxfxxDq1rmERvRxrmVvcGTBMpzBXXBwbonBgRMCJhFn46NL/5MF SxGv7HaZRBG3y69n+53pkcq2fhfsGmDcib3cCYanRg1/zYkYVkiEs0Ab3UlK5lFbTH10vd4uCQpH F/A0OqBIgKl/IqzjoJFyF672SBQUxONLu4ytf6Q8eWQHV/r1sFKje2flD56cKfRNmRdezrfMXxzn ZSEdA9XTJtzCSYCyi7RxxYqP71XefzrbC/HipInVTA6YOPQZ5cl9lOroryUmDiHWlE+zQlCtTxqx /lTjj6Yjc2A5qfvjlLFE9ok+a5wl5hS5nEF5lPxm9+slHYD3temN+MUhsf5IriEGAjKFlpOFbluE xfKVpdDJCvWPprG4klZqdxt+RbY/kXSf1ivWPfBbbwGSFsfPOF6lIFU77qSYMDk0U4wAb1MO+h7M 0j9TnRi/CXIANDRdmm8iyXoRpHBVmXxSIg3+DWT/bUH3Ni3KVxL8BvtTLwOGgGb9ZVP/vEydZ9PQ Dp4+Elbe+toUA35Z1ejUTcW1lmFtWv6pFrUlQECJTS/WK2QAJloMS6xHkWT5k9UJiwtIKTc0+ueO zAh+ETtihr1rsyKMS4M/Bda1/cawy0/005OlvIRR67USDtOuJID8PMmA0WYo+PE30KqFJ8SlfuBb /ffyhKpF/Cran3OUz59H5fjZSmsALLQVqZrRNCwj1lkgR5Avr+AQr1oVwmevtSUC9yz6fsas3KEk cJXlBEmjsRzJYFOfbBSIvqGMRP6Xpm6jXQGK1wfcTOeYspKv2RJrh3X8BulGz/qtaUvvlQis3nr2 ggdo+UYdsTKlmyBkkrA615SWNfl+vPWTCvhuFiC3IgMI/BBI3QCwonKN9szoshmTwv2YEJPyDxDV WLE0Dr6z5XLZ1F++JrMcbLNSk5TxEI3s/xq/MCzwzTOM2lKQlJJ6+vxOQCIyJCp3yM85BBzm2PY8 0Wc5Jjt3Rcjz1JhBl3vMmhPBA5PA48TeYH3GqMsEoI7b9wcjjCY2dsGXmvqhVbllfnAdrPRcwHPk TZi9HK+jdNcNPCalVoSADn8fkGhon1CR2S99YKUsUe3nL/YvAdOp7oRkAhyP2qdaFDQAL1AQ4QDD lQiQVNuWdQoXOK7w0t2Q22jKbQmXp8xJy+c0kufX9SpOgBvuOgqy0h/SG+tTWjcgPVnJAKtt2Uvk op0ahKEg+89r+9d0RMBMTvf/1IQ9oGS5T84SUMrQ3kaBIvylT8BZuZncZ/GxRJNqjMgEwTyR91uM 3X6yGVRTQTM5dpvRbZpOggwVhdcIyBWRuLPsf8PiGyPW3oiIbA/4+8xi3YCCOrk4JfwKTzKGhwRZ 8gO9NjVMsbkQ7dSlBwrPOf7+XkQlq89DztyPPGVBhqYjtFsZyltahcuxhBAJClw5CRQHaZxBP3Zt PIBj/pwCkBiuzuColJ0uFm40pIjRJ2632TDCToyXRxWZA9TkbLMVulqTvvCUIIeNrgJ9/TUMc569 LZJAf3BLLMLWtS6K12beZ+wAKzBp+JBNE6VxjZlzQ6j6JwWU20eb60o9mfmI7sP1/3uKPxT7Z6xT bW2gPwEE/WdK/RewWv7o19cKLeaBvGzzeqbe588AinhtUuAfnQflXG3MKaCE9zh5EeK/JThCduwc iQ9QIpO3OMEfCaaIq90dmghhQc9/8o/Q+hoYdh1tCBlu3/K0iyqmmwDXEVqzAguCkIArMBHcot9a FlqUxuiiXBCsfYtTmddvASHhL1Zi5QGDVZzD8Y/f9wKoD2TRrb8vOMFexWIvu6f27Hudrvlm+a8z V+9zV1YH64IpnTBQIL5Qy0GbTpR8hms4T8rMq6B96zE9tbaataKkDYbiWRTmD4SOD6YPZwja2AL+ A8PIIpwcuRQ+gw3HScj6Z1dabFvZI1c0E+5vdkxcY4blqBkbTiS5VtRyuwZHTD5gbruE+l1gOvWx wGz7nlyVseRejJFR0zNyETvJ6sOwcuCVOBq4f8cMED4fMZa/4kY2mhAxa5kO+MfGDj2yD3AnVOsg HULIIJrLY6f+FOn/kf95/WYIvHxYnz72rqUw318RAsQvPIKkxOAQGcRTWdfPOuGQPzoXw1GdMm2E TIrgICw0YLnxlwjssfB/bqbqd1u8M1TX1at0igmKob7uM8l66XwaiWFlavD8mTDUrWxBmKWDhgFO +BpxyKc9RWiJ4Z5KqOikU5G7+5kfz7q7HjErxmrRJqT9+taU6SI/Ev4NFVLmt4TsTsqrTbLCdMrQ ZP/pFJU+ZA7+5WLzNynqcBktgPYe0d8xkbg1putex6ziOTlzYxLEkzAHgcOjrXHY3s0dimhY+VCu vJB99H/j6yQMDtxq+7ILfKv4EvtWYKBb2bPP3u2yzlWjY9eeWjVKyJE/GLsE98SY8ZNWV95Y4wM8 uGVkwqVB/iVXnhBSyAMfzPLefIJG0863F9LHhSUJbwv3hCu7B/Cdl4I52AfZVkfubsNJccCHzdYB Ad6k9Sieu8j9XNNs6Ozl289YhE6eNL8SyieO0vECO/HilBp6BqJTNC7FjM0qO0xldQ6Omcm+XImg rlLBEJ7qqZOY7/e3tPv7OxCnKw0i3mzJcPY6l/2qyVPRUjfTU+uUkolOiq/4uyQkzArnflKNkUip v+tWB9tyy3Wx+wnxLhXdYe7Dk0dvklJCnff/0bTDTVZ/WXiX1oIfSjrFKUL/fknPynLVwmF55l5w B3pr5CEWW1q6Ac2loCxRRwmfm2BBwsjNmCff70RpM/q/R78QNYi3gsde62ULT7bUl2mtVB91SiPu L2RoDk5q659HFMEGZIP0fOZou/KS21Xw/7A87NRavTRN7SsfN4VAz4NgqkfR50PftfvZ12D0lHT1 dElsJRzvU6x5aCWuOXYe3G4KTwlkVk4nHuG89zoVArh38X7uaiT6+rwNnWFiMeJzm80prCvf27Lf 3Huot7ymIngtu4nhhBAwlwVQ6PpJeCSZlAFeqo2vrAs/u2O8qJ9qfR9df78JjW/duJJZLm00n49z VldrVj7JRvciN9y1G9OmQznxO+tGSB+uBF/WzZKxWCfFVIEbTQwkB2tqnQHOb5epUQ4T03LrbjL9 rdI+yAXLmoQwqwwU8V7P1ri4ivW529uAm6LL3ve3IIvMH55oah9+bcW45Aunmyrwo+a9ADw3y6Px jxZJw0SY9GQ/8+dPuZtC2rrEuu9OMhDvmCUjtfm8anLiI9TVpoO1EMZneuJCJhV/+iSqcImY68w3 0saRdRr27HlB7mVbpdmwA2VhNDLBMZT9161Asamf7m8IOfU3A3ksI7J+ZQWDVyaDJd2BeUTjweh0 ZzfHBEGRrLtZIisKh9iUrO+1/l3hmh8r48IeyMov/jrkpHaF+ybejvZj4Xy64MwuQnyQwzrHH9cM OZUaKheh1Pb9TElLcBsYxsNajUywiyro4tY9H+x42SlBj77ogGugg0DkLD3/AiYy4eO7qppc2eix U4OjXoXI9nimLzzJFA2O1csoGz8mbmwVbHL35pJwaXyjT4f9svGq9G39Sbl+ldt4qRPPTWvCpOeQ 2Ad+KWKJ8xkAha3rRwTVLeJnweB0Ea/vwScd1UKLOFhEBAQiwSimFw6JkQS3uiv8SA1+37Dk5IzH 3twdwBkrsglC2nAEum2FQNNl74h45yqK/o1UtsH8w2UMJWWnfovLaLdL+JoZF40Zs47zGKXtkje2 xEU1eS/o5nvT3OwUwXcOKm/UbgjP0Vd+cMSXhWmxxTGOILdzKptJvpflk4UCCz3MEzaEnB7rACHp GbAiNISUJJdaJrWGt1l8Kr/fswANMCVZbdQ5otORWREz0ny31kBX8ac/5EYKLc+58XUdz4J4W+mj 8cYk7DzxQrzdqwVs97dwveitT1JlJkum9wG3vJpxlfQLJzijsKgEgDE4PT2a/boI1+VXiQCky66y qvCJ9jR7OQH3SUX6Om43xiaFJS0EiuZlCx+WAJqQ6aO4UhA5BRgSLPKYV3nZTE3KQcRQGugL5FJU hyfwxM4gRIYXfJ7xshgQkLXU4UL/jrFVRWVyf61RdfKIZ4EJivYRv/342Z8S468jkwbj070m/dGI I/5ZZJJxpa5tXfXpxFa8DbUbyHnjiv2cuYesQOHbT78dbf2/xDbuoHX7VFRPk6tO2IBAMMBGTrWb gYXrTjfEsMOEjcktGP+KD7l8wJVsx9qhnXM4LVT/2xBhDNJOJ5jSC5DS08mLyxPJ88+MRF9AxAdl kvUFCwMNAOkRh9JU0hE3CkMgxfXh8X17AvpXVDnP5TiIhAjkcnGoME+DO97QLm+CZDhpMbcr7ewW 4SQ28iyX5rk5TKlmJuYXoILPNy5UYvY84wIcEjFZeFftH61z4k0jXSYPQFa6XZpifdqRkCWBTMaG uVlkTGjmo1usftP04J2sVzq7YkS0H7sIJhnoy1rtJdoW1xqTnXLM9ge08baQXQB7tTv8pdxtoPRM Vw4jC4rp76fLaY+qlbjMwP2a+ifCjrFyJmPKEAnabrHX76leE6nc/je4HwoAekTsWlb1oqAQQIlf cppBhWiOnhGwMJhK6yTwkCOHT7txHx89NkO2baaXXCKJ+ErUfGOVu7m9n6H6ycsUDQ3xA32uKrAG npk7LLKu9JyCO7x+IMzz6xzqHT6I6KNgHfkSyOl44fkWJaKtNCh24qNcYlYCNhKZP46XCXTD1UFO lDpmH4SURc3WFnlHRVx9G294iVZlcxGjJwZiupuh4V7SNfJ1Brda/IS3aUKmzskNDejDXUqun5/s QUhaFAzJibS47xOqvEak4E3Pc5NWFT3MBSMEpaDBoX/sknD/EEn6LsWI5kBV2i/5eP9wSjtx3dv9 VEIB3b2kwIUE6xNjWgA7M3IEibdZXlHvyir54ssoIZH28g79sl2PcjuSuGXLvSiR+oj/rpeZxjNS 8dPqEZO6Cvi+A3A5QsBZ6/6V2LDJvz95gZRs344fsz2U+b7uL6FPsVHz9mKIgQluyS0mpL+rkyH7 hgqBvJtQEIHOzXmq9T361ue75uxSWtGeel3uG2W0IEVW5BpnRaLdNKW0Mb2JqZgDWzajPh9n3GJE djFqOJyK2SrrXp7BhHeOipCMmdfNkRPivhfkYcJYc5bBTT1hIMogHfbh97PphzhTDfazkV7CD85f PxCnD6GnVVXOcFzv3lnay/3g+CQGpIQKXx8jaRe4dKjYmDD4GonXXlThh1nG6SIhUtnyoq36gzAM iVGj8KSxJwYhVrB6nX0JoFH0PtQVByKjbvYVjNEYqdnmhHuRgmqDz+6ajVwAsds9VcbhlA2qzNnO obqX6qNj/tnTJGTNiGesOAALKScCIvSHEEYjhm6IXZSQOOzCzcVOBVbrvsyPjBGGqslajB7+5ksI Zr64/eVE05tALQ3KbqBZ50s8k/nVB34mFBfqIeNCouLHJDiE4EOZS+bInwCh4kNPzmLN87L3ZjeV QxFefiFYxbJ25NgXMgNgIyqhNsATWKNrY+cQmBDKiUT+XQlYe+sc+0HiYsVusMwUb4Z0vT38mWHS 6Snd3M6VSFL0VAW4DdxgjcyNL9v7V7FWk71oGMPZy/Jf2yaiIaDH4A0QIP/7bJ/uH9cHtek17M7D eA/m+Pa/vo59uLHwm7oietwvxuOJM+0vhvxGWXQaZL4tRjIWz7vlmwd3rBLEf3ugf731mMGr8RRp qv3N+S0/qs0JyUK36B7xUdtOhKNOricxbmqsfBBOW5v4MUhzB/h+I5uVgFTE+Ri9KeeayZiIqrzD UTZI9TwGZ2okEjNnxxyvUtxHDMVh8YMQImpkU5T2Rfqvlh/rC8ydsCURIVDevbK2lsKlVVKJFq03 YyXByuBKe3H7ERqw9/hOvwzIWcYazzZsBNqkL7iX0vIDZbEDaj+/678aTlYbs2UOdVprbbXnvh8x 1DxoY35F0gAQ4aAcM/xH5EFFWi5A7E2tq/JoM947Ax1hPT4bqaZTRBAbQJJqrrflOnzyAjtr7Q6H 8jaTpEiC/tbh8zB/k9Zji5mFi1g/xgl6wQ4CbwhXKr3tGKhJGpAq5grUY7SRS+UrVedjODlX03bk efHY7pfH2yfcHd+ICJicWO2ktdwh8A/U1RIAdUR8yMdaermXJV9vOpolc7E+Ybcg5LNJUTXv9B5q nw563mll2Ohjg/JAHngEhMhxBy2OT+vjWroAz1uexxaIvjIPM5qxt3JyEDT3NHQS/QjQtdNAb6fB LGKTWM/TI5vL7rlchz0N82we46aEVufh/hntky/AQETLshy949k+kCnK/T+xiWfHneznPaYAxMZc USRU/MMpxddqoCY3oL5f3Lhk35Qr4rB+mqDGmOz+PcQgZ94R9d+HqORxevyY9CfRWQGHxWegwuhg OQhSpT2eeBjacicP7X2Xzp1KQJSNbytG1lBYJHFwEHLlNkj5n8oAha1fliw+TYjWCmLt15xjf+55 nUGQtLHuKO+t4jXJUASkBl+QNf54Ss5mnmPp723RTi8ZTwAeiymcdVi5xo2ERHhxcKoP+iJmVP97 AJzPcCote4Ph7+J6+CIeQrTeW1xbQrlg9L+I3BMJpY+OJJet0XFcIcXF+Cxlfywjz32z1toYJ4f0 pTKD8siWn4FB2GAyzOBFoa1HTzHAhiy3fW8dVyAgc8B/yEGGZnJmwGy4fVqaGsD1YGCWcM5J1QEZ XeuWGDUmYg0V2HQcSObzsqrNdCNuVo8tpwo6ZkpVY2SnYtA70GI1oW88yu4XazIrtol/QBPLppat p9uw5uIfVETsl6x+44QwDMLi3PiZnpFoVbO4hFz7xpxzBDg8MROsjaAQYoVdH+ZN+ICjqFTaMV6h rCr9h8UAZIFqeVpqTuAIORlGrahd+0Avnm0rE1fT/lo5+QB8JvMLl9+EzUamfQMprwX1xLuzgGxi dl3G9YyB2ZM0YGS0ZxplJ9Lchjc2+ZbeoSexy+fFsEib5tJgkiVAgltGxp8YhoQmSP5MHEBcdn2g B6Xosy1MdUh21Z08hQRUT9hPxjf4MbehVSEgS1saZpxoXse3YGnwtZ6HFw5qfDXVvXQSiIuDUxcE Q9bTQyLyjCfJg34Muj/OV/Pw0lj07ew0AhnQx8txIxvVhCv1xKu3GqF+ADkwbwhiF3DSV8JpJCX2 a0xmaZ4+ci2/EOBbFaf/84vBQQ9bsZ6fJ9xtEwzW4MRvY6AeJ2KqI4tnl1rj7Rg34LGkqTat1SGv UMLq2aKL5vPK07CENPOIOdQJIEb+5E18I2ZiTIFQnfXukf9YNLyf5x9wIZ6rY04sh+wtQ9JBOF7v G+nTUyM1UR3ulDaA8OfrC4KWtgmyAuQ3/rctZjorjhn9HTX83Ve1f2jVeGG6Oo5KMOSAdrjrCfX4 GpnsYUKbbm2SxIEPoFAoB1SpYHONAdDNcdMntQi9/+qEpldCqvyRkPBhVqaU/NkQXBoKk6zVURD6 +acQP7DrB4nJNXXhEpLPaU1cR4nNe+KgzBgiATtCqTPwawYwSctfCxIPpSZ9DJnq8IsmPo4LMLz+ VjGX61yjgyrrU48WNMjTt97w+EPtaeSqEEjiibLooPrXg9QmJHVlk+SwcjA1qmFI/6xGo95NnC8m 8A5q4iNHkQAqZCMlxhCgCY1+M0LYuNGF7Ccy8R+toJgeISxZWuK1HnfKLEBR/bwI06BgG/yklCoA 1eHy0T1YX6MakpTT2lmK5QpyowYE4BsC5zQEu0OH2/dDI/6aTorTmE3o2pwmwLn2a+qe+JsNxr/h F25ZUXyaif+XIDkC0By1jIHptnq6LkGk359aQCpNM8RG2zjUZxpnQexFqiOkG4LWf61LsrEIzkuz YWnNYSE9jy/WBm0jT4LKghBpvDqjX1P5qiBb+vx/WiqksiP08kw3uPBYs435fEAkeho/OuDIRgEo IR3GMILuHAkwKfU6W6CrnviZ31RVQA7CoCCiY0UJgKF4O+jrC2/vrnbc+iV0FmF7OmmS3hVkDRL3 R2SBgdQuUYpyXqNBX4G6u19GlQWcBUYCJsWthZEOTJ24Sil/fEihIgg20wxSSAOZOFzCIzdLNeWu UQeuwwdtzQHkMFfgD7BTMyGdgMryrbBRAEfEsmM9siHlXADyntY7y26bA1z1GljJhCatRWQ+joM3 vVT/O67NtwnlK38iCKRlJf7VWuUtpmW4yBfT9qnVrReFZW4lwakS+8VPN5ajI6/zaihkIvpsOG2d iByIIgdPOEz4EkDzNdDSurazbMmrJUjQuCzhmftOfbISFxnU0nRn0zuHvTOkHZ/TLWYgjAHlZEmX TVi2QdD4Y9mlFGagBu0PYR2i/2qybplM0YA/jEQzCbK9p1yP7Z+VXR+EdAEbjW2GJSqj+vGUDx9W jNnOR7BJ/uHfYKtIRjI6oX1qi2GiXuPhZ+jHnmdCHi8TXI3HQYgwEsulVnAXM9NduosxqXbPgcua BNKvAnebba0u2aP0eUp6ycIFnXzLuQlxZM82ylUkrzcO29+I0oHB7oLyUAioqeSodwVY0nb7U5xN iwb4UORQV+UYCs8gsKhgbr+WGzzvcziVmIvkLnpAn4u/yLM+Zoyq6XxAaq82ziM3ghU2vTCwAKLn LJVXKxggjkYvcQ0fhzhA7kUm1jRdE/O4XSkl2LwptLo7F5nQ4wFiUoRu2O8QOOW2V3aTfyskRaqv VxwqTuJK3lJczhQoRMPZpk4RmhUXHT5usyDF/cOii6U+0vv6REwnwww5buS9TpSRQxaaXmnjfe/8 3g4kLIZRhLK5qFGC1k8Cjy9lx6FDOEaOaUofW4/Jwoo90atmLeH+W/0mLZElKopwIggXnzB6UFCy hOAduPNBlJyX/hile+qPMo5KGDmBLrFhY0XJOCM7VMQuaazBLDFjQygQODiXL/YDLMgUdHjL2Ooa JPn40YTx1WjqxL30QYwWRjRpTkdibqJJ1EfHHVUqUBgTKhwEIII4wd3ThOlVheEu+TMW9aqkz1rJ 28gVrXKjAmHEvUk2BfoogBeRrEtcj2AlsnCmtx/ZELHgnaCxR6Uv4KnHw29VyV+OVkPj4Fi4HhSj GUcawRtXONMshuMKE4jB393n0soLnqGXfWYBrGgoOBRuzuMMOkfGEygXjECgwsqAdQu47fDS1r3/ QYEzP7D3TeFgQ8s7YNDvgrvzBZxQMbyKz5XNO5L26NXMJcBleOGEfVWcUjistwsCoPv+4Vl0Actz LuDa+4UT5YSCrMmIC+cQgc+uAR0O6bKhJtOCFGtPhkasSdpD6RG2MwsI62gUBrmIhi7pBlJJX1VJ UNPwvt6RAOZac12qmUcKP94qR7Cs/eXs5alA32qrB+CV2aHvvkXtH/iBH4jSJizayPDM1F8/yxkb RhIGYGVl+r5HlCr9/+T2xPCvpkmBaPUeMEQ/TUm20CJi+e3tc+MTNxaBK09GBd4Vk9G/iFEVgt5D CfWlb6mZ5t388UUiaRlKoUSNZflYwpAFZcEFNadJh5Oh6EqYHGLGbrFow9ykSEbu2gp5HX2y3nk3 tKgCVIodtHJTxuiqH/lsNN6luva8q0oUFjnPRi5nDRMwbKHzlcv4pzmOTZyc6NNCwcNpQxW5y+RF xVuVeI+eTRV9sGajKJCpcwBCTm9O99X1xB30Kc3mzdsPddZgKLrGmZ9W9f4Pvg/Eq6LDn/qmkr88 ehHInGXV6E+rHnoHKq7R7ePv5ipCdIrcfx+9VVC4rbNvmDN6nJ6MillnOfiQEvb9uLb7SNUAGIDi +6efvXTDPzcpJdtLVFlFEaz7jJQseJdqpEDJeO5Qg2KEP70FXaZL4qD6jgWVzWkqbrRZu2JkECxC Q6pX2MU4bfuiCw8d6oipNhNUP1wTcg+eYW93o8O6ByxZEBr7/t7+WpNGicisavUOJvJxZ1/XZpG0 Ag5/goRLAvYGyRXYZJGvYDUDIySlgeElOehsm/wv70wuDFGz7WQ+x/LGYiVQnXKXuyVshp+GxsKM Fh6VBC9tp5LIJdsJr2UYQHbr26V6LkHsF9o+bQ3n/JWfU6NNvo8/GZY8G0RAcyX1woAMASLU/2R8 aEK1Kqnkc2qQp4NLmaTXnakHJnD01dD4GBR1o76sg9V8Tm65rD+mfVrp2j9vf7J97wyUuC2BtVnL MAWUBZAu1esTwRGLS4Nfx1FvkDeYuQrkoFOMtGZya9hhRztBz1SFmo3BXxiFqpHvm4QL91HRY+Dd kvrj/68YuHkohTq77Gp716OzozhQhpV8DHn+6F/t3Zm6dn6/a5xsGrmkiQgtGJqypLpQcZuBURTV ukWZOWigkGMmrPLrtLTuFyxD4ptXMO8727P9d3eEpL09Ewwh+QEB8w9QbXoNDEcYMiBrtdyztd4Q 7JAg1VrK7azDV3yBqrTUXdHZe1+qBikiTKUU9iOMFxnjoQ3vV4gjC6Ml2yJvnWPkIoKsyOLeo9hr A3R1dmwKqdeBSbGaQB8kZaSEy4ihaUwdD0nU97nGFrHglHqeuSU5A1JrNwL5HLAKXsdV9cxXOWkO 1hNyVm70XmGGW/GaIqdL9OcNxLNZMQwcc+vn6r4pAC0uQ+2CWvvG22zt1b3FH5gNsvo34uvB8Vpu lxJXsHYg4VawxgvyJ9HEYE6qwfrKv4H0tJUcp9xn+SVO1jOKhhcypWKk4/cyP1hBCr+j3kMV08wa WtavAAyGzwPl4KUkWdp+9gAcARidPKhDfopwOhYi7yIJurDYj5NRvZyNpUStel12MD5fUNVugvF1 JYvyJBJ+T1KEhMBR9V+XbTQhdusEEs9aKIzf0dWXO/THUtj99MNRXqnGln6rX7JTVzveqyF+xLgD Iq0RG9Ir0B0uEEN/I9sy0WUlAQdvEIjIAACgPSlxQujdIeoMgPJ5J7NBzSsHU1SMbxPK7ZrHHe5X /buc312Ges4kyrnF1n8rvicL8Budg4dJUk5q9xsuIxq0ymaKNJ2mtU3ZHdS0N7yqQAGt5mx3bpRW qQnB4UMP+TnMmgBy25oFSBhHEStcnJ0Z1DWulJiArK1PTFCpnLtR/PUzlGDVmxcZC7RqZXuZEFlD dX0bqFV0gEYdOG3/ZKNrvVWG0enKIU+A16rKfoiJVUPQ2TJ8p6rEbKD8MFvTbkoQQgdK2llOX92O lb49M6k0sRTPv2vssNouBUdsVoLyKOyn0IXe4GXYsUGWsx4Ni2+6RF3uu7jVmHVXBp5HI2NdwTvt zTarE7oRzI1+AwkT1hu2Aqp8cxU3rJIbyLxk6cY487nNGow9CNX6IOmDHPgnZ9g0gTmjSpBM3x0C tiKQj+Bnr//yJngn34rb3t1pizKHSaDRtzEArrtgkEyOf7kFIcTRmtcV63xmbm31Yo4EeOwTdKGV tNEdTntcWzLyWPjSaOmhzlOGKQ0GsIjKoX2hECgs+o/ZcMR2j0Tdwo+wkpFEnNkWu3kYdWZDEqjz oMARNIrZXhuKomL2vX71SrLN9778QX93KsZQML1wPuhxlXe/W7QlJGSP9IPPWNAXXRLkcx3Erbnz NHa8S1YqNMjFCOwNHI7L8B6pFBwTnWd47Buazj/iHRKWI15SGxDrAdzDiXoWWJjFEHT+A2gJ4TTp KtBtdks5b5UrE5WRHyHPhr7NkdcdZ6wwH1JH4w1s8fgTD8+VEKnAeHYbYjl6Z7xxoR+ep7TAgi/r 8n3qRlKutyyE/Zk19KPYceaQFxmcGkwLK6KiOS3M4dpjSOpQnlF3g2zBHdQQfJEbFNZjOJTLKUsc AO5FvQ+2GudXoMq5BDhMRqpJdPXowvUQrD3QLdpjpsmcoIcq+FbPZBGEXLA038P1BHnnwKLd7OD8 iiTUwiZ4C9z5fotFPS1R8kxhPheFFWbMombk+f9/oV5yzVOrf3JlSfpOzAhjBuVqwSfOaxOiPAWT u9wIirJHIqQYrudm8Eqwj3gBEy7+wxqe+GTNWLAD4q1CLXkM5sBvkPDbQ96+gwx1e9N9/d9wK4TY RLpP6gI8gFmMd1Yj4Bg37L9B6T0JNcQXUuq3POedSrQMGcx7mJr7nwOPNoqXSNEnVn6e7GdIct1X rqO5BMfuLe6W6OFWKK2MqRdvgBVbwtELlAsMas0wfueIYEoz+giQ9+o6647GJ2M6fdwWKfdS3EcQ 7Z6aIe0EGVk9iRQHWR9qGsxOB1X9xeNolXf/yUcBvwjYBOy0eYWNqtzrj39pcAg22QOSG+X/LZ0b eXSUSxcfz6iZbQjverArTHtdb8Y6jhlpAWPMWLZI6h/4TwQ++24+ZvAgCIQfEfOaITg7dneYL0ie Moixir7XUzQsXcmGF3qvjKmF2kiYQI4q5nT74u2fYDebzcgafcZjcDleaMpEYfs3GpsigQzuL36y bvDCQLl/m/KfFn82oxhlabXmfbcfN9u4PBSwR+eD9rgFJQcuRyvsP3J7LOYBtpf+n/SMgCsvTebK MYLXxwnlwDoSaxQiGjJWJFC/Cxiorq8124E7MhYgltQbzbmGM41sDO2YinPQu0dXDEPXadCWCsad pl4hg5oMwxkIFLfj85McvzTTmm/kpt6X8/Evf+sgYWcWMByZohFZbRBGdQ4fR85Woy+GcHB6kZTd ogNgrLq6oCcYN133/8g6RB8zHIve8vb9SOBBBwXX6DNtgJ8M2Z8CfiC0RaJ2Kj2ypICc43ViJpvN h+6f0utud9bIowH/kE1wG8W8BEM5TMV4tJlgJflDT+sPQBv9qm+KKL7CwB2mIK9QtocLPLjeyL7e TvHqg8Ddo+M3o9UOp3wAWWJ1wsb+P3QvhcXH9JllTZL9xRGYa7WK9heabKtuh/qHWvInnCj06Hu5 5bXPwC/MNNq+yzABXgmzJ14TJ4s3e55O80mVpJOoK+iopePOQC8Yqh5sk9T0eBWWBVD1wzEZMM1I hbnshDe77eoolX7CNT4zSC4cLCcfWtkQXcVJ/uXmTG+mTGUnbDQHuQqmW/sx0wUq9FrQL1f8k/Lg L8MqJDYfkMmJpVe2/hueHHcoAFVq0MtXmDRH6DHINLg8nySz5zynhia23+3Giv5TAcRECMrfojcV yMVTCd7JQIb6TBCCDmwPF0j373FyTnLHVI0YZ1cJZUfyDnyqz6rbb7CKaIVTrRGWg/qcYANJK+pU my75xPyYSzipeh0fLLKYCiLaYPpWfpWWu8GLWOWVWNHW/euNBg1hm52hFpREnKAR4RqMiDObnUpo u6IsNP8OeJH1Ao9td4hDdsA1bv0gQ2Ess2yrnRTtqBDBRRhXMfoX9H08cmmotwMaJdS6gckbwfzR 3Vsbe1S8CAFoFJYeJ9oJHbvsQAKNL+68peoTmMWZw3GBMNZ2BLSBNI3q1+gT7qFNwX+femn13bqb HgYQJEGHQmLmFDufbJBjJPbUOiaE5DORUCtzkdj6lXlUOh+ZuKwY6XJs5MNxgjqPr+jkfQXBfJwE 8ZGnM22BTQMwIPfwBOrHLGTjure46ZJdLijOg/glPg9rxZqF4P/krm/HfJAb+L22NnyFO5qYMh4I jFdpeNM1T6Jq1MDLJhXZZD2YfpCa6OyE+5Q/1ucQGzMw0xfQB5GFmU32ZMLucTNtMtzPpoLuYZdw QVNRa8DFVLJAqOB6VZ5umj75UpNF/Q+bYBOVJjRoG+UD6wCVR137Cinawc6b1mojcqPsQn/efGTv WF5R1n8kMn9vsOJNvPZnY4GjKPt+y6U64l6vv1QwTrWDj9r5dz9O2/7EYK/4FurU8/cRfPyCqMMg aWsOEH1uxcCbzOIf4EHDnqFXFlOK2/ODxKVgbeUuso0XzF4p4LB0G3T5dIozgxIsE0kJ50CORJzq ToQ3zyrtPtp9y3fu/IedEU62SfO3AbrrtDIfollpQ1Y/QS6Liv1g5p9H++ukgkA1l746VH0lO0db zcMLPzjscuU/oz7bKW4VRKx0Mubhf0Ir5pKHyg6REOsyv+bqxPV9bUIC8sZdpTSEB2E+7QJBJV8z 2mlBf4hQEcR95yxNdalV1LIM70h8Pg4Wiji5EWrqamjld77XXTGgtHCHdBX7IafRiE6t3f4hzsUU PqsKUUO+sltlIy6hAI6NIqK0yPqQ6qoa36eA7Uwb7ooUudy3M/XWd5J16HkNVc1bfAzxWSmguzSP kYTvlZCd9EUPo8qN1ArActKVqdD2K9IVuStKOq+i+4X19EU7U3Uo2rJnxr0Wm49kY/wzXyd6IwMT Kb7SMWk3LiSSv31S9BZkK45nIKXF4beOHPnwM0RpJl4WcHLdCc2A1hgTGE4F8uFz7B5VbcjD5aPg grFCFNQJY4JkdoZ5q9CGY1tafnxn0tUms1TZxRrOcNGPh2Pr3Lmc/NtQi+EPEp9i7DlsvzEHpr1S zBddcM8KrWpuXi9NoLfLG6TSiNLbh+jm20quaVUwrKAEWBxD3ueZeP8ybPT/UVhR3mMk5KMvtIy3 9cR7WZmeBjiic8u4F/6VPhbGVN+tVbu/j77Xs6URMF6Rr8nTqe3TGsmGxm2+ncUn+df438/OnfU/ MBih6Yzg9Q5Wfp+ENOfuNG9niCScRRQwtP28C99BeuhILeX4AV2UyxY4mqxJe0dMnNxvHQlatwRL eSArVCNaMenwxDS75Ayg449/IEJdroLkk7z5ne9wTBzrG13dHcWrNYzs+Ksdeixs1le+AX6/Llaf aCsk9wLR7yX10pyZyhK/OpbRDH/X46E4JZ/callCUtimTHn/sH+p/MY98P+TU6zO/OzKeVAiT0ph zKrnk73NvkAzDbjO/t9j0I1JB2wWxgtkQCTgClxRVQEFdIgeL/TQPGRSgNl3VeznDs7qpm309XvM 4YrmuiFkWRIG9JZ2lLfvHlNdPNpXii3WDJPsaEWaihMRoTbLix1b4Toicp4QRawwziw3I7Du0M70 y3zA74Fyt/K+eiUnGeIQaf7Wx09LqQFRmZJxTw0ivo2JSrC8hQgCYzUsRe3nyUzmhOhRyZpclFQ+ a39/SvwwHY982i3kkf2TH/bUksjkoYdyDdstYjyprqcTAZElnHs0r7leAv/v3YnA7IBPrF1uZdIB 6PQ5Ot/jBp0dYsmwnlXIP1pU28ibPwwVlEaHUJxe7vwFX5TwmjuLMW2Xdt3bLN1n9beF+MJ4yVCT FF395CjOZBK+7vp0OAXrkpwJzIuJxjzX/8lyH90staT8F/4VaTyDrZb2/sJE9o20FyNCUp6tgLhH HzOYruDAw7VXsrbpCpufakOGnucosZ7kk9C3Rd8zpuymh/GsW5d/d1P3ZSUASsARUySgrYn5Bjwv oz1j6BbbmQVbEdEYNpSMvJ5El2oUtu75ED46/oqCqnk0KLSTQpYHZHiy4R3EvdL9npTOpWECw7Kl +Vb6CshaQiVVeAPtY81RQs04cySA4kP4UaFCyC3qWXmz7JOJXQ2bNxB6N81S8ApT0lwGMjq+puRL FF9wl4S4iB7wzAve60+1TKUln/hQuHFD3vBCllJT+mSqdoxuck+9p/gafcBJuie9KWAbBh4CiFOn 0z1OK7UBUeI+OGXz6wXUIr74T9r/D69a9kQqW7uLn4zChI133foE4zG6zaCBp9emtYD9r/xFtMqT PWk7YfUDWhOx42NsBWz0z5fkroYFvc3aqMFKq3VGUoA/h3wBaKG60EwEnnB2JuDki313LRfqaxw6 r3ZAjmUU0LquVS98t0nvhh7+/u5DvG/wSLwOwiS6inam2YLVg2wqTA1doMvVVI0MolecxfBO9xGC mjPiD5w8gUvkeOHWwl9LzavfrSzWQnu5bq6ufUH+YvyuzsusSE7MZPCUfrS3a9XttLl3r56k/ZqR rc576ANAW4rhBhavNui3xQ9tE3tcsEdfJD4hTSDXmD0ig2AVQiCF6bkqAMrruPOL08VPBsAo05FF YkcXmsw81/jzLJJCB9ApH19Ji8cS/ntq0NT7FAmQefhPNqTHOwT2HTVf4ll3zWrecWrLvKlS3/ce yUDzUFb9W+gHDruM1A7wvzPrbAJqjIMBbfM8CmCco2cfDwqhK7DMAEyGnEEujtE5J5nue0H670dV rKDulE5v/AoUV7hIh/vKstTdP9jHRvGXIEU6bNntxGlJzyRCho1c7cmv0n1o9XVwvkqTGsJwIBLM bygVeAzFNHaygCvmjT+YN37NEGY+DKu3jSYNx1jYrsR2CuaRdtIE/RE7kms2Zlau/ToN5Asjsj37 dRIpGgIsHCbGvRXjjRMQOYh0imvN4ZVzKUaHPmISKX99FX8mK7XoMqsn0edVy4JVWomDg4Cq6+6Z L/TxaGhFiPTSLIYbxDQ/RAcldwICy7KaQrmtCCa5JigiKk6HvJzl+ANLgEPw+f7I2jAn/Wh/QMHs 2372wlaaZHJnZanYQr/iBzZbir12zxjLBKJE1w6boGBqYf8YQwuqlJZYMH+KduR3Ox9vSgXN8ST9 9JWVlC6l3hAE7x8qsYCLjQzDtmDF4YP0DdDitL4EkqGLDiLOB/aJ58gMcdkHgakQCMcdbrgyRmbp GN2OeLgDiZIfe+IPR9hwlJjmsLqYX4JOX0fRsunkemGF2T5Ws2lzDPWUU6D97tqwLA5iwCIguMAA IbRsh87/UpxUYfYa9PSLN5vhydPXxWmafs5z5xvscqbquJU1M+L5Nfw8rIyoUUz49bh0GdnwkxW5 X/m905B1M2d7wrSkQiJH8jdYIbu8ViOum8KL5R4lmS506RsQdk8hHYPbkm/ZV219eYokEqaLiL2w jIYHY7xVL5DfV4GLaLg1PGBgRz4rqfqZ/a4eeisqai9hK+Ch1xuku+hRKrCgDQpUyBlp8qleIeTH jBn3QPl/HFtzWn9C8HEj52F8xhZN8AWmUbVbTePdTKKcf1fqbTnLcVYxcEoXLh6VqYIqF8i7l3fH Vq5bavnDfWSasxkpT08XlhlB2b872a+TmZQO4d123BBY6d4XLcwvO4lV0FBp2bUHBdqP9x/oEuO0 s0so/9VlQBPO8mWI70sM6gh5KtRWwPiwF4CA96F4YL9umKuS8cx9MkmRNryTL+KwBHtl/gajWtTF X92WFuDn1NaC8JR3T8iT7I4rkg6P6ud2DeQBuZ5MntEoedqcXh9SYGLH9oIl4ko8GPJjLGb2BMKi qQOjSp+Z3uiuyuKsPfoOoyWdFCVvpsN3HqExtK/sAD/R5RQZaSY6JPYo+v6rzEpmtimkpBW6ip+G WJP4CDM9y8vvtwCLmXb8sNKBsM93HNHSv7Gem2IdLZAMC6m9VzTfAXMtfLRIzcbfKAw9XJGytP5N tiQT/6Jtq2Fn/ooOKE9oEjd0e0BSRsT8JceGx3gnqU6VrEgfUwy7kGjrg0PWVnuyPsNYQt8Zu7aD Ca//qUrV8J2dgS9PMaK4QegToZ/Hf43ZHV5fyCQ/1Sd46FSpKGhPTnDjWnIf+3BK2ty+0i/d4I2L XT0LyfLzjqXvSi61KY4IvNIY2CnkdL0KigtRjwfgebmag0D9tZDK7clSALI6edLk5sFYt7tZGw26 bktg/RhuOXcc4EJ4Wr9v6iHXRbMN7EEHTHn/0nJcCI1spfKIR/e9kSfyS2ECr9E8vPrIcqveGxy3 cT5hmGyxRRIVkr70Aap3vFrSja8QZS9Njp3h356FPnSZaSVfQ0bEaleu0vKIIW0IKLCX+ptWTEY2 ODdtDuuI6UTbj5GkFQ+yK79ZexYvTdjVRVnFfXtOZAlokipFqltp0ZAp28nU3hiR6MVNqqkGgiw4 hnXuMH1ZHwSx123noOgElUycLHdQvuCHZbuWcOvo8LRHjjJkRA3xznAOJoaMO0on59nI/m9HmHxd GfR5Z+pymOYqumQW//k1u09dHZZeCk7q8VjELNo3DS+vT1WKolDJKTILXnAIl7jM0/Xpr4ypn8Ng 9uz3GdXT5HOtyhZmavxa/eQuugbWm2o9sohzBihu4lw9FfHtgNVs0FiOLU/HPHb9VLsq8VZQH1P6 iRBszyKOv45SDqXpq3rMsB7sToRzESprIk/MNt02R7NZ3LaDfiAN9gEs/QQVNwQsiSvOTOPP4rov pQifbfW5+JL4xVeU6inOo1dHBpiPm83V9+7qAugsJvQjq9D6LzjSwB9zR9YTYXOGDx6yZFMP5e/E XoVZHZygE9vOsNcy19z/XsMysUdF5wS79nWhXgQHCWy3kapUaxsz0WlB1bYk2NVOWOCpFj3VP8/2 ofid3IV9Ah7wU6h0aL3wb5sLNNgBA6HCP2gP8Men4OxRnQsO2cT9LmLdlMTFWki8BZWVNeRVRYBd xOGkhfAuX1THUc+LEgRbAZbMBlgJ7Iqa0vIF4laLgJf6WcgRILIFrcc7Me4CJjnmSQ9c6mdP+XWx rYzbDm8cvCnaknW6y6PLsm8WyhmTO5QFFUlx7qtWn5ogQjPt9MhsxwzIVzodTmoUes6WGEmWUUH5 iPPQeQsYUMEmWcGPHK69XLVN2HpmexsLSbA9LLwySpcp/d3SuSfZZ9kuzfYZ7gW1bY++OlxqyHbN qXdkgqtdNnp7zVlHnKDKYaP4wCGu2ASLDbUIA/7piwqRzbFY5Q81bHxd9mvxZpTzdiCvDa9x2C/e EvmKPMhDbNaPIJw9EpKseKE8vOa0R6em2cmEcRhzjn6kSTk5GmpRTNENzDIYQ8Dg/u39Cfjx5guI bhUUcAXTmSAtqnDtNUb9gcIJWQAfHuSLIehpAusilz1qzcCmsULaHJiwEbMRQrGdDNac0M3wI72a RQGZ2kEnljTro3hv3rCnZRiqyfr/pDtNj/o+t+eyz6S8fxOYak5gW49MNO4dcHrzvRNVMrxaPQhn w5ApJvc8t8PPsZQi8XDfoR+UAfFKqDCJ2vHpXRNkY5iypNSD0K6QvOmfvUkrta4VPD2xTYzFk8IM sQg1XqjbuJ499V+INkssI2IRLSFbCxmU3NDBjhlKn5rSxTe6YMX485tNJA8kfjV+qLFsQyyM+xGI s8PvAMEpc4CaSPJ/rv4AD1v0BgveT+f5Pch4m6WMctiTu615FKzULtdAAexa3wQnqT+JQEVJEdKD m8Bk5hH9cc0kXTYfDmHKN1T0ww5szMmZMe/H9c6zMP6Q/Qw6Sqmm3r1c6fuRoaMc13WSmJYB6aBQ aJvgBGkRP1lvxPlIv4Up0z1wyPPf4Bi5667uxZ5wunPokJFpTRNs6s4vdTPe5X1ouS0LtOb6NDQV rxnFIk16EcAvm6OdepIWAsO6Ik8fSs8jaGiEWo9oeUwaBWaCrZ5AoZMXsGKqpneulhhesPajXGhh ji/jmHFpjVtBi9yzpOyZI5pfMOBuG6vq0FkUnS6O4ZzzOZt4ht3hXPnoIuh2m0D+9k9XKEWPMNfg 2heYXgOvMrbu4rPy93hGXw5X70ouYjwDDGo9jF5T7MhaejGIHqc+a9pU12UFYEVSt5H9sm/jBekF i8MWZMjbr2KflTrIm//TcrK+kFviIagPoSmF+JIphiqp3JzoBt8d/mQYZbtv1fBgq64UG7QVaij/ rj6k1aoau32bfl4kbYwyN/GIvDE3t7ikWHogl+SQl5K//v4rKtFzOV0L7Tuis3CIYN35mN3N+vVR /VrLS92Ga3tUzoiq6Yz2y0YOYKs8gF0s43Nd0rSXruGwqi/yjAZCIGQZ/rHMG7C9XxPK3Es2EdPk V3RHLkTLVnYZ5K3J66SolOVNMkHqNHXzgseVbsdtPw70pirvJhjNS37GBxY3zvwKO3PXbkf0l1w+ 5kLy6BvH0DcAu//37ISkUCtBIZZxjPdkTNCYErWfvV7TKXhhVkLTk7wBBQIzKso/u0a92XzdClSb 8RkGourRx0R4s0GNWBafGfv2uBnoCCfG7emqDU5wXOhUA3mw6xetVcf2fm9BXiSwxFUVo3Ru3AD5 i+Vn3p7q9n3BM+O70RYAZ9dNQqnAN4LNF6GtllpbJJhRclf4KnrxJICcwzJ8z48UnCmNKetPfJPi VjcdCy8VWFXor/NExTue+BCC4ymp2e8+iNh2GDVbWnS+EQN5RiHRw+DKeSWbfA5t+M/FUDCVGxLH bI+wMLTmobr/Zv66KLdEMygc755xDU43VNMT04fyXkR67Ua4B8C+xaOULnYueJxAWVWFJFP3OJ5N 3Db2c9I8/pH7NTym8B5klzwqWzUh2l6e/3Nth+f+uMxlThOl8RAwvaUCPffej4XsM7FwUXZjhjmY L1NHpS83m4xEIe68UW7L7crskPmMA4by3ngzeHV5tEUWTAGcURPJb6Z2+TAfE1dRAiv9SUHYuMj4 Ba5bfAuvMOJYxVQBNYinqlZFb+hObwPFDqXBmn3RpKPkX92NHNQAbPRxdszY6Q/k388/Ee1Aac9F rArBoRFpve7pSA344iJ+QfB8jHJHQ9Q53cfqDEZg/MRq5vekuql8y76GrEZ706mu0uXm2x5B6YML VflNJr6RWmSURHv43LHZHH0vgtVmcI0Q2sPQFPXYZupjUkZ0Vm/ajD5Rh64E1X8fhJ5h0j8cGq8d j59qwKC3waOajJ0LyC6kxyQzLRgrD4DUe91MwSrWREQYQaHL1rf3ew5wa9RIIndInyCjsgP9YP5u Vy9vG/aQ4d5uDXma5ldSDh8jP5+vweEkVrQdUDQQq6AWwFWm+YUvP7/BKMesBAfS/vSk0y7tUtUy i4Kvv6Tk5DauTJrO2dOjG2RxTzh+QVMJzfl/tQdPbcLG6efN9toLkdAICDEmzQaaFg4sAkO+UeWr va6p1SpBbjLfCrzZdhv0g/ggSnWY0KHxnlDfjKNcXJyaxL0Hcqorro3zhLTb+A/NV9jBvtykWOsa Q9ZKIlkg4V9F400RFxR08sJbSD+9zCvZdirjgeNW/ZQDXQOxw1ghvkt6SstokuI2I+8X1I7hlArY MMJfjtWSpbgILtbgnpS3555Xy6ldI1gqHN/IAZDhA2vr5wIosktDmoioz2brWAQZ3cM7sOTPW6YH IAms+KmrnrNif+KqZwe3q2DEhGRR4bPcALzp7dcyFK/Zm0BOY9bpCP3R2mkSxBO7anNsAuH7+TQd EvyMML8lTyE19uV6/EIlk9rhkd7i66cbB0GFh5Ahpag6+t00WG8uebzXDWIfn+6/VYDpiNNILDtI ptkYf31qChltlypQVCXk29IauT3pqmAaAQYti8YaFgosDbNsf/hWseXO4+jCsQiGwWySvL1aiO7C vU/Mue6q27zPiPWNsJzXoSn200zYzHg+fKBufugQvUbu0VW2Uk8AhYazHDch83mENPHt240I6Huz 18SH95KBiqk2AAKhU2V7RK4oZgobZ6Kft8gd5wj8ZJMxSR9Bvctgbfd4ehjPNU5fHMxQZKf6M20Y dr2oNthJwOG7eCd1uUcIsipcsSw4rkc52mTOV2wAWnY1K/TyInpBV8jHDMFT08NfD0edd3LZbQc9 HrMDg4YsS9XRsBmpte+QIOjx2I1TFDSdtg8jQPZL17hSw/I4qA19aswV53amdTLTydVCWK3v+T+A CDcz8MwaQYizghjr+4rgWablPL43Wuf0BxqF1ZbVvo5U6Gt3YERvR6pj1Op8+kt5JiSplkBUuSqK +W+SSAOJJGtnuFJWrCZdXrEZoCFnCpcxdOMY6Zqv4OLNiZuPjPgo/YgDzFtji/GPxgteKyR3LX2R ELyOv+GTRCbevbypmThYVYDrnh1cZkfvJ4LXU7SZ1CcOqBXODKEklaM6fd5uxUlwujy0Apb3KHfH Y0nvbTVzn/y9WKrTlAZoz42ilqB2S9qQGhd/F/26b/Z+fIpHY85pkcRm4uf8OjkAuUEysolWwHSM tcas/Xdj0OASdYnhXabXyrz1incrrmpuSy0h4EePqV4EeNMEESZvn5qg7QjqH+DQJbNAdP952yWt zcPSfAA6EFUcXVGx/56dLgxw/TpjmK07nIfQyl5tXzYJYG1YUUvnawXs5B1MRh3gD/aZx+uU/7tY 0kffx3mV/FaJ58A7HrOuJ42TJSHtOS2pNj81HHvvKHCsz19NvVveVX4zkkN7K/xcrm6iZ4sV8pyv cHq4yDyjNwN0/EpUj/a4n3WAFpxe6jr0QCOo1eN2zCpApP++WRkL+RCeTdVr+JpmqV0U9q9ZUh2n Cyi4u/UcIaNEg2XxRtkxlJRla2XbXAiAilazUcx+DtI7mgZSEsxrHc2F+P9syms5gyNCtjWhswK4 7ZV9adsDvHUdk1kScs+0Zyb+gHPofyNkfCIDpBF3uG5/hm6uG0yghWgSIgQ91lyCnQByPIM0bjbf D92v+Uhmh9ZNC8lOPTnryRj/vpjNgae14hYFvgvTwtvGSUoKmbATYBNXlK0yF8VNR2m/sYQqF7wX EYg4X7fvsDPGAU3Jl/6HlMUZxEz7khAG8tt8kh9R0J0gtTN6MNoJ7JxlhTZE9luNAAMyJPudrAbh AUPPUrg3fP9jJ9XCrtt+JYXX62CBtGs9LG/4sd5os1JzjYBnyfRuU5WL2v4hM6JTVaIznoEEzi3P Qz61yJYYl8cYFAXqgHVSKjCNcqbafcH33h9ZNKwh5PbabbSrg8S5pMNiymm9+2scwXgMaHd69kOk h9bNbKQgu0Y43pZ45UTJiNup8n83qR2gHu2wBWpPRWpfRUBMMLTZd3F9r+ZFZkD+k4pRm1VshvOU jbFeItqCxT8iakEdOUIOvJi6VW9CJeKH/JAH2K0fm5uw9ekan0wD2wtmFDd9C3Sy8ugyDrqkMw1G 0lgJbvmw0ZXNPm3qwg/drB9uRmsRy4hGX+EIRPyylRdWlHtxqjpFOmBypmgeFgTaGGfyL95jjllg A4YOEuQKSWawq3XnYV7yJE1snQ4w1pXWi29717ET0WM07C9RaEDd7+C2shE/eUBF/S1T2cc0CV5m YDsJYsCxU+FV5pmU1ENRnQ6v9WXHcbA0V2S4Z6CPWYFd/lb0Pzl1ya6sb8Nkw9uep7UidgejxRWQ S832WVF4nVlGh38Vh+r++7c0GexL++dmfW5up6oiJ7/nleAJ3sZCZJQLvpUmQdYbtSZX/3r9tpiP LhBFhRXvQzkCo9r7yU5zHGd5hpb/SbA7oNaGpj+od+0Sh9JfOPm00N7dwUhinaQiqnJh3kss2fUB Tqkxb+M6iLITRrFeKj2JCN/oBOgYgWoJsEWLaaPG4lJxjErWYjhFdJ0WVi+9hMya/EQ3XazAdAnn A8xVxw4f/vqJnT/tTNUWe0M6/O7ISFr+bCNxOKEwByUI42B3T2x1lKqAalyHaarrs/9hq0QHKTXw vH2EkDnUfnRDHFGB+/dwJ0FRrnv4hx0bIHc5QYIbppTnFlWt9ZZgm5KjiLsJuYMbIxazwgntSCCu ccVFF1yH9f91i//SShfPo2hGdWleeZQaj6Bwgznqxh+yYxpWDz5tawsP8IbA9YHT1kNt6iAw8Da7 lxVwzm/9DaMRnW3SGmpKNYylJQW4N/D+mKfrQ109aL0xvnfOgEs1dtXIqiQuU7IQ267EoQ8rkjy3 7FY/ko+UL5jPzD32fOvdaG0iu566HyjZ9+aZudMBpKGBEMNkovy8hH5YpP2dT5tJ8o7QIPYLfx86 w/PYgeyZZDodWQ91qvo71/c0ITJxGjyx/w5zvHbo7RbFdwJ50guzRPiGHH+VNOWi9aUhlStqEF+L Y+HNDgEuGo7KepPGRn9MSdwDGrEcPprfnDGilmEDQ9fPzkD1cG9d7AvVG/hjZdz9lXyiYlpurk19 TrDWAGg4lpZyYdrb/0Q/gX0OHvBLj+BCnFNw3RB8/OgImkNiRE3PK/V8mcmS1SjylS4UKCulKI5F HAcPURAXlPQVNc6AY1LFkYmtLQL4hAPOuWpBsCzUFkCSwzbIROLELnUXE6O5DuAZ72OEPh7TVUNh 1MMAGxACPti9txVTF7ZJdJ+ERVNvpARZiA0I6zCC9BL3UiU3488TPrvweTsKfxpD9l/K+K7Q0JOg 55Nqih391X+JpSn4JkGPHr3myujQ1eRXSlluj8AEMU712VqTq7o+xfsEsy3RxaenMBsSS3GmH1zz gZ7Odr8ig4uYS5mz9L0cUR383JNxrJhYnhaQsQwhOud8eaB+ysRoilyVT42rPFWqQFDzMZYTZ8f0 tDm0QXS1cv0Q/rpkoWMe6PIAUCReYBiKAT6NRvlrSN5TyvpT1/l+najgxciRXpoo7W/2v0lmuwT2 sOylateKMSlIWML9hoSoasKZZS6GrdPZUliFUOMh1m6RWoZpxdcHmcyhsm7S4dQImZb3FDGOwaK+ cJC7LKlfVBjhKKYLyr0lbLrlgvQdALYJIe6KPCFfMaSpBHP2QETxDL63v4dV4cv6dJba+LgrUkSV 7EkCGtu83RhcTYWtHGIcCKVSyQpf3o6iSIWEjyu6YZ17WPlnC6DzcqqD9VZg/y68mI/FR6RiaXzf nNeKP+HUIaWMwUAO0QOj0Gg2RxP8j5O6d23hOZFT6vkwz2EHdRXJ/WO52sUYq78Ia+Y+7uSBhOwK epus+yi7Z2ejU+KI1I3c3FokYUtvjpTwplA8WoqIcv5CCcFuJa5L9hi1qUnJPuq8JEmbsvt4lQaZ CelFvSUt5WokQ19Zdp4OhV/S8ofhPfHa4DMd4YN7jMSMPRU6S+ymKXDonPApFv1ep1u8+vyV8t8Y 8QNcIstNDPxUKz+6HT49NrR78OzYXt0jx0PwcwVyDUFMXFUbtjCxCxGqMNBQ6Qn8kJcNRjSruZ26 TOofkmkF4ZBLT9wc+auhcmzAOnbNtjatLeV05RAr5461pRUb+vLYx7qpmSaT2VaPun+WGNIhNzfg ygMDT80IdCFAT+8gqrIOiN/kT2e8vOn1DAE3s41lNFTmAH7cC7xtVSI+CV5NrL0jJI0bmRXcY7dj YRVb56W39YTOdxN5W6kYeG7zIkkyB6tpWxR1aw4H5gmcp6gteQ1b8PRasI4OTUxUbAALNMC9OjOt kMJ2IgBj5DqCtN6m9P0ur6mLP3NpPdMWqLVePPXufY3NBBTceGGtsgw5ri8LrZS+Gfkk5MrXjv4z 7xvOLnO+lmBAl+tz3sZuGdu4qq4QBSZAH7aR/615q1jwMmjSLP+5eC5Q+qC30soVqU6CPHvE/+IL PTQpO8BBjW4W5W+lDPHgZpSf/Uu/GJEGJ9rgm74YQoM932OZ1dCIrgZYv+9uIQsLhtrhg6375nyp OdKOUa6fR1/FiBTpUfaYUj2VgEZZV8MxVmnLMlDs2E1P3xjcpPWqNTX4rxFWrfhzz0Vs9xnTLdSz Tr7UZmw8sVP9lrE8ImQvVvWqzvyQjiS5oSw8sIGVIAZKt/zyw06xWlD+5/uiGxIn7YdWeKzdwiq0 a71TL4NptZzpTje71A5qegH8QZcoMn51OkT3qK/mrkBHNiDYFHAMrve14r9nc6Za+qlfXeFVgspU XZ18LCZAHq/8xH6LW/iuaFuvtHxg/A1BWK4AIYwcoXHzTUKIH0AMRVlc6W6mI8EN5zrz06nmhgLe fyBMP+A56GFs5oofex3ZqHydmyhZ88rc+GhizxZhP6T+J8hULXfpZi7eO0k2sX097VQCIs8wpUFT EFIJxDqcV/Yu+iPNZaZYDhxJFXaou4Zyy9uqziBcK5unSL7/4D/96furlqo1DfCdm76lOcW8aL6S 3BOd/sotQ27DF2OWe/R2rj31nYhbapSJA2O5MBKQB/WXD0n8MpypuV/4fickPUowNG6kz1DzmG30 NGty1zURH/F1JIUV1aQIgpNigTWg9UHiF8jOcmC4+qVJe2nBQmlWupCr9VVDxsFkxCdTZjBryxIR d00ttYGoTNkkKLgIRb1t2Uyui1WdIm5JgJzAOAjZD1j3E57YNNIJ/7Xp9V4FiBVGx1DVWmnY2n/V PEs238aDoWzXydO76zuNg2OnRaZoo0ltT5kTkubDNcQGj5UPggnlzbEmDUjKPSJsDUDXWaEcpebr UMN/TH7FAeQW0if9U5boXLZVOjgiIxh6H4+0r9biTm1z6HNpEHsxj1ABW6iCxU2TFP13grzgfacN BRK6bwiqbq/OAZSx6jjRi8XfE3DQnTEIuTOFarYBBMKWa+Ef0yrInj/BSapQKVMzX0SwGO3bkigy XPTrppnSqPlD6s94JnDp670oYFqBlpWcRUOOXuMJhVcdih6JDySew/dS1B3xgBbxyVjcDXCfWPBj IwLexMuhdSHSbQM1RyNr8GcPgZEQmVttX2iqfRBAVM7iZxOUySxHAIL0Kig0Lyhxh53nOVLp/K6L eIWny3p2HuEWhr9DX2hf9nmiIh1ZbKNs0F9d19Hkuozz9caE1l4pUPx3huvzcKw83Ku7hpcTJoAL bqOkvQemoqfBtX3AP10zcHtE4LtlAVg+HWBBwry0TZn1frJYL20B76x197x4M++NqTzOBqdP+dEd Czk02DqdVCodp5Z4NIJO5Hw5vVjCB4oKRxo/3E350xcgeBdl4mPYXV0ZZdZ7Q9OQHhX1rgw1SKJf VTk9DCVcvVpfrvzw/gSsP3om7arztHh7RgXbN0VfrISC/BMBvbLFyYj/38lO9zgh2opsPA0CXwm1 DFVPdRFcObD8WMLjfwOEVCuM7E7anUwh5jo+UwjFdPdx7YuUQ3xbbzfeH2OwTZGM6hd/6UFuYsrJ eH00/m2UyBXFRGnF0fJ4ApuRW4sW1REkD3MPq6uUH9J7tqqXbTeoj/i/eU3dN/qpdFnaNvkEZZmj dg3lXghnTXVTF/zGZNySlPC8JIwkysy47XbpkIGWC7QzfQ7xm7W8EuaeN4tJ1+8z7fg6z2ozqv/J +VJBRCbZr2uprYAjZdg4pXzzLApy10BLfq5aNDYMil2EFh1NToHGiVQsOwGiVuNQEw04bEW4sUyC HzO2hKpMmxC0gTqouwkSdNH5z2ACVU5gZ1SAGwwMjUa0ZqtpUDhCgizrP4o1b+xGsYlU+Z19+oQL gTKdddO3s5YwcmDN+KjNq7iMlpXD0ix5KGfZR8mMFvPrwgcbDfXSj6T5deZBI+Es7F6t97MlVU+H jFXWildjppMs9uLrYdLbAS2BpctaE9NY6bvWBV7u7iY0qeeHhkIES2eEZWS18zmiQsZzl0wpBTFV R58mMFSAooOWbyIYeu/j9vDHIglqqCuCY37q03zuQXzLfBHCQrTot4PbcEX0mcLPEVciDDakh9Eh MY5EdBLUwopQ23bBDAfui8T84yH8NTeZsy5DiL5aVTVvc0smPw3vXbo0Fqq3uu7tvAmjv/9qjN7O J02gyXQV490vcA1pDL2rMUgGyXIGPFWoUwh+A/stEBfrhBJwRxhiF/VqTxEqk/59hga8RFVzJeRV gy+nGVsVtMKPAWYwSYfeFjS/EMVlx7T8Z2tWkKbp1QcaH8T41zYSuvNd5cWOTpsgqd6iOXxFtpL9 r4NK7bF9XTrwUTYBXeznX19nwJWXVBjix92GCn7UIvLMs1C6Pgg09MmUB/XkQcyMFYL2GDsAmDna lr4hnBp+Vslm3Ld9eJp5fujXkXO5xftN5xppZNJO/Hfu5ks6dKKpV6k72hQnIqCx59XHE1gQ0qpn nkq5KR9X2mcFG4/1ZRMKNCqA3LmviCNi8mCOb/vKFOBFJ0W14k+86B1UO1EfpFBsrWOuXhDrQRUP 8dyBSoWzJE55lPuxQSZuY0+0oheEyGBeDvtwdn2jgFFSjiX1RvFck9Rs+1/B5afCj93gtkw5HyJm Rl3evWLQ527AV8s3sztuJec4jISTw04mz/OPcM8n0BY480oNDMy5UmdbrdARDEk49R6ZiI/zg1Pu PuTScEYB2ro7YTJL5qNgYMO5tiKRuaDEy6nUV3KEBc3+kORfQ4A54Z75kE3kKjeEfgjWaPWvFbMe LEBdU4Y+rgtnvdvR4gElz9cPFoFxE3jOrYxhhHrMfeEPu4u8ANSYgFG6EWMX82X6gTbFgA+2qfjQ eXm81VWiNlgp2ZcfEEohrK1IzGVCCK9IXokUDj9ec4IN85OMTDoBat/eN231pxaVn4kwCAjEMJdM aN3jFqefYNfcEnFgzGUCJuMxsR91qUoba5tnH+ouycGuORSOBKEGiCJYWxECmE+hMZg/9bP7IpOz /+y7FN574o5P3qtGWiU5vovSJkPSAt61Bva3q5G3+WCISJfTlY2Qabb7PdKAelA+KX5Jdq35koPX 5T9mb1jbOY00KdBgfvlsWPyeIpo/1aUXnfMGrfFVe4UB60WHLoXB5VpPuaNf0AasjdTvyx4+Lsp1 Uzb+8RD9h/y0fjcy8aRc+zNsMyC5cKe+fbH2XaEAs2MFFDsRQIf/y3zVm709lk1tE8ePxpZaLYu7 MSWtERU7XHWWsofWGSZAySodojGRC1JS0v3o45bVBkaoQSjlnII2y0Uax718MIr6W2NRgfZ255T1 33i3GjiVJXPd/ngB86/wyhwS+1HFM3HP7J3fs/yMuqgKqeSYXBVlF6WtSJxoTK65YIJfAUiWSgza b053EhdigKa5WsL7cNXLSe6nOttge9Fw1uylUb6G4M5ZcuyCEghmt80IKTilFMeAlk4fIFs4fPie YcrE7Ua88AoMIgjA/oGtxSqQwnXUiOuJyacZxkI51KmNvIGkKVVKMFSRXtNUO6LOilXJOmAHhCnd JIIns/U+gQe1BqZmyM8gJfpSaj7rkPxX1gwy0R8305hbvLPyiHJPCSaHs1OhaTfAGR7vRaZUjIE4 trBGpbhPtCSBDT3CJ1jnFOX+n4+vpFvO5Cr3lONYkvj9k1IcAZNJJIdeSIzkg2wkC/cjlFNPtXOH szsSP/XICHxy4mYWkB3dWdZMbX2nOp9Ua6bZtbLF6AXn5WmPLE7JuXr6ncycvztsXE/xTdgOVa2J muWIhtUtO4HwRyk6/gqXKb2YOZQMlSQ4tKbhHEi+zdYxSCYFRT12R5+yHLrNLF5n4RULkJ4nU+Xq xcgqSFMsA5OVvJaYPyZRS2NbUhIZdu+pKFCX21rwbb+D6ryvHZlDdxipSCPZG65SJ/4s2D6sx41Y /3Be1J2NezVj4EJRrjsH5sR4MioioICpFbkqi/+Ug8O66JdbADQcbBORA88AyUJl+SRmKHVL+QEP jxvk4BZDbmDkEz74WX06cy5zIozxT/6PyAHD2M++8V48/VbmDreJj44Ndzz9/69ANQFXDM7JuUx3 RM9FLKbIrlBcra60mA3cTe6SHI2ArmzKyTgYFgvKn3N+6UAdS5eZ1savG9oO9m0qWTpxkjB5/mVn I+lMUASj83jIO+LCHLpDCEnPLgeMaTLh4eNt9MO4MRSf+OkpgkRA33QBu1BRUiKRFU1ZilFpip9d AbD5IO+3NE3C8jXof6i5UlNW1ewygMrN6Ei1CHv29INAez0FwhA/FJXQvPBv8geSviu+Pktu5Pu/ nsnWIhUaHc9xwthetH9yyqejrG+PYJT134Vd6R3QanFXmTGvZhlUjQILAMIEboEsn3WAf5nvdFvc P18hxcwelmpMqNOaOAvmcO2boUR5hjxVRYefNkjB0vDdWOFyAKjq4bWx8VBZHtMqrfbGH133NaSq jhUyciPMInjNkcfyQkM2Z2IgqS9JOMJiwzD6b7FdajMMWJ3MSFRoFv+Uyz8PNJcAXGvB/KEExRvL PlDQAzfU38vCo5fjrjJaa5Yh5nd59CCfXiON1fnlw1F4mSfAq8+tBFZjN9dl4JFdAJ/Sr/IFGHTH nH3/45+EtO7hHl9pJs14sC6TIhApiiQ5xTAPJCy0iaBDzbLOE+RRTLpBTf2lixH/iPTSY6BHc8Kd gO9eJkB/oRwDXXy9QGdE/OOt1TGziMCrC/Cgt5EhTByqT1pVCISW53oOG6OLVoRCB7A2QU61OnWA T2J4pTf27CGL2KDQx7LsV3FXKgMQKLyYmLWtnUNFSDaIqB2AavfJAeKADJW+66tXT5S6ro/g3L3D q99fL2J7FhV576+BueQRmkDrzgA0AaeVBcLGXwkc3uicjubmPwg+5s1bkpxpgbpGJ909JHgKGbFt vVQ1V68ThiLuxjrdtlSd5qJoS3wkVmXhCnWWjFoPfqtCbwx7oWgdEnfQdxBwhaNRnIhwUgZwCJaq YffTf5I8ptKm6uPJHweGb1WnPEcxFJfssoArStodyCa93iwlTClgri1UiCOGM8E2jH8yd+DlPUYv 1S65PRQyc/W7UvV2uu7FOTNFWwWhuoSGKxmfF0V03fuMyVZvQwDxhaGFCwgoOCiKUgkL/sSLAWeD HEW5bJrBF3z5VRbi9ee7J5p1u3DlwswdakpDlRZI9Tnzb/RIQvmQWQRU6iVtg9NDWgEEL+f/tlGG 7R+n+Flw2qFmbxBn4R7uZwYQpbiG8Nt7+KFl0nLlRTmSOWWS8SgHTt7DhI6cNScV3u7g4Wi3YnlA yH1Nkj+L92Syg/Ku6zOu12MaYN+CCNPaUtbl2t9IzbX1NaptC1g68ZoXgPpSg3CfEKZ/diAD3O7X T1LRuVawxkRTDCa3gt0moD/qpRkqJmycDvO4G2i8w8NRS0W7BWDjMPC0oH8F94yzpjvJmEDNHbHQ VXjckv1TTrMKdN5OaQlNJrz6kN/fkjeHkAImhsXR+29o9WLcEbiF949yeP9hBXsb4BN1Y4jcP/XJ /p9p2LA4yRGtsaUeCT0U1HaH15WYSJTFERV+WlwljSA4ErAscB6SB3Ql1YRoyOCDC2hSxJM32PSi KJRcaToEi7/Z2WkBUjucR+EiJI04ELY0DxnezxQD75s5uF42J3C0PSBkEjnDXyTnBGOiKESHGBtd uOQNaZtTf2lZYL+JNsVnGYJJSTYdyN9lXEuNFqB0Tlx0AQ7ycmNlBa1CDiIDvUAFnLg5r7UTlrYK kgseafY4vniyZ84uzRLvcqRjqMTVswULbmFy/xaauvACgK40AN68uOpqBXyBzPrV6KUO3N9fRt1A VUZGLu90VN+qCokuQQK5/6bk5GjotXH551GQiUj5oTMngxygx8DZuMTry/1IxKOUmqCmsKudbyrG 86iBH8X76jpHoM9I6XwJybfHvzM0KtEJDLxGmqw94Ykuv2LMXdTB+/163Abj85TGRGh4dR9O+SbO NZIIRUWhNYyQg3HdFvOCpmbOIMDnzMuYJH+31C5GT1Eddq03U4hKYxPeilGmjouZ8Y8cqtaqkYYz 8xwxHUGPQALAoBdranocMSTnLh7BG/8DY/sqNz05LQ+K1TO+P4HM9M8FbBdXleByf9dkimIFMQuA OK3dgB+98D1fSclCj08NBrwfyib4DFD0x0iLTFAsumJnKVatObdHanyFkvEWIATp2Rjv/dAEp2gn i/43ErywzzlVSizE/znjhdKRmtDvYadF6m+Jqxh3TGM7CrF/8zcPRlQdOrTuOyVDtebp9xOdIHE1 FFD8yt+c51CtvG/7hh4cb1G3xTJzG532Df71+qV0sgRIPSW/o5v47M2kcmOubMXzF+6uUYQ/Vv4D CW5j+SvBI2AMRYyuEpqffXHTcnc+lghdcfaDFxy/yB/c0GRwQFbVFM7pOxpx3hedWVhjrEx1tiPZ N3ZSAxvn1AkpZI1TuS7EFhxAF6s3GIV5njvpRGoAcZhoVZ2Pc9J7dQ37eQmL5h1wgC07Kjik4caV KAi0daH2gjjXHOs0y5l06DpFei4PueQ/OYg1uoYzTfKuwwKCGMGp4w69tcpM7/9yq9maYiysDWe7 wzFD6eGsijDf3wxie18LpC1mK6F1uqDQBJmlbmAFJcz+HeURdfk+/lPOUaUrLEK2P8u1OuuEC5+d PSbNWg33PNe0hos3pHTYCKLI3l1spp3GwfHEs55cy7UEDV0QqwgX7wksgkzc/aJ7Kjzcwd0BtKzU lXVfncxHXeUEUVcJHRH9SByUxVcswNaU5UBeo5Axew/ejrxFeNF/GjIt70X4uqEduHJmDKs+fBzt cz93E/xsKr1yAnBD731YwvicyTdxTG82T6N5+GoLYZh8b02ZGi9Wi+FhswFi90vP5EmyALMGTkQ7 hxJgnBi7IrQbPqwpyf+qTKsB2clJwfHSUN1eUDIo0im5GZiB44LR8usI0fXD6hUfQRu7BJiHUZSn /D4Jdv5BL73o4ek7fZ1JvxDHcy/FpgtYbA5EdaaDq+/s+PMrgxRnW4EaEMry3yx5o6KVCRrEyAZf GNHFP1uIIMb8A9ZFnTFTgZD79OPX/lf0DXTPpwYmGas9p2gB4k10SqsJ5+aQTsH5wNjv29wY1+T1 MMFtT/k+Bo/rYwC8O2dkH6UnlSe28fJMk5oMR/OIEe9N72DxqkEDVU53tI/sDxXMYLW416dD0ezh uspDHXW9KorwKhqld5FyYGmTIgApMEZB1KJ2QYvYGY7WI/5E41i/csiFvuCKIkj3H3N4ly0G4eRu +2ifes+GSEQNjBC9wcUnKiZ9oSZB7PqSrsI2bHRrQywjMQ0uoOPn0eIuxy9hXw5FJ27ct1ez5i99 HucgGa3FhUBdrqfEGCS4kOkgOGK/eLLrklEG2kQomuwG5y1u+d+YpZBzMotvwVB1dP2DqeJ9TH0x 25uNK5PIjjCP0bVKKGf3LxF0jCv16m2cVD34kU2/oPKILztPpt/V+wLlB3oiT+NZqdk+MfVKGBOL 1jL34TnvXiXgzcdifdZSexIdprdNqxaDIna50UjfOA2AQUD/UZKO7rIDQdhXhV66dviVcjTc2F4o DCx1kVv7GKPRf7MsbtN6/eX+0rvGHzEYvkr0pM4uzvOmq0emHU/l2nfg31qvxBWVigf3Mc98nAPr qxTvebbtvv25IImQqfsbngmZM/oGldY2Cz85+di4WVx/vgPWjD72AxP65+YyBQOU5+ypS+KGFfGn ccDniXdc9/n/6i0kXMV72Kd8xvSBS1ikZC+c0xVDOQMUUZ8CvV7mR15PIIyHflWLmtJH/B6g+F3z Id+mQRxc5dU+v35mPBA1tdULPKWbYuXpIfnvZjWbTCp8ILnwBs9yrH6W0TngEFc7Ra5S1jfW9JRZ smUD1891hTBx0pNHL+Kl9H0POiiyKsjA0CGhlPdsKt5bmKQr3xwAHr8AXrUvZ8cuu2jZYhSDLM7V qBmtEvRkS/YxnQHcJmWOgm4ikRoRJRat27YNs4kKSt9nYT/0iwJX7qmgdtzID+Aa1pp8lyq0TQKT zpdDgA2Fd0n7yim7DVm4NKRJYRG6Js0MiCMnqSFZl5Z1JgwQidj7yM9R78bcVtzPnKQ87JXdcElb p5b7A6dUJ2ixzQuS2mGERJMHFRNiLp9zM2TP53C28MOog5ATm843xJfVLfoxYxy7qzTs4t2KlqKc jYZKWvXgmu2lBALna9K2maJlJ4+L6WjliWoaiTgANm0tiff9ycm3u1JzzcpX7SuThi+R9iFUWRNP RAY6VYU5u3jRNBaf0K0VOr0rstj/bWIbZUchibKMTLQG3eWPQs8ubNnIPp/Rw4+kCuaaGTrihisg qcogUxAh5k5P6UuIKssiBAhwqW85qQtblQeFPXyPp4wrbUU8aqwG724NqAFp6GzHVHBlDV6w94uw LIZ9QESK1vH59rx2YYOxiO0jajHnaT8CPuC/VG5eG8kXNg55RpnhRzUk7CbazqrnEgbMyt84POuL dYP+YOOTGtWTGHLdEnK2AZLftVJB+NpRGwK9z+wfvCGWgdTma21OxBpQINnveWHCOzOdrg8KYj5B L5uzr6nBa34F9Jvy2dnH1H8G14e1snFjGPZBUEIIvBSD2XWZiKnsQLxzKHn0Slz3U8PNvL18anPQ xlbIT3CuaVLs0pJ9wW9evJD/ROjt5DzO5YbHaovGH0VHm7BJh25iMWCibpYySL4sQyDfJJK5E3IV a7kPyRctoE1UCebaSRonaVr5obNS7gkEtJ8hTzGo8Y1C/nNJ7aTFCXRy8b1/zgp+sbxSEhvsS8rc sdMAq4nONFzEr5jXoIi+E7DS0/BAvc3+Wm9hUJN+2lvwCMUgg+9g6/6S9frXn/F4XpvktVoKaTkH uhO9Rn0nQZ4puJe8bssnbWUoKEGqwIIChpePYuuufF3hG7Je2n7aPrjjaq74Q3xHdNi1+8d9fuRK JtaAbOrxpwgrTz0k4finHSzMqcGPzYs/fxY1t9ds0yazXwwlUgPDsdOE77gARJZYE9RqRwZUBmQp TUHW4F06Dh6KQN5+6PjYq5U5BvJde3gmvgg6jOnwx3ePlXaT8rcb0mCPa/7yv4FH52LY8vrd5qHT ZSa4GAgdfze8LsypaeQF3xt9fNlgWLtxzk9oMbPr7qnRehqdoMwmNbOrBE1J8Vj3K28+XlvZWFfP HZ+9bO9PsK5F1WEyrlCFm39o8m8BdQCDBfnRIETZLXOD0J1+PFmKx5X8Z20/6yDBhMHCMBqVpLRv jA4GcgE4uzQlF78q/9bhPfsjqI8NQihdTVi13FPguqNVJdpwbbT9dj8s/yCbKsFDMg/ZuFw6ekIs 8nX7CxukEycXNnBLAYowcRaYHhCcI7yhIiLq2VxlMwZiC/iq89uZLMEvFDD+oENxqjWDSuWEtL/7 7/rUkSlj4rT4MyfMTuPiLGJN2c6zz7Ic2cB46JXalpBySsX0DRDJ8r4jrLzU+jYW17+IsAXq0i13 uQslctLNiYaVp/AtoQ0pNTbu5ZDozQ7sbYLC1fvTKmaoC1L3zR1n5O5jilXrmTj29J1kngr1/vj+ wbVCLJOQJcgSNpsWNUnkIR4sNMqhjsHmzwM8Awcge+BElrFhwa47bVkwug4w3eyhPfSmxFuk8R9s yPLuNp8fquw2sEes/wunI8lHoBen1tQPBWsAFX4wpt/kEr2/zCFtAJhRlD7XiOfMU3RP75KxNKLz YaZvMz5FanM+XFGp+bfHHo4dI6yPbxE02ZI6XjuhoQeS3EIeg4cteYEmodztjbKXsgvuJo6D1rDs Uxa7OHuax8fp9i47x5UQG2GBKeW1hEwRDlJa4eYmeVoqq7sz6PlNJPribDJBmQrY6GEa5RUdX0FB /HigzueKwOCfgBn4c8abwljMpirLVKkXkCziTRzk16G1piXL6lBlToX8qRohFO551wL0mzXyhy+Z qp/RjPxssWkNcD9P6MIGVH0TtD48eYANbA5WEA/+AZqH6tl+kFOKGfuyjZss2Gas1y88HKfTl2z5 p1JJF+FC1o4pVR/rV8/dl9gTm0tWMrHGkkGdSy7BDL2Kcvu8B4HZRVUvLM+jS1KVDZZTWXtZUoZV S2WG+CLmcEvcqtWjR+BoMSdI5ht49vymdP6QYkNbO8f4maePa2GtH983Rp+F9koeB65ktgvHE6CX iDYNVgBB38k1ieYrYFTiS+cC3aYLVEzYvMOfeRVAcKMlZdZ7eVnlpMr/mT6qVt97mNH3RZ1lQHqz iieVfrBtrUbnICoiMUUoIhvANEGDAhWhv1iIKG55oY09JXsMJqMxNaKJlXGp/GfC5uFM+pkbyAbP 8o4X23riSco6wWPdhED31+Ry1hB2e03lO6jeIt130CoVoZPawqytTbIQidVcUH9G/70Csju1iMSM pW5PkyOdkDpa720KtzIOZrdKsZ2n1xMYB38Hq1/VmVSWZSmbaohSNzZndTVukWOxFAKWMzMG+bDK eNvtjYET17D9uQmLoniO6cPAOeC1Ha6tTWdDWI/MZ74AOQnGaPeEinkVhRgX/m7WmJQK4/ub4S1D JQP+2ibLUI5PJqzzc+/FLuToXt1SscsUgT7V/j2IaQ/LbA1CU3lyRf03K9cyIwwBd5W7CJ1vlSyi UlhjX+7sjloFZJzsSQ0suUnhSlwKiz+DjvrkuYrtJkE7DgQMBcka6VRu0B7IPoNSzEkMsgG0dpYr wmKWfsegV7nGKVtQneYT9KNNBi6VZw0zJ70ErXt4R4yoA6pRxRZuehKAE6sC1Hl07UBSwLVXZKrl lz6BJlMigRncY4KYll54B2SP8EheIB6Sae9zNF5bQyzZwAF9Drr2AJ3POjxdaCM4kyp3deLBr834 R1iUZmM2PKlezE6ti9UaDCwca5fEq3W85Mbjhwx3UG8TNKwSV2LngA7X3Wm7OmJ6iYiONbIozZFr 0RE+0S1AM7Ls86p9gy10l2FCCx4DAyCe0ubHxJgKyvQOgOE8jJg7DBFznF4tJj95sxJ2BWv0tzHi S8H4RDV+XAf4lZACoZLZKmQe0UzBOgRTjxP4iOqD0ufYLg7ey/LxKZaWH1FLbYe4uS4doCfu39jt VZ00gHQz9+QoXCoabb9xGTUKjAfrsBsvayPu9zL+biPvaIp36XwU4KHqgcHBiGf9VcFWqDY8zNzr Z8lfUjKfzeg1zNSDFj+w3QkPSkPfx6QxPf0ZBCJ0xZ7vEIvgyyC8/hkizw4yA45xinaqgaXiZgmG gqOxNio9xzI1wuYsh3jXh06MOfAjNY0HEoX00zacaanwcXVwaJFKAJQkly/av+ft6ZUrmHE3e6pd G5lJqawlXyT1Pa66SOomDPD8JoaM1oVlujEbIY23+p6AjKTpU8GMLOcSD/rtD98nxYkxOeNNJv2i wTYIfmHa2u4pAR+RfWNDhA1FYsZBArQ+TQKUAQPNQNc29D36ciKRr4EWS1WZpCAs+t5I29w1CUlj 4N5ZRWLmlaHCcBwHgXk/HFIABk8Dy4RN/LeBBATo1wQCF5t1jA/F1sEp1vDnSX6KqX58D63gYQ3c qMZwkLzZQF7fYjWTYlCHrP4k0cvcjDnKeqTAHVoryVeMkhqPWBIMdIEg31aT0HwwSLquQ+LWVubw q5aUBVcJMl6LbHTQ1f09GbT3rdI077EB2RnnMJB5Mz5bsXpos4ITwnwycaNkyXQV9CBXwJ2jB74U O9UywAfK7QjpJzbbkQ1HCvJFLmbb/+YIZLh3yYo+vEF/mz72a7lNb5F5WsAS573fnYNYZdfCet+q sOFE20QydHWUH2vhvBdLrlLoSRO4/ZDFETrwFL/RRchUJQNZNzglAYxDaX89hQJ8FzdS9Qo9ng3y 69ypqoHyAPq62EN/Yh45699Ynwh8btIZl40Rx6FRTWYIi4zrVnKz80Xkz9oVAdtSP1pnuT2TsHCw sGQ+2IBVK4+w9YCNBbmpdhD7PLMiMrhropp0JHSxGJVT9//w9eBUbTNjy0raWTUbc+9FwE1/KmZm onE4bsJReR3cdJ2fQiVC/H5+hmVlLIhTcBnQYuPu1Lj/cVvaWCfu3IRF/oYz8GH6SXUEEOG34p3t Eht0SsRguShelk9fznyH12mddHSyLF9LPW6ZUu5bZ67FucQCk+yT2JkRDqnvbtLDEhVjH+obrbb7 bPLXXuJn/FbiOEeFQBq5f9HQSSLTBBC2rdwRPUZR4CG2s12QTZrEgjoY7IdrSHDXk6vgm9Cu91FT hGfhZBSab819d1JnbXwyOEcoIvWEr7bcWLF8j9v1hlx+VK4oFGZqiK54F3htIaG6EX+4vG/3CcUg BI7rhJy0ozppBcUeJboi/v7C43WdIavcyYH5sreInkDjqQWAWVSrcB1MiwW0UYK+MTRv5KeMV34L hFnBu7/2Sbf41t0LTVDPFnurjlDVJIAY8sBqBv8YDZQl105Nh3h7+oyZxg8q95slLk2Z8TrmGyIW MGG1Yxs5MhM0j9esz/wNYGKCoQDD8I749n4S/wTNyPTNLqSPY6nV3irRzjPHV5bZf0Z2zQLuoxUu bqivYFPfIhuIO5lceS88uqF/8wadrlNzizuXb8dLgxHb30zLOaFyX2AXYQDAPLy0dtDKI86ZByT3 gE/Y16gQjHD1PYCIwIqwahp6+rg4C9/UvR58GhE2eFE0lNHrwTPBivnOum2sLsCTS2kjx91HuzOW tmBPm8LYVnSfc4cONl3YAp9KKNA6BLBAcPKiOtyUF8fdKY+tb9vpAQ/TyT7ITaOQGepwD1u99anr ftk0Fe7uR8QCQ2Qbs/p/7/uX3n3pKbObGB5iTQQfJGElgwOxhOIFoSVOHf4S8922ZhnvKMmlA4zC S5SNIBqDQxABX+6xeNoItbZzYyC/ovwj4FueG0qGSkEniqwPiwtj/O+nLJixYPn96ljiGFsPwX2E exyNbH7Kgqoozsn88azCJJCpsTxbiNWrnFe4fKwKrQgB23K2h7w/33m+e9dETQA897hVnQEeCnst sMEyWYplxwZAMn1QOQ327rlAVV7HnXB31jXtcdg4Pud7IyUQsymTILOdpSOJLF3h2xugIr8CUkju x4I//wJeTKUmvJd9FtYerxP//JgSCi7MMeCzhW5d2YE9POLx1ingx6y8qljJU23xmYz3njb8xHgj WurEANdVYigHzMvE7n1nTYgfFfl72J+Uzt+u2S/y9oYoW4vFPASU6lOCmmEehE3410lzE+MBXuS3 BJ95tPLqWXtf5D4aPXM3fr/d3zN0zCv+HPUMtqrNCJ73DfOFp8DsE6XWpPJRrT9CUSykWm4/7uyq YMPad31rzBZhajRPnNEhqtUZErmo088BAYuYKea4f7GjnsxDIsLPjy7xkYrEqFSmeEbZeahEmqZx O62XKCiN7qB3p99SV82F5I3viu1t4jujNTglwkslRBel9xOjfF+PRgoy1BM52QVL6S7R0LC7QSk2 oJlhL8Q2D8bpqv7yUuZWVAbKAI8GX7vnMez/LHNJ7tZixxpp+VG+wkaZdNIUxyvf4J6wF1A8KO5v MYgbBbeADFJbZg8JIxBB8Sq3BGReep9FpQdjriaVgf5lysm5ohijPXfbunbq7Q97yk/sDjC6VAYK 9xXSDda9j+TaqX4n5boWK+Rh547G2zNwniRQbe6qZ7IjILbauPSrrGSQvilT0sJrNbkVBqV/rt+l QLWq1wtduSb9xld1X1O5ESG4eJBNIQ2jbv4GsdgMiiHMNrErfoYeRIkGvLQvfG5W1T9uI8n1/J9L TkEnA5SqDDScflF7YK5/QEc1pMAiG0qn9MYRuU5tGYjw7U/EAMD6K4JT2Y/MrCwSMFjDI2OrRu8G q/2gc1Fc8SsXdAPPDWWo26MGTzIkiQZqdtmd9f5r+0eVYKrmQtJXxZDHGpxSMXwND5N17epY/EAW PSHsMTDtJ2kk3aSzLjK/UTmZ6aY7Y0KdJas12S6WYpk0FtLXcRXCNVPE988OWGm70Vt6HYP0WIiA 2mK0IV573g/31+mH4XBljGx8IWysQV/KPkLCvbs927W/ePUcfphkwJ1h7zlP/H6OVQfq8WmnxeTZ 22oR+73sqYLbvGWno2rZKK0CoZs9nUWO2qL80d5XFPACvSNhoJYXlUjIBDd+mLRcpY9BhmFNM/Wi 9xV3Hyxrk0nN8CmBL5owBeiXylzb3rXYN07Ny0M3lIFy8e2DsGyQ8dStfWDZ4VKK/LOmCVC21sE7 Ich83fPaqUM3+i9KbrImIKvcqHdeguwZBqTo4dRIirgzsXBsWYTLLOaiTl6PK61IiJK9Meu00Prb rJuQBfJ7rRLBg4Ke2OMdXyXerhFZFKhr3o7LTWz/AC+0Yi8+Bg+TP9Nt2gcZXe5T0BVwpddmP6ZW 8hzyqS2clbjiAUUkvKBZ/FbG8cRP2ZYpyczjvDAf8/Zg9HsX6+0isJNeP1NE7AfjIV+0W+AXLV7x MSwJmix8hihyMnc2TWU8M3CfDEmOX+xrD/OWwdC9/MLbOzIv1GwxH+vK/V1uh7RtEsp9kdjkGTFv 3zzPod1aVCkZvwcUnfxc4ty37V+v32VFfrKRWGrrVMhuiwHTJTUCbTmyd+7qnEy0jjXWbrS1/awy qi632HGkFYbLgpPx0fQSsVv7DqLEOZGQNW/47bpyKfWGOxd72ZWgZjGfz6WAfO5V4MQ40gI2C7vS gQD8zO+PKGljK+Qina53CKbbtWLW92loSI8vNdxclo4dG+EhMDk3AKTp+DSUAqxmLCogwDjCaTcR 0Cb9sATUvnVrXPjkiV99D5gt0t/4ktkiQQD5FtJlSqHdiwTe+HzZYCQngqW4rks6fBlxk4Z8f2VD gZOj0J3K7xvdxuYNOcebiVYncd6d1GUXZTtN093i0zwOWBZQ6zziWi9c8ZMpgY9gzxwTsId5r9Wj iW3A3I/Mbrd0zIEd5EqSdSuyETXVUthkcOK2xSYM3nVMF0AHTKaYqGvF6srIVbxU2+ALxzOpq5Ba TiH3F5OAwUyxsNXaPacHFIAnYhdMaJ1Wvix6eyoZE1RdISBSQRzWlNPn/uAuLyalg0JryY1/Leo3 r/8X2cEeAnsfTDmEXhm8DqfgBgrtvff3IP/CoRmyBrXgnoq1lmVr06FCvZWGT/XJHLhBY/cM5lUk TJiIxCOPk2kQCZvkioV5CEyhu5lIoRiPy4IRahHfJI1slEKaBoOIpw88SXTEIdOGYjq4dko3Ksnl 4vMXoV7DQJ7IsABkMs7r/i1+jkQuASi43eIG8hdQAmKF6NNux+H25kZOnx6RHLTOolwDSm10Hnzs BnFCZvijYIKwEHCSexqwiMbYSjb2wMtpG9r4KMey2gML9OVEhcPDkaJUKM+6N4oWrfB10DNPnrOp BH3PH9IqXXeUx5FKH31Bv5biHw1nO2wrwcQY8Cfol4RU+f1wzHsGOSghKZ/ER7nP0pPe8ZNU5wzm I7tAPNLsVeTd9WJgQ+OXAF+QhRj5y7u7RjGcofr6nd86pRiHgwTCiynds8oSpviyKfcyvV2ZGWoc M7y1CwZ5sukyWukGdEjKZktMGMx2hXVDzumZNVKTRRkDqkt7YRi8iWgMzYC3SQ9V29cC5q8oRNFO EtJsdkTlM3Bir9cSPBFq5JRh/ZRkc9ZafMIpCGP+o22ualk4y831Y3LXssNLUtEQtqeEquA9P2xZ N+QnKS8Rx56uG8+nEByt0MmGYo88qHDUtgsZfUfcUOJ4LlU0Z+lYAV4ktigzs5Od3X0OnTgzemOp JtxUy8si7dOBg1SNxTKNqD8ikR7iVKjh7I/kfmVhTb1iWq93nSmEQmawPQ00zP3vO/FbuhReaU42 52ccV532/E3V3mi++mBaiZ4pJNdi4D0PgxzeNUFdb/BlfqmXxCTvxXEspNnomJMv+7JlBEl15Zkr UtsGEwo+sLlrjSFDX2plRc1PQPHiLovRM5rUyZPUM3G9KWPmXytB7pfowcd8LZd97XF0CuVCr4Sv gkU69YV3M4U95FbggbpqupD7j6jy4xFWjDb2dPxFMXpKrzOWyJ30Oje8Se4Rn7/PXdFWta4UEhNp kiG/hBUUTzWtenPG48SKAymGAVRkeXnQmUULXAlvPzSUdrrfwMsvXclYt0wcK0dTR1fbiXVW8Yuv rMHL2deHwgrrvf/3sH/kgF2fufQE4wQ2E3qUN/t1WW/svt38bZhr4B+HX3u2KHhoRLlcoOMW/vO2 Er9C4ZaUiQZaBv3fcnmGkHIYWVl2BNlmqf1rlCKXQKe9d2PMjHrz9ZEKcwEIgx+Iarwg1Z16Hizt AaC1gp/VJp/DRT/4MIEvwbDIrSHIndbLxP4I+F2v048hNc6vULKMRNf7O3rH0TZj6pAEk7ILm308 OXjSSi7yIsyBOXyOy08qaOWPOuWb6Yhm3TmWWD/Ljo7tjgdUO+PrIvLOri6fDTB4rQXM+wIDkoZM 37YTnlfsiN8CQoCzvM7ugfVP+5QEUtGeHYbZ5J5y6Mx3nTmwmwOZ3ZbCffs47S5FUUMT1KcDDr5O Wdu0ODuOcIuqzueCJPXKtFQdDyQh9UmO+1sMwqTxcoIVFxCh8aBN9wW5ff7b35vT2Snbrij4ZuTL wsseDZL74k64m81XVyFGWQ7T1uOpLKfD1orC/wgU/TNzSk37GyCn/hVu6lpUPO36xGwbjy4lYlUA YouOaOgM+TjuPDX/zJ5jbPeAJs73OQYQ9aXOZHx77Uz3kJdejyJuewAnjo5uwvBBBGO+hCgPhh8C 55gUhvY3rnRwTi5+hhseOqKWfbkibMZl+xINQxGzF74l05yCXdwdfcdPfBIaieIYuvhkYO+nx/sC WAjQRFBYrpIkKYwSFhwY7vYXionzJCu6GTw45IvTSW2UreUhkVY/mV2FitVrTUD8HnoM/FH4Fxtj PB6VpMexxX1IqTGf2gIR73Q3jamIcxp/YmQJKL+XDR2hZntMb00IV0VALFApW4YRDDN6PTg7bpVj i0MMJBhdnYdcgiyF5PyiS+M6AsmtcbrvDyedMzsuR8GE9MP9ooj1iQtHhf59DQcdWXaiM/drsUXQ RoCN1RrN3ZaJiR4d3fMBvyOcg8dpK6rkRGdAJKCm9vwKS9JvOMfAAAca44l86C7tEGkHT5GbTp7l 1fobdp12WgAT6sFlr6BlknBvytPeWcQ2Cvcj8998lH4N1K3kF5t+eKEye/P6qTh6xaaZLJIeVPU7 K6HjszxSPEt9E/lic+7qm6E33rHrLwXNRNkq6/aFkLSk0ApajiXc+bDmJYaxP3G+kHmoT9U8UxJR 8W/5fDF4Kwd4wccFWunHz96s72vN7+RgMJ1rILGo+Sg1fjKlr5f3ymqoaUGLZplZrh8DHwb7MsC/ hnUS7tweDXl/iS04rBwEEC4Ju6rCP4uZPI7XeMTZtRh/p4lsDMYcDqga8Z5HEnZ5Iu/vh9DsnIQk 9pZ0MS0I4mSHDC+kN2q4YfbYRrJJSh8cqnLCZbr190W2iMNdszxLKYvV9VsEcHwK6gsLbrICqYSx y0zJApdIY5Jzs1Nuf4U/B4eJF3gW7McWrAzTiaNx9QSJ105QQmG2sKjU5GAG7REaucE+1A0v3ViI FY4Zua+Vt4cwl3kk7g4UW0QO48XkZOyoAT0Ha7hWczHznhHysxJyC1eUgCVrrvAJpCjNVoYDXrps pCCOj6/fepo83VqZY1RNR5WGTy3GC8M1RB4r8WERIEODzWyP5/XBcEWeHHlN9YjYtN4uaR7M5KCC w1MC9T8QZRejbBuefwdvF1K2zqa9SWsFIVF16sLidGgKmTV5jT4Zhi7ySzkPDYbYABuLuspkdGWZ 3hx5oHoG/2ZhigO2LEHdoCsAyd4gfrY9Euh9dJxdcGT2naBk5fr+VJGoNmBUo5z9Qa52zbwEjUf/ xH2SiWYFoefKXg3e/zHw29YINGNSoWt28cDcdzuRtQ03aKLW/F1lDDEqcnkLEccWoO0G+b28BROR XCBeuw8dwwVCENKkQqDjGZ89+ltpKtSuCw4BX45n2kljTkoDnsGeU4RtlhhZXuooQJbHzB+fUqQC WZNq03WN1OuSOaVDvB81nHSpaOoJ5C5GZmb55eLAfOTf4hy0miqr7Ai7mI2DMJAPmMdUPWH0bm/1 OQJr6qK/PM1Eup/WvhWTM7TfEhzKghLnn/eomhA7xR6uSBOanOJxH0whGYbGZhRurKCahb9HRRAw VyEuHxAqFsZ5alBYf/3yY87Z6J+Kdoo1k5JqdVMjhkUgzvbGOoCYF+4OgerjO3eoCi3QMRa3flEi GAElLBm6a0yQrEZjBYy4u/oGBUkZdE1DXxNFqCMwwoo7rs1F+rnve/LU3VyefSkPoXTiq/m5Qxxm N8Pk0uYjsxvUdOP/oNMLPZUENAPTAosHLMuypNYJJnGnYpU5tR2s7F9Z4USLeFfCDH5SjvgfO4WB PhHsQPrDoQDOvX6Zgrkg8hRwYwDFJf1MZd/gWORvCqAuppKvt3YT9kiPEQ6m3dXIqQZCJDqFAXk7 wbf4Mlf9/4zuDzfWoBAdX3RbBHWHAi/ZaeZLM750xULkk0cky+wwjFwF2PWKs7aU7QVChdA3o5DG Id5b6IAdr4R6LunJkeuMUNUr5tZIpI7aVBzYXAjNWTWxtgPgCivnqfCSU/++n8FSYAwko8AlMpuo DJx1R5AGhJ62dsOEicCJQWUrlslVOAZoNftt/MvXnXmXIQx5KknngTN9rj4sQ+iCsGXRYQ8UPFLz g98RlQ1J7gV+fO5JpwE4iZplZ0wEVSOuJn5oRj8p2M1aUezKJoiU31/lbGJYsWfIl1eB3iREBWhB c1J9yhxRYuWckjq3Qxvg26wQLxhnF846p7s0MbtPknso7jB/5btYftBvvLd2n6YHhOXjOctiD1kp ZSDF1sYwf+/zC9P+jp/E35fjmWs76Nfcowpw6sCOFWrF2/Ob2Fotr/G+0uvvbsvN/r4ck3EzMY0b 1Q/iX7mQbySrJjtI0lfBPgjvVRn1Dv7pckbbL79hXYloWkPF7uE64T4W5RY0AqbbB7dD5XF4MK2p QOmhP9jdpeKVgzV3/yiigfSJvFvfl9ofg49qxUHhPEWeAUcqkcztUY2GTm3BrUqzMpM415kYp0cV 14jP5BGq9maXD7l5Hv9reRkRmcass/zO02YV/QqVrOq2x2CH0JnQSfzE8O4cCkyGDTs5PXLgN5Yl jSr42Vpb/OeiS4BUTcG7DUKJ+/qf0LygrcjOZ8tn/k6Ca5Vw0uHXBz7jyurW7P/3djPj+ftFRQhu APo/p/t/lf+qLA6ycuJsFIasIkGUce3AADyOjWtu5tS7QdgdZiLTDXRnuZPn4tbSs47KwxCwRbGF oI38N8YjaFexx5SDOwNztFFkmhRN3f621fG6DSXJWW4aN5U52Fe43wvS7GzgfryIFM0Ek5TtOg0F xf1FR7wZ93jAedzIYijccwXxqjXslXrZvRKS/dRJMElYMW79TBUHjNVqGCef0qUcSA4/q8zY5tUr JzR4Y/u0IqR6BH+wblEy2pG0TvNrsdc0WDfhV/p7HQFwYyzt4GtDp9xcGf5wnjwtfsRFCaDDsweO a0o8349qTck8VzkyMYxcUG9vf80UP2g38IJHrpAfzKlis80Rn51WFw5BL5TdoiNONurpGk1Ud/8g +ZZdCriR8QVCWdf8IUCAYfHhw97Fo1JLtZJ0qZOSgXHNdG9nv3tcHuEc5ACstlw57+0+81fFjClB 5Fel5fLfa2qxcJMkF+3cS7Tyt2r8jVAV+zeL3ZFPnUTtdDrZPJUcJq8nL3SmxVDFhEah5G30c48r 42Fd0N2Kq2PCP0qovIKgkinmBm029WPU5kHs52Rcad7bPsAzL0lAaWR4EAzPWerJdcQpaX61G/48 5o1wl+pTx3ErATV3Xl7nBmnsa+ayhQ/+IEH9WDTRLm3n1ND9U6JVWcGygLPpNTB2tooGf8gYU8bQ JJHK9mU6bcvSlQ/qCX+MQHZA8x+1XiSN/wdzaS25cTqFTja1N5lphpa8pzj/7a1C5PvAaaf7r4KF w4dHx3MVR4E1ynbzexlcpfJ9gSMGmgZk8lRGpAP23Z3ANN7zzkN2Jw34+AQ/gMrajJ1Tz+NfqYAb cC7A6nu+mU/F8qlXOwuCZIW28Y0yiDPPDOHOJ6Qigkg8ucEaPjVJZLB++A8TRr+vviN/zXUr0BI1 JBaCgYm53HKZzauNbBaaOIAYiPQKRbZGZYLaj6kvxRHzMNdyU9OIfTtopUZcCbM7Fb4UD5Febuhv ot8Ky3cnlnADgXqwwarlOCZdX6SUUELr93Y0OplPueLEw9S/hRt7BJBX/3Z1oJgVPuhXly9VDW1Y mTPR2CeHlzxvC8JoW1Xc6qYASP34IRzWjLQEu54DJg2r/hINubiS1bdGZYXGAVrLfg5ey9fSlAo0 i1JgDj+/oihDpmcQaHSfUBVME5AByT3eRI9OTm1xqssz0JOqJaC0drGYjIkQV2Tjel7ta//3fGKs WHGulqMjyYguB/BXKfYQYZQ+QgFfRoXYyOWHHJDTyel/yNRIGZcwcnpmVgWuCNfLnu1/XkJmCUYc sGK9jmnnUiGP85L3DjNVOfs5aRZGvayxQcENNGrJIQFPPpWA0s8hdw6/GMJOebAhYoIJkoUaTbb6 F6UrTHQVOS4Ac8lT17TrOnRCGZAd/e69cIyccNQOAwvZz/vDVsiyh9f3eOpiAHPLyV3jcDLKz+NG 1qxNdlpOkCMw5xtWgjZ0lu56nN37pke7IJJrCfL0M0W6avkYtszb1FhFu38XbeKXAm2/BrwTW4Ce V9OwBbzVUIyuu5dX19XkfrI/78qZ8E7ZRGbWMNLPEgI3GMZi2kDG9wnRZx3RTvOItO8X5NMmWBpO JPffF2w9Rcx0oP7FM1asDvRgyRMrIdinnvsZFvOm7aGhGalrBsdzvJhHSCsZnt0Ks5O8wrni3qUH LmndLIf5Z+XrVkbHS4F2nbQ7+2UW2yIaP0wkRgG1kA+aCt3T92FuYhObp/DV4JfzAiioc2ArWLLm nUlDAtzt4nAuuSleuEXzP3xnq88yT5Ra0MV4y9zN5yiXxVRHltUlxMQeyR8UxKE42iMZ2JMmCe/l 2KC5FLR9EguVPDe9KN6LD1IYAfs20c7qdrKABM08IB6naTWFz1cLKpgPf9RgOs0KFdBSaZLUynH3 hXX13oxoogd1eRWASSvpm6CBaTo55jHNW+T8WOAmaVvlUPjZh/tdRGjAKNxSSktlej2UhnsPh0kw Rn9Jx19iPHrlSTXzczOQhAo9XD1a/I+SKhpYTD2HDTz4EWrVYRL1n//BYpPxPLReUFYlYa/0PP1Z iZiZai9pM6CKP0zzGH+rr9baiC8ahZSOeePL1Pv1Zdys8WD1FMv6XU8/fh0DTY0Cx8pFkmR+fTQS 5fhka7qgXhPKhtAjBkY5O/u8wy69H7evSKcsecpD8EC4tw8qWiJQgdlN98yc3GLQkRWs3JKXouSB jMuBzVCgP1H+UKoySj09ffm6auoqkgSuUll4Vz4USTXjbVUzkxxY+ovUuONf22tHtNhIANYZQqTk 2a9a2m7gIJNHd2mCY5QfNYJQ4FJYblavCdQf/6O8SLTZGIVIyqjCQGDhrbuJlqQ9IaW3oq7dRLK8 SIjsdh7kA2M14MSd1BSqZ84l4t3NomiEIu5AckWHGqep/zOthzklqXYhcstRKVGyz64OpigV/vDc G4AYHDmHmm53+p711QXquYolAtG2H/g/sfbHz7DxBPWsRGaP6vr4IxiunCFMKYA+u0NS+CTk4hp1 cpeX72t5HM4boTHXeyImonM7g1QH9rLRFVGZu9G917cTnXyGMrnIt/DrsnGjPqWLeAaqwArtQg5C u9TM1REKiSUm4+APqx+a6/qBA51ypT94ZNiRyrymGjhYrTk7+5/i+zvglyomt/M2weaItF1x+T+E idEHipZj2aK0vPBiSnk2sPdIDKE0h4vgwk51HA9ypn4dU6b36V14DK0ulm4nXH35iYPXrlM+71Rz PwyjiHTPYEDC+ypiMrqRSdrxM6X19GGbQuDU32NcW4vJbf3ThND/ZsK8FXh9rg8GRUNPQQXHpSWY 91hQpSXFlAm3+cU6rzJ1kXbRiZD9Y7hMqyri5TYHCFfAKHWtXD62bHE8FFmoFtcfdLdDZlTxW5dn /LxP9BztpH1l3tzzLYrF89uCikyjUi5cJg18K9pXY29idmimciFr3wNFSyseC8lYdfUZAXKpHxjC j1vG5C1UUGjgR3EfvZdzsCYmzBCbJ8YEM7RLFstiz6a+RfRRaPoYXv1Dr/Y/4136+Ke7lR3WiUk6 nq9M4ZKCVpV20ihi7YwIC2ivnsTkIDs7+w4H+R6gprapJRMy+QGBgmLJCyL5oba8rX5o625wy68Q XKHYlyI6ALfbAOsELwmWHfA178saeilGobx/K39/WSe6iyYbFIKAFVVJYvrk8TR5KlTNQeRh1qdo 3uKWYJsam+m4F8vuA5e75BneQkFrDBRE64nIHxa3k9HcI1kdSO9OFIk/55DOzR5ckK7j/gYA2Sc4 NOC+gk/UPWW4YPbD8BqQtEe1CGu9eIdVuBM3SteXU83rM4c9/lx4OUsrWebpig4N4peQUauB4Tsz lSGyAsDViXFPzVBkgN0Tp8EDe7XFDrJaTbhPE+LLAqnn5CdJTqN2NJhSokmxLO390fcM28xfLYh3 9aOhpgkZuBQn1jnnEqbHAwPUg1dbj5IBBnORsNNwEhhZAeOR6gVkjFSizvQAz7OusSkAciLGg1G0 mW35VpBlUUALQS/TUprcM1CradwCxJS6X8hE2ItNPA/LSdBUKnJ85uW5821jVF6ZXtBF6F4czxcl BNs8ZKJcUiCuGsC3ntI2AzKw19OVo/RyvPUJKBMINEqSj2F/F7imzEvNqCvUq9P+bHNMCNqlR4pK CP637Kt4gqTXSxrYxy+jRA6viU3Ej4mz0mjQeHZCYSB5EZavPKLCOM2TlFopoSdYA3kfBJzYg9yn 3kcWPY7eWoaIBEANJ3rsS4Tn120xeEYORWEa2M+Eeu8bVaAbJAnHQepArjyZmfho7xqEO57JyIaL jUJCELiSn6pPuRdAILJO2wAC9h0szXW9ID2oWqVkN60jskyBwYZjSoYdiB2xY32qIvoeDNy0Y57u UuEux32v2UxxtJH2Cws+uks5xkDHkRuymUDCNNoX5iKTillzM3pAILjlrCbybs41Bx/Y8FJo1pKq hTM2F3f3ngMCZqfljkG7o0agxvdXaCeaDTVFhlROElSG2XfO7kCHb2kbLlRhHiYXDLL77PpRLh/D ugoTOD+RQDk3XeY6kWIupu9lJqKi1B2bjE0Fw/To3StHuWvrSMflbcyPUMJ9jsqI6lGjkow/x/ag 94iD03QF52KDj494CLRO93MP0ewStqdsj3+18ZuJ7kR+v+ER3+6J9R7/WiEFrU3yC3ojZP6oOhP6 PERdwSiEsH54IfGT/P1OCV85NJNE8BiOZHojhhbSifCgSwBHXOu08uXC8j3GpgzjbZSRO7KgXj8w 0Dh755jsAK1xgml7zT0iKx9sLJZeGdtgIL9Jf3F0h7yW/UmygpWWJilbN1xBhMWtnJDbpNno9W+g fD75nZ0g009FnDt4lnd1v+DCCg8gmRifs3M4HmpfdABD4i4Ghn7Hf/LFuJ5fn5VYSejMAT7f5OwV BtFWgyt+TtBzBIQKgUrjBg0oJ8IxhLRm9D+WpNh/X/9HcDfmYoZa/LUjpOsJwithZL2TfpoPSGYk YCzfkokmlHSNKRRF4rsDVJoKl9NRK1CpGGAni+BZDccc/h5ZHI0KGsaK1lfWEyG3WX9YYH4trgLM xUEb6zJJMAElWcVpmzOkSv2HXYZO+8upsHZj1G6K2lPdcd+cQKGPonYqKYVL5Gf9a4pGgor6y1by eTwDJlqjoMFvTy/v2BbujDD6K8ZVJh6bI4YtWFQbTEbtq12Lg7pvXo2OKQXfmTHwrPuXJJiwP5Cy Y4wjLPxuNf8btSJER4UkGai5CBZ0HbHoN5Q1+ssDQCpQUSyTyzOyy8pgVNbqtHSb3Kx2WrYGwvW8 dMtoygFBmKDUAcpaLEuxx7h6cGXeWISf55v3vP5Aeda/zgZZNzYapz3lqBM+rGGVx2v0bvujo2xw 1ol29+ifeGYdJJ+tVvdzF9heSrMAClkUDkrB3RMzOXG8FmYPqMkH29oBTZpeRgKBtkEvjHovCH9q gYHFZqFNRGLRB7PyNXskUK+bJwIhbtzT41Q5RlqDI8Jwq3x5I0dlBBJZZIcmhDArGYyQKuCNUuGm 0cYVJeQ7bvYF1uLZRWZD+UT9ae6cMZh4nFjpVsInxUi8ykj+TmfQSz9pGdFTT0WS63FcX9CBonn3 PMMv6UBC3Ff2PZ0gM6cmxfIvBZaKhLp7g9uOBlDqpFxDZAUaWpZtOkNDqvaEEBR4gBTdI9a1qUcj SkxKbHBG+BKzm4x96tY5+TYyDtZBWMG2ron4hg2y0LAWJ1BWIgzy4K3OgUFOGTKy/3q5azRZ0gJZ +VyoDVz5D/XGyuXwE2BxiLlQPi4EYy6cXu5TuhjXR5hfxKErwgAr/3mT7QHdyWEO2miU9F4zOuuf 5Q2jad3f1WGrwoEH/d6PMnI6s2voAM3P+rZDNphCNjdyz/doQldMtBKORgIYSJTaeSq21oLnGUgb PHadjCTy9muVXNKgf6u1KAS0Ea6y62G2UUJQP+26q//bV5i9hnMFzL8oJjmuZKu3gZuXUUwUCpQx nzI6bWdAPjSNXBmIxN8gsSRT0hofJOa2lf+svNTSD09iWDl2v91tJcxtTACVsrr560RCwGV4kuy0 Lqr/oNoZW8rZzvOkc0P4wRn8ZAPiq+pV8lbr9GuHOvASJkbqg2U6ICjuCKFzyu7kvFzn4DL9acXW lP4TXf2/RPPtvsVVcVYNZTPcVVYwumZnYQIGM9F5YVpk7NHxNfiHmj+twA9UuzY88yRBsdALYWcp Ab/sF6SvIkkDEV2NGNdAx4Bl7gbYIxi2taMmB5YdgyxpyvF8zgtJt7A5gZ4cSyRm/J7qMyyMDjdr h+PwkQy78W6FC68f8ysPMghiBZDp8I5dhn+YFWV33siAUQGrq0Hf726Xs+Tr96WlGMTmX7D++Gvd vqisPasAh+csuiYAuOkCkuyYEmFnfJSp8/rxssDJa9ZT9dMmQlAsCGyCqUdw3CrrsgmWHFmX3BUv R7Mdw+Ztj/peiwkL1HuUqv0bGVtB0AzaefQAL8kJiD3gVw5aVNs4pI5j9F46dd7xyYLApgpDEiSU sxxDd0UDxBVgdT9NE6ssgNbyEx08NySmIszRbmyZB1VQYra6dxWWk9ROuy2hrAETWaE4+jLeDZsO EVacODpNNWZ0KNtCKRHWpr2X+bTFdhRy29XbaOHHOpQoVmM1KU5MErO2/nlcegVz6aon1cp8mUn8 6si/V930ujfbVjDLQqwTRwOcO2w+Ms1D928xPeJodiKF9K/PEqWgqaboipiTOtKevnuLMk86QNmW Vcvfcu4XKwqtyVfu0U5KWnGdy+4ai8FPlE6TSj8vkn+ME6Y9u9FxHyryCcvIRlaLfduoJ4efYLCj EDTrqXQG2XdOVHpcO9FXzoINziPEGLyxa4SobMYXAh94R3zJmMXy5W/t7zgk4j26R7bUdrd0zT9F yN+oHBHh5QC593SJYdheV5F6hQHQhlzScxBppdNPu/vsCm7wlf0L9f2SkuFjkihXbdqjlpuR5iJn nt7w0oCvyfKofVr/GmWqmB8ewd0/FUtu5r1AqGwO4f+n2cjQ9z85JetL2RJtC0ODGGAVrOeS3IUh OC+mXOIlpBDc2+Y3DEU6hfjKexVumOWjwVUeKVw7ASm+4aQEMgkELfD8KNOGJSkoYnxTmJe9106o Z4cy7E6TO0rEVckzVM3X6BsBzv2NemeiyDTKiosWRvo2RVFzCyg8gTHYxtZOB/mr1LpGgAPxJkNk H5Pp0nVMQKU/QaTB3jTwmpqjCv8MiAbsjqTVNunDYqlv6xTNbMeFU6GhRtupenO5V92OXi62TJlx W2AMW8dcT+BMphJJCgjFajT1zU5zgbl+WxJcYrK86B4+QMQZti6pMrLien6NfrwCf04A5DD06jA0 kvIO5nKByjkqTc2SygO3BemuSIbfJtvKDEbO/w5jaP/rP1zJQYzWdXmBanTZDW9xDHCImyrV45Go MJQmu99iHjN+5RckHnn1D5HYvH7DBd1Rho0Kdi6uXsN2VPyx5vYEAgAyQqZEehLKoJ/AvoBWswdC PKOs6CUvD58DCEnIGkzjk6apDcKHnj8xZRq8jKG11eC7TQFU/N3KOZE5OwmHXrFnkY0WZebcoAX/ wk2zIbzKOWnA+8qrOQ0HzGpOtBKg8+cc095UjWoZpi4KgSWQ1NsazXoLD7/ggqWKWo98JiFShdej en7PtbXFkVX8cvCz0LA5NaaMJ2c+QVznMKhYkrQ24hxa/or51qS5YfBIhPfmGfDtSDi83oHuT9Uf SB/aEcoNKKZ5TAHc/ki8icGYeg5oux35rHNVXXs5la7sBWTIKk/jGN67HYHRwrBNz3ZvY1lXJSdF Fp0RYzSxKXUPzwD9J6tgMdmpYCZIgk6PBPB6KQxYW3N24L1hALZrAzc+SROAYOadIB2vE9oDl2Xf OOf6tDWWmBox+JqK1B7dTDYPR0DvQBaL6idpdT1tNfKbPEaLh2I35vF/tVvT7e28sEve8Vl+bZc2 vI5jxOy5knvqRj1gpp2FKE2LVvYn4or2nENhwrHDHJg60XRYbDyfA887HZXacK7EXIAkRBg+h8HJ C2CLdvPhyNKRzLT2Rs1lnu713kU3skEXmrFaVsq8vWjgi5mrHcsNgSmZwXjuDu0LcH8A2NQ3g+2v rIDxjXfQF7Pec0QrOFob3MvWomHlfzq4fmGYPFmNvQFLNNTHCY87192X+vBwsnNMMdi3alcEv+Hn FgdCo/XFFQzcCw2JTrt2DtVPaQzFt9UC1DXrWgG86K+Ab3t1uKnP/mBHYVwTdkTmMQTdYFjVGALh mvyRw0sRdRMxwPFqgZS6G30nbcBu2awbpfJmKXnbInZZXer63y8VkJUFt9mVy9E2KnQuN+It4vDf a19iY9th8aHz6QJ/VjDOAOO3I8TnUAxsECEEVtUqxgQQlMLCcBaTWNxOQPZ2iKC7B8G4qZsr/3/q KDgK5ZfOnitVpUs5Yn0DnTdbLsDBbV4eRtGRP/hsXc6XCG5xq+93fht5oRzfZlqraBaKdYB3u6Re D8fs54nup7fCW3RHD0Kzsn7/jaoBazD5S6phjgqEeYIQKwDydRqt/xKr0BDWJDg+JRgcwFLGXXsm 7HD0kHkG38+8YWCcZQBcjFcg3jBjqW7TS1Kr4ZibQleWqQ0N/wq0oRDT4RuPSfRXXEv1gQgO/Jc3 w93QALfSPdo75TsJLqZ0sN79POUX+Fk92HLiYlOP+9xbuZ3bqMJ2Uf2z/SkPaNwMESzSA4YYwfya r6i98Aeri6IRm+NQ1bNWirgA4HoTa2uIhYEKF/mbyhKFpeZwhO4B0ZUtA4gLY4R7VqTLwYdE5K9T XdP3zs433i92jEU9E2b2gTuIpuccRabmw0g4iao/A3ieOE1KmDZvskJKa0TTjO258A2l3YWEFlim /0HRGZkvHjVCSzG/UTApci38TPJycjj+4nEcvzSpSeqX0TeBM5oCZh0JyBC94otBFDMdZkrqBrfc 9VJIFvkPPmnWzDKJZKdJOoqxosDO2UeZwuMFaeCW9tMDPpoHlensq2/tKOefLyyfqCK10XOvcuhV Gz8KnKd3ZvRUkOrYL7DwXKcb1l0VIGiC/4kj802/G/LXTJ4mVOU698hPF5H8ltoRV4slYSZ1lpSD tYJWmDTMKAUMKAnd19bflEScBKneGcHYzGRJJaozCnKNVLSFUb4l1PVofyYGlPdTlM9BCLAiQFYx 5dP6d18BCY0GS5UPwz03C2i+7C8ZYHkreX0CoO6pdQciWCVhafMlz7eziup7PBhlekmISZnkRzGq svOMLXFD/IKVq+DS/ZsfgsXQ/KwIG1PsM7IOYDE+WAjMcDfXrWCVuAiE535NKpDsM9djISIgPMEG 2BnEEm8OLxVsxgjHec8SgRzbwmho9KZ4/5jZd/u2GxE4IwYfG6yt4ExpFwZFXDfaaDghoVL3/G8Y wloYEAHyYVQSOB00F64dV+hIJAjPTKROhQB5fX7fivbN6YFW5xzzuGpxAtVu8ANABWUUrBwU8XnN 3r8Sa1Wqa4BpFROlPa6Pc0NnVrIzTmRP2LGzNCojcv9q6fBIgufQiflJQtHpmLLtdV59FCIWdV4R NVbo+d5EZjKmTT9oINoQXR6bvnRgfY2SlUcAMDvATtR4j6FVfoN3GcBZs8d/PzXgShvSiXJmwam5 kVKgO1tOli5b+3KH224FGXtgHdPYhVKKzSNKFATlY35ZxxthNEeWrUEUlHraLXjnqhF4Z09ahbNC 9qCsLXkLgBgFU5DzqFVTh/Mo8OcQL4Fvhw0SCMeFsyFteedmlz6uRU17isEgopcrko/aB87RViiz wnQFZgqy6bb6iYEICJrF4mTHqCHBO7uQqCC2EUX7QCGakL+nY0j1eGfVtjo+m4BKYrOhcvLF2AgG oxaqC3GmqrB35IpZoTQIxE/P6YJ0UGJJd5Eg+uF2fbW1d4Ckdm/6+Wo8PHunhVEfV7BZpY4K1kpP tyiqvGb/wvtSzbDUVrC01OZGj9Gva0F1dx2OfbSVAvulFZGhhRQBdKdjaBJjT/1cfh71WWIDRKBP tK2RYnvC6iyqVUA5QFv2MdAkg+CprmjB179Bq0aDw2dqSmzGhgvMq8vgHp+stRmc44WtACJIvijU zMoq47aNXPCTefB6Zl47zfRgixG9fD0+fWe82UTUfDKNvfo6iKj4kP7OlbP+kCPBXn8kPv0t4QaA jOGQzMt9B4Ov/LY1fGHreOEFwjFaNbez7Yq+ZoSpVWlQaEyt/0IhCUvFMTt9QEaEjGPBft3r1UM4 GjyXu15XiUuqsOb5IGIKJUegcDb3gpkHzZdoGQn5rhZNTzKYFRC/xKTlRh+hiekz8B0Ug6jl/sog AztSR1LtUJSq/8Atc5/Gkx+3RhHWeFOOOSN2xmEPsQJqt0WikeHTDf31Us+eGS3Xca4+gFtMOvNb UAuMAdTKPtXV5LS/VyCQYrNr8A8zV48mUm5ye/AKwb3J8pNJvqxCpnW2ZlISdgGEfh5Nd902kdMV W7W3iXo+kBsWrvXrQR3cD5q0SUqzJ1Pb12r/oUHnkubYYIvY0VRLKybBQuMoZNTAagXM4EBgf/Sy WNTavPFqy+/Q3efYWK+1CtKC917shQhMRFqV3Vgm55ebK37pykiPOYKCgUFk6+Vp/ve79xO17Oqn fNMOCA7ChStauUZ1qVa3cM05G6O8BUlGGQu1vzN+P6xegGOty7uAtMl7xxExVI2ZOGgMOVHXFYop 61UIqlQh8nscihVYRuWW/uGH9M0HNsHV1ZQzLtwV99Q88gRjTrz5QiTKOk62Mg1izcRiwv2Z/uw7 Ey1zM8i8Nzd6x93VelkCz8/7XZdy+t4Pwip1fgxtjCYLcKjnTDOEE5uulaiN4i2uEs8dw0bz33Q7 pfr92FKUbFzP1YBWyTsKinP69+MevdJzex2a6AQ+3U5AFbXRLM7nvQI2dIANyyopY/XtX9O0N1fz Z+NcZgp7Feaef0/CaxK1xOCctbULcsxpwoofuBjrGbWDkaK9xCYsT8cjgD1A1ZCuCi8h1N3dw+oh 7P0rBp78HUDSguSKZRmep/OQcy4Ic6OSHAZFZWlTbZaC/U0XDcsaC1IeU1yT89Kj0EGTuB7sVv0J O0rEsr7UEwauv4qcoAvptGrrJBKTqtmewxltXGvA16bEPHDFdNZFwKYFVztJuxotBqwPeUq9qLFJ x+7L1yxwFNWfI60hDTktSNc3ScmocTaNWwX6HK9I7zOtNDUwOwq4VBs/VB3degcc62EbF447PGVt ASnvjQa746MKh+qrNl+DS6fXPu6FlilK6FcNLTLj8Dtk/CqvekmE58/6A+zVMkJEhQuFgjZmLGEb FCO6p8tDvAdkhMW1++pD+1tH3Wvc25a6oRdAMzjZ9bBtERo0NV26dI9YSuaHrgJSqAUKOy3WUvDq 2AxfUAJ76ugfvZfleZFfhcpgV5pdXCXPNaiiDzlwX4OKMHjVnVhZBpSNrOEMSgTsOgfJzmvyBWil xYGwx4g/Z4GFrNvNHzJxUg1HzeoSuRtHsAc2HaYOuYH+NZ6NFPvpSFv0NU4fxEuWi/N8/DcmexzI 9KHdm01QmBdXqq3/ebFcAf+Ox2WveBRUhdbmfi1r0P3e7uWAqfebKiopN1mHLsFnu1V6WIAS4hCn NkgR7eZOJTFM1I5Z/Fy8rsWzZV0a8QEHNrceLWrd18naFZN159sDG46iUZgm0gyF+EB+6ObztdXJ Zf4ibHnM4CfXYYGyKTfCl6lfN2ggEPlYoIchey6wBBCq6kZpv1sx53E917ivsAbO+W0ZeZWZzxC4 de/SBiKoQcNQMNvJLHqucLFn/Lfa4iDCqjyPtevy3e0nI3T8IHqobPBNUWWdA28fMMwizgQu7Xvi FLLd7nFL5ywkMoQ4p12ECEFWn/3RapkTTrZ/vaYCQtHwQ/IJXymfatHyE1BvAgGqYl+lmHhCwua2 vkI3MPystsZYBRA4xJJoOiACEfFeqZzUdwbX1Xtv1IpAe5LLqKKK2824WAB/t1IsXjNUdK7olndf XQ65rJNc6IvS79CsuIhdFiF+YB4nQwxi/CLwSY2M4iBle8GNHLmXmSxt9zQ8SwMhoIQaMQZuUc9g 1eBrrpt2aUXiWZ1v/PN4ZZ2wHI8SfNFmV+JiJaEs5EdJGcpzTiLH3nbg0G+rNteNz5RLTSq7VMLR p+kbkcXmAa0F34KJ3uG/ICWFxBMlvgU/4QNebN//O78M1D3+ljWg2CsxpGuOanuzJGWwOGbFTC7b +xwhDvntCxft5BTJvB90LyB2r64i3QjnHzG6JxCpH0MPjBd+qw9O+WFQevXTB9xz0DE0ylYYMiSa Ny7v2xbGtNg/JyNCjPeweCd9iXTbNwSkMQA7QU/VbGUUI3UNl3FmzGXKrDMJgcUuoDP0IMfp8gZ8 sY89Nu1plWJutv2AMcs4ebv0L16M+XqpzBxlRKyc58lxAoAPAxKgU4+XDcLATAIsXVZVla4q1+NL YD1gxQl36QiFBXqha8GdeDKLO1PVUfS6VUG6bJS9zXFRntuUT0HSy2Ss8Y2wGxs6Ob742UlX/DcB /yO6h+62+E9A9C8kDvdrGaI3NMvGzS8QYM5i6xxXp7b2N1Jafp6J3zwo9VayWW9Za43hDsoZHpfq qGsX8tkyNxt+abz34tz78dVguUkBSkAhufETfJepaA8vK0eRDhDRjsqcbJMzlQCb1rXQezsgzUEE P1q1WYaXLLCWqUqlJ8R1wzyQ+jx7AtW3n11WYag51erqd0xZGP9xedbZhKqL6FsMQODdXFOS8Cxm PMvBdm5MFXWnITCbyAMsAbylJkNkFy7XJdP0IhCdysWT/Lf6Ls9y2T4Rq+DH7zRJv/QdHEZztknI Gd9POhZr1yHb1Ym66mihyjP6jQPT7Uu1TIa+VkfNm/nsxMuQ5gzGFUPUOgFrne1EDfTkZxZ3VRS8 mR062wuyFqMip4I5g0N0FEvKKatKpAO6zbd9EbpXRNB4NWebQote+DaCZicYohQ2ASOuWQBR+LBM ri9aGzKT1tMOSALjLEe3HBLOjZG0eBdMvFuRM2hP1t8vV8PN2Y2po7/5sUqf9bW+pFAvKKlNcJPD J1MGCxJAUuGP2ITWlb7ym7CTy3/ctv8lYmslvxT5Bd6WSHL4pH2A0KoQKLs4uVyOky+nN+b9Jd7d 6DsT04oDwPJzoDLE34YJIjszFK9quGlqU5oAtiOTfM/23Esy6Y8UWugB/b4BKcdV9u+A30W80d52 VieVIPOgQgXIkuZTeupJcbc+mu1iItlPX432DJzCHYNYfie5XY64FPE5omR2vJTh9knAx3sIiUrx G8ePtLEynRZYHkp7dxNHmXTeJ//ykzKA/6BviYS8mds4yyld903yuqHPywcbmnfUThIobc0WL1/g U0HMWbjRtQYW/DMy7/4v2i0YT5rtrYLGmzUUlkqy3owXpfQiQhpqAMdqenr6mxI8wROe048mr5wu zU0xVa6wV7tZV6ioBxCrh+gTwj8NVgKPwt5KbC1R7IgKCLxDOWJx8n3Bol4tvb06sgjdIA/0UeCa f4Q+X40VPk8nhtgVOtAvi/62E2UOR6AD0XIPZ5w/cE98YCE/E/XCKqtyEUAYs//0ggUJEf+dulpD SJzDG4LSuthKY46PwfxL+4dpCiO4ZT/7ToH2qJmyy2yt682JJypcSdwSeBRJOUOcMm+SCtytBtqc toOTkP8Q83ymb5oxKkkcAy3OBaZw85NS7LV9Yy51+eSqhHYEVdYjhH3LML+xWwSePQ6Dw8muHlnw O2vx8/QosEqhM7zR+43gB0rMuZa0OSQ3WmyrILy8zIfyKrH9g8yc3SOdsP0SN/a/HFDNi+LVHhMf /gEYQqBPiNLl8t16oH5olUvRzPzD/BgWCslOidDErPhhLr3jtme56Xi4YzKsk4+AtvzPIePHuxTE /djsN4kwb1lLjqtsqrQSftY4UoA2eSsmCoGQN+nus2fxfqlxkA96IdNhIE0lk6cPtwf15VDT/9yn 8QpShBbfG7PHaA0cXatWnBypkfs0BTP/L59BLKHtqvipbnttC11Vnx6lhs/bRlNPZeHxy906hqnE 5PQx8C8BdPa7o1N/2E4G2TsYS1doWv6GRy39l9hE+fL4lrDctOISRxifo+2pJ4n5XFJ/zvt5BAIa BJB9Ulk5HHGt9Bq5iYfQVkzLerJvKQpBW4gKIjDlDfS+vV9h9sCVcE21IdF45gYpGtunhIWXWW9o Vk2Uk1zMSZNXMrXSEBXnrXRGkTEN6UnLy9EDn53KRyEzPLKgYqYSzjhAbyXt0PmSsZKdagaDdBHx Tk6w+7mz5Is/VktN1CcKyrriFZPbow5fUigH7/1t2U1OnkR+o+VPnA4qzBJzv1gXukD/qjyFJOCJ 0N6I/p1zDGXWOmZYUb27faQFH1HqQm8D4SSuRORwQaWNJE8vMVun1KgzRpfUuj0XPdvFYU7pFpCf 1/27n+nNx9h6VEL9AxXMHUcFaf6sGmFQpTI3UnuUTKBEavSHGdID9aVO+t3qnjcCFRRFFj1XLJF/ MwgrTTGF01g/pi94iADea01mpRGuSK03WNriwQLrj39SxPyoanvnRgf3G9D7Lpqq6dZMyJ0Pe4+A ftfDcp0wtAGfrrUJ9tP05pJr9wPRH8xgjaMclU2/UV/2X8LPjGj6kC9DzmsHKzCL6TNYa7NgFHtK FvlmInQsYlL6/emhOznfEbi2v8aGes8nDYqGWSi0IeHMRl7hHPrpxyEJ70zjcUt06KfCleazOJ9U XghXitm6i6YLWANZsBcvCb6s0r/pv88H3bjTw5fbG/LdMd9tsFgiQxz6dDUWCEt2BqHKv56Nict3 o4EglsvQncMxsKamAx6mxfq56zi1oLbiuOKVsgsbgANcYAW/oEgwJrs3Cfn/QomA2eRzN+SZ8JBR tpi4fHdj3VDOd8CkqjGIevYd/uGXgYYqfADQjvGTdhXZWxW9duT8YNA3GebVPjYkicjKigTXjw89 U87Gq/tQ9oHbRXOXl7s4S48gjfk4kvokixQyIC6luhanQgHunsLRd1Jxu3qZszr2D8AlCJVbaqOs RPXbq4rTgSvudpRa2lsRMnA1mrf1i5Bn+gDGym088OHq3OIg9t7cSQfUsNGNmP957QOjSZxgE9x4 2viorG4z7V5VbShatNBZp8mhZ/QIFgzg5vcf9mMLIia0/wiomXnsCM6UNEjadECPRTTMzxA8jh+F rrB9QSQZwSCtprPVpAZ+Bpmbp1CO8ZhEPFbnzi0dzGFb4UvWjSXoADw3NAbP6DlEElgbB/V4Np+A vL5aOikD9gWyF/wFzqRVRv/uVFomRKm7pGVC6rWPFkMO7KIOaIJgN/ut3WrefU6Wb3pw4DzmwOOa HzSp1fXbqIN6oFay+fBNzhqDxIz6oWGL5lqNcG3EKbxA0ASRDNu2+cGrw1nEiiOeSfbRjXLU6n+C IlcHcdizdlocQGqdeeKJc7xZFoT73PtAukRT8HNFMz4b170v+QtpgnPF1Fxrrbwk9imNUAOM7hf1 Fk0HtXEeE7HEmL3yfv9KQGn27TrYiv8hTkWDqPx3soAFKjJ2kQ05AhsxZ7LeswKCnZxzau1XyyAZ zR4oXUIG1atuIYn5Vb/lsW0gq95nkkxGx8rUmGA1TsCU9tlruBHEGff481GscjXdk4mEbl9pFk/h S0C1Wa3mG4LmUMhW5fGgNPW6WxerLIH9LcYtfwsewcqTnk+/OiTyjq2cTvzOpLigRmGjPmcNq6d1 1gGXAFRzQ3qWyB+XBq7nvAEP+LKWZcgNqHUxiO2Bd6k8QMsOka/Bn6fYJze+JHt4do289KPBMNKq Flie376xJd0ro5G8NGMFenNLAz+Mc9MdLeJGGr8uLyyGBYx4yTC7yZSUhpwmSs3MmRkXnsGOk4M7 oiqDgw2Ehcp4zHkluv2ytqZxwGRecE29yruh849hN5DSO0Ol9DRuRdsMgmN5gr9KDrJMYiQ2cJMj UkLSv8XE+chk2uZmH9bd02BLmWXmjLL3TBuus1LxTLSocEOufSE5Kd8PnqbuB5dkvz4zWguXoFks hP25TcLhk8CINMUlcvycsu4PFao5Uo1EZKLpfs8AeDN1AuuHQaGo1em1ZPMKFC3eJlQm5nRoGklX sGfgDshOstb+Ic98UoioaMg7PG3rk+vHS1ALWyAsEYu5MPWxQsfiRf9svdnM8KXIBd9h4PHzISlQ jjELxzfgwG0Z+hGF5BJaslTGpVbwT4XZ0lZ5rLd832b+et0CIPGU9vBP4bM3Rj8AFw/SgLUHneGd 8DQ8oykRCymMFFohqmKZmxxvSO0INPSwD2XNCOy4AIL5Bz/tget99zXJJ2O48orv4roJoY1Y5IJl phxCkWuYDb/rPgF+NNWJtBKyEUO1tYR5jFW1eegkB/KKgOYJrijTvFnrqeLVmtF6W7U5IhLIZjZq 5UmKZY4w+jpOe6QP/yyHvkE32G7KRDPBf1uSU7+4NQV+layDaFufYMwe+xpTkpBxtT/okAxHoKJr hkF/pQ3vFg/cf81YTuFUYf7RHKYE3dqgTVmLdVpaH2dgvynq3YI8N4vTzbxt7geQsyJEOsOfNgge e/XcNswNmJznl3HeNrHi4VUp6vptQyHvuAmbZfVU87zMjsRuywj9nceoNK8Lp6os8U6wXo3NAmSe bmIOS8de5MlMUlA875bnMrdenbllWFoio/EzYay0Q81uOE7XY1BVh6ZPq74k1CC5oIgm6evAm3LV FjS4TCynz1gNNB6BqpaWViUbZUymIZ3v1/u1l3seLfuSKQnFwhd7yux//VpHtAbOyTLZ6Vx8QtaC UFNxFEMWK58YWx3/Bg1yhGX05Uvea0rn4IKf0ZP/K/tuVsOisJJqcWDaJUWDCcsWkLhz26gz50FU gR91KTGILs5DmZD9cztoe12T8tEH11pVUrf+VI6Ux8HSz1B15jvMZITNErS8EoI21nJsf3fOcbhw y6N1h52Bwk9L+EIeBWmskL/8Iyv7/QNnMtQ7ZQOH0m2laHE08FCIkSHclvVzy/7xnxBSmYUYhdv5 sA1fY1oGt/0gOXnhYiRhIgPTHfjcTLzIATCHgppCzAa5WHoKBG9FNJPC0DxcDi3Hd2CRDEzyDoPz 4ldE39h1r7pbuJWe1S0xg9tEauheH4AL60J5yONpETobqwNBXBcKSsVAHcca9VpmmbLBeJGZ08ds XBj7vGDuI3IJwOP4RV+U2hZRC5GsmsTcJSUej06DREEA0MQu9wTIbymkFXem0AI3i1qv0qH6BZzB LM9DTvkeCgjrgwoq0N+WnkBBbToVBTlObbTjEkIlVBo2hlfFCjtvPyhHnL11QvOtC2P+zeNlhBdY Xoe3ol/C74lfUSvBBTDgMhhwRSq95d4S/fbcs9qEysi7g6BUxpblC2RGYpjgPAe8VtoOEcfiIn/3 QElfcv0s+6EjKsLiXjieT0wFfGYJ16DbIIyWCyOrEJlkiVVP4C+T4KZZrTv8ey+Vw7pMi6rNoEy6 XlteY67AB1cTqaBd4m9FyPm069fvUMw3V5eQ9Glb5+2l5kDKSmj0C0zqCSxgVvck0QZiHq7Iq4Id 7pXdpb3RtL07ba2/KUAp4jWqIJaxDwQWoak22YjVzJwePaxq4tGsVjapH9JJS8u2LGHqc4W5Blrj zTYJ5xK4zJOj1U5EA+a+6+pg6rEjL4Y89RqWSetjllPZh796Zd+Dy/7uPZITZPygW5rHykFR2xeb 49/WeCNBxXdpZdUIH6+FY0QSR7ZkZOLOzSjeGzLI0erskT33B8J+R+EA5UY4GMkihH5zw5CQlDD0 0IaclrgO+l3C1KktqQ65xfny3enrjQz6FPjCwVYwC4GXBI+u2O7yfaNMDzowIVXLbN823i8E2h1I XsFD1sRXc6ztLDxdcgs5Cw2n3u91JqkxLKW4hDO9T27N77zqk4AaSyA8LbWJyJExTGhB6Tt6mWVv iiQ76AzZihkfAao+4Plz3+v9QHcY91e6AMOXCP/iftLcinBkJs5OrXOSOpKKIRvh2LFXMGYVX8W8 auMQPsbkr1DBayCefhl1Koo4tYD1N11+U0jUUOeDgQRA/1/abb7dtQmVqtwUxzzI20RgIqesTI5x TB76FSYSLU3qvXVjdI+iDFgPZXbtZidG0FajHugiKOuRCgjDNoFG5OuJcDY2/o3+z+NRccNwZhJY ZZVlcDkTR1/eWddapmouWzZ1ics0e12IMFYPkLkyq2xIVxh0l8poIYg47icDyZ9CYH3B83qgXfhY ajFJMJqPOyZcqp5hJC7UeknPhSSSItqJShAghYud32UNMkrqA9WAFDiliTVCLTl5ZDRMXp6LDJFK GCMB9nW2edgmNpDDAoHcZceMMediG+7uQG0ZU3k0mzX4uyXmhXoGHlQfJUNCekqUHHjElmltqn7w C4xeMnGQupdC71PEs84JIH8haHOKlXeDUv5W1/F591pUb4sAK+ENrBY2J9WN3x0gdzBYgcAnuP0c IDM10Di6+ipDGfgcCExSoRECauvNzEqN06jBZYnDR5xq87dN+hfcAJNgze8/1sjQc9L6N8Hf06aB KAFAn2fz8ao13FhCXk7Z5T9FZzZID0vRbDJGSS2F2Duhu6eHRIWWbuLiRVukifAmcnouFrptCYth UsSqGCV1kNDefBYah2InkRCSExSDsrpNhcCtmBWK7GM/ICE9zPEnJ8nCBKBx+9eIJ6wGhzwGtp+8 TUWpW2NJc7Zry/0OMiT8tBb1F4JOa2HyKNcEwqThtMwWut1wa5Ady+8MR5YRKZX/ykzcjF/F05Hr JblV5HhkWeVDbLxk3T6eokuJPlxkPTqblLHiaooeQLzJ3o3JOB3AZ2UB35xIU+yD4bVez12c7bdR cZorDm+CPCBG+vIBCdcan+TsIVGivhKBguDKZowkCMS0pNzO80CL9U1j061jTmJfaDw8qtgZHXrR yHp0wKDnulWvKmSNPm1dhiQRqEa5l3i0eqbt6zIxO7L/g31UG6S7o/WfRiPiVQp3u0Fg41Q5Xl4q NI1wG6Ul7xinG2yykg2QAaMi6Qig9fG8XP3acAjrx5xbFm3O7dJzOumzcb8SSxkk6qmqPFywXjzm qjyX3AgoRaMRw149K8EjYJXM4GV9PEz1wmMd7mLhQhYtZ2Av9r91yOvLZy3B8pVYl66ix2mFAyUX VAjUGecyApGSgvmBr0XjLV1e8t2nEenmtC10W3h04jo9EvmNvXaiV5dnO2goyC4XELXrGUxXMigr +0mh/MWiyHQMCHii4DBahqCFODSGqRET4tZKjz1t1oe6sjmPX8yYtKbAINxYAQovAyYj1TfRDC2u wAZT6d9UABRG1EqX7UXKF57Qg5YNhSBfo6lYERkXGwt0bvny0k+1l/kxjL6yqYa4R8ovjuLkLi69 +sw/fQ5ACsy596fhSih45KSbL3WgYtHL82wR2HUpC42BxhetGqLZ3TVtkP3Qg3kJOs+ihN4ZAEIQ XQnJmPULH8uQAFzDvqu3rc9IuL2K7Wvk9mAVanSwIRxZeHSrltbi6ESdkglHG0zccjoeNp/21E97 3a3ArVbDRkN1Xtfq1G0J6pG2O3b6huLamdA8AohgRTlb0olLPGWwIZ0oxffS2G11DuzajKVznX8q sFTYK6nRDdRZdK/0KDWKi8nRDsN97wzLGEyVVcExWdP3gQXROTINf3Y99/0s9QqNHpeRxnh9Ggm6 PzDqnVqCqjizSoGzWoNCibGllAaBxa77tJQ5HxupYPQR9ot36nFiSbZR3EbivE6XJ64/LgC3jiIx UCqdtE4vn9rgqqPOqBq/GwIkeyZHgR8fhWOxfrhxX3tti2G15dpTfvlKSUWGze3h6Rv9Mb+CRoTG boM38KX8gXi8m2fnADBzHxXi9xXTCMg0lhR63JPJITxmu6pPCUqtWNeKu1RFhobQxigiMxZUneYp h1XXF2xtl+3YLFv5ekgA6xOnc7s1Pnm6dM55as1nhrwoXmpTlC4samWC5hq5Qgd9pYrX7JWc9aF0 lo44RIIRVco1fh9rhWaIHhOhy8Lx8EwQTUN/+lDNU3+OjklF2OGxhGwnVFZNOVA5DCffHJQZA8ap XQ6m7igOnk+uZZA2Itg13nbXmK5NkTEvew90+R2+0dAdJtzio1drqVB6mwpzD4dopq850iRe2LAI vi2JGH3+IHzA4UOxo5XJxJqY6yejxD3x+f6Fm/gntfU7N7l9mj1DC2Bc1X0oBpBYpI857dvoqR3Q QkhdZKTo63pYrOTWbkz8PLFyVrDEoLlSSSboEI3+U2aGQxdBildUToow2VwHu/QzdJtKqDn1pTZ7 qF3zL4tbww51t3S+Mmdu2PxZl4sTgCAwbl5lQgkYSxdpes/g2GISUVlRHikBjbPW4gUNtPI0DQ+H U3BWHRnEiSpZPnGx52yGW5QwdU2mhugYN0HDyCrrraf0TkXgTZWMX4JIkTrVJrCHl1sIiB74/D03 JGHtiT7HUxp/hfVkAe6/gY+ZXMCntIWKpgV6b411Jf5JdrJKHTrbWdIp2sKhYlapzBmCCfb+n4RM bQImpPr/GtOKp7x6g8ZEpy3Zg5p1RMiLUQaaMKVi5Mp9GKXv8C7rw8QXQe52SmNnwFIwH/Vg0mVF Dk8n3bYYkY/8g5qTa9qWYKvv3EkPdQ1YNh9ccj5rB1NUA2A3IXoFDv50j2UcEUnS+3JfhDq5Rxn5 PG4BlOuYuN0Ip9RuDjOXuSJ8NmUpidyAO94uNS8eBLJixlKuzHw+7h4o638xOY7BrI/P9Sho48ob 3+hYXT5rGHMuDHN6xnxnwwTOyXaQUFKRPbHj4/m110lr8n7vrl9x5g1c+o9hYjW5rjF4py49C6IP D26GrWxa0AKO+T94OJgRmlBKS3mmNv7O0SlZ5Ou4CjNAuiSZUv4OJ8ZhRKdO5xyJTBP+tD8S1Izh IBjyuDBfbxPqUH4B84j3zG5tjzzQsRuyvkE7KRPjr4+d16YC4XmjPf6rFDfRhZn7XvHZv1OuxpCu YikhmoqZFgUK53mDoMf+FGwiUS9N3nYgrurIPEybrttfn+0sjgNHsvPvFGHrZvbWpHbTm0KS6Htd AaNSyu/+qM6a6ZgEjdS0KsXgN6BIwFnnoGxuhJfQsuqftDZRZS6WsohybcjkZRNFsIm9vA/mjc/W x+BxTp1Qy9qumgCHYXnHr9z2jpEeuZkRw3S6EgAiqddbmmgnYXL0E/Cw3/QI4H+T1MP56ty+ePI5 CLUbqGpWii3euGp/OZWSxeBy68YOc00wpn0JoQTSmbqycIdTK4pendjY2rx2UgxF9nVUN8lJfUMH 2+nR58FwGSQDsdzV1EVILK1C2ygfhtaNFA5fBppkD1VhjNLwqfxGXN3v0WnN5fitnVI5kwHhRiMp MoJiaWv9GRvMCFdsAblwXlhbxrhiIFl1gjdQBHSJRdmiZcgVlYM+BpMdooMFAMEzQWApmNO+UxcJ Z+O2IDHViMVcg9O+ZA2LTd9WHpPkQ0ptCRRyefKlSR1FWaUovjZlRBJ3nZ3lZRbzEXJamzUb/rC1 /xSeSQCBtXTlSqOM+EuRxbRUJ8NvTLs2d6Ec+Z/xSIyWkQkq3TbJXo3W46HzxTvYMVXkx0Bqfm7t kEyZZM/aP98rgRUvrBi6jEtt6eIGMQORTdvi2LKnabdRUTSCv8xa/zl5cUsv4t1v3VJksuUAj4J0 QdKWu1FLTh2fqiPPP8xPyvggWqiiu28dcgPACURO3d+UCSgO84QtQO/dgmpUwI51RCgWSXcHFN7o iX+5N59pTkoB0s13/cROwxFW15yCpas0dvI3cdwyKS+10QVRECOVnPNjktZtrzWrHQuCAxXhOcLm GUuc2xK5Q3f1OzVyJBbFBOdDWJevRuwQsO7VHsvRlZSAzZjT0XA4V8jNh0o+z6MORdUKgT4McCjt 54+WJVK+ACM6MpZoQnNUylNjyb1j0WxyZcmkHSlQcXwzAHW8W9HY0b0Es0iZWhNp2vN5ROp6nz9n 8xpvuSMWCD+GxImwJ78+oSK74ZU+w8eJBYbzsepa0ov7fFws4DJmes0rdRyQwVAjCcbwemPkG8XX LnS7d53DpZ6Eg/hHgrpdfPdX+rrEePTp027+IrvS2XzCZ+rUSCRcF63v88k6wdOs3Y2Y+MLH1USR WI1g/0O3eWv/MREi8dz19+Vpu/syixlz9+CpiGfsJwXcJKqM6Aaalp88bq5WNrAr303cMExeOWIb FPmq6YrAEHbFMtY1ZJNFITTwSJ96ASkYuQnS/LDKbTy5ebQm2etA0KCuMBFQK4Jvn2HKxRmvGqRx acygzjJ8vhxgFjX2bjD/8JuNFOZ1Ix77vHbF9Z/qFrwaK0uXbtqb0JaOSvvoTak7DU+jb6Iq1T3u rwkX4oCwFByv9FEg7wIMvmGVWWhf7fz0EUsDGGFYTVCkJiAOxI3rZ2BlEsJW9OkXt0bUn1dAC2Y4 NTXJQP7O+AzLztu6M1x1rqwBP0A3q6V+P2j2XxezTI40Iw1bG/v/d1noxr8IIjPhmUQmo2hYGZX4 QtEknjzhMT4INAmSU41WYL1EWVUwJ1xW2p/uamO22ll2XSDOW20YMfsEjuEaC7nl0J55vpjlqP9r sOPK/+cBFpa4Tvf3LxEBK7UDEVhE3xfJnpbS5UwlyZrZenbmz7yGu28igETf9vut3UcRCcfvOELz 21LSJiS4bN/XB4STsQm6eBPDz0MkdXUsqtBMvYEc16frEHTpFqgn03AcnaxWHuqL8vOocxgO82Xe gtweg8Dbu6seBzSp231tJydxu5g4tj68DophmRMlfbTfAGEjpeuAXpc95KRXK1AXxOuz+K63Axok Zcv6XF05mXpzGrV192Z+e4SkbPI0AAsQGFs1IGtKnUXePOPIs9klVjC4VWCVge8x7VYaTiSb5STt GS1oKRVSIJsWdBRVG1SDq6oPe7tX/dO7g5K+xhPNRWYGHvlh4RCDjlOA0ZOVRW4znlDDQ9/xp+vR CR034IGZSgWH2D2Uyp4Q/mQBWbQiV+VmtiSks2A9V8DRiYJcIuE1UJ+nTdf4hdUsj8Kll2BoWpU3 4VPFgQPNk7TXYH5z0to+o1izNiKdj70oPzpPw/a5Zxx2NAjK6qT3uAxAbGixCl3Wg7M1xDyYa4hM CysSEkbzPdu/nn0T8z+5B7OCqC9QVvGXTKhLU8Q2vEXz7hzMLuH+pQk+aZZYsSCkB0/FBuLFrDvS o3z4HGgvEukf6ubWLfn5Whoa53/QdTntNcsCja5rY66a7ZLbrwjb8yZKAxpy+ZNstNI0S3zzuuqg 1w72blqS2gnP20kuAUBR3+i6tx9kESVR6XkQRf7qG1Ue6fEIzMVhXUVJREd+bJyqCN2GeLPMSIAN SurKjYWLIC2KUUzu7F3O9LfM6XIbtvZrwsoXhmtL+Vyr4Hlnqd+Klrv6yadva6cYr6QrUKvJDWOs UhD9I9woJAIQbXTS85eiAo5TZcb8F0+2Q28nHILnVTGYHlO+KZfGb+Aap7ljp9w0uhztG0xAldmY pIWjKtZ7omiKXJzdvDALRsO+cRs96kBRY1DMv3jt02mkT1QquyZFssaPV47TJW1VFWc6RqFXcQns HroMelYW2KityccmJ4i3y3WlTCXB9OfVgU3XkYG29Myge5IeM2a9la9Bbuaeyy50K0GcwTKblxcJ p8KPFdPPVUX05ScZu8vNkmOK7ktPcc2qGnSx36Tm4cD0VaTyfsCKDSKiT8k8jHwpZedlgOivcEaO NCVTvSV9oLsWqYZTMDy8BkgC30rtvR7TtmUtDGVz69ViShT4MWJsmT1yySdUxvFrD0QIItmGTqD6 Yad7JzQAmS1I08vxKALWemeshIZFyrpxewMC6h55+X58IgitCX22njQLRMeMx9AR3j6SmLxMCsG4 G8k1Pi8D6FRJZXOvAx/3Lyy1FG+3dX++UiOLIl7SmDlRc46ocaWPl0KfAEXsi94GSyhaZmYXxASQ QYljrXjx1BWdLawDPAvTFScnXaOoUxu7Duy7TlGWAkdILlYHAZztvemXnX83Gb7iP52wtatluOge xje8r1xAGXAoGEOQgC4N2TXxWcffd5yIHPDfb7GKz2JbdxLPnPi+GwWa+t0CYQ/QPWf7ujPI8df/ 8ThX1kuQ4jR5+W6AjEYEpuRlDvbUP9xICy3LO0hZ1P4E9t7BaYezTEUW1r+d+SeRLkzWGh+uIhbJ QYT6/Lp7VsbTL6NBHtQAug3vwIeBUgofwV/I1epXYeDiUlw5UeE2pVc8jTAgFygmH20apahCNacg jG+5Z+MgMk0Cr79WDX9qGapoIVnuUJg2fhjyIp2SRqSEruzgJtHl7Wqq/8L6P7nliZ1brgAnVgWo ZDMI+vRWHqLg2skjGv/g9ZUTxPPMs/zZK5Fev36ttV7kbdGIuNlH6wR3b0feLygD5xnLIgAaIqpU adaQ7yKzBCRNM+HJm4WAPeKGMUfbmd2xGP4XR2bLBT+9DhXihBsOoLQgdLm4VwR9S/ijaDHYFPH0 51m9OMSdsRW1quuqaDYQXnq0rikKHiVaMQXr6ijSa2iwCCJPPhnCYPq4VFElLOLfg3ir5UQS5l9G k5mXHtKn4KQ6rv6iCC3+AYOpa9XDaZUGHsRI4+f+8VUVcqcMCfoJxmQ3hjccRncmrS4Qny5JGW0E 95sh2QKP2xoVE/0Bx1zGpMXVbeee+gokh8njQsz4Aq1CpcbuRLw4IPYXBylHsABC4cMal7l3ZGPV oWKbQlgdZ7ZqUaWfkJZmQjqp7kc1CoD8JD76jrvmaTgz8PctL4yferFjTPzoJHF+Y/IzIiMV9DrJ WoXFmEqOv+J4vDS65OmJKmYqUSLo6G+y4twyp+rUvPjHg93KbqUGqKizD7SaRYXEAMLCiJ+z2QY/ oEbO6KC33XfKW1xIH68pZmdFCLur4e31ZlplqKtHycxjp+gdzxWUwWgtfV0LhxRWI57iLXYnCegu 6e2Tk9i3Hui6mpBUcO2snsfGxCzmIArF+j7Qt4mWIJi18OKd+s83KxtJCwew0poFjmZU9eBuM8l1 qTvND1jscYgN8Yw2+Imjj1D9Ege9U4RgwOv6Z5Ll5mnsNnmnnW+14BV4wx9TDx+ESMyHt/iSm945 qU9mYFFmXmwg4IvhuQq8/LXt6uc9KrmsV2txPPtBfN+b1SEM5ZgNtPKkU35UyCxA3uLPoBM+hmgQ jpc3cLo5JMyz8LL9Q4F0U/hv8Fvpk0AjVkWMX6+qo2LJecX9N8vkA20lkqRoUqTUy9g6kvLqM9d8 ylUzswEqIhRbiFmPm4xonsUQth072WIBDb3MDr8ELN1VZpewt9t0lLgC1hZyTg/iCTV1GCLJ0J71 sspuu17IJR7BWi3U1DbK95ksVfCnC/V6n+Vi2xdZd2R7kIMYobm2xyEnWNRZMwshEax8t6EI7v6e T6HzrLbAZEQNbfzNUqIl43hHo4jN4oYRc49Z2zHreB3+yoM08y1YfFUMUOeJX0lwD3jg3cDQ1mIt imO5qu/o31rc4Xi7IeNLQD+pQt2UunPLMmOUDQ58jcNQ+oBQDgddfmp1dlLQbN3Pa/y+6ky/vk/S hn8FZmYl9h6Yv4R9sYGA/zEnJKzmr6RHyQKSvf9TPRuq+X7ZvMc+Qi6s4BJLZcCDtRKNEEJ/QRfk jBnTO7cqZHHJ97Z8BF859RubQNdY4ciGiUPq51iGXHLRpHMSKpj7bT00ah28IChHN0lAGn0dkOkP E8sLGZPuNVr6IBspXkzLavx9zJKgJyDs/1jQJEnJn1dMzvucQYsj77lutsU8ENUOZSbMfxUZ53mv kzUafxVg9rtVxETD5ThpXMCCDLg5qyeTYAFdF6AqUGFiolOg3bByGOPEyRP7273kAWqiRz/x2kKw opFTRhz9k0VQ/+U2Uo9yGyu2daraYKZY2EDsaBoAfa3NVjQVRtrUG60j91m0BAVCqUib1/Ud1C+j sqmtsiou9avsVOEZzrefq/XuHwOPPT3ZGhrEkZu2her4r1Zr4YQ5U6+kJ40OLdtbXsPIwVyU2e6O Irc/vZPO8UINBDPjn1YkIjjwdZNpTYImW7taQZc/elqsjAK3F3/6CuUJnYLtkINj/umb+Z88xRD/ yYPMHNfYYaP4WoZe7bfiRYYnqgB3LkMii+NZI8SdFcW5MyvLoiLRUKgNJwN7B0rJrDQaQvy+Gzy2 0I+ja0Jr1SROa/Djs3lV9lCnJKcSLvdeHrPfCZglVD5U8pRmvCDxIgMjq0yVhp9MGuVRzzhLiEYQ xF6dUeO/NH76sfDjEUGenQNpXzNrTjj+I75/ZWmgu8FwjL/3G+Hw/Y7sVZkWK1APX0duUcFRSAbu dXtmwR0qDj6go38s8CnnCXHZxzD2daPhxpRw57sbUzM/D9JcRRSi9S2zPZJnKoljK3X2SiDXaf/S BTbNr9H322wVb9MndBLAMZcXxfDXTG82P6hZvlFKTdz5oW7sk9/yhjvMoUVxkSg/dWYderddLou0 dJzqBQa2wHd2IatQYULO3rQdFyLDy/nGQlbn+QpDD/Yp83UQy8lU54PBPUIzf9QMSDImtrxDF38W 6bUyWw1fhzoSeCTKqVbTtaJHcb2UuAa7x8SsTgwwRL0+l1VQd+0D/+t508RdJYZpyqqR4hvSwyOF acS5gN2US1ln/WuXN2Gf+QrXBIf84IK7krlAEKoWeDfZi5frUD0lgj4/qtWXF7qG9thPw7tP/O5d PWLNPaNXQQY9UTSvxUDp306Gv7YIXaquA/Qyk5E5LktH39qiJ2J/jvjoXeuj7lTNql2vNTcjIdQq og+hkAGx2WjAQ/Y/mD3ndyDVG40Ig+xZuklWnHHew+zYd6JbqDUqHnfrqVmXBO/rBEu7uyEhyRJs SNRFin1XRbITVl0VINJqnyjwM+ECXSs701Bo9MYDAAlR0py0OIUDK4BSpy8m9WxS421RdnsKvE5P RAKpG5t2G/xr5PbEaAuBAn5gqtK6c1FQRNXPgYgVV+SGnJPPYKJ7KSpQcgLjEhgePkf9J7QevDY/ hfuMD0BMh1oKtrIc/lyNqQAFe2Si17v15UFFRHsXF0NHRWUH5ITX3jMETwOpBKdhFuF0XkwX/09x aoP1yHfR+nDRgdxdhc7AMnvbcG8YAFUetVc2ctXwlrfgr6MerEnNsMEx7QaU6qokq7w9lmAKHyYW 2E1llVGi3iTQaKRWv7zOoze4s3EmAyc7ZKyynD0tG7Wvm6Y+V9Ip3tBzLKZIa8BoJGULte30LPcC 97m6tGvIcPvcjP2kDoFMRqEfgzoUonOw6KrZ4tYUqzq7BfLRJJ2yc9xizJnomvEhKITglksoGgC9 Yd3O9Ausdd+u0KPLhWsjtzSyrE7JrLsMYRMLArLzbhEzfH54mdeqPxG3PNcz7+0Kj8QwIgHVowEL do2zb5KBBas1bEBUxpV2Hjk4X66DT6mVTqCQCHiCvaMTp3Wp3VhD1F5xYzri2kwCs00DwCD8sxwP EMxUQNw5IKDXqq4pR01RVDb7Q6vdMoCBzNmxXtTuljVavxH7lpAfwYHSvcLsDgMBiv9WcVqlhSYa GlAxqaomz9dDXVPmhKEXSy+O1nPOonNu+bvAQLi5lt8nCCDHMNwTakCjwgEevgF+G+9AH9LT4mt8 waNEzd5Eh/1oddhE3lhaqf0iRx0I8CXT3wflF0mHJqApwc5zWcntAcDzmHJwxa9VqdweZavLfQXi cpgR1D/73shwbIIeF/4H1ljkQbFU2dIHNSFJySpiS7kOl3eI0+C6sQbPq5f+QVTM/FHZGjG4+lpO H2gzeyT8oJpAZfGQuyJO7BciG8R6+cmHb0TAM/jheOCIfRFqNXi94NcWgLursDDY4zWfKqQbWdOi 1lw11mdzFAnGz0SK/yFAiGBF5uQYp167fJ4RNgghrGdFlaEgh/N0VqbuHQNBy8YGRxGkHsy+RLrE UllNY402psi2ZyD7oeGOn38ewDpRepsLCjIkLlx2/zHko078xQPbf5xpj6pw+FbZy0inkToWM5jE +wwW8oiOhgsKKsONEU8hlq2zffEryIFjxg1ewmApQGBmD35vpRyQYu5HFoZS2kDLTA0/FjgprKHr HNj6aC3T5CrBtL9ZaTkfu/13rKiOgxq+1kvxkuk+V+J0/yQP3Ok2u1YQ8JukB/wxfUCFNBHOG+zm 3kYLzkJk27Nc/ricriqIAjGrnQgPKiKiBjkVPcogOtCnW0jJFe0KlAG9Wee0HeChzhSE9JbZCHHo Fk7MhtveiRTBePwNVTLkJyw3u2/e4iF5af3zi2Ar1TNcZwKewgIzo+UkTnbzOw6GyyapURwUqdK8 hsworoqMIiesJ460yjvSuvAnBNZ1rEEsNZWtTi2OI0mbqKE8I18sMtsKkeAbk9NvK7LiGEWCqyHu bygXaYLQ0W8ADXNRfzw+8Y/R4zOkNi9zEMFGL4eXazAogm6mdDcxChIH2cZq62HnSsaFtzbTs8bX iaq8lKWm7vrN9nL/XU85U7fJauRSOL2RY4m8qGzrF4hjVPIV3ZGR93yRaMlTiQnFznmOxA6cGDAu FkamwnOBkFF6Zp8PmycSEq0EHyrhiBNkidF0RktHC1Y6Un/oeU5OVrhuJgHjrlF1m3iHtq+Pz3c1 Sk0hcAtg8tqwS166c8NRqr801aXeaF9L1n4M8y0r8cp2OhAbl4EMbVnkcrUTxvp7t5U8pr8ZP/qM L8auIrP21ijqRnuApXHIEdisYQhSB7Z/Sq72N18qDQ5UnAzbY09APt9IXWaNvVZ2ytusO6r9AUBP klK9D+trdd98glKB2REhjV0AQwwqXpiOJoAzJKE1z88adYxyhPLsjsRJ2VntGujoPNI5v6iqyTgR hjjRmBZ4uXiaK99mVzGZGjSVRDhY0MfgsUFDfz0V2Zq3bsLo6HbC/SzMK0QxVGwV93COCASVAxZz uxw0l8eoTksUa9JqrJaqPiGZVPZp6vYBACIx1ZEuvTch1EOPYr26ir7P+YAQPAPTUbsH+bne8X4L Jj5C4MJc7gBkYGRvlXlCdgxZXnXB51oEZUyXj8qAXKu6/M/ZVSes1eI1IgFC53UdPH94biE1fd9r 5XybDmej7BG9/7tmra6rKZhvZD3TtNY1te8YNZJq30WnePhrU1NqgdDq8YVRhkM6smOoqF3AgAsF /UYcR7FLFz8rBdGFsvjqOky6wvF4oFppm1O2GP4XJxtSgHhJSSkvUYZ50aybrkGRjl7RL9qQ6Yka pVbjSNY5W803DOz3qKFzIhT4vy5kT7MKNvw1YzXJbqUSFJHJL43i3oMet/G8Xj5V5Jw3J2Vc1XhF J4OovwQI3UKz0CgJDmvJk/1zgCxIGbxNbtQ4oy/vUZIFhAQ3/XtmsQhdkR45MvANMh9aO9KgiPR5 sySP62SxQVBT3hmTyhLPuiV7FkkIt9nz/hXNYlCVVgGK3onSqk66QQVmSuuIBp8GNgW6w10722t1 JZb+FffKktHcttOzVg/5XLLkLfbsPsOXL12WAeeSS2gh3yeZ327CyfIvfSaCUCgj0glRcYkDdxZS 4iMxC+h0kx8FxjuluLejZzt5pahG+o53h9o7j1YFaIvhX0+O6oVSFsgFxzTVZcxX+cxXr2SVmxM4 u126h06NpHNKASFgxIbwHBPSrA9Jk9KZMXiAB4iHZMZgRDQs6c82C1kJpKZ93eSgrurGq7Mf+Gre V/P/RjCfQnYdTNa15GJG4te+7LTHF+WcpjiI1kUHu6khyfM6RywfF8GsOkVFPfRT+0gBScxgVt6g 5KKchqp/6fqQ4zpaVWlGeDOGhpa6Qp28VBUj8DGzBFwQ5swwbqkcj7ry+242f6EuKKyEzFPN8z81 W6a2LmMJfpWWeZMnJd652gMA/lXQXRUXV7eEEvS+wz2IOG0a3K5Xn+ZLi2J9Pcq3SeBDM4RT0FC9 39QguA8/FOIpdYiRJkiBV0FO8C3PpVg5SNhloPrEyxkemav8teGOSTN0A9NAZ5QmLXpc5qTynN5x 4mdcyy/QrOvMxSyPirMwpWc37cmWNUNphUmM4igy7wswHM3Oq30hQqyhYgFOlVUPakucjXm+i7of txms9nWSLBYi2cGEtG+nhfuOSPEZU1bvFHH64jPX1MEnG/8xZgwIv/7G47nd2cEfE5AraDT8wm4N JUylnIghSZlfw+kG64XU0ahQFLtIlgp7sZJQKrf2Hlu3U9gu7kPGtUEQYBbjoYal8cpWr+IhlHKy FCJpRQToehzIz27g4fmyRGeQZBOUsI1gukxllU1LNtA9eQI6gf7ZobaLJVDKGwsrHgKg06viWuxA UsN+1X/M9O/SFhJhjxGbUkMBbempkY76JbUsWw2N5otIIcxvHprbhfzvxtVi3LZLalphlDLmwrR4 x/AtlKEvzEujPmF2gqT7ZhUVx/TDoO9s6Fi/HxQxtPZVxwcS2i/71aJuSzqI4oDfN4s2ORS6ZHlk wPi02FUEOgKsyT//Uf+kqElOtEx9PhB6sZTGgwA/SeEKjJiVfKhTbA4EbLSt8znPEwV8QJ6f4Q2V Uhp1OQB2xKaRK8jQrO/YixfEIyPjAPTYLFaNUDEUygrtDvgx5UM7Bw4JuL7WxV7SKEgDaNitE5J8 KCerSjfJVykPKqRhbC4CaFcvZQmSuZ8i3Axs+vDqC9uOdOgbQkZv9vPte9VC/GN/mJOYNs00g8Iq gEEIcsjtEOqRBtohYaRDJYIvn6bl/WB5hwI/SCms1W8eiaXj9pdp72VVc0RhzcFJ39JyWNzu0j3M uL+PFvZFQ1/ixWEQHIF/OxHS3RxFidMRmb7i7LLhtHDFXT8WtffC72H9lHHrRT+Q7jPqjYZthxN8 AkXrF6q4OHemxtEf63ZZrCCZLtiNrtZ5t8/3yOzDhami1Kzt5PjrEKppx2vdx0nZWAC8WqhIi6qz Wj4nTNemYaY0upKRmTRI1mkjuFzTqOgLpVwX9b/ExNcm+UE1GaI3VbN35P+HaRT9ybFE/4bRGaNf L+tGH3hh63ARlgGLfYPnh4Ik8F+Lrr6BZj1LHQ/frp/yHYOJABLfjCWH5duDxeml+ryJYfR3K04y R+ZZqVx7YS2oC1EhuV8FmM0FgsIPXUsck/P9LQ2P97WRNfggoSQPg6dJ4slurzVfjsa9a29N9wHN IK7XExm9dT0+RfmcJ+TLPOHblEY79azJJrNfHk2RoYWHTsMIdsAMOtAWBpW9X3ZmX+fbPsCwnJMy rdLLI6B6duqjNjJqhUk9DgP33Jxaw9mSsH1xnlW0yS/sa95UvVlRhlU+tRzh3Ft8dB1vBQccNtdj NkdRGoP53mXkzdJAT8wbwoC69guHOc3xRK8lxAFrjQ2jzl+W971gTmJIvAnq8WdYNy1KSghNVnYe fKWl+PGP+9g0MiXv7UepQYsKYa8Hqe8rFVPCt6wXLrmQ/jvGiRqHO4k6240Ywi1vQKlwOU7ewV5T I/T4nyiAD7PS8aiTumVKNRd+fZvtloCq0HtXBAarF3QN+UafbIIkReYXnow/WhF39+bt9SkJfmHP 6UzisAhI61fSzQGu1Wlzy48ObXvv/rw7cnEUp0niXKi+xGGER5B8aTvBm0/0SjYaYAneA+vxSPcv wi6RP37HN3XaGSTZ/25VBhsUmJM/fYsWvNME0chEIzY3D9bqb6gBlyvKBkgK39UBlBzFrf9eZKE5 NqQj1X38KNYWuMpw8Dw+sJoF+5fyBE0d2rCCb9kBmNt2qsqgj6W8qDwzGZ+mnyoElmg3Z2MqgErD CIydPmrMxUZ/W/h59ZYrb3y1ZeTI2DOrNS1n40MVM3TQWXQIvzvybP6EhgBe2mOR9ltKaRmp4l1O cqr7w5+I+8R9/EUXSCwhkaXHTyCW5I6ycyps4b5EYOcjmVWOhIfuUQ1wBiTh4MhI3cfALC85ZMCw Kwo5MseOuXNihg8oSNNABqFB5uZlJqvOEFhFdehRhOUSBZJ73rxpH5dR/kCK8YRHXWw6QooQzfsT RjnDqxx7nkAZGlnuk38+GysjEeTWX9STgwOfYE2nNQl47zX7k+UVxUOiKifzodzZx/W6mEy2Xgsx gIPA2iGKf5DskddZD2wqXZ0ielLOgrb1/D7QhesVfuTqMDwWdzXeOpwM8PM5w+EiWtYCCoKNOqCf INdqX2RIQZBduPimjzI0vms15krWmdj1cr3YHKn70tdvPtHIDt1vt8+wWG4gZXbkgcwynQ3E4GUv SQypNXKikBP3zjwjXgjI6K19fJPCwuV3p1xUlN9nOU+0jxql2KzfgEUbnKyeQlIi+YuRh0BeGCCn IqIVbFSmX1eo5s7u26s7t+udW+cgBc8lZFkfrfpLtwNpP+SrlSbh4xXrvW4mZDhV53ryDz7FjIeB b56fwJgBONhlYYpUkhJpk4dhil+S8XCrJbDRnUZlOBZprFWF824l9BUl/tA1sVMKPu5lxmZ3+kLm 1jTRnnnQkNmlug2VOQhrE/2IvSGR3CjNuwH7PUXLMsxOc1+EF6DKZJpuwkQ92ZRTjhhh13rGQA1V p8EUGTqS1VSJDLkGXocIZPolxa1vpJ8okgH3ix3rNCyBbhH05g3/t+Bd0e/rCZXGvL9woMUuFdS+ aAhl3BCk7sdmPqh5UckX2wiO0BQEOknBcjOLnulBAY30YIm+SWe9kWlgKNnFMQIs5Gh+BL6yCKaY sVKYh/hoZaWRQhgiw5R2Z1ygklZsikj/DbrpwLB0lOwA023oDkoyupowxCpCgnvhsbaGFTGXwmPH WkUziIQ2axe7RAU7cQDmGnElgt7NIBF1anuIoJfa2WHIKDI0sCbFvcoaPrnzPZbeADfh8Snb1EdU z2PfSsPWgj4l1dzO3ulxTeJKWtvO/ME0LfJy55wagrjsdZLK8TgIevWczvItn36Uk6w7j7yPVtG8 CdtzKvm9jcdzQZ5v0SdFHKBx3MYiKJvlyBFq8nrvG44R2ryM+lywpG1A43U8qEEo48kWQv0M9HJE nEOe4IxL1ydgMKf+R7/0zbwVu6pCx4JO8PFLI+x6XXnvFB1Rk+IiS0X7TyVhFIHa4tUbDnHrrShZ RPsJhLxnFi8yoxEPoHmZa6k6tQq6qr2cBTqcvWfLLsOxS9ckLNPmhZqbL0kTVq3rwLeU+ernRCNc Ne0U2IpWn6anwlpdEjINfZ0k4Rs9gc9BFc3m3mQ+93Zj2x8rftgnb/M0dFAr+YcmMcmr6JFgfxqr er8iHAv3IrTHo4gpJgJdmmcnFDysfxDIOWa678XRNdcbiDuBMBexcNLtoOcQ1MkN1HFEFPOoGiXZ tPatd2/kf+YlWf+jpuMkAiz62C8cZCPtZu9yZ+KFVISZX/o7XrIJY17E1yyMVZWSLi0BWFyntBVf lFB/rUT95YOvTey+BrBBzESdFLhcLFt5PVJM3lxUfoqxmrLERSt52014/JnoNdJhwSgvSS3QwgwM k4/DY8h9ClYjrxc2J2PJZvU0MZsh7q8Llt/m1Onfgg+cBc18oIi7lOK0VvIdOq4gNE2bOn8GT80x XX7C+r5dCrOTcDZ9LHB3cwpT6TFtDqnV6ic48CYHODTdCar6138kayDSNJo6POQ8fmdUfQ53DjDt FTWbwwhMxQRApeSpbxsNXmcHZHDoyD6MmzC2ZorrRJ3hTe7y1WvqrVwDSqSOonuvsMNkMzSokt5Y 7t1G7CO8kGqXVuD3sKEfjsp7WuhyTnmGhn56Dp9P4L/i0Ck60t64o+VmOCF4XyxJfvz27DXXoa0p GyE09VL4kQY9eJT27GJX7phMffaQyxXU0X9Fz/BTirwgD19SMPL8xbtcTM/z0DzxI08Gjt2FIVyl /fkJen8o+Pn3SswQXO2wtst1cKj5CnGtjXlPny1IqF3QFfKV5QdRG0w/R5cT301ACz6R98Ea4qM0 fHIoO/3WxiAqOHHGmLVjMCq6FpfX6UkdosfMRfJkhS8Xpo8SNsuyqCLOpnP1uOpVy6nHdAN753mT SvUnykuGP3fZX0BBRTQ31g+Xh/M8o7UfjmPXrMEVGpWThhllGy3tXLlgAKmhkInTUfGSCo22tDR4 J13+hVjNJYuj5lBk+Q8rYLGVurs+aW5yxgWBrrcM8DQexyxT+5pN8esNF0TM3uDdNSCNOWrGErEl WWXsynPoomFryZKMr4BJwWrj3V5SKpSqq8qABHAPy1zgCCH3iuSEhllJQn+ughF5vGrlsSTUQDqm 0+Tek4iWyii2FUAvqrGEqXGCB6oAjpUk9qEmr04NyZuUTSIJ+Flk2QJSu8e3Ol3h04VeDZomF/jQ 4HTvtPZRDa3TnKgVpqkaVLQMcSek8MbwbKE+VWVQTacxWNxCycbm9hWwmSyj18tJ58PxORl1a007 YGqXLW6k2zwscElCIwbuE7XML6YEtaEzLFS3vz6BoYcRLTPHRHGQvk8ym7AomkTyHgb/ATqkjygA EzrdaZyfEbG9ABIGVKm/8pdmnRsFe9bFDE0yj+ndoAsXw+f3HUTL21ldLG/omb4wDF8OGE+Y3bNV YzLi7oPQp4w2opzqcSOVrW12gRNH3/wMWAhdBLGOqIjWYSwVuURE6MnCWCJH9qG+E314x2bKjg5Q YtueDPgt+TUrGDZXd5lBJIG3zjs2/JqYnqYPYMy3/yhsfWttsQ6DDT07EbpMH1fOlWxdwAhsQWZt FV7UN3+STsp7JUV/JnL2MDeSMqO85gFLSzNcEiFpIJ+6lyvIMPhKyuzUs9D+Dn6JzIx6fVVozVuy 3alUGg9q6ZeP4fOc+sXLa25d5nqB35z4EZJoJug0tGX3fF0/JbDu/ZxtQ/z2rAM4dU6jkNeFohLM 9+DoeOsrSU7kUc9UDHeGAqkNnWy+M/72vyB0ycSk7p448yixlYzQTq0SFhJuMhdYmO+sE1W8ltmo Zu5EzuOEUEcf4/H6RygphwjmmXKsniVhyxOI/X/KItOntxlMLSu0SsNnNHPo7I/wlX+rUXcQvuAB 2hMAzqMNXNjuYfPXHhU15CEf8BaRxU/B5ihEYDFY76DkKKFg5pkfCf9bc3sdQ0IxBZh/3BJJAPtN k8qCYAL0SyaVforPilczl5JXfFh8veZlX6pqfSTp3/BE9moViOeJtmi4nHKxyY3KeF5yS4aJneXQ jbnXVXjD7NllooKBpBCN+fqCl1+1P7OhoFhVj/1MTqwnYHX74gP4SCQ83TuC3D+gMtxW6+1RSRd2 HVmtn0NT0hZR+Kq3It+gilAgzo4F0Y8gwVDqxrOrXV1G2y8EWjXuYkoBE3SHxyZtCne4P8n/7jBK zfPxk7QR/BfmE9wjSY9qeqg1bbhqiDQtZra+JsLlv03WSlGayOpgddqM/Jj/jLlPCfhn+GIxL59C Qq3GXskwK+0iOVC4JeLUJM5exyIiFXnIwt3XyvyDTy+ZBhJiG7x4R8eUj8MZ0Ne8HBc6mf+YCMWQ Ys+XmFZWRb8H/Weyys2Y4xXQMeWaYkzvTo6fWoBe8NRhO2ZmaWvSUKV9dj1bQRrnnNrcUs7IAPNk 7BI5wu523X6c/ZAPPEh7q6wp+raKKKGzUUpxkuFZMVjiOS3TyRuS457nFGGD6enIEwkKFZ6CmyJB TPAEiOz7D9TsSNNfieXEqXk+W5OpswWsJf5eSwXTVW+irD/iRnTDOckATX8sEYy+gvd9ziF3+I2i nAc4t4d3MDMxLKf4C4Rj0VEa+0MdupoeCM47fP+dDHgadg4C4LBuVik873rUeXuVYsAwM1DllThQ WoalQHRLrzN4xRMd+6iNtgBhL8H3HDJXwddDmSLE5mGxfsh48/PFDglwjjq/dOP4/abOqLveuIpA zvF033j//C5i/Rr/W2PrxATpxVnhaUI3Ek/JR4YN4/uddz9qENevmaLWw99tMmhWv3pJx1nm7hvD 0myoBWMThHskynowQVySqIz1c8Fnl1Sn6VQaND0s9UNVAPbcmVi3+m7Av1mEFw47SKBWtzcE8dkO ukFso7p+ZKNzfbwhtm4OChIYgytugtgWyDIXuJnT1CnETivXY8ZFQs4CI+wv5HLp/2h6HTo+i3dV +o5CLhvPNDRZpwooWNBfoBzJpnkdYc6l6mPQiZleiCnqO5pcUFD/BQDTZn/gyiXzWgLBDHWgHucd x38dxoF/tOJUzqtj68fIU6HJP3tqPpPY4dgUmTq/8XDyKPL8dua9R95rQac1EQa8qWpoNlhVXe8V rcCCApFBApe68Ax09rVjOxr9izXgMBSXgrzN0l6IAfuTedwTFuuBHTjcc47S+Nldfg8WelyZ2tWM bSoIjrMDwYBaHdzePl1IMYJTf8LixFrHtWFW9Ll6gVDXZauA13kzFquNMso9Kk+jsQnqacaFJ5ZG K6sIM4y77S+FQe+1/ARVe/0Cm2jwqk1AN3qjl0WCAgm1qG7o7rkoU7KSBinPTVdyLJtygS+3n9yo veRonpoUUc7MbH3617f9pYuoO9TtbHbqb1MB8piNOjl0YXIKcyFUN7yt0ZxoRzM8GiJrDgmKH7cz Y9yBXdvasqqaZtGnMYb8RorWRHCgN8FhqXlNjiY2DciVinjXFpsZ9lDB4lFF7CwlSqutxlAOW+F4 bRUYSKw6SpEM4oMuVjQKZkFoVsn/sht88PlTLck9m9QMZj2TJpKnyXygUfpv1M7lekCHtfFfnYVY ZWH1I++vaImQJmRoNFzE0gK+0cfPxnr6n3NIpVQpFPVsz9/cd8kKtuHaE6UryJVZj+C8xyqSajoW pqoGnEP6YnvTBeTpk0ygWy7WBjYXoWItz0s9kg65T205QKoCC2fbSXnWjQk04Uba8rtZn04ufDnz wCj2b15N6mSpAb2uaKCJaWtzAjyDE6aCn2GgBhKGD6lsvFB9zxJBMP5aYZBJd6At9sE8Oubx2mOj Ij3UoSuB01Wo0G5wPZ/278U/JDkpK2wyucuRdc6oDCa8ETawsWHGAFKvvQm+cQw9J5g9uAQ6DcqJ mRH8XQ3UIAM8apZWINSt3N4Yuff6B2HTij9WyXrqWP4m/cI+AieP/dNcie2hwfy2jruDzbOPS8GD eNFZ+ROn2Bjr8SDC0/E7CTF2MXCdnjKG8kB9Z/UTS2StS8OqSKAjd7xg7LmJhLqYWZW2FlMCuJZ/ Ux/pWKmygdeJfzREnWGL+syLLtKBhsOPDer8r3T6ajfkqgevBQHyX0STW9bSDfobO3YBzG/m76dx QqD9j9nfHH+lQB3nlM5gw5kEW8Noj2owCh1GjYTs4ajkAYwkQ2iyw9bE2UDiiUrON0mUBOkAgzRb mMwqwyC+TxAgOrlTjOfJb5lyIP2Gj9MwJO8UfygvpJr423Z6MFs0jtM+3mRkdNA8EGSZRaYwU++y k6yyoN/akLi7UnT7rCge2f2a5cEY/frq/lJnr5ribQbcte3T0ClBIuxC/mynJ7iTK9rw/TP9E3ok LuLkyhT8jMXidlfuBGEWdtfmS3kjnF9TVD3cWJFRswkEBCbr0vwb2dD286fZDV+AOiFPe57Ppkrn ooAwemwHt4TTmKr31XEmqLANwXHiJHfD7dnG/Ab2hNm0jJw2B7MzfjgpsdCRgJcLqSh4y4oGOeT7 kkr/6eDLqmV0q5TsNPpFA2b6wd6+dOUYp3ag/eYa0xQzcAvncyi5PzIChZz8tGcz+8VSf1Pot2eJ YV0UzCzfRzWrwsAkwaD5jrOWUJaedoEB4KP4va3qb4AOOtlF7Tg/bm28iwLuFZ5HMmq8tMJERG1b OehoYSZjdkWb5k1CLtqd1hukvdGP5WHWDbfT4J1gIPfCQQ5sBnci7ATg9crlaiFAM4DtnpcYQowt 9MaIF3FN3uQwuQIJfKnoT68Vxftr0hMKf9T2H5dv7Cvs43C3XxoDdlvec429dSBP+cLRcAonmZ6k OEtGVWZiK9okkzFMse/l0DmuztHpixosTyjaXGfc8Ge1UoPIV3w582ksajrKTQQALn7UDYhyG7rZ mdU6RvfkDkFrE/+IJowH1WO7h9+5IBPjhv+aBuAg1MOJC7eaJoSIkZqQccauIMH4rxl0NU2LWL3N B5H30oNwaQIGaBSYnNWi/fLLupY7RZp+KnlDmc/Mnk+526zBcoPHErAe8oW8/clK/8sRR84lPyRz 0xF046mJeBMUcTtUYgU+OJUV7asji1xcKhyxN/5cm7Tn28QhjrprS147ffgbK1iY4hFd8lsOzTiA RVoeXmmg5i08haVdB2W6Cxev11k+As2umTlq1i8PZzfonrHvFah4U+lzRUNTkWLeNaZsn4vbT7jG ChzTviMMujRFW1bq8tyeKQDbpsIIytm11GaJL1Ft+ZhCg5eYwXT4p3YzWxJe5VyxkGKiKE/zTZqt MhjvbCxNa1st/BGhU8D7gnLoyjoBZAcos8Fy4skKxVNgiJ9n44GtquBLmz/XUaTUhtevS58v6cXP ovIbtSl0aZm4QMgMWiVMWgcrINOHH6Dm2SoB+9BisPNhxLJXeGRDs5TCDzhL/Qr/eZjSWlftIcO1 +9BGI4Hp0GIKAKwvJhYqUqzwTMWvlH/iqbHuRntagap6jLXlK49ROJ8bMobZ6IaYoveK+AkZxZPM fZM6zid7waOU26WVx8/YGoWlGTezqiiiHEAWnR7B/fzdle+XRDBHLzQwHeLSH1NZ2mqiq9wFlI0f qv3Z+09vK88At+oUYOFRMTaXqhVKUZeknyFQvRaXV1S1p3sAQegw1cBjOsBnum0y45IWfCTCkK6Q iHJz+CJ6HfxIaDSext0baCgRIz5aijks/1H5PphAh3W0KKzVg5dTO3/9sxomQKwYhRkEmz3+70z3 44ovPQZ0oCedxuDd/adv29uBaDoTYttjlFhxWRl/UjpUHmtlwISUPtZgEqOM3gp8c/Mtn89iGVCI T5zH3jAZaPWt6LFxXyjivTwh/DGBMbM84WvxHL3l2KbA1IJHbBbdGeDEibFaAC9s2bAZXRDev/Od 2QTts2gotf+PnhGTDTkVJ++Jwwuvrsq6rLkrIaQBIPrZN+TiMOUgbXyHFz/1nDvpYJLxA6ZmG14K DaAJS99479BkKkKIaoDo1S7zpAYtQQRSBYhZP/LnFoq9MPKnlC36ROSMLJoJZ0I8ts1ZkQFfkW0Q Xu2z1vVBS0uyk3B231MDXgNsHVmy/yJaA1z1IpmLXZltOzcsJDGpuX9pblCGldfH4PLOuJbzh8FZ uFikCibAMYZegJ2FWgTnbUVDqh0pnEIBwnSYm77Cqq+Rq13X/ZUVtlqWdzB5hBuzQavSP5Xp82G5 txj7jcGutEzQG5cPP6QIBXziBiSAtr/X13ojIhQKW0fNomhMETXaP19mzTM37/PF8A3urqPpX5E/ 7TLVg24NgHwFAweUoE1NsvpbvgxthMQtQUCnLKNcxPlcGjnr0N9FKURFSxDjRItMAX6PaR/gUVqY mpf+6F+O6bt3PnVLCijiY2VvsdRjl7U48PCbOOS/w8s2PI0qKXzUmLYmoraSpg4rJAV6PUr40YIB gqbpGcUjH6uYeWpoSnNKXZF2G3nKiVi9wzK68z8w/Z7ogv5XBFqGUGsjLZdBM0Gx8DmGFzeeh/q/ Bw8XOAV9fz2jmLqZ0+jetUFWOXNoeIGG3KG0BfNPzc3BwYvAxqvdHW7zBUS4NZNp/CEBoFmpJLg/ hdgzqvTTI+4WOEhPRYreymacVwiSrsMc3SmYhoC0r+TU5EpwtaXJAHFUQsAQGYSH598yaMSXqhkm eVgBYXLOBqtTuAe5d980FKebH7pWpH+3yzWPtTcow0g4sJsVNJ0HOI/xdEfVl1clRvwXTQeSMjc1 FE50FGl4eeKcoJWvBwL8fK3lSPFw/0+V0I9Hm7oWNI/dl/8uiY/Rjg4JokBTEzFcFyN2LcIszZaA 0WnXSz7Nzi3oh7dVANZ2k3Nm4TrtF8kcciBHwCqnsYiSZSPHrAW5mavz5f+8B9HX7YhZbCaPDyvK srGUFm+57KEjLd37mS6gFGGFbbY00pK+6xEBf/jXkcPbCdv9S4cWYZpVyM1B/GRoh6N0aSbLJLU/ bfg5VdIt+r3JxezIBirAZeNU94zkp5duWQm5fkmDpm5eKq9y39fzFKCZoPwiyYmZ54VKVo05b02V ouax9s+PqejNJniJza/gLc3RSRiC3VYOYMHgM7OhRvuqX3+20f8AnmSA0iuSlzmwu4vCsE9Ye5kv lAK3vP24rbtcD7609K5Q/o1dNi6ZqSpzmtnc+KnbT19aSkCvwhjhNtaTL+xVnnfDTdaE7QEJyYLi 8orkqm0fMO9EJt1QTQUnKMJD0bH7QWZZdt3u+H1m0PDD8HMRH/G0WjACTKta1Pqri1Zl3iW7rDyV 5U3LHkTkpEJGb2EZA6n5z2y6tPEbaNoRuOOOmUcXMbFMsDO3j09bBkcAT6uU7RA/PI/geGZRSHSs LmrymBvq7Pej1GGRnpHINUa3YKJdd1JdfdsUzrzTZbUWd3tlBPXvgufCQzxaOL3dYF+L0x9rhgAs 9KwiQmyVfxV40QR1eCNPmm5V4w9tGBa0Rviu7cU30Q8Yn1vBfQHhkxOiiadbRfiJ4YJrdZnpiyFi 1/bZsGaR+xAxRXNLsZ5otrqeQJTzqnRHR2gI2khBZ/yogHG2geTVPFJBcTYrZ9JGHej4GrDcOFRG U2h/ReMT+LnMgF0q8/zubkwYM312R1bEwsXBzzamUBjvcKmNHL2W/Y+t26mX1Qb56gKNngrh7Vvu eubPYiQDKaFvaSJst8kG4Ps9sjiw5CSH8YcR9Gcb8Zmzx9m5ZsXS6ooLS5F3bl3nOgdCd4lfpdNJ OfBa9hvNcB6OVQzrbBpAoVSbqbninIaMXumCt5mxfqU+GHcQiDRadwnjlE3Hsl6TFJ0aPeEsju3A orW0gUhRy/eBLTEcLDViZkzN5fV6jBWPdFZRQCNSu5LX/UPPfoJwPV179yLsB0WoLiH9I8IabjAN oBQQl9i+1MR+N9mLs7UyqQW5Uw+JGuBDP8ambByHUO5qJFbdaScD2Por3/1Qppf5KJ/nfzOxJTev ec0GQ/urTewYNIqou6KiaInfWEVDDKKHZh3q6aUZphAx1qizskppX/cNn+dRR5pXfRkKPeJ8wWYY rEdsjp8XT8CBb04jLbifYlJDN1UXxHFJtxye6ppNYvQLxJN6Y9EAetnygGQNPH14gHf2busCcUKF ChColRg4JC+3SvraA8K7pmQnqtZnHu3OhRS6IctiHDK02I1PJRCRW2bseYXbgwwekoMinjVNAevF CgumOdG5RB3mfwcvMZTT/NNQTh2bW1zGvAynSiWdWr0ILhF8KwynGpt5cITUXmbeCTNMrxEy7gr5 bA+7ke8bYvnCMcCZmNjOZCCqL4X1zAP8/o3+JbHbAskUrelzwqxMYdB4DJXnxfL8zDYllgWjg9cp 7Ay8j4FE3s9Qvz+Y5BOqgTv/WwbflFkUtFyGO63UmmT9+ROsobcU6Deyd4qSwOFcOTmoD6orHFxd PfCT6VShuNshAsJTfWJPkz3ApJEUR2u2IUlfz5l73rGg4bgWtXblsiuSAOIOaShIFb99S8CrUp/E +vjdTxyVFouclk7BdlSnEl0uau3RH6EuiDBBk0aW2jSd8+WnhEsJ3EKbFfXRHm0qb+po7xhUWMpX atheW6rKoUVIg8UJM2HJ9y3Dq0mg9A/6iUvM9d+Mc1hEzTWNR5ZE8tFnT/YzIK8Gt15oV6F76aq3 udk+GRlzyYvCSUU1xElr5FXYYQf2Otl890B97XunjO0N1bdlhZJc4PG54pprRPCYJ31bm1gSJlqR dPHVFJpQr635GzdMqbBKjn5CqysWsbwHOtjkh4+1LFm34SW+DHEqYb4RR27R/XVxvwv+UYiaG0DC Yvzn3ww8MvJj1rS8f5o4mlM8RPGcwHk23olvhleUwjw3r6611kj/E6ghbV2hoco4LxW4BRo1teur lNOu8TILn8zWAZTtmhxCz57n1WKTw25qmJg51gIek9nyn/SYodiVLNouOBcgAo8o7rNdlwAWFaXA ZxKEQSe7t5kKG6pW5EH9569iSla8Z1g0A2mmUShtt/3sZE39tg9OQd134n+RsYgwPK1FwPjVBrFo mJgpoSe0AD/NM5esOiN4lC1JyXfPEVQ9qFfR0MQmtysg4z5H2sO9lpmNSIuFnrWLJ2o8HaWcIbye vt6nnN7O/HgZvFLz2wD8q8Fn39UfqdnKkY0D1H2Pq80BvUHlvC8oRuEtv3JP2R6oqIP9kObSwlfP ZeoXOOeEd81JRrMbrcBW8xbLZS6ZK2tsbOCpkeoG8c7JiZBoY512vo5W+f2y9TfMwe6jkZjrcCf9 dMLyYAp8nx0n9EPPnqF+1OREgzyEyEOlHcWFuSECVQs+lSt7dxGuOLo/6BtzXvt9nNXVYFRBoqVl 5LrZS3INElvTpNkgovJJEq67RFU0NKQGF0IU+eZFP05URjuMmhNTAdTaDLjiJwhnlDKPHlzTYxSM v36NBXFkvjGROCmbC3P3+v+JKWUc2EgcjSYbajPbw6TNMqItRmJd8bFoaS1EsRw1748AK58tRuen 02EOVG0FeieEKrFTNkaVfkTexk6TSpWVv/wk3bGC6cO7s1JS/LBMjOBIeb9DEWRsyveNcZ6i2eKE gRuGg192WwbSBanS7/RmT8NTmHL9pyCSl40seFVucDqerx+xu0d55so787PCTZ8eHeisvBHuorXR joFTHAqZ5GDA0nvKSP+Sbc2Zsl6WjH7DATYlneHuYGDnoi3ll1w88acTiDfaupz89iuwhDIAjaEV QIVpY5bbInATJb1TC1IMulO3UPV6CNciS3O4DW/KQ7nwa/N/1pAT3TofLtoy+Zyps6CO6EJP3U+U zjAVopI4Uq045IJOZtxs0RxDEE46kzOli1OE7mTEqOMU/PHHUPwzjNxoMU9OjmZP2aPI5L19o/WL 8dswLkU+NK7GFhzttHHrBJhpp8PHBtMNHUWB8F6F0ooh3Abf1huYVQGjutxr4daVbougNQ2DMoYs sECugJscFuwrBPZpi7Z2sId9h52k/BVNVht7Ec4mavgZ1fH3Of40gH6hjYazAi/K6601oFKN0jgI re3kUbWlc4IXf5YShpRJZRXYgHkZvoWSVl9BtCmpymlWo/0JKm8Q4T5eVY7Kf4cIGuH6tvGSOuUO lbRVTSgOiweCujjBO4Ncz+tDCxrItPtMpnKXVh6ggCQ1hpOKcqP96VoBvuEjuD8b+BhuDrTLuT82 aWgN7A/f8I/4vwJCA6dJj/97rCoSVwIbhnBwONVm0gGAyflVKxnIEH9rglrUfv2+8JWekVQ82X+t oWkebz7J6tE36a7g193vWw6ztDWBd3aSvWuKhnNlPjwTo4+jEJ6kQmYuL0cCv2QrLwb6hI6PHmed 7g7mlEwj206jBDWlSVrTpm6OW1Cg7hOljQRLLDRquf5lYKclKUrFLvITiHKNvaYWWQ4TB+e6TkjX c+TvdTqdS8fEYNZ38o7yg1ydiEhDGxaZudGeOPtZNSVpAC1IFAy4kzRw1/wheYAIGorwoK/YpYfY J2+sQUL/QdG4umMtIswNHEUgZNwtIg4yHYlvw2Zvh4avXZPujtJWkZE8RqyKF21cHmK1X5xmlKXV Gyk6TJDZa5RDGBG+1WvA7b2VJOpYQX/cfr6YrMEby90kwjToEdv0yJJLhaVkck7inxq5P58kEodM 1krueHkrM8ze4fxTx9Pelbwg90b+rKOGNwjCgW5TF2D436kkDnzeGtfNL1IVJPZvQuOhd0KfWgim Ne9S0WbQxMHCwnTFqumPjZBGcMA91CKPzUpizyGQX5cW0BzJe2RYVtUMRYjJHjGc+PI9S1S2ryQ9 h0QIo/i7V/FA6nxrJ8Cl8lAZEiTgQ+djvaZr0WBAoUxwr+VobO87rCqz4Eb4fPcu8U/U7ZKfVtix O00qiAIPp3HZEu8AvSmYGjoooarzZo56gVgfdXl+sfVG/TYyt0U/zaGu7mKQ8FvKTtAUhgVy8FU1 4B6Y2wDpcNYaz/jKraI1O5cOmwEgBnc9YWnAaZDXl4YVHplR6witQEvxAskjhV2UMysQZRpHTj0G o83XbN+0WTNjbqcrB79EgCD33XPiFi4uBHZJv/wde+59jVyobTToTSR8PIjmBMOXemeEIlHo3JzA Oszy4aXG7nsuOeL81ImpGcbJViJqiSWEAJadHlQIUtdNbapiWskRuBh9eYlztll6U9Tvs45JY6ny aLrUVthVFeuwh8/nP5IfGSGgipvbSobDXCAFTdjsS4a0xGPZl7/jOoKZHVz1WQk1C2ZTxVoSZWvq l56DcoyERzVpM+Rn7gt1s92zTp59xWAgZ8Bpq8t1c2xl8KEGrFkZEjpGbALjOiyizdWyOX5K6f+W tAt6wxOecqj1pkwNLamdClowjPvu7VHS0L9Y1nU6sG4ItaWkc/YbgqSi9xKhEuoq85wYfaMwsDdT 4AGapVEx10GMwCRklUVAO6cxL63HN7a90tCuCiY1rq2rzJ8S6lPaoi9Wk843jpgpRjZR+0m35v5U oZBObBAsqg/56kohI6hfVDKxTlGXHutWXj5vWd1lq8irY9YKqgtyf34mi91JXs7k6kuGOzhdrnOy 0wdkD51FdkIpkmMEKR+19TeSZNmwEKaiyNtX0WT3DIOlcN+HES6vdkI9/nqhSAZjs5NgtN4Yigt1 +sGRuubdgwpqrow7eFh/QlqzN5NNs8o9YQSpOR6JYBYCzC9ojNKQYS7dSSMd786scB5iZTtmt5Da VcN0/WDrADJOdUSCE1P4rS9umOEZYvsK0DPCaj1cPEW014+rzmZIkxxpAamwcIv1xW+Ad6TbTuJn i++4oNwZTQxjqyfzS2yGYtk/a4Tvvn3ba8QEjm2PlN48mCyNMM25AqrzHAJvi6ZKTPwbUoTICpUI 5wTCVZddHdONUNYEJqRNo8WJw6ip6m/QtmxX308pHZ6BQKWwKB/G0JD8dfJTfNChF6vuaKes8ppE dmZQBl2dtnqZD2HvNChZJtx9hdNS1nLiSnY7zR3EBKkHnZbYCrCE3xD+TcTwTOekHpegj8SiO928 SBl2QyZS+WC712tap5yz7P92AXuPKezfq0M3cPd7OeRwgxuFYbKNQpltI4I8bwMvwrdZ7U3fXWT+ WiPvrLw8pKWmeqho7wljNLGZzf4kUCk+K1uDt5KYkDvZ236WKf4b59PJ0xw/CjoL3qInBgmku4fu OrOTz+YvxOkMPVAWSznmioP9kC9NfbO26UWRgaAGbPxSb1Am1xYHOOQWvME3hQHnc/GqDmnXoUzn th1YuOcJSWqO9R3oWXCr0euc1+rQ2GFiizKP4ZWLjjsLRR6oRm8R1n04WZbimQwMN50l5fcOHFDf QG55Lox2lGOVD0gUdXdPnWn74l+UNlJGjqJcTX+BhNkuZlWTEZ/pcfNz5h296np4feJIw4DBoGE1 E0dJ4gOSuX/QN5afS/xMgIE0P8QmAK44FByk4tpdFM1FFWqKCFNn3w83OcsuVgqcvdNaS3+Vgppw S7G4hBpO0VfJ7Y68O5cS10BcEPj35NyB9agUtxEai6azP/Ty0znbZeOMqAEi7CFPIJRpUS2/hMrX 9vdUHRjE3NCcba9+3tebwlsDxNPvHXkTE19e4UTTapFo05YffHAy957gtKpbe71ejOMHIO2dBKOT UJR19CCx8GoAv/A5nlnfO0a5gcj4gTzedBornZKTXQIeO1+ZTewKieUJAffdjclX7NVqpHw44Xs6 nxmPIHO1EF13OImN+xYp9iobzPrJbGZyLCoz+mmn5dxcprhY1WhYGcnjAL7vL6jOyWLqTdp8Xy3A fHL2S1Fzj2xy+24ASr18UKlaquBPAablU75b7QRMDxYe3OToKk4YHdOUXVv8QMdq2REhrrMV0Dds fChyBYnQ/bvtgp7XFkGNFoqdOpcrN/zq+Dn53YpkYwOi20EUhe2vARq8mcctUkB7BojLMLh5sTyW hcExhxZ313Xjd8/fihi05hHYr0aYlqzn+KS3qQLC9t09r7COETu3FwUb4jGkBP6mY23GgGeZrjVI x5GVTv7izLIdTDG7kQmh2OVnmdln6FwmlTRsyRgId0Rz/KHNRk/3BLYu/Bk3F0UhduDaEBeaANHV yh9LHVCNGa0k9n8xlrI9jg9nO+jL/zO3ZRraGNXOgZIwPtVpxBfouc4TgJwNbxg01lhmQ8T6eA2q CwI5xN+lU9dMZ5+AqFYv3d45xf6qrKFCR8OFfec4DXeQNYN3ta1ef2gAdi6/OtcQEldVPBeYsD5r 5M8qzYjb9ZNCPEF7CfQJQ1vMA1mPWdhbhwviBAY7U0fJraOWSXfhD64ge8ZGCvpj0W1XrHcSxTi9 1+MpKcXnXSszK/S6fvbCBQ6zrIWxv5YM9pjKemnMk44Qnq6KSBCP5TRC4WXFDirgRZ4btCEj45sd Kdm1cjYAVuIV6dZf+vKKwQAFZcWKNZyuGd0/3rz+bYvyVwS9AiiufqSOS94Jmlqs0inSyQo1WVki QRB6Bgm2prdvItB6TVK0/r12zRw+lSo9/AjVzLsZCB8+KjfhCSNZyVS0wLWTH+ZsDpJYVp2nrY2Z ev0wmslWqX4NxiVMQqC2zvznF9YmdCcdDK4Du5m7bOqPstpKKM146v3Wd8VzmxY4NlBtKimbxAEq 9/ghRh/2sVHMeRufi4htPX1Y3GYIwetZX+/KXb5hy/pAiAWjfAYUPBtgL6RQrpM0TYRfeBCUsOVD 7Dxvsl01t1U6T9ch7JgOLuHuRQruWfeF5g5PZheP6jJSmYMpVc0/jAuj62SchqZppBg1+IRgd5Y6 s+RS573w6vf6EYBGqonatowY4h3fbURFNTF9joAcnLs1t7JTJBwQcoVEjQTxS/MJhqM+NscW8kTf SA+7JRsOufwVdtP3YCGkLw8qnHpi4zO38Bc/yP8SukNmdTqcJ0ikwZSafKgX7vVCIkZMM/kigZqo ffATX0jS+Wr7Ri7PpdLecxpTTBxLn7nloNg8bwVQtpglRDymV68J+0pmwoJDHApqEmPnACpNXqjB ooBdsARI33Mv63jNHZ3z9mEjwr3i0n5NJ6BWpk1pQ2AuyaI27kbFxs/7vj0J9FeXtRQH5iqL/eoO chPU0y3WbaYqL0o1tgCrD2am54FJYL1ZO0hPme4c/jw25mvzjq3tcFx+bt/VCTg1zxS2+P4jRbUN 8oRKQ0ogyqvsfTHQqpNPR56TFR/EppdiyjdB/x/tKZPlYMDpzGDHB0erJ2ecDLOcWTN+q2jEnnZn SAxQkBn7V8GTBF/4YMZV6UI1UU1GWfTQCgAmFx6ztK+ICTCprZfq6gIbWxKwkNZv6aHIf4BRBDGH NLXJy/fS4+mSYJ6jeZBqhctk/SQR2JGaJb27Ash3fZafltfXiuO24PrjOn4f/zJgklZFWNlEsnAo Pk3rKoh2gTi2QORCF1Qy6E7KTLPyTheCBJ+P2CgTeeOpEcdJHNgrbOrF8sFuDMyqHPR59CYVbuSn oRfxosZmIw2vuDX58tjUM0AK9yUg+7LCwS8RiLFhsw/kKx5ztl4dHsnGeA7buxk/OIEtABeRuN12 roDECxWIxefLMOoasiREb9OxXAu+7CBJQaA5cdEkFnlZxdY/uJ2Wr3Dis+LgwWn3nEmR17rDJGQR mBhLCSLIhLZ8BqcK8kbin5eSvYBE7SPNp6sEcU8fLorE2KWGTVZs2cGhh3zIootPSRqancwkUYLt /5VBPxBVHccygcmHYv2PxdXvIXu8PA/ti8uPFwVmcOR3qNN7+y3XKOiFcSqKhRdgea4Sfu+TTliX tZ8Xfpbni6Tt+n9pqrZgT0rrqVehbpqCF+oHoaS+fkAP14rPeQKXyyedKsc3ZRwOPwythH3cdNVn cuVaQRVEiBfFxUJ6pnXmyZ/tePT8tos2PoAQiMrp8qjItSNnWkf6eLhri3zYi0sGlXX4LU2wn/Oe kTIh+wJ6lrY0/RHhG1EhQn4TQTVAan1+mnhxeEF3qWw3UK549Dozc4y6cyyNx2t0p96g5KurJexS 1Oy6h3rRfUBloiY09aZaJMtKWPqnfZC5P4cM5+c3tBfSs7uPv58o3uINXl5kVwobx9NVuASlQkpt IXH0Pzn7YsaQHQ/qzRV4gPjXJCCR9Whjuc0X2Rdwozkb9LkQFXAqMfRv8wybJ2jOXcPO3QvVmN7s BHrC2rWZw3C29XG4FtnsL8Frxn3+ZphUgYYrl7lSRIj+NacRN66SjFJI5GAL/WHm+EDhPy3+zD68 xiTWw3lRWoPdGIbPPoNMqIa1RVPDTdmEXlt6POioMEgov7ba9XdHbEV+vVVLGgKUh9Aaxe9NSI4M bM+sVGC9VIF736lRlp9UaVSk+4xvTF5levM/+ybLSQ66h9uTuDjAIVER2U7SHJu39IV3yGg3zTDC YcwuxyZEpqk7mO/26CX0jCrDlFXBSEHQAe5yXdiqclat59H2ZxGVklzP79Fi2B4AhXueNBJ9Ulmx Nk5sNWcxOA9Q1NlCSBuXKEx0xeK5Cte/SGnDh01s0eiYyuNs49VRrqUocZrKQ7e3AxAh40LWEvVM CVZnz/LmopKm38syV8GD56ujoWhIyFgvYJ3XgPlQzyxz+e/o8qeQ1OgANdhl2ngEK3RYiFgKb8O2 L0lv9wqXiMGGpP5JtpeaTsgOdV5KOp5g6DMODy+raQUBnssIoa213kie2jvlZYpv7U6MwGWE1I9R V8I76gkXlh5cS6H2yuYaI61zC8HeV4xJc/okKFFWXgKiY3Xn0/MvDYAp91cwXZSmgGGHzzXl7k6S vwyrVv4nTaN5jmc0VM9gk9jvqRsvmt1N3X3L6fGIFzCWwfE3gtybrHCZnkey8/TzlqyMTnjpjcqx ZNXk5NvxoLwm0jzqxK87VINiR2PN/93RQdtd0oG6Rv4pipTtgQB78+iAoUE05f7bGuHoEtPQy3ey wK8aBRURK+JyCSA+26j9TwGXQ1HteHyaTDv1v/oRdXuVriUo7GQQaIKkhW/EJRdayCzBJ+9CkZku KdEQzY5HxvJbQbarTm1N2ItuAPnhthRIVrD3YWAwntxNHobRYCTSnifciRnny2iVWUPwRYOMUHOw WiTrn+wcByrpVYTfbMjKjMnAt88gFgghMx6Ih2KuASg4jQJZl3KAG1Xt8qLqevyksW5BMRZiyJYQ ZT27SFncTDZjbG0VYS7UfIyDCDEs0T7Ttas4RTXew+EMyMjxaGnUB1QTTVSwJv5UQfsTM9dgbUxr +wOgLeIWnXTwtizap1jMEeGc1b1tDyQhLhtNdv8Kjt/OUYKrfYstQKNy1CvQsnyeXqIjSR+Fn/rd himpDl3EhEUpR4JMWv42VQDAGH6CjJwDL1DCT72TZHfkBOjLG8fpwN74ypjCmokxi6d2x8KOp2ya iCz8PiWNJ8t/UmCTa306yGgT8FHkkMgsMY6vMkNtKyqigOxEnZrOdbAlDVM10k6+XfvngTX9+wLM 763cbLTi1tjDpEUwCj/2U+l+G136rjkuubwXJyJ3OsdFkUbC70YEQyw4vBfuBI13qiuDUgWxUiJY P8iRuRWxnFGqPQ0WgmkfUdxFzkflGkLDUlE9mtuUguUtRSb4/EPi9NTXdyiOQr0C7COy/Z59gCqj XO2v+F+9FCag3VNiqz6AOkn5Zm0V21CSrfwe1MDi+B1X+ozVnZ4TA7XrS5D1FPkJdlPrvtlZKZpm /UYnPbEnHtThQ9EM4kQdVQl87jKJEE4RgKDaQi+S29qAGsrjQRh9o37mML89O5Sk42OhcmVKzLNJ H6aRnPoZfQ2Tq9NtnaTeht2To23JFkIg3lIugynw1hXi883QD3mC9lv4hzSzOMu9FPhJnVY5axiM O4F74+AP4j3flRykqZrr8E30Zps8kF6RTxfysiTU2Tu9FFXSVam4QEINUAaPsurQves8NWTYxAd2 3vOGslTJpPeVUP5omnkepRVBNHcEQTXSMO5zhEoFnSmSK0q66ZZotBKcWDwC4SSTNAtAlDQkKIT3 nv0C83vIyxzw3XOFWlse9XNJITfba9o1gmo/3/uayLciMvLBC9IIydKCi0nisgZxYEUg0xnno6uc EhUIac7Ozz+GrDwL0iRjL/CA9pX09ZJ5+cq6iXqZyxSqXsX5TdzT2nX8D9QKGPBBVr8UJCoEyNPg Y5lr+aP23jQRm+imTnqGiXDbbVtk2kwJb1Ph82SOgeR6nKpyQfTppzT067SSL6Ykaa5viW7wxidU 8HTXLo/vAdBETLlLNzZK2YR3SlbDTiP+bhUoFWHwiYsET2gwcYNWTa/rTyVVnLhuNk8MBzVFafM9 A32zUzbj1Kp5640WaPLNS9i/8n8pI2hhTBzijcKh+HMLkd3wYt4QvvMPUmeTEDeO3fE9TJ3PnQ6G ouUFMPyijuqQx4NBWaeEEWOGnQjUP2Ct3P+meHtXK7BdccVN7GwTlojLUwrzFQrzUB9nU/1PlGlW YAgnftmp9X2DHckQp5TlAeX2cNqv5OVSngb5rJyk6daGVfZlKKXSN6A3GcwcdYCFfTwhV5GPI9mT fjX3Sthg5komEkrrB7DRUXZ5Spcx+pJSwpDMECspGI7dmmAls70whPJZIvJyAI6DsKLprDGpEnGb HtZENP0fdDnN45NHOJHPI7xMeAxSLNDYF8MpvfIioQAuLklK7fhNUm1bQs1MGS1c1JOh+IDNyyq+ bsN5tHJ1yN+CuCkDHC8oFvs/A8QMC58TZIec10UYzFWQU/Fz/06idFl1fWSe5CeVNYVLBZ0WU5XC LY8P0AhWiKKE/+Pia3wNPaxbonT4g4ptNTLfMwfqYeHEcX0AuHuVZvOShMOXmLwuIUZrxm1K+rQG ggUTvLGShogB8bLfEXB1tj6BuFAOOgoYC0MVk52h1ynICjIFPH/CjyONBud/nkGo1IafqGk9gZ2y yGZTIPBoK9wnrvSQ5QS4RJchUbGsX1Y/cHMWzQwzTAwThxdxD5s9PBJr8Z6WXpkdTmbv9y0b2VTs Z80Y2gQ5VXVSFFdlggDsadtpfghXHRn4EDd8yPvg0Qbur28okgAlOBi4LmZ4sE4GPm2uiVGXTr8N hJOxOx1LGc8eTxaclMdsE7NqECc9JcOW1aFgZDoaFp3pvr6DeNDMlylkIPe4R2eFRZADhGMXPG7Q mPYeJscoXK+kC+ZQbLEJXTZbyp4m4yMkD/DlnfTkQF2nfjCnMPOqBhbRJorGzuvyamtoZm6Ln4Yk 2CLsk3TjZELozfU8IAIp4PZ+ZZlse5T0G1yEo9aTuokSWoCCLwtWR9Icsrz252wPJ4EqsUBjetVw +/A/l1fx7/99ybfqD6nOd7ton6PdBtVwwI/IBJaH7Dipq8cyFLHSKhl+JsNGJuskRYbOl6hrv+25 9sEbUb3DE6c4CkAKfyEGShHAzbTCOumiNbQteH/CSsDoC97T1dpRpGnCoAjlX3QBctWB8UdtMUsj Mg+vyBpeijAUYGZKZJKJ7v6C/+5fEwEctpq67fzhNlOQF9JToltLekGatZrzR6wfAst0tH6TnbgS TFlptM6EJV4kYHl/34j6NV5+28cvIDUtKgKoYI/O9wGdv+HPkuUa/5OzehwfvKT34urUVz+E7dYS TmiCqJjka+chcjJ0CtGUXebmQa+X7eOU7vSdK4Y0YYUw45PZUTah63Dm2A/it4qgAA2dIPpGfuN4 5/c6oLEW5iq69G4IOwGcAfRQQ1r1B00wCbXfDxylwIKFPxeIlnYSsogfSmrvyYsAAquEOdNO5fFD +svkBSSJBnYhvZ4/RBYMVqicNBllPzZAfWFRIwK1BnhI4NQz+yFdUnDmebsN9srggDJGRNoVZo/h IeTQFt7uvxarJxsNEvjwxTMVbq7exnCsVvPYXD7VizZq0s4FV30DGtMNdua6J/fn6HV8+TTeQYfa ZGWYF+3r9GpdijHyHuE7vGphBAqS7knr0IPsn4mx4oVjfY2iQl0Wp39QrgvisojhBIjKMC9soOt+ Ejq7b/MpqcBQtOqnmLXNzglXdVtoRiHWMVNF5sABlz9kSaQH6tQdpDTAqvb+eIWJcfvZi26bSvxH FbDq9jbFlgMS3WclW3D3XQFdpQBHrYj7KVNimgqKekpeBeureXej09jSrKh4ZMq20R+ECl8A+tOF 4VI3wOHbyxF2vXjTq/WteIHRaW50xlxNV+so8IUFjTootqIUV2HT2TufNjsCvDaMXW+iXNso5oGL znpOHHaPDTimWqzR6k+dLV+iQxDTJHQKpUhAs39F7SLpY2XiE3+8mMIHzNfHl0k1fKsmiIlN2lhQ bOhMCzyt3c9RMsCapfsgXIFvqPSOkc9QrhdpbCUUP8cE87Kg766h+7o0gwsO3lKuKNITA4suOrW2 WQPomTrHUv++0HwW9M7HqSGYAFoG66x+vFGpzGsFye5BTqZhn+FKqO8G4oqLGu8Tv6wNHen5DC/c ODVgjOAZr/wJya55nVSrjAGagLUHVNi1GJCQjTKqTTMTjxG+2k4dcqgfH1VBC+johOOUy0eWn0Bz O7Usbs6TAcmZGM48Jfh7JHfnjvmJIn+xPdLv0OMX3nH1d+8qSLQ9rZwnbcbbwQuVFHh0vLx64S0z Vz6iynF3s0evN+qx2dhexZiDA3aTRVZXTFC+lQJkL3PbjeaHpZVgQyYT0Pb6krnyq2mprtYz4WF7 /fdRD5774v9SS/YtRHetcxvqT/xTrfncII97mSG4+/Q5hDPUKb9sKXm6ymythPsjNsPAF8uiJQpP UoWgQdeTKy+Oio2Cd47HNr8av3RS/9JY62Vbj+XGR/VrDiHaYCPH9AU3+UfHJgjePH2HXxR4Z+LC J+KSRaPteio98m9Kz02i0vG4ECyUgERHtO4D5aBTZn6efJQPyObJXYrYJ16fkyQgS3yK71JnURjt Mh6XDvQ6XqrvXY0UYWsr6CupLpubbU6aa1bp70cBYkMWjG/1sdG9GEZdl3N3HO427RfvgksfR5zG 4Zp0ZeEtkujeM8egVxS4mcQvTDPqTRtibTWJOtqSHdCrQ5Tqb6IvHdl416T8Q+sQ53rr2U2Ia6MZ YrGrKjpvodf/lnFoGDqPwFbu+3rOCqZZ7R9JaxxyAP8kiMN9oW3vC+Kn3+AJ1/rwb7zzqyFxbW5I u9P0GhOAPVxPBdgynJTdgObuKKkklZfuNRyUv0TgPUqoVXjX/NWAPJFwic4yUKcCJ9qWX/rjiq4P bVYgtf7s/rhx/8Zfg9L2GlST/Qh9RZZSNfzHlkYDa9K1yNkzNXzmA5fYBOIgY1+zof44Fsm1a/QC p8UatgpH7LWWmu9V3b4DjFWR6j6hRjoKVSKh2FsrRyQ7AHwk4zT2P1m/WKtiskSCX29EkNQMsObT kPVZjjK8od1qSPVT84tgkTwof3ZerRslRe/OdNye10mWJxgK3V/2ctFG3TbdqZ0waiwdGXDajSzm seeoNr4WJTL2J1Jf708LchIXIo9y0dG98rFcRZ+kaKoWXEWmB3gtqxmRV3Bu7mfOLA3y8WTjb8mb 4kyiAcHunaEObg+R7Syy6UjOWwlSAwByBVU81E64fwGok3vigI0loJICVil6SPw257iyxqQqxN6f jfecBYsZvwVeiFAJo0e6b7+s7tGgSMH7V/Z75mti/f5d8U97JT3x8NqiC6zuPw+IX4b3Ar5nr1xo 3UMJxotAJPpgt3b+ERzNxuVp/L2wk346JrmJ+pCa1iXpIv8BqSk4KMPe331QCWH1kcCBfwj6f3Ui YZdCu4Y3rY29P+BcodiHtasA7Z1ZGAbJsE2ZqInrMSZsST2QZQziJNQv68JXXpxuiZts+O726mDS nL/84H4S2aC/m2VfzARBNSZpLyFu2jDRZZ5TtaZMPeI1vFzG+dFowMREnr6+wdHbACphCrCFbT39 F2LyKzR6UqJPLWjqngscCMB3mvGDTRaaQhN3RcOyyAtehCWNBjnKvoe1SbItyhtlN9URXkcFSUHa TxO9zjnanhf86Cq3LkL4PZSXPBdKddYQjrm+vG0FTBwqDoHtVMCtkjIvOjh0wj7XUKGlWb/oTmvW ZlGqeuMaqtfh/bTKy7n0frCj9q4prISF4j9Xnhk7vaP8mbBOUvHdjeXTf9RKdn3u/3cA5nHAXfyg d2gRZTgKnw5HzLiVp7mz8Mw0XdZhLeu7KSKacMaT5ephAtwvaxHnF+OHRyZdUX3dtgsVwe6ubXNB tDsGcWqSyrTQ8kqOF8MF+5CgYXYzUlpe4OQ0ZI8injFmgzee0GPBDkYllLpQUd8UdrSO1Uqg8PQm 2+4E443KCEFaocT+gf14hEUYcHZTXRBYUw8DqmL592eSe/Yp1yBDC+09HL+XYrjDHrYqDK6HH+vt C0oz6iBB3wChXQiiStbZp/hlrdARprkUkjRmkyvJHfrxCWcEvdoKaH/vVf2nHho3xDH3qmm/aCLs 7CmDuq+TG1xhKbtNUQoEdXVwIrsOKFZ1peBletO9QENxFGD+X4ufppfA4aOMAxbqPqmyzoGsGZBD y1JsWVPJimCFjp4SdMwdeGo0WBA06LoKnIKCy9rp9ib0Uie6yNDaNi42ZJD3vRK7pDOEWhtFdS6E BgUeUfu3u3D0c9XN8fG4Am0vFQzu9FOAEEPDuwp2DA0h+170h7bJexMXpo/OmtUtk8tZ2bFeO+y2 Q5SRnkvuMwbIxucMRMzvDi/wh8nMjaCdUqDviW2JsMwbXqcRiPkfUpWkvqyIycfzNUjnJM9Jgd/5 /V4iXslQcjcF7G4xZfR4uhtUtlWFOiikaaACADgUWTP1e+UPBlnhVS54DkCe+PoSWkesJ82z2kv5 BrkSFytkNsOmK/sPyJxMbw39048jZbZcm/CUq1j/zAWB4QOlzwzlhiVEnazzNfY0QsdU6xzp40mI 3sH7J8wbFsu0nrpoKx0/Z6iy2HXTt5w0b72rQ4/xSwCl3x2VM4p3rnclJbT8mfGGx0l+flHXlrTr zy7t1IIG7WVidPVZA60dgeJwrVN6R4MfvwYlAaScfZ3QiRty0fdjJvpY256MLVaxGQ5trTl5eorb paWT6tAVK/4q6lGY2984nm9Sp+A2xwhDK8tZVPMk5Mu9BDe595dLzO5fr+S2acvsj+ISW6ih4qDg TEKWFbB9HQDtTVZ0xz/FuZJweRrU0Q6fHV66cX2X8L5dIrDE4vMQnqUPVbbgBc6ILyPRNt4FO4Xq SMFeByWw5YuJA34V+aFj6VggoFcQOSE65LExfnnEk1/oVg7zxadnQuEoRYorlxv65AI4f3M5/qPM 5obDgHQHFcpSlq7/YqweIPyg0ddO+uIMN0t8di15EOcOnIUWFgA02EEmF4L4WaVhBE+xJd7k/vvx 6N14IRRundKiHx5V5MWBgbPWoyItZHs0Nks0NIpoLQ1wKX317BiQhT8DtuHgi2MEhaFamLhmE7G+ H2XuV1UCn4GR2K9l4UNBj/akq+2gFqHYuY6HsgKZCcdf2PYFoaxdXTiD3HeVmq+vFeNFwafvZ3m1 9IS7qsiM8Gi8RlvEKO/CbyMLIQPS7YPC8Ah8I7131n3SePrZzqQIYHoHiZyl4jqZzNHKDJJDwYg4 1xThwI/vMuJE7IRFqbsCY7ZW8o4qD+DHoRuY2dx+b68eJnV6JsGHC/a65cD2mIkJ9lHOw4px9btf 0YrVRvesDVg0BLeBPNu41zncpQzFfLxMgzf2iHelLsHFNyI34VH03w/K82qY5sK+ZNttr1r7Bz28 DphC/cQ2KV1gDN1Xi6MemqRunt13JQwHyW5dU4E6cYP0cRHrH0mfPSxV2GddaM/8o1PoStXA+8qu 2+HXBKA2V8U/Ocd879XGLK6ihMVzM2Sm8Dfv6mHSqh906liudZeoVmeZXWb+Ht6R9YReDqKX4ilx KONt40XfKurFsb/h/eYsHNAtNv37WHmHo+WfzuF8yRn6jnd0lIc7ropZ3tTeHP2u4o4F8Db6e8Ov s77ljjWwi57TSQJbvV7wgm7Y8aJgGehH4UA1Q7K/O/HywWI9dbJghpIjQTLXLlpgs+7oT1tpnd5f WLMCRTH4NkAiM/HmsYGGOqsLP7d765/cmSQFHd/IHWfmNqejSq2VFpwFCJYxCRPpVa2KxH+8uFsu /dc7vEdw4hUL1pB8v5FS1dwAbLUgwSQqAe+6uCZewO/q+k9By7iKGi/5FV6XS+GJYoeyV3zRR0a1 sQgw8PG3SGQj9DIdjkB5fKO4gPtldNqP67rZ3kNDAy2W2TzPGGJr3A7BX8C/QvHfpsXeFGOBKlOC dDrETAT+Ss8lMqJ6ZGs6FAhJgZHlVC7GmQ4x/OvbfIiWT6OSuJnQ/JakPLqxl0dwY5bnJyw0ottr TKocODDTkI+a9GG3V4o8ui/nxSrZ/PVI3NvH+LokwNPt2haSMqbsGAs3PaOUMkPKkkwwgrrYXT/t J0E+vhtp7SDuIW+bN1Ip1VmFQJ8UxAv431SAoV5P66Bvf+Y/Am5fDahBlqjJp8txqWpUfmrSNHsT 7e/n6P3NVYZ8YlNt0iWA40N5n/+3/rduuBUssZvds2fHt7FfnpdHGOTF3yRV0KMknHrXscyp9qTj dBBzUomc0f02RTuhCil09BZvBRSsT3DvxpcxODXGRtu1CKVLORLLNL2CukaR06J2Jiv35J6N4TBr VJ9xmKNjMxR2pQlri47cr6BwPJ5CVxahPdDeAJphnE6FpCaGmwGj7bVkNoYhrRtj4HC4UPsRfSe1 VfpwY8y6ByIE44JURO24QwZN7lvLRs8HAizoaHQGpk9fj0YtA7VYGl2hUOBTPW0lzmY/ghGuwJHR 3l0Pjq/L4rAn3Qte/ihv7x9JY4rN9xabvL5bQnsj90rXDd8MaFZfRG0IUWxyiRuL8KsdlrwtaiKL KDpyEyaUuR10m6H4tTqkqrKrhZ5Wz10kmbZ7cYZuKN0NeCqTs2YkmtEbO5O6032itATc0wBFzRHy yJKWKSQ8CD0RYwQmkUtDLiQN5HtFlXxLOBEZLYAc2N6hnDKoJQiGfCafdUC8U0VXhhwTGbHfr+KL wXMpCBo1wN1Ii4cbMavdvBr1Q7z8lTz+uPYHFyUzriYPIt0tdqLno72b0/3qebqLjM0qIPYZqoDb Oi6NyTedXNTcF4mPSaWyq1oQ/uaYVGbFHiake7D/mq7pGpvp13eYW0JP9jmgq8Rs6x45r69YTdhk 5zPa+Q40aMdvMafgZIns2qHzZHxShxcxfS0QPv3ItlZy1ELUzZEGnhB0vbLCaGKbGXPF+BC9ZfUE BXawQrhPfszTLVcCYmvSGSJDIUH8JotIF7T2duerQEIWvTEqIskvLnLdcAzlZzEBZsMQ82xC5Wdk UcWmPs9p8aRugzSVeJ+t/QqH1LWG5k2lZsdNfyIVNkcYKIYKkMsAVBscbD2q3DKMze/ZeY/RhvPZ xwijCH1SktguMsiaI5lUO0aIVSo6H06Aen35Us2Cl0Syk44lV3meBNC+Ki75N+8q8KTXtkT/9Aa1 e8gZXdjeg21WiU/xKzjVIlFZyrvNmxKZ6FBoM9psnaA2neQYuwHMcfidBFzG74zfswuv9hKKxiYC VzX81fwcl3MxFJcGjSAsNc8XcYX0jJxnYVYZwlvn561xIAdzx1vVE4nidEYkOR4gQj2SSfKc2g0+ wBVnz+jMO83pYhTg0cFJAfcXElaKd40dsqqzegN7Fz07lvWXl19/KiQqzLGa4Fb6OKNFkRuDMhdA hfQPWV47CA+ubTueUGkswevkLmDo5nVxvAgIPv7ex3sBgZwf9gLTblhV++Npy525E4JT8HobQhf5 oizpykMq2Bh76ucRf91TLZKokAkdy+R3/2pEu17Uo/vsHMpGCAlPZXYS8iL2U4ixc7GjvjG4kKXz vFU2eKrivTekcmAFOI62PcbggZOhyP4N/UMqw7cpzrjlnmMPx4Xa0TuZ65OQ4cfUXU/gn2qQfGPp rjkpYagAGa1WVYpF1HV+6IbtpCP+9ST7X138a7dZTWvqthvKNd8wuOYJ9onRTyNKJGHlv0n9HhEo Z/BeaTeCAyi0mWDZiC3ARyYoyccvyo/q/CK+FsbEJOacgYrRrNRKdHhw6H+ej8DuPDFpg5FY+j4b PMXo+QRjogbbjco+B4v3igV/UZH978YwieyOYizJZkP4vHTJY4oLWPj0DtGw9FcMuOamvW7NBQr6 /iCGYc0gPHt9p7x2GaMg7q4Px5H/4a+dPlMDXCjwAtt6Jy12EBrLUCf+CSeQT6f7/wxwg7ywrHM2 sm+nCEF5/JBwwWXS/0JhTkKLTKiDnvNqGzQMpKdVpoEMZc9zH7RAYmZ+qucEpG4ecKY/b2IJxJRi EshPa5a3LVlFxX5g0vE9hzi85XK6fdQJDCTUACt7xnDzlyjDBlAQCM0mXs4OChf0sNr/eVKUAHge Zp2yl1GtvtMEyt8CsBBOzzeXP8vBcoW2jsXnuG5PSstabX1hYVlH+w0E/YSa1ZnkYQNcQ2Uoc8tM SAiIEmhuWgA6xGLmy+kxg29C6usV1is/H5zFHMH9uRIYgrMc6LS4IQwA0cKTuYVEL/3SCcoHXeQW kBzRyHrplF4xGuJM8c4VSo/6BlQbzlClN+12GU0YE0FTeAinfSE/n0cRuBP5Jz+KAvOPeKSELQty cHJOLm0aimmKAUOBsfiTP60Spku8SzlCgsUhqohlMwIxgRC6V41vtABpbJ1QHMMwPbaYRVuti8f9 lSLmnvIGcaXfwl73sb8S8ReFvb5HLtRU1Ia6RqOWcoLtjHSpXs/av6+xQYWY2Jcvh8POov8cGhNQ xEdZz+QlIOKz3IGhAm2yl0BCDWEkUuIwnzui647/9dk6JHYVDvsgT0sAkEbew7FN8AYfvOYhXNbd 9AEhzfp1vDacbsDdLzDLozTWth2HwDwK/ZJVEVeGwO4d3QrPLhRTbnJeQmmsLDQcXBUHQyCJ5Zrb FJ2xcx16fpFtqgMUGg26uHoroYRcrbXy9oBDtEm4xWazITuYl7CUKaSqbMSPdcKR/cOYu3m6IGDB ul4MsS4NSoXJw3H9lis5xZXzoubio6VGGr6BGPLPZD03cdpfdRnK2e5j9AiAWHlfJdeyMMWPooWc DVDGzEfezhaEYKBa3YiUPfY1RbyJHms8IiW/eNcfRzw1L2KkDhVYnBj52dXaro0IitiQ9cAcNuBp xNciQDqXEt7VKu4WRHBCo/BWjLFPHZwSeV8f19gYevga7nNq/3otFT0xZQvPb0wyCI04F9vOhwPD WD5rokYC3yhADV7PkDA3/wlrN2mhMR9/qS0RRxTmv0JlVo7Xyl/chomAT3/IF2znFZMgfLNTaYE8 Y/9ty58bPfAaJ0Kuihki6qviFgP9u6CrmVXqdxk5fBnZsR/MZf6lPfNnxRGA/h58Yx/pgsEcOswZ 37N1Hjfcml8+jo+yRAPsBSR5xXnj2OqPAW+SBqchUDO5J1AROUBihZCVRXSDI/W/ZckEOfVjfeEc dzKRYT3gjiyA79ClY6dVOmcetjpY6inzqbFWTleSHRa0mfx4YWpn0Hui9pLAqAFs6VMK4KRsvaMo FR9FEmxb+OkvuNAlsg8vLNbvQDqe0kaLxh/nPraGU917Gf6nbpmn0ZvTX/0TtsUgmvoySKwjDHK4 74It2HiNXKxigrhd2c13Cx4lxyA3kBeco1sfTkKd3ozWwUaf2DDgVXDSa3W9HKyHNqwsl0yPMWCc gQ1+vsL+cRWOvwllkYS3AbsnFku7KlCVeE/sI+Cd+/WmoQOJZcJHYRnh6MHr9AShJLF4oGQv24s1 vNrnJPjOIWi8ENr2UeCYqfwxBy9CpButTdvJYsUCeDVPBu9hx7kj78+JZyYdpt797BGh/e/04kHA U5slD1It0z2bPQruFNsrSbWmMzCgKMz9nwIVy/IxIkMkNVIon396XcbLsr9KIhKX6zL35vBQ0wh0 qTKb9d+fI1ZGRJyV45YpqYsCAOObRUk+LQJJ9SXsSjiZN3NtWhEyYpHkSt2ELWye7JwurnCcKQhn kcqwuQuFIoB7RHUp2jQibkId3HUjViu8igzjF7ZcHfjHwhuTDvioxHzQFzMZiivTZP00l/nx+8y+ Y/o+HkNeUyBdpifzppuY/+AefeYv4kD/4KwpHDZWDteJKNZT/yDMzQKGDhd/HWGp1tOYaI+SVF1j GDVGUjzruvvSSJMBCf37qN3csW2a69l3es1DFEQ7Hb+c9IcNP6wDefVGN5FN/fAXguhNDIq9TUV1 tDoYjwXEyad+NeTIvZCThFVVZZxMAAo8gMIk7EGOlytw3/uj/w89UOUnF3hd04VvoocliHo3Cm9E 93m0WU4K0yWpdwaCtLT7IrU+LhQT1Iz7Qxt9cVqItx7lW/nKGnkvI/tvOwPo+Acxf04OX8iLSnJv XIIWv19YS1yV6D28gy6A0dw3Z6uLbBrv3N0eYDK+eIsCp0Gjv1SUN5XHlVsZjgndGN/5U3YMSH8r /b9MYuGgM9FpM5WLqnOdV8hDW4FQGB8TUrSEpQbpj6H9HOYX+Mkgky6rrWkLW9Ify58supdn/Izp 1f7X3BIiscfNUeOmTgqPorSccHlO/MTJdOCcEHKyrIR6GZYvtLCZ3uO8Lyxro4kUfbtoFm3ixTEM dxRjZA2FZmjnsFyXI5C76qVWo0RHF72Hjt+p5+2fNAESr4n4S9RQ+ZV+DbR28k7TPrrVcCrVS7hT Two0dfm+ltSndVh2XspQZK+8PvJanrwaZ581IWYdxMEpbXaiJteabLzFkvyyo/1ONAriFeJ/WJi5 NGHdUvDkA1blt8wCS6lpDiN595lm1a+pZrjFnnBa12xE9xrgsCObkZATXcYNOWy6tXgjiMaR/HEz tv14QCL9Uj6nLiFIiJXDXAxHQeETm53MFnUvHmo+m0omuxWqFcWRmng8bkR4Z8PB3za0N4y4rx57 nmTLCsOBVY0Wg71BMvhuBvReLEi5iMYZVd7gjI4wXMFfRrMAv6wxqjqsDOiCC2UjcBt3zkxAIB3l hBOEVqb+NDAzCTmGANNYfBMgZAYVWWI+IeVoJ3tvliYEtGUqk1Nr9o4KC9oASjCAB0MRI5JrRjvs AtO8R/qq7+4AUbn0+8hYpkXUJS/OlaUgytraYlPykQg/P/zVkWvC0fyj0r/NoSAw//AmZJk8djQk e69qrf3kig4u7Kfnwe2GvAnJKEn9bwJjixFsJbbkocpDzD2wVBMjw+KIgT/BbilfxwbNDgT8pGGJ CIZiJLxn6/qqXceGkgqEbYFdicy2mdYXcCUaSQaYlzkOgRsFaLwfbs3BMaWsFkbAbCWSx6JcxgQU Q0IbLCQfD3LpO3SKRM4uVe4QkhOzPHI0XUOVlF6HHcIGoDmr8myHzcQWLWtSjqKds4y2Ml1Gp10i /SeWM/1+aRqXEbIUh/sTF7dtzudX3dWzQM4VKaGD66OZZCJfDzblMgCurmfcsaMtzTXbs2n8hSr0 piB3Hqvc3HG/3wLbSDY+YWANJ4CgqIhwW03z0QA4G3eOVJGLNv5zkqaUNpHLUA+WOLkW8WUGe09p GAhU5Evda8tzhOW3a+LijEcCbBYZboFtg/Zl127m/+tcdChl4yLkS4bSCpEZoAS4wicA7vTUreI/ dNCRdPipu/peygGXZYYzbWp84WSb3u2wFgQi8VDp8vUrkPMQxKt6gAadQJrr9MUPXNQwG2Nv3VZ9 UzvwLBXbjQQ2KcpmXCp39Z2nc2UWAsfv9uekq3S2Sv/uJlBMzKoh0ND1lRzm+3/MFv+Wmv4GmN47 1y3G9aj6oGuiG+Q3QQ1mASRfFfYwZIt1/lekh4yLyjYSfsNTB1EVufZ3H1rkOgroLvfyDtC5Npr0 i+0AWpURlk7ao5OndJk19MkNCDQTo5mdzUYLfjwF3RiKc9gyj6vINIR9xWzFweIftNlNT91pdKXm w6LLo06ucgvHsVNNsp84xM8SbNnVGzxugjQWIdM17d3o9UIrn3mGoUdgLVee+6Y5RhGHOt12ITLM 79lmwdyRWFJZtti54Q8uyLQpfve/ZazTWVC7Qvac/tQP4IFlN/sLnzkh8J/7YF4Pv75XWjY5Je2n QmyTZxkR4kTE4AS3BWoai5cbRUwN7Hxm8tPDnZR2Y1fPzvNRwoW2ntjdHJGv1Ti6JewCFhwgH8jD hWssyqHCkGXFhtEXDTIuo9YgWfIgQHff03Rv3m9UyGAa43UBX+iXJ1xfjLWjCD0/zaEgQHScyxY+ mhIs5TDb47H5peUHecUQwRR8QNxPhJeddIBtzH4HD4OY7cGEUxAopwdFx68lyvjna9gaN0X8wU7x Km7PRKUy7deUQjKpcff8KQs5UU9X9hbzI+x+yH4+P6VRknFNzeYBkhjdU/b/PjgyIpxDRRM0L5Qu kZV4r1U1o2HXhWFcyjjgxXTifKz05Z+E74WOdnYFFBGnRWIVOY9RXd9K3DEsl0xz7frwGa37ZclW 5UXjxb9U9bRSUSdSCutX20bBqLbIoCDpCfdnqFO80RPyDR5iillDFjtbArCK8IjihDt+QRHW2Ilz iez0QR0i5ExYLYZhVM/BDQ0hgmqQAdor2A9upLSzbeoQXOwN0HNz9omTfsIDVl9T7qfkG0wxp2dZ Nwh3TOSMdegJdw9OzW7mtLbXhEEzqmBuuFAjOSCkwpqCsEN2RYmKYrmAmJFqO2bxZTIqg7+pGxSU /K4IbX13VMsSskzG4rsNC6I7+wREsXkYO2TnHfGxlZcXA/5g8DDu+UOiAi2gAaIW4MzVpzUJ6o72 /M8cgrOgsFlfQSIi19/qhq1l3KFAJ0uNKbsIPUD5GWVA9/dJmvXFTAn1PA3KN5CJU9hDilQ9yAT2 52A1aGWfeU33opRve/ADo9xBc8y3sBGSsS3LL4cUQ2qM/q1/lYHF6jA/G3JNxYy77LH3+x8E4Uiv kNKeNI4s+RiJY79hc5iJmfHly6UK1oc2FPlt+lyHpzA2V6zCKmezsk2N/BeekXBO1tDDNucEI0s5 H3Z+cmcuMDZVmNaex1SRRCfG2189vK1kkxQrRCIu6PQEFeHsUYpBm/JIISKymu6oLZxFbEo/KEff cM/yRjub6o91jxHw13oNrGoYKbsUzSk/7aao2hOTvwZAFj3ChLEjKXKGHV9nV12//oWlu4MyHKb9 g0+MQc3bYoLjXv2KNao+LdsuV8nv5pP7YBGIY/cwEe+NMz6/aUzM5M1TkmkLI3Y4l9QI6HMoVRLw mTUj64P7WXxTvcYl+fSm0BZJSo5vVSER+V6mS5aaA7xfUcKePvKupduPmSUueK62hH73V2tAkyu3 rnyMKPguWdzVXE6B42GCwYfu4Q2g9YOJ7kpPrpqfKm9WNFGuOAcXysBlMea5pdalLE2SsA9HQo/Y mDBFiXJLd5KR0jP+NDKu/N6u/ZYH7H0/sM1lWn2wWUoSVvYHwR4Fj+aZbEeJS/m7Lx1A2H0yHEj1 6N230CbRraJMJmKxg8u3GBmgmxruS6pdtp1pno2/t0PACPR/XE2Am8QSWYQ6oO2ceCnXadVwxu6z IOPYfXitiB3684CzzWek/daSnLbtvG+lpDOyoioIph3I9PY35pD1sJyg97cINaoqzxli2P91nE0K F7eTjsLCCTLPgMa5AXhoMkJ5OSHsInM1RCh2qI1P0IZWCkeOWKrO0YHFDK9oBd2un+h1lWpqfHA9 L1omUYb4pWxsY6mTnVxH4ktAq01c2mQKtCLwhAU9DcWaoeCx+IHX81pg0GY1+82f9/STdkIDr2P1 9I0W+7YXme8St14c7I8s6pXt4Hsqg9Axl1Rf28o5q3rqxJuoSYFOU4LvRhc9+F/PmkdkKll0AAsf Ls0D4yAlburtr33dWNtrX1ft6UzgiMPkt6KZJ0E2BDx1WRd8lLMbrhTyjRseYwScT3KC+xLGlF6z WJWnP/A1Z9gPAzag1FhSv2jvbsGIE1m4aQkLU/XAYaRuFPL6IiIhYmMFbRlbiTp3ON25jYLyqz6o piolI7LFfAjwMHFuPNqluIfTRnnFwQ6VyONi92XBJ2pi+X4JGdnYzlrBL1UlDbHXNQtOMh97TiRT X/agL7vqfGXBo2C8l2mBWsYdhbOFco27l/FULUlVCmRKr38X2smPtWkYtExMpqZdfSHU80ChZYNF rqc7TNHsP6g7kPc4lobidsXX0VnZ6zu3opuQjaSx7LNDOnPd2FTs200WBxQkiCurWNB1GrIUzqZX FHQyItMQys4td1Sm+5T9B/qyhnWpPNdNMmBzX70IKVkOTRDDPDt5ouxX+FnXCDLhsx5HadtOSmQf WLSP9QkTNVHJjDwLjiUqFvUD6vhGpNBTnE69khYaINPoYFFsSRv+tmzpzz5iVGg/auk9ZiwPuxWZ f/QejfbQxYSbXbfSRRu6fg2Od7BsjThj0yfSVs+tT1u6gmvRQJAqZnuHEGj82AL6l1qH/wEVmqeg cZ4KNldlufDEZjW8hr6PSHKgaHle9TWyE1lp04JhWluYqHXFR99p7s6WhnfXREKBC08KJmRouAQR H4wjMPSmJSOL/+OvZOPD3intFFzOJswCfeqY/eecPuKL96rILOHyo4iB9e5gTlvyAafmDmVCCyJP pLBV1TtU++rI/QexAhTu4HXSlyBjHCEThzlR4PgADao+mHI1Ueyq5DqQAkyYKvWV4MxdWLq06Or1 guWXqmFkFBoYRJE8JMz2qjHp5LQ4504MIqIT3ZBp8weeN6MsXDR0sDY+6GN4xOI6urn7Y7KERqCN Hz5SYIqRt3wSG8z40xvQ5rknhCi57gIgSGLSuu/RMk/EkVeTpznNqJvSzWdU4b/dMUGeCBt96mma qtOy/ixQKi7icChWFhxyy7LAtDkD9CsFWlh81W9ovYePQsphCSiDuD++xE5eyL9lk9zgK42NErMR JGxPpwg51G85AECRV4kHk/5RfMPqgI+hpcG1GOgE4TfO1O7WNvfDiFUwUPtEgC4DQfmLXud+yHpT op4qVhgj4ZOsHrurwzOMn7ZIVpm44dVN3xvkK3ewZrvFL+Takv7kkYnmvhlGE3IZw8oBAnR8JGQl BYbbeGvrtExTWr+0r8vAMbUnoGV6/UbyDFQx5HlIgv6GdXUgy4Sh+exnCoVFC3ll6VEHsiJMYa2N t6PWXpTN8FUV47BexeB5/tejgEfLwsp2W7MYPokdrOpsQaQNo+SYMQYpTSBI7Pw+g/OCXASkoYti kvYUZS2LlUjfP/YpWPVjx6JHUqvCd+DJR7wdrrg/sLdrS9sfixVGltaKlv8VVD+ogLPWDHYmJMKZ Jw3OUSG0ShQlnBsqtmVD/fMbuTPhBAzygBfs+uuNBfBiNccIzkYkaqbZQEPZHRq8O6Z6MzDjpIl9 a0Oz80iR9QVCVddUlazS6/gRqo4cWOA7iRhRDgUv5CA3Z2qBYXkFPD2fiTOKUmBRvKrzux51QdTC cQ5tMS2IRLLi9xuTO1o/HJMYUGOElHVCIHaZUvtmTBxURFKh1fcL1ljeu5f4SdgNsL0+bfVKiTGp 4Y1DIfBZexqGZlBY4crlq8G5U5BaOh02FiJhSEq1PtLHcZHi+9WAj/WsM0UN85V5mm8dx8DRuUS9 1n4Ep2K7Mvue59s3r5lkH7CpioV2MMJ/qP5qIQUH0mUZvuRiPEcYMfkp3dt2NoCILATeTJtufY7j 9o0SczMLg1Fp4lquH8e/XvlAX2ZcRa+IbtSe2mb5JfMSXyqBsdExk+MBFEx8W3BCPmjvfjPOH7G4 rwb48qbH0+KR0OyiZl7i4ZbZo1I+0nBAXBvnU3KbvTyd4CyiXHaflFEWYGL53A9hW53kvxQLhrN4 XSUChqvqfbx/Y2EwiuUWvx4j2MQZEcaccchh/F8hoN9SHhimaUVyaAdL0n9pc1Bs5vyF06b2BjKy UOo6qHGdN1YkUPZr4eHR2XPSw3yuRAPUE5bW3mVb2SqZs9F2L3dpBgtuvZ2+wvV62MM4/wDoRC8Q 3hZv2fLb9FkS0wga7YxmTwvwDlNu+OWiH8bG5AwjQDzhLpH06XwecVCS8MQrCAc0maBPC8janUMz swMDTuSFz94IvkpcgtwOXeVS+EjG8gTk24dN8T8l5DA0bOfgqUjo7LIC9JGr2ErdpZ2X1W4jqJ8a MellNDe5G+twUPnnYcHX6MJI1kJyR+OBxbu10hzEm04rZ7GJ2PASkflYsquE1b11+5KDMnDcaRxj qC1zq1CYcT/S/wNyk7bIej44pT3tcVBMwSTW0MMmjtPZQ0QCzr0glLYz6tUqARjhesSd1VKmH0nf Zn6eHSvR8yfiO37+M4vqJDRhnBn5Fu55jAQwCKlR/s7SvehkecSV+SmQvLxXWsTYBa1iETuGIM24 rn0AwnbSIcd5bHYo3WKaPJxAIr3O+t2eMDy3PJZ8+lMTvoqyK5oitz+OmESDV6mgu0GM6lzO6zob B2wOU+xDz6GzrlXJ7DLaCIxIdlbDmhrEsx1OAWYBjx3r2D8yAtUxFkv7YA7sU0ySxq0P0fJzb+db HPV0RNAIFRPv0lQo9skhQV6WmRaadh85/mIHLvCQ3CT6cFyx5nB2MZybWeP/+dCZxaj3UEq0ra1S mDDWzbcxMErMh4ddwUtsUdqsZRSYRlmBaebAdAHBqrd3kr3lWfiM5fEVSFI08M6Ntw3Zfo8Ej3Wv 6VJ/T2Nx9U1t1g99+Fg5CRUGUaRR/CdJulcHrGzaypL1ewn/UDiKkiiMJWR43BhuFw8YOn3vJuQx PiObp5LL6JboF2guNp0qiS9Qn216iUZ11MDpmYSykl3fUoypQJtkNKFP3uNYQyXAOAV26qirI3Z6 ayZ9OUFB2fNYjS4kE+9EwxF5Py80LjEqAPVLiY55eV1Tij8UbAa+BSx8sGdB2HRCqwmwAi0dMJLb 7U3uaYqy2XYx41lIB4dpsyRh1WCHqx0s0w/cagExxHUO0qyggzEf5uLcLwwIB486izoS/FJse/rj DyIfhQvLQeTFb1Dj7gRksrHlD4wFfOE6q1hMSQAUaaHCFO4lWdLCJX2apCBB72+Nxqcz7Bail2iU Qgn2xEiVya59v21myr9LL0jEyO/H8r6S9+yk1WbDpSXfuyLCjTp7qemWoXAF4syscOzyvxhaED6g 2Lo0j0yKbpLHikGcRdkq9efR+xKiJ9YB+Fuof02iBuqQCaUUTCMKi/4rp9waisNnWHa4UIbKdqTT G/PV66/BmDe4bbKVJjayfAXE3b/wpJip6why0j/nJMtLZuGx26O6NtO9PlSccEQFY/53B3DeceEA zq96cnFGph4KTiwCt2W6ODHer3qLI7KhKa+d6bCbyaP3Z8WdHs4oodqxG7zJn0fd0AAgRG/8x4tb dghzRS0XgQH9G00fEz2qlnpIy4y+y6ZrrSIr9y6XB8MdRtaTm52jHIofANhZswQQPlZaTZjFnqtk wLHt3mzxKVRpD00T0GuD+XkInrAvEk2J85NcKw93e8uhnD1gzb94wqK28ChmtgeyM8zaMGrAzvCS 5XVsM9g9V6hRaxI1SBslZO3XvPsuZgRaOBiyecWbT0unswSVjSbG1v0YeWlTX15ldEMud0vs5acl aUGEfywj8Y3syepUvZylMW6Qtn0j7HDyZNA0Kww60M5v4U08Y31zSJNoebQGvYvIaOUOhC+6UgAI 1xLNzSDY+Ea6iKV7GY/V7w7kyB8A8ecZskbcI3qwMJAe2ck3QblL52Gv/yI3AiUBUTD5/bT6ocak 5cwGWFTVSYEi8pWTBpdHeuaCfkRzPUyuOoHyb9L9DCOTrX+MkWN7J/d1KuSoKsxc0PLPqcvKcIoP h/4d5Vk6Jnz9l8lYhSNdXT5L612v+NmwuDowqZqBrzMkP2TWs6lUVtFjS2nV0QRsUdqS0aGNQYO5 usBdg3LJkx45ga35e6iwxSaHvUF0Y48NZASt2X0Uv3uS9CXR0DVDTyp3782NBC/Y7G6OXd7yQX7o Dszc4MUnoCXCdwbVZ+f0PBvol/Nk0DNL8Sx4BOBVLheta2v1UvYSqUVKR8wIC1cjorSiAqKvDNbe c8oAzXyhYorBlC7CW7eUFoxqfk8EyL69jJmRhokX+jseQK448+7//2ovOkEboxcEmHTzah45EkpX yCAt3rkxXLI/bDUKVCMyzM3ibJ8bRCcCg7dyytKAylNYPw2ETK8AH9E8sSn41HpZsJgmsET7KZfU 2NBNDUr8Ld6cEAWeWHoNNGaSzZK4H87VuuEhTf48Pk55vSGcplgIsO6lxmHaOwLEYv8mf2WvQrWC p1FpOhUv8CBJOXnfonnburBgHNURAbHGKMdytIv33Lj0MgPj1ERiSDlVAt7RRUpH43WPuTUG/07F VcCzwXhbOZCF98LGlFD47Vt5jP/Xm2h1brWZJTZXITmKfcjQWJLSSe7bwlqcI3XEzLmTIdO7Znga ddoF0m93QEu5l6d9g7rBdptAwHtKAvIlqqnqSupBfX2IThHeUUHvuwYFsi/7cejnmrWsLuJr62yq dmowO+qK974UC3obNpFK2tvC3Tcga12tTZb2wz+KW8IkHkQWiYXe+HjBmMPLHViO1qTUaVnH1nGT BYFPOqKBpYqv2Qu32jhzxO/zdHIqRznr1Fr4LBqJnC0R+UmgiBjeQ+ZGOH7iDir3qISDCTBrVP66 HEnTPFdMDo0QS2G0I+lQf8mANXruQ1QDA6tkVPy2kgkSH5NRTYMY3ejACmwqIb1x2XUUW2Z6nRSv feeU5z1wZ3iGhFgaau+hV1/tuLunQ/eMfMtZaJAo308ad1sa0a98l1kiek57JC1h08Ow/4eIAPuR pdAjRkp7b3sJEtdolFdj21SBBlJqQQHVALouOULcAGSUTiVI1F8jr8PBDlTplNfIfpdIYI9MCuGm 78f5lXelFoqTFrJF/Sn+/xSZmWA7CrQjfu2GFhHHPzo5VarWaFj93v0Ax8LWVFqm1Fl7mH08b8OA RvITedwvpj3uqQ/cQ62tsmFqH5xEt+3Z+wN5HKeeS45BbHlZhvtUNmqzOf9cCW+4gCG+ZetSaDpV Kc37QzzQzfGc7+1NeWAsf4perznEbIzyAe4YiXicq7j2c8nwQmAZMdK5E6WQJZJ1Ps7jJQivs/G3 8AgEH7KGCsGsboyGiTlQa4twiLDSg8wxQ6SrjNO1RMJuAQIXEI3p8p/Pu25+panZAoV/n4L7vtWH bF249L/U/uSv9fc7tcRUOGc/lNd72EMbDRD9l/7orAg8vPudEii16yh6mY3Ig19mTQ02ZL8D5hZr c6qZYIYeVwM6GV6YSG7oe0K2Nw917bx6+9faYEGrSOaENkosSJLl6q74KzoXvF1m/0ZLt9rJBYDY uPmcH2K9f0YpgVutJQrG6xOQUaTBjaZzjglksaL6KmubuxdR66iaX8sBmwnpsqQEIqhWEWY7b96E +HJbvRFLVRT3eihrYbyc4I9mbEoX9Tf/d/tUdqOkZOEDJq6VU/IjOi6RMDxtzp6+lJPMGEKcRrAR pbSnRq57Ee1rmBx4kHWWFwqCu83ugj8vzgE5dMrKtdavIDL5Bk2CaS1jr964NipkBhl0KayMnF4Z YylVVdofqmd82PoHsmWt/SLCx+sjnEdWdRW2Z735g/19g2OtzZcD+mhae5AzCVI8Yv33qspA51z/ loG1oOotZ/ucQ+eOImWWKBVF4Ze29CF0/pAQe1bgqOrcUFP/9hFLWMSpFxBkQsgt6HT1xazQ+klB 0WtWjJk5atFosTlWnKoD2W8tF4kO08cM2NrLnVV7iARqLi3T0iMEObs+Xd8SIt6PcQjUDoAfGDkw 69aGvyQrjule7BdIGO4NcPu+ebGrLTV+JbSArpZG4xkpi8v+IKAnvAiHlQHhlddBM3BrXnqb4KJW O0BH2dZ/n1x5ucrpTkhjxMlyfiuIhZ/6F16ZOEaUZHAe34E5SUDIvkGqhKfwfPvPNqKcKidViG+G qz32PDy0efBSoDmTCn7X0R9ByijuFi65ZCbsaZnsW3iEI/mxM4A/04Y0+et+eg6g5jf3+PEA4y1p dP6xD2sN40HREsCZ1Yzqkbta8fRGn6Kwv+VjAXEda9lzk86iiI0xGmnwmp5ZtzFMqeW25J0SnIMy RZdkcBMcMMP1vb5xj00m+6arqjxQRwdbDiferLd5FcSI1wu553Iopr7Q4IaoTKnj741Dr8J0jcGd VbOhh5RPFSTrdy66Gj9Jv/NiEUr4tOKUBVaR4C+Pgrv5/iLiU0vGNisl1MralIphJc4404vPFVsj X2wi7A42LyGpBEkbgIlWvK1GZ1a7tmzJlCb9Dn7imPGiiZZ1x6PYLEW3HF1CgRJWYYKJhVy/LR8R EOb0ZDYKw9WJujluEQlUE+c2cChJObiGeh7Iey8cTAW+9mDiOnF/jOPq46KUoGzOXolJ+84J20FC osFQDDR4Ua5ngQw2+SOLRY6bVWfGQLQulegczoj6GPK55tunPyjvoMRiU5BzIoHezN8Y9iL2UfUj axaZY0tUSX5O0tGiqKsOxDUwUssnFWo4mOUbpYoxUp0jevyPOqtspxjlfBkQiQeEpQirHTVvRbZ1 XcEik1RKi7dmWPMi6UJl9v7bAjLSqR6UaAwPvcqS8K0kSNp7CPX8YmJ6giEstY7z5y6QMtqDrOMs IUOxteRjVGI5WesGL46vLz6oRF3WY3cu4o1+dx3J7/SdxZaXwhzPxQaEKcTpu7n/ae1glLRnSPX0 KBkvwodfM6RgWcpo9aPZOSuOqX6ByH8Ls3wGxa+YeSYy6Mu2KXzTEM7XB8dpbOqrsh5OFNYasixh Gy6loH+r9LwKjjshDAksGgbmIGHYFL/0qDcaypktRIE5IYLRlmAVHSW+y7fdUw0hOrNeu7Iu70vL FVptvcMMP0a1B3zcLRdJdgMqQZPCa1Xg5EScISh+4MX+7FEuIjJ3rWT3AVdQ7WV4gMtidmzgwK2k J7+THAiIUbquutEbPFDyeCM68pvk1WMjVpG7t8vR+USbARx+kyuDOprFAURuuP+p+tYgmyNTr3Cd 6O+Adh4tN9MBtixqwcRxBMpl6hiP8ZiEqZ/hAmeQh3yn2pPGoMwUXBYqkRlMHV4U/5l19SMh221i DeeeRorjIc96LK9jPA7cAuc2NuzXLRmwZrPQcB1zWVzcSFBy1Sp6aqQUBocjA80V6pn8BEgRknnh TnL+DLoXmrfB/hW/L0u6vR/Z4M7mroNJa+fh2vNpEgpsH/1OJEufYu/aXtgbWYrAiY6X43IgU957 cxl14JgXoLjPdyTah7e6nzOjXoQjyK2A9aHFDJI+nHjvcB/RrWOfQNy46YKZjwl4KQ4380DgIbtB cdF9c5X+rMvqMXnzpldK0YZKjFaKOVJzhgGNGrjl3cTBRPqn8rVF2/rhfx0RVt1qtmTa4b6GwBvU h1NNfIyAS+KdLk4cz0o+ZDQWEePbBAu7OOg2ubqvHQUdzTlC0tspAJS0o4MIeARBXK3elMUZ4yhf YuAT6iSPqxD31ItBr3A6NamdOyPg4+/06nm6QgqPROxg8un43OpeRjVM2bFR/uvztROa4nkFbLwX NwKK0w6NJB+FJqlu49eDSRi3dtHH+1HcdAK2juSkUE1TBrHNOCAIPM4ge88JTbbbZBb+SPmiDdNw A55XREH3sCw4hIO/v5RblL/OP/7BjROOfkdiJ07uqyTsUHVEEWrZhAFTdXI5vUbG9713zKiWGtg4 TsrYtR0B0WiixhCf+GypGr+gCz5wYIA+ofeSVGMCEbQyKutRhzRk69Jk7YY5m0vuwASGgruoXnSl d/nmEdWy9n7NE7eyfsHpdkIVE2Et/Tx9iPqR4Dhey82fs1DsNxR4leRgyAs0gYXw36HPsZ7lBIJ4 WUseOgjQVzZMBXgzQ0PGa1BAsi3cPTpNNE8cnT/Y2kpjmpvxr2Ajwx0w9v5SiYLruhFf9CUT9lF8 nQrgwOfQTT5Mg+4jAp339DsFiDeupthCAUdx4LJffdR9Xuh8ojesmmxQq+exTc1Gc2qiH1wOpDwO Zcf//NnFQExLc230lDeGkNLiJlZvGJbHlo+GN6jdQFGH9LaPkcGKT6i2Ekdl9OCtRA7iHaTu0S+2 3sACu5m78bgp/rvLT82prMrAa29+cGWBkPetvQ6eRKlyhPzB+tf7S6knJKtv1lX+3kGSmuxjR2gK YIEyHqHDElkNAfGjJ/rUhqWPjKVyYmtsQXiQHpBuZdn4RbRC8vEp0H3Imv4xinX5fZnMWH5LAVuj j0nILzgoX1g+HUuMwm2XV0+fpnZYlMTeBESpgpnJP8HSIMV1vX/ZcXbNvFx1AI82i+M2YQ/55psd FJz1K6XmNFfmuPYSpgZfMQTW+dFdLa4v/uX+Zy1waS0jLLsTexthn73CXe4/eV6seTnFlSdb5S08 fUh/2A9/q2jX4nWUEu4kzWbywwwCh4x3DtIUvF/huK+kc1EMhUXpmKN6RN62p4a+xfjj8QETtIuj 1YXcjg8OrF/dlnEFmtsut49DfieEhlzufy6+DQVhRj/su6wAgAjsTVAWxaxjcHLyqE0V7cnqatdt CvMuPaJJqdoA2l/L9QTDqBkI9IL513nOkjdwMUqw5dCqPTta5QI931az0gckIwtIcEQzVLvBsfin c6xFU+IdZNoZo0aC4uOODODaDl4cRG044FRh7IPEb/AIHkED4exHxsnR6U6lD9as4qVRL9/Uy78k YXTW7DyHtjGtMYASZ9V1F1GfY3XsIb7Sa4DJZt24Ap5FnQ5Gy+V92DKV7eW5WCySPzL2QY2xlMx2 DzYdQ5GjMt5ZBP3vyrCp0+x597z9hynwvApc0A+O68JaUdes5CLLjyZMjgdGOo2ad8ibYWUDNBJI Q0l5J8SKpYAAv4fLyo9IaGG0hO8kCEq8fMhOdbDXrX+YN3AiZfmn7+JBsG7cNM2pg+fs20mIMXsR 3YtUrfa+8HLXQU3vZqtu71sHjkUh1elA9wbdsTrloG8lw/34Z9/6yuJAI8OdPV8dZ4pO4NFJrHwJ 6eVSSLtxcLQKjd+QZomb2OBy34Q6ssbA7pinq0oA6Kl/sYxk5k/5FkSdqc2hL49wa9IkZe97welU pjpSw2aUe+wugnJ8WDFAf804LM4Iu8U1AOD4nqOstcvUK6TlVa0q6o6rQH4BAWww+PBlgGAyUxM4 eWqOSOKLaDsyCNwCkjbFPV1Z8mRN0a5CA3LNeVuXDebBzl34UAvnA1FLTCYmyMQSFV1baQ9RYN7f DdjK9Sexc3nmlYY55aK94qVQoc6RUzV6I3B3kwP89UBmCle7RFIRW92WaeCQoclD4VCzfBvdt6BD 3kJ8ef0KepNsytzwmWSIHGtIypIk22xwC7E9ozTQlR+1NiZLN0pA2tJikHBfmNCbbH/SdQPMehv1 pHC0R9dnVBiMSQLeoyvTg7Hu31AJFiFv0XfcTkRtZ2i4e/kvLwJkVrULyxTe/0VrPrewjkmqk0Nh bvpHmE8DenKWNIitdzS7vVyjpkTVY9Ku+lBHsiQA+Zcum4RYT+808w6JfaZpSGf2oemI7wzdtzgQ FxXmU40FTl8I9wSPPSGsJngzz9QQNa2JbeEwQk325/DeIPvEfNHWR0CchuM64xfNtCC12qNisMyg 1DicYV825yjs8F28bweaixLwcfiM8f5EYR6MsNLWAGelCNTfSXI64tGRL9P1NptURyG24wsk+BeG 4Mmp5AEawXHlIdNn0RbpwseiBKsgjWStJqClVtq7Fu4QApNDSXjA9cA3CQ8z6C1TpKTsmm1aXdOt zUFAN9wxAxjy3uN9J49aqDO4bCtbi4yNS3rPE9Kn7RzPRlRCRUcsLZ0DfcB50gnetRAQ9Pc1CuiQ Q8cbYkLDZml6FQDJko9VlZar0SpdaOo0w879LEAiV9UBAgBs2zLJVScZIko24mwWExYG25Z+G8tk L0XjvwW0zHELjPi5rOe6JXDgz40HDfteLxEYUn7OpOBDAYeqIzD5vGglv8PnhCiw2/78Ma/dDcHl qHv+g31IjEgPFlDbjITisNwY3cdo2Ifz83NUCOdpNjfDU1CYrnwoioa5sPwtjgMlgaYDCuMqvpWj h1lvrykvjMDswFaAJzP+vOo1W6OvxcLO/fvgZBcxyJtkl1TSQGn8VOmZXpVI3c8paxm6QOv4A1fh Hl6HLj8hYpC/m0OJOEOO1Nqd1vtsKMzClg5i90Nvbz7NdgQBSFMC1nUJW/HBmi2IH+NgNjbiw8lB rpDm7getyG0eQlJ4kQcPN9UtHXB27o2Ojndwq/HyRFgA0Ad72wy1MSGzee0sd3xygjGk/J8u2KVk uGvACHXcikyxXPL+LnSzxpOFVW2YWauEWN/0skxQ3Wh9/OKPhSUImd/5jFgLCVKALPc63sUTrCGJ kT1rdT3B9YWPVzsYF1tDRIYGSL3e2sktwMYp7dDa68oos4IPGH31Xc3aoLH5dTXlAG5/h50deDcY 0iIzbArdza4c5Pa2nLUHLy/0ehlFK2ljpg2ylHPKz2YNHkO+BXcRVbNFFx5NThNVh5nqHRIJkb6o 4wegZra+xf6bKMUF9o3GOnf9JDTcqdSzkDYtvI+yFU+c8wUCjIQlKcMwOw1Du9O7MCVI4/uZGMU/ EapD90PWe8cHSgGgEAoHorABvSzBmNCCKEnE2SWxAyqMYQ6Jm3fFkHMQSGjqkLAiTNdukck2UOOk fHNRzMAZOUK65x3jhcQcjpXITED9t18j/JZW27u0PY+XwwHRVtrJngrTZ/PjCkl0xktg+M5x35i/ RZnMyn+hQ/447TAQhSKfd11kq0fgRJV38BxGvKfLelE0OUOvVswVCnv4SSwdnRpLCBGInOaljhs9 vjAOeWVcnOxHVktn1Ve83bp0Q4vs+tnVFxxw0pLTMTAOXjj2TSe38XCCU2+2DStxhnp6qldTWwlv Ethge+D5i+7LNZmclqTQGVj99//CVuSHMwr4vp5lFtm64Ze4nr6FNBHnr+HxYJQL6ykSQneOCTKu 5tQu4bJ1bRprgnCpWazPxesA6lEGeLcGrXrbj7bpV+Zbr0lP76iFQIGtYqhgAGaBQPSzKS4aMreE VO0lhTT95ti5nhkxECgtHLSV4w52WQnveIAK01dkK3zdTOpHo5GeIIhwlDimTpa6Rr1zBETw2dj+ nO33z6TytKEeWSa4ZxrojrSQlan9X1kNyUUyRRleaIYsRw6zk01XbuJqEIqc31JV65FdqJpA3IL5 7dk5NEfowZntwX8A3jaXjnwxw6KBg2Y2c+pg4yWDN3PNeWoaITt2VDH56DInhRwx4mfTb3gtlIgm 1NeGHohrzdS9tZOv/uUtB2jAWp4F73AoF9enI7BL372qtUTf3mtksmAtRldBtwMnIxWgSJ8PwzQM yfqwPubc/KpoGa6hzXY6GuyLbY+b+POYV+wPlnv6MGO8O/mctXYuWfeLCrXVphT8y07ugOH0v4mv SY1x1P+GO76J3xEd0rcRtgcRz7X3N6h/455PKG9Vhxnu3ZpOy2xZYXaS8E5/J1sx7DI0pid80ODO yvlCAIkusLuqT5/qV+oZlKl8jqLBFS2DWxQOeALWe4k3vJQPHNVLH8jcHhAvuws0Jcp4e5k8lv16 WgtU0jPfkdN/ERMXpWvnM/YmwV6VdDvrb56AD0hS2Bma0jma5V7qoFRpq178YETHZI+KbwQjzap3 9gWIWHnOvxnsJ8FltSIKgLWruzyVt9spqfwk5HhhJygq44NRUMZfRPoT+Fdrbb72dlcFGsDF5RAd JFHwbDiVU9D3cooYebNsGxzfRuV6QKddSO1/mMEwrKR8OnfjrO8zXsyANON3Vv9iLTj8L5SvjJ/c VdGp1InPXbaFyH/s4pqtD0TqQ5IVJClig1UQCgFYzpK3CKKz9rDzC26LnVUi65wQTiI7H7T5cPli Smg1ai6ML+T3phGQdgiwbVt9aYY2x0JYTqAC01lLMmhyTUxOGRKoh9/bKbk4xHpytB6xTbCP3Qt/ ILWu3k2o/AE/g5P6O4BY3XlErKUUEBjcvp/tJE8+MnWfbNr6T7ytKPYOzl0k/QceV2izEijFt026 FBEYRUwCYBiiNbfvrG0h3ObnOv2VhK77zqJGS+0I1SXf7IRb1zHFncGo+McT+KqlXMS7gW924jxh nEzfyRTzmbP+k1V94kmH681blgM0Hnp2TQSIZt97PNMIc0NGoWHcC/aTOv8sha3wolh7/sFFyPgH IhLXQdRr9Wu/aOfy4Om7+WwB8/izZlNegEyLgEwmGe8QCW3xk1xDkuPTaMPTMnF1qdA+uG6/4iQv c/mQLBpmGpsXZppeHjAdtu0mWoLfJsoL3yIBhb/AON33A0tqjOw6IIZsuap+TG7QqD3qUeFnpXZr ApY1xfbfDH7M/XP9Iac5HMSZoHdzvyCgxVhSK5JjCdu1NHPr2XPqKCJUIJiG+wjwEBHszkWaGI6X 1dRwikYmpfszmM2atxw6Wqd4gJS7BXIdaDsDUl0uiUbzKQeXWaO6nY2xJwfn0sfGlFG7wv2CT/0d 4kvY5vRC8KI3szzYPam1TYhZu1SAcVkCl9kUfjhVBkk9R+j2tMjOQtSjYsr8AaVsZcBVBUOGiNZe A+5SA/z+gaw6ngpcVANpahy7fCUjmd2iLqGKQM7o4rnzEqpHLRFSxXMIz/Dw5kOf4L+ZJrNseejv mLWpNXJcfetFSS8a0e65EokmHJLgIejr2snr/Jtl1aLeaM6KRi2obTaS3wQG9e3ZkV2rtoIKtwVw GaH00OKYnS4aIPQARAhmmjc3cKw++6gQBREtbsIGr2365tsfgE7ZHKfRzOKqjRp8pcQ7TnZwzubH X/Zyl6I5I3mjZPSqD/BlFL7MoAI/kC3datTbAhhSAHifGQ4VKWmz9IHYbthjK+7534kWQj0BxHUK TkarwxDIstU1WbSAntAJLpu/KaAcpdtbZs2VUG7eBViyuDT7M8xQGuwTeioiJOhWy+uSSIdRRRw+ Uq4iuAoXsVpoWdmVpB6KADuRGg/Ae2Tp9hzmWphNmEc8DNkgbohUtLWOjMDI/wAHhST3zUglhkND mAQTY8tmTXFNtcKYYN8j8UJ0M/nX9avkat377O40vCurU/FhVDNV7RSiVqpKxFRxtg6tCoiSZwK9 VAtsjMGSJ8ydVxceLBCBHzbua1xcw4558NORUWDOXfFgMVmr7O4S02z6mCN+PoYjykAQOcd0p/+o M6bPzfYhziJwBySM8zNmRl2Wu+hzbuA1EsSx28OWxaZVa3JMefsbHVPiKHtWVMVeGcfVcbr+Sfpf OFE6tSZWqE3dCLmExV4O4kEXhiWIKQeWcr91FzpaLjuDilrUcfAKMFURF+tkJ+guy0jL7rLKSns8 UHmbzIu9KrjSLOhA/rAgMJ+cZJG7pb2eUAaA/AWFb/nsRwF2SOLVoxtusQEY6JU+0wD8CfsBqCMZ cS6lGIjRXZHTA4c64fHQjdZLuRFwT1NhjasqOB3pKSIqkgfrOU6hr9sd1TgKVg/eU8NYqcSiCFiS 1TcRo1WnUYUnNzoTN7HmuuIMNoWhNfl++xOLVjFN3XpzI3fCVMhzIuCHWI5CtwUhS7KpEkz/5Qz4 BU0imYoKCQu6dvZvgi4zl0Nf8gT3MFwUsHVuC6ColnA+t3WLxQFAU5i23PgOLA9/S8mSk5ApiUk3 QB2cTgmTbwfcX/4nKkXVZ18R/aVQntt2QFzZqFehL7RHuQKkaaHrablv2TI8Y9VbcwcX6d9oC25Z moO0WY/GZU9ZaU2OCUKyOjdS/X/vlJdOt+Y1dW6z7EcsYWt6YJg7b+UHkjxuKTzH2JvRwHkygEvH I+JvK4vWQKKtniG7++NnQR+gTgHl8j1djHKRnERq4NPJsbEzs5yjkrRun7j5u9BL8iX98Vu2gIF8 o5tjhAEZ74W/A+nYVZtLW3CQcZCnwynEl7rdVutitkWQx9F0ky4/IuD05VdgZue6GPUvLb/8qmsX YHKV8beyN7NCRS0dUPI+EsHBoe33gNAwGWDV8kbZM9rH3FfTSe8PpeeE9uDDxRZHTf21IktT0o2T YaF26Iszq4EumEAhWQpEV84gErb9ewNNocQ0U89nIcvTRFhb9U0EYBPfkiH76gKJyDFnSf5GxvXI l40fq8AZ1insvjC69/5nJijYhoXkcQ3rOokwiIMIw+HbODLQJ5YoY4+z/mIfdFbrGqADooeKg+8Y t6ANj7LZML+4VTG2HLPFgFM1fBw2rUJzzPYHk7Mjoud3f1AgEGK9IsA4qK1XezFwwTeuPSyBzOM5 Q6nvhA01ll3T1KyMRJxQ3RHOarJcYbfYsDELbbFQ3sZe8DcDWkjlWdi9+/h1+D0VLGphzyxCXERs aFVOjq7VtTW2WmwTBMuqS7ttaFQ4OzK/ZpOLw0OkGATsJ3YEtzK2EpShDsGja8VjiNVEfx5dYSbN WC3RT0REWbSAlBbK6Cl9zBB9YywHtYoUl4fY6TBh2a/p+XEhBnkMVofdnD9SuPqCUr2M4Qpa8NEl yaYO1e+NFekF6RWR1Vu1Ndiuv52kfnZcajV/tz5361MEE3Nq/TyvKwVbwUgbtiV1Mp/leaZ5k+Fl dx+zYRd/SDmhjQj5xyUa+fzkX2BZuRS4jOxNqlC/H37vmaIwWBJ2w0RtHx7EkTvDBAqRqLe6tnpH xkl7zD28/ZYvr3lJzone2Ibt5O3/8b0l9GuBigp/Vg6CsWoW0rqV/SvHseLG2s4EuOgmKeyTVjgX oWvy9e7jzauq92DugINsD8xJqWjT/LScooT4tpXpkOb2NC/YB+ECryohQc8xNSvgz3Tt97KRSVax FnXjz5q3w7JdwbXoogB3IpCI+GfwufguNhrb+SoduR6PR1rVDZ+0vKsbz4kzDoyEKmp8JK0ku9r9 acKvTSCUqiOyRtWEcjrZtx4OCU/gxHRh7Ne2K5pf7HYiLc3nCqy2qiZ6QR3+QbyomxZocIEhyxRS TIhRfWTB47+PSwGIpeQCW2+c1Iwplkq2QJfRbnxogHBYb2YA2kzecRp0LNSP79dkrZn4AbPUlAwT g/EHf0tU+F2gET45y1dxeq0teYVBxDvVO7MtuBAkKqaiJ0glfAfRJ+o87gV3Qg0JVt4nq4OUzW8z qlR4wZDLnQbRM6a7sm/Xa0L1cX1cHegv3WDI6yZZ0qJD1PsHjcw3Uc/yHqCwVz7ArEF+NGhfvnwH K4Xs91NxAu/2uQbLb6kQPjFh+U+Dq6teBcQmtN0rfwEIUVV/bFI9r+rDaey88clJlRUJn/JokkPg AtB9a3y/StLJVR7egN0boYiukKgaFuce8FczQuwZb+lPIyBOVAXUKZRiR17B+lsXhqzBN4pOoDX0 E2Z+MheT4ToEJvEmPLUE5pOPFavzeBgpjct6qjjILmYWYuJHCDadoeBuEgsw21eoCFQ9toWLlun8 RILRuGhhrVRBb7HdCicaUSUJ2HHMqUw1AGZL8UhjPUzdV/YffIK3VNtdqAtiLUc8oLq2pJeoSl85 UgYJ1VXTsnaQm/BvAErMs1Qs+V7ce0OgO7Arc1p+dRtKD9PRJFYACaYldA+x+sUkooTxZape193a 9KlZ2bBk0hz0yncfZHq4eehED65YtzfyjmF+Q4hJJIdNnlTq7CLrix/pJ29S4O60qlMEU10rUbSm R1/sObeZYneaNtObgkSHdf8FHOt7jjMEDSJaAb7+P3ysT77tB0Hh8fVmVt9MHcBQUKuxhp1TV5Qq F7lxRI0H+6JlgihLCXPmM9i/HI+arjjY5Yp2aGn3OSXS7HcVJzfEcG/X0DsbpcHq8lfpUoHzvH/E 0d60Uf5XOK0bbD3v1UiHX9cXAqBZ45ke/rRgXhxVovdgfNBKtvfMtJFVxTpqGXtUd2+dUZEIKjxH PpOmt9L09t7u1mRdvvNm6jE19Webxb/4D13l6y2i6rpaoD+qJeNLvESGHfNWjYUFhxKLYZaUqghH CNBSLYUUetyBbySTkluqkhjNFnxWdAM16Gfz6aHi7pinYfDNrWLcgrhavH3clS589RC8R1zcsMlw sjfbBl3UsBatKb+HDSdQKfoLv+LoUJBrE86ktfaEvN6IXicr2+KNfPlc1g4PUxgxPUNXPchj5amg FUAzdvqgOAyeBWkp7JnR3Uq6dE+hHLCmItq4EM8pExJvWpgZZrLquJMH0vQpgKpxszWF0HlQWNG1 Ptv1cNot8qV3HYZDaj8k1faHkI1PLOTZJZpxgdpUb2z3iuPMcCTpwSjqdd/YK8pouOriqTroJCUu IbswA7EYpjR/tZD8KB9M6YDA1bJ75sKwu64psTh2avQnzEyusBN3vICsAKfjZ/WSKEK2WzARUgT+ KvN+yN+sAzfma9Hklt7br7nyAyIaae7NYrBUzmEUzhk6xN9EfXWIPDMlCLl+4GNSbFV1ikX49joF kvtRupn+elCXB5HEcE2C6EuJ0EGvvpOs8n4ptClof6pLFZbS0Jd3L0QhwVXgThiODfoZO2zSZM84 0GtJlggdXt7NAZscsczgza1LiJV+6hhQsXpqxIKKQijSNhafFOMZ7zKGl+h4e2W0kzkvwEqiPWGR Q6u10gNRT0SO8Jp/YlzYJBr/+bb21UaP/vYl32337ayWP1j5clD8bB88D0jzaxjRJgBvDwG2PpsV OIU5279dCyJEzP7YCviFDcZQDtTxzQpacL0n4rptc+BMSaEKzrBwife+9tI6I2lMjL9uonJcnbDq FuI3dGmpT7MYsED84UBjW4L68a1xZlLQ1hpYDW3pMyt3SdlrlJ50JPs/NiM5vaNj28o4eMLIl9pS j2qlHLpHai+M9ianfz8DC8J776YNxC144slxPNLdZCnIVdEyUbdQ8yaOCIPDNdguqgv0XcHIcixR XbZKP6CdD/9HFNRBbOe/R1C4AOCJErqaFeb98Bf+Rtvcaie87bxDoLMgCk9oJqB9HzT6DbDbNSGo p28YzzOIOkYxURgHuOIbFTIWu2o2eKvSwSX17FHqJQtJCCqYWQ4OeootOS22giy/HtIjeH9D46li OwDSUWrDEYBcp8Yp4jMU4PANX0PvIxn/RDvb0uLSQ1NBU+0xlVmypPtUDrKpUbbZ6gl2VN2tJ0i1 MrW6kzsHQC1PHXxBtYzHhiUfP56AFbIdAPyytLooMrS3kOGLqv4sGX0CuzvTH4Zn7naN2XQJl0uK zlaFSHcIWKRqzNYDmwBH/6j7SBH5aBjpYzs7ApbJV6WieiNFsTR6QrpiDr4/wwg6VdrkY/WWyMQU kPiQwJZsyj+U1GKeQYCdcsA/OG2kxZWwKXFqZug1OQDIf6ckjH4925HUi+B1htbnn6H9ob6UjE3L q+41gI+Zt6ff5IwCemDmwTLUr2KyY+U6VzfqIdC5P7Td3H8Ta7tlwncB230d4p2o43WUfcWd2IFs 5knzz8/QPWBwfrgUTNymFJRYrqvv8/HYEI6FRhElyXahohjB8LJ8JubpR0RTmPGBQcoaN2f0sFxR cJDIvTSNqNhQW+ieP+l0xPY9hg0yAlHyNJ5Q/X/qMTS0QF9S1mSwZXqDUiMM9DIOP77Pb0HgvRy5 GAV6VBgwP1dPK0J/QkOlTh8xGoZEWEDMcfT2kaghx3EBxUYfXShdsRDLI7HrrKd4qY9aRi6b9NBs 7k/+UMImO3EIlSVhI3HVXhiGm3ZfYnMrY8SjDHtVb67SFnq6KsSuymWu9iLg9RAwd7VcI82igNjF SuCjmoLwcLK2f/vRSoOHzmlGQOYmRCGnAIy8s3EfW2ErtHYoU1Oca89ZP59TJhshZ+rPDsSCFzEX r0PklEYjugvmdJEeKHX/n/B8MzkGfBMcJHySlQnod0XRVQp7h2RPxaM4zhdtQ65g8CHy7Tl9GLe0 kR3s5qgbrnkeJVmX54ybVFucYF4lP9yesqovPx5iXVMQRZGc3jkCTf//c/beKqP5BCmAM2OGzws/ c1AhMBt54DXJuwX7m598z0P4Zx7mkl8UIxiEBT5+/q75iSPns8gZ6CYTjaO/jROQhDn+nY5pF6wF daoEq70qjzB3KpHVz+PrKY70faqz+10dcjNx9x4Uu6xkA7xcWwsUvmVn93/QNfgHP32/8O+aefZW ppBj3XQyHusxIRXZrURtAEyCHfTQ9vY6/2gb7b4PlimDqHmdR/xloHUd0TymtcOMuyfUfSYGvigN iutx3st1QERNbNvRrKUmOiX/BkG9usWOzLJplB7yIJPbs47xZvgISIKglmgkescSck5dIcLDIp9i hwJ7Au8H6dFRfkpBNnivENEUBNbatj019P/KJRhzP0TezEUG8A7PEY2y4tIj8kjZOEAGiQR1bAZR hZrFiHUfVboma4U8OF/wXJHpfm9wGrt8UMeKwfWMCK1eZAwZpD9SaON/uWUXb/QO3zugdYq0Yny+ rlZVjMyBDPEKsu1xN8lXzMQVB+hBamqX+yi6x5Vv7VQHcWrFUOu5cn5v92n6NryvMN1f/RpWjUCr M93MwApisl7hIo5vtYU6lL2/JtyAjOKBfTRvL1YKbMl16I8ypzXM2JlxULC185ypJqJLG49lKAD8 ozs5YJnHlix7i7mLSwZtPzLclE3y5+J058RL49eQYrFHKgJJ7JxEmfui9Kh6fs3MLf/6i3WJAj3I iE8b5DRT6DztCQBOOYw6/AMZEO1kAC0ABSDtzY8H2qNJSMXyKA/EoeLWoa6k/TdyJlcakKMPRX1o EwWs8ZO/SvTA7OIZklPw7qmKrE+EHWcX1d6OakEdJdeCjdOGLKGP84eNWIF+YIyXiEqEUdGn8g7I HPR13jnS2YU08bGerZ7ppYMlRcwquSl9DEVVQehszWKivap38omn22K2dIlkXuFI1Cl0Rmmwq82F OfHeUXtj+MctoQL+Jj0k2MMEF4BbxoF0MZgfT0MPKB4C/UjpK/cYwv0I8GDv6qx1rJCuveHEQnVs M5w3Wi3qRpawU2CRowk+ufZf2pG+QUXWfcuIWLtUpMvEHOGjCrqhPE3mqEWdvy8ezlpfFM+wMHEV 9AEYPrjH0+UpRbey/WFVlF/w5MZGTawJRCLbck3KFCuS9McpLePLe2Mzg9mCsNtudRkc2csuzNC5 cnmlQJ3dxD657ZdrmpRZFSjmt/yX7YYzVBNC7eqte+1ZmLKQQ3Zj8LnImsXO6DyvNHYbGU7Qfrmr bgQiksH2x87LEnbtt5U3dPeUJqjjZa9DIOe1BcEmI6saRN9IzVXrpAEdpyCidVA4BvfFqfoCU7sO c/t4bO5Y3/r4Ow+DIwfUq+TBDBqZ85Grhpcmlzm4OWyX+A10WOwqQhZKvq+FnWLmeNOwivqoCY7C myym/geE59Kl7hD3tYXmtnMbMJowAy2pGpjJbLfSXu/twloEn3B6YMIgmpdf3lvQ+uEtclD5i+M5 vwSDi/L9OjKleARog7GYn4HyjMBBnlJLHICkD35NXBkv6xrF/A/IqFuNmsvsxwFp7TBj/1A4IqKj fWpRvPaqmgQn4pQPEnnYaXi0AtrvgNkbcPhXWlIy7QyZpGL+wMLrDa9NFF0r0VzAqrx78ywCSt76 BBi0gRarQmZDImXW9KK7hs+Vz4O+tPrCH2IENr4HnGPRIVjA+qm6Yq0felsK0IXi5pVsg/ONnaqu D9zxXZCPDmHYe5MnvAfODfKwbg1qLNU1CczM8C8MQPmYprAy9R1ZpD5IawMV+oaLMknQ/Hyfdo+D TIlDZUcBgBrZrRXB2++UmT0flPSeqq8tKNvYdUMGyL/VuqVP9JlskK+cXN5YlIlmmHtaqGfrnQQI fBP/4RH1gX8joReOd04nBi/K/ImhSDHiQRN8iAqtnBZIZrxKaZ4Bmjd+bBTek7ROovDCkUBsWLa9 VDEDlr0AOBnvEP6MKz2YbUuPJVvT9AG3Oul1xSF8kyH2IVISfhfr/MXTurWCGtNy05yLprvTXKFE Qv66tpvZmKLXtZMPfHflH15MP38C38uDr+onan5nCIEgbAuNIfDYsowPEli3BydE/EUm8u+pH0Se pDu0ltF+T7KErqHWbg7kPJ9ntyCpD+UtMlXhp5VY/uTxEdmYbEP63OMwBbx91Otqi3tO02vS0TQA +z5nuu6xJdqx4H6cFkvOijrjlGtvXHbP31TYIaaxuR4/WLTuVGVkCsxdySVu+vnFElusTNi5KEFA ZQmN669zqaxfiu/J7z2KBQKjUr1hU8PPxY3xBOOEtDToRNNPQzojL8kepriFWHVW4TqdeloX/3UL czUlcHd2O4pPhU0/0JlbUiMVFGO0phDVoPYdttjn4XEYJ3EkWbxNktOcZ9i3eCjHGrc3wPk34ek8 3QGXFxKy37/mPGG8KuSEXywvlWTHSqOoRJ048Bb+lVp5erNHYX/kRTtv4SSJmVqA9PcLYn0tFxcU ioFbS1+u4ZLmeYrf15k+fJQrtVkgVu/l3uoKVNAlNH13NQRKnbN9X0pBdwaJKbS4PcFjUFuBytVb fDblOH455pdzAv7YIdJaSIqiYYJJn0eeiI7jPpmfPstUQTu6ENR2upv0royHgJTdDRL79HJr7JPK gGz24TRJZRuwg0FOXe2KbuK7wTPTymrurv1VQMS1VGWlJG6aEQdOVFnz9Mlpe9MNXjy0m6r1DjGN AeYHXBqSeQXNv2ilwkkj5zxpdsvTpRESQcrO9V6fJufaeJGFfbN27bl7gu/GSPkMazPAqlcveBsD stZI7WX9Y+NZlDJsqTT0mRYHukMuH9IVYn3RgQv9ZffM8mi4UB7jPAFX9tPsw9QYwb7dfoFtRBZ5 nRdmK0M3whpUEG9L4XrrIo2CTY+CrESJArD/SC0kxDLN/zi0KEqzTIFAvr/ncHVyqHJXIRxl3oN0 MVDYAAV1uH7zU5RnLHPP3daIsr5sqJcGRmvkBeUQWCBuCUjEID26H4XN+nxemn2LlGAt04kaYS4N KIVVMQ9litx80kCFOOYRjXSo/TisBToRv9k1Zc2L0ubcM4e5KaB30KThkza0WMp0lAOOJrYYfXk+ UnUcP3+Br3gzg5xCb3SR92quQ3Y7qF7O1hUj16K9FDfE2ZO6hHLevmoLtyhh169NVBwJ6gC3hm6O zca7Uo6t8Iv6mh49xbAfrScOvlIV6vfXVIQ4bhz3ijXi0chZa5e/oyjNpZSBPQmZ5vK0gpRY7mll A2FY+gVwYGfU/4lnJC4vGpdq15s3G+tC1yYGhs/QY/L+XGQmu1FBqEXkEG+kYOmGCLE4cyzOjUaj +xsxs2iifsEJ44ZeQZ2Tfuis1GiGb7bdUeM/a1LcEKoRnUbffXQfUTaB5Z6Pd/6w3lNPkcYrW/dS 3Gaw/mVLb3WwRogdsmyR27NiYMqomcIO9MVIxnbtFnu2td5J3sPwbZWH8I9Z1QkUZ8syyzNoFlhC ONvsk7yv8WnKT1HJhWgp+qkQE7EBf/sncIdrdLBtW7Bvy2qwRVBoA8zZJpl10FXU8fn6cMMatZBy n4kf9vssX8AVa+i7aH3ugvYQYlwwZYSDKRkKzy96gFBhyFgvnAqFjTL+hBp3TtxSG5ZTiFJd7e0/ TeDJt/Z/+KoTtSa2ZU+UoIVuITZJjrjwwoqA1VNq+Rq6bAQEqQ11bSN8kPwF50bwre6OTCMJQz3/ S7y8rySayIYrKJuchfI/F8fQyFPuPS574o30K/K8VeuWoOsfZKn94ZFgexQSlsJfteYfghh+6e1q SapsmzET5bJR46nFzkCECoE5OCtwgFpD9M9hsuyzoCcGbxyAlfp9Ow9ADYKL81lg2gI0CqzrGMc8 rSoB1LvFRUlaHuP7LgbSKVMwdgs1ivdjaCQLNdfzzVscpJzlIRoKgywmEBC6SzIPbBGkhz8FiXWh 7OUpZE41PRmyxdSB8iv77ZKXEdJyN1hWdKnN1GA9jalb2WfOeOxaq4oA55nxdTPL1KqWzQ2cqAla ay1V3jHPg4ir01C/x1nfUDCY4D7G5tD2gmxLAbclcpt8VEzdBiDxXcbZugdEazhdGc6+opJJDSbb PySkdqu5V65vzjPdvh/luRcWIRIkgrCpghZsnTP3VzkRggEYpmXFQw0wRanwdjxT2tYsWEIyUZly G/mMYcG1iugJpRw+PwXtVOpQBYUxY3LF9pFddRo7SA2GFXfUUqaDfrRIb+64yz5Hy8PfTDV4XVda S5/G3EbvGEXAzxdH5mC2TALx9CdIxlyhFDPHNiu4H4ep9FbgwCoMzFIcLCOcCFuIbvkJBh6m4JoG vmzL691yO6SouG4Ysifw7LHAKJzxt+FJ4WeYMBq+4kK4fId2ZdP15E6vBQZ3AlBSoscA6QEIR50U eegv8ZDXcLwHVufzClVq1zlZZb+b5aY70au7gHTNNSvhFL2t5YD8LSDcgAjyNpzksLDQMLp/QxtJ tvqpPFRcVM8QQjy0IONd/3yWPx71FFz2/UhqouB1tYI13+N85AAJ97cFW8v1D7+/e9O2vWi4MUMd /DhtZCFM8cZNPmG1FKvQs3pjgoyvxlEbuOK6mV+h6HvCIuW7K+pULtmFl4bK/UMC3FZLxbeSdWyc 5k5idmTp8WlO3b7E3CebQREhlRFmMXTv9uWX9e7TgknyD9SngQqIIXN9e4V1MVWkOgaXrZgLvxxP 4KzsfnmcyAhdV9rp/saUqqRu+JrzY9UVWr3OwOtSUP8ghzvontJMkzc5EzldNsk2Y3CEt2jfpVLN N26yXzzKW8WEm3yemaw471lICRGd2fhExRHvcQAY4dz5A8I48rYfZLNkuvx+o+ZNs5BVR/NtO/Ur ZbGfK5TdgnajCAVHobIpBKi3sCTD4o+0hWj6OYEQGPRbkasRDFuQG7vptl0RaoMxqyeNIk2WCKuX PymNoRbO1OeCRwbqT18iRHUTWPbz2U5zq6INk1zdp23Su9HHQGdab+qrqR7B3d3pOvwIdcN+vAdm 1CLooTTcauSmqd+IrF5cTR+jTc99eg02YHUoCU8JYj8/dz2m8S255zOK981kgVlRWt2Jsf9uxE5Z NwFcNL5Wznf6Bc0amXXIlwpk0O642gSvr45gjEYAczxEJSpGGYuyKW114UucwP5xK7onkZtp9VDh VJtWXvDSanU+d1RgDLpLetyD9V1AB1Mtp7PKbh72QtyHTjRHCRDVuaXs7LISaLDXfIfBd1bp2w8e ukfQlB5XmKvWQvFopEZfgOGAg+ZWMzYrnePVIZ6XdJoKI8pweq8u2sGPDpyO/w+xL8Y4pXuHuLso Yk5n901aABeDqxkepH3xtIa5/PyQQHuAmnDuge0NGf9l20CwFzPpgNUmGnO3iTs026je3ueiUZVU 5b7b/4HlXS1DxhML9xsD46L18HaWN4ARKeMJSdRuQR1ss/UFh69fupl0gaB62j9B7iK1zWPzFr3J 9G+BK3Qgnft9b5cP7J2RGgto0SwXTlKiXQnikJy4dDaAW6Y1kx94sshHhK/iKWfqAPlgT7DX9Q/Y mt0Gk4hV0AaCxJphltHPt3Zb9EL2RKCGAD2UISPeW1iR3MuPSi1Dceoxh+zB2OL7IxvrD3cWd2I1 T4IIFVjcxf2k9MuoOTyc4XKlE4IqUMmKNXfk65masNRbmNVE5SAF567yOQaM7tyu5WDBle4EfK6J 41bQFy2UZ9UAZGjiLQbkF4RMTgAby3fiYDgYwXJdK3jjq4SOqn08X00NrIFzq+75/jahZsZafKme Uyoxci6rUnt9hgXJ8xJ+Bo7mM/vAx6+6J90mtg9Wt6IiGwrwtvvjvxxWh2/EzddAW4MLUEqjKx1I MFj9IPsQ6QK9aoAudQrC/y+WHbrPLUN6t6Q2OpTG4Zb/od2IPKhhSKRiAS5mfSDWpY9uGgpGBVx5 N0H/bbQEx7rMmdteuHxLOgt0Xo4vdcY8zprM13+/0kN1hhOlwFoNUnslrCitUJre8RP/UfvxVIuZ QPhDXpWJOiTOptT0fANMV6UYO3kqfQicfo4C9jVIaJbx0JVRWzrGShg5+L7RVnhL3dx6bQX9gVkY gKKxod6zbif8h7til0husP8/5rB2IaJJCP2tZjykeug3zCSRJEhPl3y8prG1NEgOtGyVxaCOk5ak 7PA5WBi2Vo3/EvHUViuD2MZ4yMtCLW68N3e0dsJTBnt1yFxLLgEAISSr3mHHh4oSUeOMVNLISPUy YjbPsciqadP0jMrNCcFCezSQrJReh9JSartXPXYIbMzpw0b9dbX2HiuBDc/A81ZslEWOo+ySKaib Xf2hizxLTrDf97+CZZBdzBviXTQd0OW+I3LOLDM4mY0UBh5SqOlvNcztJLH+0gXZUL28UKdfIxHJ iJOqlX33yph4ORq4PNYha4txmKKc6bxqpXThlz1N5brsL73BmNUQlqYyv5VcL4VMpaZ0KGkjBOSA lUkWBn4xbvngTlwlKjln4HR4l+OCXgPo57mfbWuOaVFzmnCWFFvi+4yGr9A0Fg7fgsO95mHhAHkD Vn5ZvYA1DoguY/sYwoeCVhw2QxM+aGGK4Wl6jxRGxl4AJUVtR20Y/rT0KQYCYL3sA8xwx7crvjdG 23LBK2ieeNhXJsOZ4CYr28GxY4IEBcrtqbWENjKAKPkCJHDou9mD8PFI4oFwPpzhFr1qWl12udbt 9KDL9fiWttioErPJ+430cWwj/iNUkULoN4Vch7Cg94kpA8Q47DGw0kVeQxaxN72eXsUGze2CNyMq afMwoZBBTKPBJSI5qawmD6qsYuFCOVEqpddRgZFEFx9RpBwlCQEjPE9R4oFD2l34/pD1eQJQfYNJ t4S3+U7fX0gvjba0l2+cfukKx5/9/iCTSEO3U06K4yAYMenqnqh4HKD2SwdbwRXjvNYpexHnjeb+ QMRHaHeki0OAZDIGIFl4XsMLEwBEVm6+8tko4tJApGjIAKrHNRIfKxL+e1ha2Woq7rfseUSslT7c r5+2YOkcFnQUOZCzE5vjOhMxzf7o7KOr35OpaH1wt5/szxA8FSRCWqRDotijvOizM6cV/5Dec7uC hNIw763vlbJhowIZI8dxLkoqCIeUNHrLwXn60osd6LUdgGbOtNO2BdyWoZdWgi60+G8M3Ecz+XMK 3dF69XZTCEjX5DmRiuBZFWfzfL/IDW/AIucPC8JR8N98oa6MdHI3kVqJGVAClQZ8we/kNwrZLW62 ilcdl35sPb724dyfkeg5IFwfnDjw9+SeuKZEkRq4zipKQ15540Xjf9hSuGi390qnFkOlnUaud0wn 5DSKj2xr2qjAGgSinWS0Rh3y4t5H/p1383ETWkWKkOwUWOWqE/k9LSPKb/3y9HkFRQitA1jc3Acc PIgX6OSe+kvDrPvLUOFx962EUn80F69HAISP2apMDuiV4tjQSo8cxNFRb4cwS+Z8FLKM0rmd+7Zn KNkqUynVmLoF1IXGQoQNGiUk5BaTnYMse6e7RTKMX7zgVuw3tr/eh8R9dRAZVYYqrT8u3gStMWRs 7tgE+F9gJRov0i4oRHav1Lx4yY3uqVKdRLHfk7Y5oVY3OU0JUzAYbF2CwEOArnm0Fu7dmyUYpb1a 52UPhZ+KdTdRRk/nSW5jsjCwjJU+8vF/naJSyMNdJoph1oqZV352S0R2Jvc7esWFWZT7r4I6KT+w fJQB9dJQ956OalSePstu/4LhZoFZ2o/oBN8EhwoU8xJM3Bax/OMBF6zBHh2jHVN22P6tTigs5Dpq clzu/XAVJTbSaDEuxnImFjIifD7PpWHFU5EXXQ1vmQg7FrFhbNAN2tB7I29RccV0POx+D9fLcWw0 YYs+Qp6P5SrRPG1lTx9DdbWRLL81KrFGGJkJOgV0utdYj8Ck16bjO1Eg4onyUx3n0kzdm1F/GOMc g5kp+57Xt0rTNZDxHF32yzez8Y4GsgygDXaHnAnLmr+Wg2IU0MaJTu3+QhLtbk7sBTJdttWha+j2 LvhJ8DOlVUUTg/q4AuTr5k5vseHSpLqfSmNXqrC9tmG3L2/eBM3UA3dhzzGcKBWzDC798GAsMEZ5 6rMycjPFUmkYXJGmnzVXku0eA74a0i4WKnT2IUhmML/EltjP5MBI8/l0Z9s020U4uh3QhAfpiSBX N2RkkoYEgEM4GCUk0QQLpC0q5EKa/m+SXe+bRiF7S2O/nNkboBsTMzUJU+Oe0qLQic+ckjEAn4sY sOb2X08HFjpO8BmzVUi8FsN2ohgHqDC3JxQT1c9XgS3Qn5FjxCEur50+e7LkLcAnJEqJ29q6foPH GZbp9QdBzy3eQG4PZIQPRkBLnfNZk9mR3wlQhZJsM2N6W9SAk6GJc9Od8q/pkCt+Oc/UJjjbECYw pPBWMQJdvIKpYI3zP9yCEK64LgpUAS05OpxN0QBHliNGER4ug7oc9egDXPs7YXw5XB7uVwCjDPgY x2B6CfmO4a6fjHvFQfNIJKXsIjmP5PkyyW6urdcIuIje2Bm34jxn4hVPiXAaTsPs0T+SZDe87BSG VL1eMZ83/gShbdouhXjqd4dKlPBHUBvpSVa0LzcosCgS7oIhh1jxKF62SWXicjZd3q2iJmyc+2zk G2Y9xHOjwltATCaSMGwnn4FvHaIZ/psJvT+r7ok7hoGvaSnn3tCtDZQxaHgqOslrbpThzlTQF9f7 LH+dnb3aqtThDHn+OPpC9CqV9GXaxewVQQzrNrSZV8BO+lyqouJmrlbczEZkt23tGtAVHsTdQWr2 3u16SR6gSgvInEnQDfJTI4Unkuy4Wz19z07sR2PXr2IUo78rQ0XRQgjBdiMzLiwa327mq5KGuHKJ h2P9M8dHYhRqs4bMeVv0MUlNbHvVrPRRE1/02u4ePu7ZM9vBLRA7Uv63/ez8CrCejS9QkEH3E1Td gqR4G+wtwJOqPB0JsLP/mUAlyaDpRjPD2alwFEynU+chz88k52jAJQ1DuSYtGgysjuvTp8LIHOjC dNJTVXFl3voVuEHmo3yvM4AJlFl95Aaeh49UPPbBHRYopCtSHdi5UUsRzxROeY7rksNxlE6iE/K9 3H0+F4oxBrMqxyldc4ST53qYGBnSX3pN5rtz3Nqrtsr++rHjvNVMXcH4BlGIo5yUZa3ezqhWXnN0 zFFqCwxH/rKiJUPXhyiCLzAdxvddRvGSmcJtk9OLDxZOYXHYvQNmkICcjQZsj3+zsV25OUYO2PTP iiJkuMMf8hfAqjDYA9E2JF64dpkBfI+Cl7nnLvBRxF+fFCuhBZDxQlWpfopPLGgqJTE+n7bhGicU ApPuTZxK2mSaDkgGCS+GbQjwI3r/UDkVf/sAHliLeVPsMOf7fTAlPTMIOmXL58TbCSAePCPN3d/X sqs6or4BQrdsQVcBO2bQGMiR50vHV6Y+V4Vd19mvfsFfYv0FapKkewQV8dMFmclc8ZSEp92PjAa1 4Z3svjMFapHqG6J8BFqyB2JOGv3Zk/+w9z1rME4aPVFZ/AQOrFuZQouCuUQBoBTrkExWSKdiEZ+C J/1zoX09NvylCwhvHVoutbIdMlH7oe4qkis/H3bdzy3B1Qtxj1qGtWcxJuABGv6a0JNKlfN8JCAk iqFBfLzPsgobPjBUODtCqNjtZ8Tpv04lkNI53iT1Ph3jy3pXvXB9kU2CLWHhU5KbD5gqrrS5wD4Y jr5Pn1Va+/wiRZxvK35O0STNBh6lSJMGazb5g+ThRNQj5runL8CZdmRnrgbso73sHHGsJbRjCwnV 85brhctPquywsUq0DgMpXfHJG3qEaSeohJq2Qk/smwbM8xs/SxRTQj0flcOzXkRWHSaI03GiYah+ ts57FfOZMEeYinNVBb9UHTbkFmA5GAs6VyO+Q/o1t1DIVwj/BclqXF45Bv581KuzNYFrc8ymbNXQ H9qrlYDAHoBHxt8uTKJtxvyFJYtM8N+xYhCrqlxGieo6NbUcJguWhPdcf8+FiWpMjSY+f6CWFO+O JIu55+x7eFWJCH1DSUaRJkvWW9KKbR95Tdiot6axOXcS2eR72ELBebVT9r72m70fm7KDcHum1qBo scTXYlWQ6npnLjTuSp2ZOp89uCMkTJcAxPJMNMEEJfV0Oxfnn+L0JZFSmqdsIeiKQBLh67uHohcG wy9EnfyLXMG/sXY2BnGoM0QiUFBWRNqdP/Ae3cD5+070W+ieq2yIZTemwfGjcX3cSyC1if4SeUrs 6U4a4XuYinhheYRTL3TtpZyaZkN+7gnX2gZJAaYqnuLcfaQwLO3CqQvqAIRz21GJR8CqdqgwQ09r UgB4rXD5hYPp5bbuDzNMnTu6kCJH7rarpTAXinzoNqZlYSzOtx+drodVH05pzZYB9JubMWh0lh7e CLQQnKaQyW30nxhgu3900XfSoBU8v4MpgrNbc1Wq7EXO4WkccnpRMOc+hXx0YALIcC0Sc3LgMLWv VcwCwaOQTJM1QoFF3QIUu1E3EF5UOsiYwwkwgNxBfQyZZXGoO6FZf+FZkQZCcuAZ5v+pPbxSldkk WrymxjFp67by985LtgGPyvvdbUJPzvlHm3WYcgX/notX1siRZWCzzgwJUW9/Lnjk1ISUg79sOc20 TRCCu2+5WK387zBdWTP7ZCHuIBAxnxHoVLS6NAURU7Gj4yg4V+Bm23odqIQ9OVi8ZNN+yzTvTcqe vNW9CXoDYF6G6F741dIG/cVybiAeNrgC/ECzNg+RZRcbRLBGYrs1+mmOBkaWVimJ/PLfSeBqmeLE MJcY/phVXxrlNpA/T6hvpyerH7xSN/0PH3LOd5dDLlnRHNs9XnkSu2phakB/l+KKAfgj9pgd5ekG s0Dqo0cdm5YZGqEevuuF1m6HT57MGLiwjUz/S36TTef0oyXSPmPYjqtKPb9uFpLPNS5CWrp+Dt5j IDgBq1FDvoURA0QEw99hh9f+gHX017lAWKX9VyPZpFydKNoddCY8t1qBpMPkvEMwkQQbTccUm7oc 1MyCatPVHzVJr6MuOI3mbEHXdR9M3yWbp+qZgIwzXYNjWmLpFkODXfsCYANEULXjMfLmdBU3n/0s gnjAybNQs2AuD8W9eNUmNjTN1MZm9aGPpVMJHAfVkYbD+HFDoK2KQXqA5lbPNCeKAyGD4YZViO7u 5wtkEFo/xGUrNXYbYqgP48c14DpRSbe8+wgXHEtgpzdocwnbM/zGKTDgIq6YVKCYQb+qY9yDw3a4 2G71ePwdUZ4GHDia5kkvsH6EPQdaVEORcAwmndoLVjYI/L0VwvsF8PXTgPd5aKyNBwuPm1tTN9Sp 4ETj821d7ETGFais6vD5LHP+1vFYNa7egzEkWjPLxUQp8sGtpW6LpWZwbwW8/EPvM5yTkli1/S5i qBl1vBnQfQMvtC71+i5Hso2aPQiy+40RyM1UFOd8GTynjTmypxPBbMkuXA+vWzXnWEbZW3idmNo5 Lb/lwy1g/lu3TRr7YURuiBkMolP7/8aQ0RkRj5Qrt0tEL7jSUhE0G70rXrZGAbHNJSd0scPTJ5AT CTtJtnCVr80Ob4ti3MS0bjVFfZIViyAnySjtfzchcJuMucthHgh2bb1ExOBDaZtmBq0iPwpy4wLI Lx6CeZL8UyU3p6r8/pSzb48iKcDauDUJsaBE6V96J39bme2xFMNeB9NYR1muHXYMwhveiggej6Eo kko6W/bah1dXgA4NBGcrWipgmsi7KzZnKhiGw+SFFifFQlNblie8nm2A1o6MqsuYvJm9RlpkVWdx 2QfXU5Ggg21IcXu3jeOg6OLPe4jlHR4cT+zfUtes/lXSLo4GvawZOedMqnqEd7ca0L1diXokl5df U0VALKtgdQgu9XxARyfZ/dePlmzs/grJAXeyU3oTst6mwyVPeYeK6WyITMT9t6yj4fuVQglG9EIu CQ8pvKSdcN8JzRrR2xXdMbYxrT6D9otYCWupjjL6RjktSSi0zPP1i6dTDbQ+aAqtpkvpA+VCOX8r PFisdcEmPsCrBhlv4PIAK9/cguuE+smnhDtAchw/sP0gnqKnAes/ldaNulWv72srqFaLh4bEVRBQ zpsnjBCrRViw+rGwHyQJP9p3cUaKo62NdxLcNqNEg5EMnuuPqkA5QOM9Mg59SS1cQNriwJjCVsLA ZoLTqPvCoUzSxIEFPjsu/F+g5qvGh9haAYJpYhBVbZTIJX9/7bDMUNe78VL0NGaVgYOHjXdKHGy+ Vi5m815CGRBReV4dBi1/NwdB2sruJTa9OUDE74j3WpZI4O0pMkANbAm5H5GvoGocGYPKU4+YQgwd iiNkzx1cGz+NhNHz86Vg0RjL/HRxrrpoGQi7yEXAUd3IQCVM9XafO4QVqk81sS/A4/Euqjw+A6VS TVXybmZZbJWGwqWQ5W1SgJAtMdLwLKswUSVfEmJ+Kuei07sI62ReNxjDuu+oPaksGxGlHGwIboww Uy9/UaiCbSNloQeJ3HFwxSREL6/THuhWgXxz+10pkWIta6kbqr4lkavs5Ec3sqswtKUBCe1G7EwX wBI7Cyyi/kFatNgGAFdk30ZHC+bDwc4AsY7bujhc07h91/wewgzqX/poACA3Ho7zlXQPegRWudE0 ZfUXlWi1NvY5fEGfcQXsYw/3KDMQ2ox9XF+jMt0sfxqbYpHDdPHOiu/v6ywnZlUDRbOGFuBb/kay 8EWgt+KKlb5Mqk2xF4h6DtVW+ug0RhxILsXCVLRBGct+ScGibSXymf6J3poq4M01/niz2J1EW+QP fsRkPFJbwPgUg2RY6/J6TLFcnzZ9AKWWhIRYmVlnfKOE2wAgxd+02LA22DggSdKZSGNjOvcotUii H1cvDPLPCFZz24I9gpXwnlCYzy1IFx8eqqzY0+JDHaVppwqBX/PAxCufAcQ2MKxJl+hQv+gqy++e qy5/usVvZJsznQ4At3i8cCfZGf/fnpf46NuszCn4rj+nKcQ1FZUqYevgPEl7idT+SqGs+Tmu7VC2 xGR6FamH+IFNqjaB9eiYe8P7k8ZFSnocTwvq+2N/DqtZ9h6qrb/UYuyNybaT1oLrjVd5pom39nxc kKvsMruzv/hwKyeebe9eWbYYzca3pb+Z0o31w6sJygYJ+1wKpNN0dKkB5pqZWgCKshpETqUG+ymJ XQu3SyUIq6Sgv4dBnfUjLmYq97jxEJ1rHVWQ5iOUnj9ECcYlC++JzR+SxEZRglbrUYMRqP0Nx7o5 qQWedhKqsPu4Kg0gEI0snCNo3pZrDWhgKuKco0UCox5mIKVCDGy7lX62nd6h4fRvdr7vqYwVM3qC W/PvwpLTmP0C4u1FRDhPfoHLSMHnPKBZpvJJXdh+BBsjgDKtz+Ks8+C/qwE/NQr8K90tW7wKeQOa znHhGmimjNxySVT7hfNbufAy7UXQKfAJq2AdppQZkzWwi3jnTTiGs2KufmBsJtK8+eC1NRAgxSUQ K2I4bIUGeXOAqzN5V2nf8V6dYEB4FCNsuchqqHfHrN9EsXLE5YckTdpzs56f7oeacf+64RiXtnnP RA/2R23wcWd1nab7JEjC5DC61XzD7q/r3gBTI4tVwnDcis8I/56MqCg12ppbdC22gGjlCzl2pDZB i9KI8GOOmq5NDC4xC1jqZxKYLiaCm6nfPmihyCpefYdoKghGsWvQ31ZZQ+L3CLDqFSPgwXXUMjID 0yowKCFEmJxrabhBwLjXyRv6iowJJp64n+LSJy0XeOExPIWUPzX+IkC7l6mANRtScXFbEBUP03FZ dJyCJYt6bXL0eibVNiMxyYr8zTWLrSBV2dpo1D/e0ziFvR6seS3HqEc5EUZ671U7/ylUmdP4wL9I VteUJJjb3aBWof06n7Tq4tGIAYMBpYQFRGqPXiQPQGlzyARuSaMc1cNZsH/DYxTyVRQY4NOxIo0G Vkg8pRDED+7YJmDrbPYmx4+IkIUV4OKgjVa5PoWzIsOIChRwy4qN4P636O3yXlNDh7PM+wy7r/pT wQYGRBVRrcijF3bswKWXdrOWhLcwWykTVYcGu3yWmruZkg47Hl8H7Jrozlmj93U9aXsO1jDz3ms9 +Xbq98mDgZLFXOD3ARhpRYY7cCx+9wyt6OtIT25KLmcOSIEXvmUlRrgPtjmKkaE4EcdlHq6tek0L DpcUI5a2uwlq8Gy9wfEAkHjgg0iGBybiscvX81W06pTKm+SOVkKgJokKNIR7joFvXKrLmvB/UC1U P842hkC+2v3dMKQa+AyBVbFBDHSCG9+rt5+gCiRmoltbyvldvkCXmsAS40ezsE4uqcpMVa2QtECy c8bSjVK9YzpB3yKgZgvhd8RuUN6RFnNYsAdZNs9HnSSqa73/WKvRhK7+cnB3grKpT9KgPURJciNl 80woZlDdmHqPZKad9TlvoVvSG6HEAxIDCw7vVRV+laLJhZ7iAuWwvczbPIIZ03qesedZPF8N/ro0 e2PnvgUFZx9nDX9+txv4XwD5kyU/I4QNurItYbfr9Ts/YW8YJmkjLfUT6ThwBC4YEjWWF3gTdTul ATYuGZfyHREHrDzc451nb1gV30rzm/DbSQkbUfNYEeJEKnTxeaa1Fs+AYPWWfn61vEXCkO6CDUvu 67qaKsvjq0GfNXVukv8QrA34Jo/lba4mzOiveSmoj2KbsQlQPSAdw4hw/R46eWbJ4rp5gMEL0gML dgdAF1iP2RC7YHbDOHn3KNrHQ7e6kcKEcm3+VFkwusfGu6VaPsvcg7ZxDLnQf7ZWZLunCpXQtRg2 BtQp8d1tt5mxFn5oZMOs2K6uwBs074+gIazYMWIl+RQy7KupjxpLC18rFCW9nESiztwBG+K/Yb7v Q0Is8XOlSG8ErcHPpTBB/WBd4PChb+kDpE3keiNtjecvRdg6w/8dYw08x45SIpDoLiAPzQ3Fqfd6 k5D9OnwWnwI5cVpcFf3TwxqdAl8B4OTzXWT/DOaIiAXjLP/4fPwK8hCCwFIAHbsVpbQyQgq5Ehgn tv3+uFmYPJO3zqoqbVjK+M0OmeH6iQF4zVHzh1p+gWQ5PKJu6oabsqYD1Lkhw5ekNA+jIbAV//0A RlbvTFbZ75uuwZuP+0g02pyxz3VYbkfyRs4SQoaaekbbKxaoD4BqM4rU+3m8dF0UdyMEPse1yXCw 2jLeg0uRp7dPN/WILjbXCHBrHjZMRMf+QwX0LnWWNDlID4e4B51S0oifyy6apzvtxwXw5g7xTF8i gqHsnAfDrAqd2NLF3pwrhXSKhdGkPg2zhes2p8PpI+0pdHGIB423Yj9iCXAcjyNPpzC+692xZh+T Qn4/X6m8B3SaEXYa6ajBMD8V07LtpK8JcfXmf9k4p6iBmz3YP+fEftiZl8eqs9SBQYHWzDyYd1wW 2aV3TLFKJCZd1JLdZ1c53i0ABTNFOhKKLKAdijQxjKfHFyCgnIYJykHzC1qqfadHQDLeS4MKX/Cg IyLIJbl/TKRB1/xurzyKIDg3z8ErLhTy3RQ2PWPo7C0E+bXq1o5RyLOHcbtPQGEgdBtHuLIisSlV PuFBEhBxFokZT1qiS/ioxkjRru/QhbANzfmhpuqc//2YoRkcytiQJcyY3otIYDundsqHzDwi6rXf x92lCtnWdhpqbmy4/mAvaVxp0VIiIhJFAHfQPQPrHTKrvTFliQBJ4vcZvRszIJ2r++V4Jo5oLoSX 7PklWEDXGhBg1Cx5UC+vrvDWH2kVEo8Nu0LmxVPzlpGBZxqBY1Y6+D36MzHIpAYoQa2qcBi7hl2x ynLrPIKuZzJhimKL0m6z6xAHSo6VPvK7m7Zrq11sMEdLbQj12xYhz3Ih96vnb9wTdnLES1sdCosH 0ljPygPSETaTbjW+pFREOcCnrr5xzYv6qYV4RRyxVyOkXXUSvy52GC7RJKvrCxn9SpZiF4szDmxB T+YY5jzd/QvotuF0BJfyl+bwTGdsIUaTsNXFcJCTKTRwbPyYVYcX7RM1obJuE1NxsHfhYuEazhQ1 3ZWBT/EQXnRn8dMHhyMC5mdmE5ygKqvWRSHo5UIm9q9V08FQ08pq5hgFPkwQnkwduo2LGBcOV7DL Bm5l7+i652Xz2Siy4jivABRpUxlSsrzS0vg4LCySwXE+gHuJKJywPwLJbbmrANSNDiVK9E6908hw qKUPCwu2QE/pW3oubkY5Qv22bmvx88G2OOW3pgiVFcQTRN3Yu/PYdTU4BmLSsMTdu/duq1OckHj3 2WeI+btkepbkxN8wEIJFf0tZT/tneOQ/WaB/1cvLKvX9AAgKYGmo8RQpzQwY+u6is2d8UYUOYkSv sp1uDG7nQpQIVOc9jwojGwnRbBxWgwKygNUjfLmsTA+BOnOm6b+KZekB5LiNCQ+ck9OZwo+0dTAv 4Hy+UfKq5cF4YzQL9GOpR5D2a5cI9fwm4J0EbGPsjinVf8qrr+TtXltkaiApSYFdDxn6FGtp2vHI x2AlqT/oNUHdT5K9ghMTP+y3MgUEIu0h6R+3JOTx4FsgKNVAgrhnmeNAUU7J7A8ujDOs16DZozfS Hf/DXzK6QC+oZihGAmgOLE+cGBaZ+pplhl73uWocFTxpYby+H9RvFYhhGMmnNCdJWsRUyi4JBQKk P30msYzDqBRJIgIB1wkM0WcI05L4dfM9n97vp45VNX0Qobz+PSIJ6r6vyZ+CZ79NQZDA51fjh+X8 T8L5rfLE5HX3XQoiOkiteYtCSh4C1dNGSTMDI7RCn1XzNrz9yWsCi8AxgRLYeLj8IRlT8zLUE7Rb EXU5xe+sWqd0e8TxHGaJn6htgN9yDISTe5ijRqF9eRuDt/w+iWQ9DOYowqtEZIubn7r0AjRI9Qae 5W39X9Q7NdpjHdxUsJp4GRws+7fL+hCQz0uVsy+QY23XKAdkw86S95Q3oMwkarBvi59TlgRxyN5t ocumXP7hmCvfus4IqNqHexOnSwR8dNQthh9kw96zI83xqfI1R/zlRB3QtcLfuVOXJOaWj4R6HlX3 iXN79Nu+JPuxU+kLZRirMrIL06HMaQFb30SiXI4kzFBKnLBcgFQT8NDgrHvPZqjVr7NB3Qpj+9f4 bCzrW940os/S/X4wM94+tlxbV53MphIgRkwA8QjG1xlmqfAg5CFFyYcOw0TukGSsoyzJzhkJvcI5 o8pNGrEEs8FWN/fzl8+WK5Ckt0HE2UhAulA+b79v7ckKYditgJtHf7zI9iAObCrYitzQPhMTdYxc q3MEQQrHU73UM9N1IxRGLiZ751ECH1RCmP7EhlLr9VeYWigD7nNYOUnozjVAfRQlDDMjqgRV1YbR Z2x2Qvs+YRmaFGO31JeDXeTZXJOqwJy314rKSMFayxlA4X3ZPerMhA5u59OSFC8ZN1vXbQp3VAw0 ZwBlae28rTOohXQsSPEwS0jvhLCcRfDKLiG+iN4KiZCw5th29DDag37Vo7VVzHO8i+ZY0kgGVRD5 cZSQOpLZstxZZ5CibJc20vPGljYMd2A3AScH5Sf2wc4OxPetJ276q/e6/RdzZym3Ua8VyQq+PC23 MGZ7DbLurcEmOYuPO5AHF8hCLWM0TFKYJWgWprHIEHZOV9pBJSLkvg5b/bwkdpHzt5J4+yzaTGnD N6oH1B3GCZCYM4xfnzAkO//Z8VS4Ks6EGWOSJaP39NdIrvuyWkvYXPugtAZA5V2r82g9Kha/NeLT 1iUzn+f+Raavgo6fVFoUTBSdc1zSX1+8w2b1v3hzcyWbIZvDhrqz8xbrFsBuVaWryGWVyXcXgHEg 161s2zlOwgLDVNJsLCRYEmj9ohMdpoZuQR2vpFayM9izWCJR4d+94LFfiEOR+3B9Iw/Lj+B32GZZ 6qdVDzRBiPB+QCnuOLa/29JYnoY+3ch0+q5SKrED2mU0e9n4XwH2cKHHYeTdCu9oHj41I5yRk63g Be/nMEfrUeQWbgL2rLbmp7Gw6l/cvSth4g3Cwex7A4LFkYD/I3xhwGoDSplibOjTAtk/9SHfGcxr CMCXjnBnr1sJWnR9PB/PmbG/WAqx1OIi03UEauXWs6hc97k5MqAMLMTjf96YJl3J9or7nLciqU/l 10YUl3iIKA9K9MyHUhFSZ/WmzcbbCAmQi5vk1DTdKoTXNsR6KxqPYQK45vSIdusk0vuC58bb0qSV zIcGDX3TF2gAdSyJjy0FN+zx8X7rdXWJSycPhNkB60Q1tZc5k3EedztAol7xDHNmhXhkea4znkzB GQklKdbUMblx4rWOO7CN167obHB4UogkXoOobu+8mT+USguJTU09vR5nwG35qbJnQRUzz+2HX3aZ GlK7YFwtLGOX4nmqw4WnrF84ot+20j8JHz4ggcmiJCXKOEPjbosbREMlD1Epwv2zNFy+figyzrBU wa4Woq958aHJzKHIuzeS3whRmNrp3O3v5jaoU7jaPs7TcFtKvZa7mh0mtjBUe6JqEOy/wWs6WiXr fV3HWpxYjQCW2n8zZBS8mS/pH98YpCk49XpzdvVSQp7pC7Si/Qj9EjuXnsyBUAUpUL/lLz6QixFF h9dkj9fcV82cGe/fEkPPB24KbxgP4rNwjN/sy08qmyNNeaWYsTgmRcu7XfYd43zHAli2NeIMJR90 FAKfGffQ9lHPpizn26Td5nO+0sm6M7gi+AA5vpHurQRjzl4psSr5Jt2SvwIQY9Cte89uNsDncJcc lml3+00zc9EAbcWfr2+e8ZheByFHQ4USkzOYjLjEyPNeAhmZBy15Z6+7MACPHTtwJh0zgACmHeRX uFMdxD4m6rBxFdgno3FJ/SDnFK0f+iqygL9eB9yUhkYeg6nmRwmMgRO4ij2eGd/RAatfAk5bQIGT 6K6CyLtUhOr126Sfv7GyVg2h6pzgg0L/iEWJlgqrSM0KcPiNhmvXn56RYBGpGfOX8eDybyU81vA5 zjH1YgjwOvH47MnI9oK4r0NOwL5trsdk0Bxgn3DxwWWIxvp6dt2vejh5fqhj78GFRwHkQpaN0Ct5 0vx9sUfuPizPVm1XnEwLjn1oH/hxZGqyPz3zV3p6D9nJ++hrIGz3cjGgfMcJ7FPBzKYDEqY5yNoA b6WWXFUl+TXJ+KhGrV4GFoPh15H8DKwoJl9wHkCLeod1HxLWi4wepR027Byo8e4jUbX/huXkFUcs gxkfqUWuZqxJpFI2CjwapVDu65kmkNM/Aqj+HJdxFW6gjT10bZevkK/kQF5+4nYb80L54yy9JjzS pK0nMJ90y7EpEUSCe98rBuBiZ65GNeoFd+Zp8UnSljdcjC6GTl3tMAIiPi6BfZEymTyjE+fyObyI 71O3KAWJTKgATiDjCIPI0ETJ8124ryo6NEHOz8H4X2TjKXwdwUZQWQjHjmDkEj4eJRLZ0/6padL+ lzuWY7UI3BpPVJD1IESLoc+ZfAjVWRvawXnAW3uuo+r4JpMaRBKRiLXo1OivN7rDxDlOLMtWNcLm 9BSABTrzbqFPZRWgs+eZkbbIvy2IIfE7rgXAK1UxVpUS0H9OnuyVneLzP1JSRyXG+rYkb6L/LmIx A/hQgqSQjVYCvWlg83tQyI+KybVAqPlO6DPIfLufsZNNSXBpU7NtoliV9bRf8Yvgi+SUNGV7xvBU zKEO9XWn4ruGKvt+UPvsj5mmxWOJPtIbyWavYCsMfwMSpvUGIQZNz+shfGwqUdVs1JAwEt7Vy/oa ntmO7QnzZlttwRicFs19DWrdEmJuuZo3dtQpvRD9hDQJczPbddjDeMUErVxvlw8nN8yljFNu4O9e r72itwDKBK1MkuTqk4hSDt1SI2QhT8iGVLEvgDxJNuwZYqsOg3Qkr/SfviHS1YcWTLyRbQFJ4uPG c2OY6RyN4XlqP0kwLkzacVJDavxk86PtelhTVzgw+smYBfBuSlYGN+M/fjLBP8V1QmwsNx+wKoIj n2c8bzIBHueg4ExzYGAqTZVsRWTIjJNebHFi/g3RyjiW0L0Pyr7iJalvxUU/ZKiU3Pc7u6Y4zdlM poZq2eG7sBeW+VMHAb4XvssuP4JTz3Sp446+ODJENUMLqe3LoUQDZB7jr0xmNlufQWKNwnuEDtpp hVKrt8fJ1i88caSRbowbK2LNG4BSaPm7M9LjeSW3jG8fW2Wb6UsvUcaA2SRAIk5cm1bqFvngocj3 5wSl4fmHGsW9FbUO57/KUt/pfQjED+V/0VweOuV6+hPgcWEC3TjCYTSLcC3dKh/1QPcy+2iyND6i 3lUr7p9n7bJtmIJSJVUQGQhF7DTthwaqlFwAjHM/i2C40GuTmB5DgQc1I5EtNygK0pEot/dhIK99 izz8JehFO9i6AokKCJYoRt71T9adW7+05aiY6ovr6coPTgmLr6uil40ilX5G7KwHDV+x7D5Axspy kJff8D0mhrw892j5jDCrtblEmVhCzhpiWqSTx4lDR8HDGZ3DcklUwRHoSZ+yUmclwWHOu+zwe88X Ien9yHFD45raHLkPNP8+FoiGMM/C5DnQtF7EpP4OLP13t/h1vlBQuy2h6Zj6ETnaeZqoR0xC1Nc8 i4b1KSzqzDTmfYolXm1CCy8OiiOCbGDML7CDPw+TeuhKZOq+7TI/CselPXviDrw/3AiV6CKEb5Fp mlQ75JOXrGM3Y8PyFXFZyVfnQ09PurBXkL36xLSdfxOtgh+DaVGxw3FCuy+kdMBCxHXFpx8Sjct/ ynBnLVaaIg+J497B75mAKWfqMeEex4WcDC/hWun/0ArW8qoikASpI/H94huvGg9LoumzohM0z6d0 uEcYGYQ17DT/0fuarwRPpCf4wSLqExgET561VsgSfMLZi+/qrJpnT0kE4rgbIWTxmowSn4v8v+dX yE5JCd4neQQmgmw6zqBj7soEgURf66cOJ5a7r2WaR54SWBtKMLBcNdwqvzNIZOaaOCVXwLapJbRQ 28xTwqkQ5iIQW9RTn/dzl2xHYnM0t0YwdhTYDM2gICg4pDH6vWE20WDD7MkKl27zq73DZqAy3HIc mOYWUgjWx7tvvx1/GI70gVcywVIK1DTeoYzaNxtWWAf63PLqGeVahucs/w4e0xO8qxCBaFaG5j6f JW98JPGks+c6HQz48nodflu1AGM3bIQd3OU0Vs2c25E7eDiNCWXUTxg35eFsNnxnMEzoN0Bff7Tc t/7sBz9DgHrZvxFYRakudONso+8wapTcPCVzqLD/wTZ/PrZkJydzQrufpp6OWXzwefvBagXlfPbG QVRCvlivsRMzwDwfxHZb4jd3E9CrQLAsFfzL0JZTCffLICoJeTiT6UvFMZ0RexX3b6p2pZ1wEpkF Rh9qqGTJwhqrj5BaXYgaj4EWz/EqEQimxUpDrGSKvttPzFgYL4gQC96jt6dY8Y90vc/VK9wbQwdj y5qyFoFoz3DghvidI7E62WrWprkcE7cslrkc/0f1AMoivhz8YJKVd6WKaEyNlG89ctu76mJdMukc fK/ACRUJN/KJzNfUgY5Bq8el8tndwqw9iBJehy+AEqvicNR3HVCpFZpFtY2hZwMP+tgBDr9WAUzh m0D36/GtH3NaT6PRgk0Nr/KYWAoM9hKscz9gD34r/3N+7SNV8Ul+QTSu644ZVkWVIQpVjwsekKDM zkNI9Z2HlaTYbnnqeRmKmejtBl5rRUKsiXWjR3w8GiU37TkQZbRJT9Kw055mLe85ixOUDaXecSwp qGUjF5jbQ6ttM2xncDGfK+xwzi6XKitJVx1lzDhN5gDa+REqeEWjipwtTpZ55hNnjENnHjaH3tX+ zHWNAmo3hBEG4g0RZuA1c5r65KvIaHiE0MnYyB+H2GkDAqhAjVH3dctJTC7NW58Gkkv9PD0RLC5i 4q5MPxUXvnr2FmQg9w30VKGyXy3bgXoJp6KSFCd+TVKnJq6+xjBn0oyTWSusuBFQue/jDqQWTHf4 zUxZfk8uRpvzmS21GlMRcZJnLm5/EcrX5fTYPs/2YIe7vzvcovmxsggbutHxSFuf7iDAn1FFyLl2 5OPnY1iM4JT2x+pzBDCqZ7dm/gXFUNgGHhnJyVMQCNS2KAbXxu7ZQhxm3SVf94P0cOHGdmo961W0 Soi7UQ1VyirQFgCmESNZ9LjbZy0znt7tl42yTBM2omXgsSEuebJLKA7lle6gIDgiNsARC6PDo6bY vOKFEliI1Zs/4JHbXgCdfZV6zEMJ1UmUehpcnoYRdtA9I8+Qf3A4/MIE9PazMoNDJQdOdUxW+OML RKsorGbndsnB2dc7Fx1JPMDy2QX/yBHf/lEfzF9fSHUAe8WP4jSiwclHfN2+fJbonFD6A8R9dwXR s+olYJD+S6rd86IdZBBzgUdawDBjDpTKvUpu9G+3Bxcd5C7crdDIUIEtdddg8EOR1nbTCSSub4Sx FR68CmgbJNXSuPoIL/GqCRyLyVsEanPNv+C/Cnm1Xdi0jW6MNQxrQRLmS16juEonoD4dWYuMWWAk lvFTVeSO3Einp+04ar/S9Qy1aQ0OaX7YW3sRCESZ9ek4GIxxYNpQiiQntDrkV0ng18Q4xehXM66m 4atXw1QqkZmpBc8tAqVwdkBkkumKL4/sG/7wvdYq+9CFRtT+yOGaH/XsFZ6dDqyBHu2DhqtM/40K 2plXfdRt61xWL9nkMCMcxHJw0nyP5oD7Ixq5KphG88t+u+xHi1gwY1QBFa6zXWNgEFDEnN4CCe/0 5pAivqXCRgUuCiue36YRAQKD/d6BGb3aWy9/XfPLrO5bs6OQY5RWOJkDW92Mpe+FTRT1+UkGg/+U Rm+ol2i/wSOkWpojStgcctRHqSWJmQLFJS61GJxD0BgHrumZivDeOh1+anhw1gJIgEPea+84d1a7 IJ3NWBxF9cBHlHnDdkBGwV7D5lFT4YEPp2DjxlUWhwF8XJiG3D54illAMwmPWhHyHesau3ZQMay7 FIn4DQrTyUG97QYHYIwGBeJ4sqAPodlLqnQs8jprQyBqfWgbt29IkK7soEBSNh+jrNxlQh6Xn4WH AFdsAuS5kQmgXKrYRSRp3TRVapSQ6xwoZ4QDpcXg+6AonXSe9F/ZQ1tPwdPSvrgyWJAWKhPridVz 07G7olQSRlOFkVRraWn43L/8S5LhhromSoLhG/8T9kAkRw68COOsqHj7xlsPpxNvkY8MVbUWSK4i mG2+NhAPCdGk+pb2kRSEacKbDo7Rivl2xTnftHv+i4tjypozGLGs/7t+XRUg6z1C7FcG1df9mnEL c4yXMT6P6zp2fC5Fm0q4ZadwNqcPIAO5TKyFFlJ6UuKxcOORze2Dnf77X0uoWIaHU4F4fTJc4HK/ dy80pLxxHbCYF0r6ncifp4jmm+q3gOfLcDKchiNTZwuwWCtDGitU3NThxx4Pm/pzKeEIaS7wAbI0 Rx6nZPD5RQybt0CgS4PasTZCYrqq45cQQqnwhsqnLuZQ0IuTyxVSb2Yh0gpa6BPc9/bDk5qVca4+ 4UwLkimIrby03S1LLr+0KT7fglmHZ6GEzvdQYmOl8mftPkVnGIIPGoSLuTGmDCJ6q3nV3fnvKrmm 2ibu/DTrOoadrV6BXok+iKLsgKBdnIRdAS15zjO7dCAuFk22o1UqrNei7dszs2jweoIK5hId8X/p 7Yl+4Ll5k6GVMyqWugd3zMjG1qFiJNncLySksQDB5gmD1rpRSQMpsapWBiJcEIyo+dymXomCw76H AP1PMTvwAF5F828Aze07VV9DhPYh8C+y3Sly2j5LbFjsbPcCpEW6kDcZZDmNCqJstE1Tq01TlKaX yZNoMHIzua6OhkeEA0CaDl7FBDIFOEFpeIeBkjkKAAMYDq6of92AVs6pJ931quQM3AVTOIQf6pjl CtCazUzB4P6iTwMScSRinro5w0JpFDF6+C/2C/kzQhrWNSoGlG45kU/OLZT41zBmg7PMsD43+851 T6D/f3ttnKt5yF/liEbCnjXIEsdvbuf6fYetBW/PqrpqBZO81EJ8sO8uEqwhGzavI6pedWNrC/bG emupSF/4XpJm9DK1FuWiWJ0PV+YtBNPBDAqy3H1LTj0UNQqADJQQxyshZIDqRsVe5BDzo9UUNVNi wrpRsEAe/PKjjDY5pDFr2FrHVH/YNtC3FcPMHuFI25Co5fxI39rNc+Rvaz6gXIm46yGMLtYaWOxX dKZ7LBP/3utFRP5eOI9APyedEzx0JjNH13wh9S7NBIb/1z8s9a/yycrZ6L72Fju91m9BPcjyhVEw 5w8qqCl4TZKWGQoykuDeUUn/Gx7fZ0Ol+Ib4rAaXwY5V/YG7fu62Cb6ee6o5psIKpivJ0AzoYjr0 E3w6QSF9aXzndz3UAi0W/AOvEhfmW8FLUydBEiLr8aq0vcpYHsQDvxJClKT1DoAqJrcRGoR/79g9 Je4TNOWEZwpL0Fp1Ot/OAKqHLYGxmn22UwU2IJXLZyaMEqOwkJvZr43+lHlRIAKS1nBqF2iptwZ4 Od+gx2l7XZmQfoMd1JHvunnitK9rekrl3EU1X4CZNMLc+WEQ6SApzFm6h1msJovGL0LmEe2piHWU zhsJdG/XXwsexx2/77/s/axgrcz0RB1HnhT/5FF0VC3EGtXYJnZDkcC3rAhwptKUOTA1kqRmU9Xn IjVAfKY+Na1VBEbEXOLd1cshfSuWx8A/jICuk2QEm1ZRpPHLY6xQmu7hWF4F+RUfVatSOTBGxje4 46xumZYihNmoYzTZucivdc3YKtNnF1auzE9KnxHcrhJ9HIo/KTXPC/9cbS3lpRb/SKG497zL9fHj op3bY8LP5SbQUt5wVhPkIxHgIZvCyEkkvTFW4OXYP71HJUQlUh91H7VMTK8wwwW16hsutqTsR7bz SLxGEiyV9r2XDB9JN48q97Abkk9MVRMVtF3A/wINKSgIn3uWuEjPX9M/kxxuqQXs6mp+XKO1behw TZC+ZtoC8TA8wUqLeM8Gh3vReLpA42PSMQUfg/3NzWGrcFLn8DRwxgUzDH3AafoxfZDsBL99ikvY Vdz9agSGpzXPgtIDfCmx5Y+4NVHfImQHulQtFBwwky54T7R6FPvKaxhRXB4DzJahlDatRtdYuHQk xU37XOIBW+BSnVeNYiLCU523nvRW7Oe3om9EitP2A0O3FNd3OWlg2hbIyOJ0MysgHzK/8jk5X89r sOXBd/9PxwVIqNmTZ1xczWZ98iKDaI5d6bqE6QYijSSs/F7jLFNvTqp9uEHrN+8i/S1yOtflJSrY /6M07XRSvn2tNj4EY4OohTXjUv/YIN2yXAN2aNIqIJtorOAu23bqIRweT7XRLLCWBAv3FwIVGumI WjoE+8BlSMkwb5OV15u22YisTGBm31gxCEbHrZh3SLRh5PYIL3fiG9uW7Gzrl3k7gi3vvDLUkbXk DEHySoem5HD1msT59K8hLcp0FFhiPnjVH1YdG9VOTBnjSjHiKx38oJTrgWUU9z17jFwnU+Pp3QWQ UqiRx5LLrUjON/DbJSuvWDQ+MasKHri4Oig3HKR+Rjup3Ds8BkgOR+v3itbFQr+EfprCtTmJqgkr BttLNukXwFxRrNwGO78g1xHgM5uHaOl2lkp2zwqr4/bKzYzV/AHghkVLKdhxm0JbTiNToV0F2k/Z oXz18P+7SB4yyQWXNKGnnaWEFO2fy/OVxktkzckduicjwFCSrCJVzFiIbkOkZIKgRk/8uouA8DbU 84PwvWzD97ZPNfhYZWBXvxMDtYP4APsR+9Tdz8KfIk8naOIya2SyPMStx2ZnozC3rX9jfyBd8+lO ifjI0qF6AoqRAu+b9WCvllEuxeDfeNjHsFOsHjo5aG83eJvmOGiE8iGSRRlQbtRXbsOvlh/agUEj w/iRm80dodP1mXuITmYM/ho8u8M2EpmC64yoxoDqW/zd3oDUU7cb3dn+yKv2CawcwmBmrTWWJFp9 1/qBeoCvqb2eCFLCP12l2dAgI++O16dOt7GfUR/0fjW+4lN535027KRtIju6n7CCc8r6qTuZT8iJ AIqadjCkUgrmNX79K6XynB1MaP2bVALpXHZG5M0gAJDPxw9La9GSvNNjLdXMnlQtZZiJ04IZWaPg t6A1iGysNBQbOOLOl0m9v17q5x0GZWR4QTK2UtJU2H/49Lt8ySFpyrsspd0ZtT6Ah0QR8Zzyrqjp 3WZIGKj1gSoQZC0HFnHRUH7SyLXhrzK7JAIWkTDMwaDg2f4HgMXW9fJHgizGzwUO8PYFfaaBBWzQ Pm0lmhFlYqkZC7vKgk2njgJDn/0ZauwW1TX8FGSJqghMJYwwzhsZJ81HBHcaV9mGmWOHmg5nkxZe MeLhJJwOUxQoz1z0Z9lH5KdGYOWuYfGL7z4Cm3c0pU7Mmw2IRRvT5If6yEpD9bn+O7+LYUwFzHia wtPtN4x1tqIUiEpS6XuoJVN9PeNqFKbZbWDXlpx049zMT70wFbRZhQKoHL8EOZxYIDCYTqutmjq9 uE7bfd9acNQ0XRjP5Ust/LjQkVTNmXztmouY7P23DCPdtH+92K42aGrvf6N6lXr17vWrNN9rU+vL GJp0MZLd3xOxzQbq9Xr3ttos4QfQFrDeVO8B7ybXfX3dX0lpugd+ZC6tTxAE8IoEkS0T6am26HKd owltxGmklw7tkzCY1Zq8OwdJnjq/9WyzFjXdEPeeZQ7reLdd3pso0s0wNe2dIeq3KWxfd4JVQpPu DtTVkRVO9MJ0efh3rtG0acmO6zzsQvKCLK1S4VtTt8fss77/xOhCfhcVfvPwM+pI72g1y6ayWW2v pg7yxgZOCaDMdiTuQ80RIu6AshyIwzyuLLuMX/h9fISnpqFzN26I0eyFrpVrAtDoyNDl+BW0Vyrp oWuPPtOTc6t3hvBRLqbNeVj11t/RSWgwPSdq48ZGs5X3tzcHGrzxJ2tc98YRBfKKqHRLgDG1ItLS gyatzLD4obV/qo4cqJmCaDN4obEt4jLxynKCxdihUCqfQKxreYgx1lH2Cc7dNhM96uYizuEY3Vkz qoWb44Uwd6ZZxNlB7/jb//BUahx7EG6YZJYHOltB0YF/1uOTXkg/CxEjaHe5XjVC2IsHUX4hV5Up QDOtFAVplyECZ7VJPwdAFyjLUOcqRzhFNd4KZeKYpdf+1TrjxbI56Qpq2FZys7rZxz/kFX0wA9vX p2j+FLAX2sYkr02GqRxo25Ge1L3R239AyUg+cPY2aezaKtxtNW8wP6+A7YjBLvYNEVzVEBWAtpl4 SrmfEx6efx4UGSnrm5PM9e/F4Oa3O4bdLPOMs3mPak+xgx12FlX/OkOs5gpFbfGhIZ4VQmWPGSmv f1vSYewt6j8Bz0YTZQg5JDpr3O7m3ZpbuCrduuBY/oIc4NhDUxJM54GpvUt9UR6LQg6aFn+EYJiR WaMjkzwhW3w2Mvq+kJ0ohSHlVjXVTVhOgFYSc+jwKZ+1DezhFmE6enECni+Av2fL3TzrTJyCetIq dHqTpz30eWoiSxIGLU+QkWTpWgUxTaWZ4OX5ZnQ17TWpj9REcmFtHSoMVQYMpQa0xzG18s3qSAjF jcF1tN3PrARBcnPCNAlAN5K/P/OnscLZWDU8i5YcNbmtn7b54knhUBWiN3Ie9j8DZ0ndUPk1wpNM W4hWMDYtaE1QKF2K7DW5Os4Bq+XJ8HyaWjaEVdG1VoW8ek4ee6bF55PbKZ11KBkp0yU6p3dVLEgP +CFA8RuSy1qXjbFz4wjHvmz/0dWCoXjhF/NYHgl+DW8MJ1F652mWG2WYq3O40xgmEDt6LiWRBQy0 fDiYMF5swSKKglQHAEzhH14TFMoZOuo8997FzJOftvEYOVAqFpY3aK+E5Vm+iu3/Xx7aeTZdh4lS dKINAflTG3WAz0jJ0stKdIzDi9ZRZSPnnX4/rRMREkymzckXknl3kQrGmZ90RqfFUKYHA61RRm5b Mmot4tHFYI6ov+YgNi3d5h/F/1je6Q5qVN8Gl15ymSad2BDNOnCJ4WenMvAioZQoODCJuX5mppV/ z2wdaMceyz8/Ikv38rVFqpROeurGiJTshQQX6Gy3bUdAsrAuynXSxtJv8bewevD0tzGTZtgo3qqw RtNpE3wIPZ8QSyVoBxKw7mnC90OVsN35QmoVih+jKEMkFV3hGoabXyojkUWKu1wGnDyfb9Tv6E/G D0nJkA9pH7TKySgLTze/JrSKJdQLABedEaK5DKs6Rf1Q/kCi607mQQ0H29li6zwvb5CW5ZWN4Xu/ K6JfUc9E41gfJeOx/X05aSuobTrV61WgIFgK0YHrV0aytit/3BM33nfp7atd9jc09fbOW0l+qGyU zZfoip/JOHLDaVpBOpSQFl8Spnu7mLCGGL6Ylhi3YX/Xgt+L7n+EJp3FNYaY4N43U8mc91QQO2vy ATYbRqybff6Bx5QBHo8eFJkeg8kf8MSzZ60EbJnBitZzjfmFGeZVcUr8NUJlRz88eTJ3ld1WUa7+ IrjBpZhzsy4WiQ3Pp9Qu8DUoKepBDQ+LGR1xO4C2xRcmYfPMAIVe7e2iKnIXgYpE/Goybm2mSQ6L 4buiOoNWAh/w608bJD5k/SDJ0npLNAH7F2zgrTKfc+aVjkbx8gh2OmaF4VnEsja/YAhO0xmsgP0n SxBf/9E4Y9qnfYzbh8WQMQJSafOe09g+1piS2UtSfR7QZdNeh1ciUkEqjjnnpQaxCAAU+z/V4eZN Klce01VELqHS6T2Y9xEK//22seqtjksb25sUWiUYuCHJvejed2hbsS0VS0oXfiuZigL4FS9aWjw2 /D2Xky/lC1NLV0JnjOiubPGiyYTRwJ3t6Rnyo48ibsd5vGRHAv9YZlm9iBlvUGZbSLwiXzw5GHxT 4z6aVCTqY3+TPdQfiDcuOm/0mFfaVxdNYx7VSJvCuDX+E+Qqvmcg17wG+Z4UbL7ej8TfZNmiaHLm BYssBdimXArRtuA6spD9Ju5eiQ8hmxP8k48A9C0NavhlooW51A6aoPWidFT0q1C5tkX/wHbC4FXQ 9kL4QxkfnxhL2yv0jnDvpAU6dJEfRALxCkS6iVa99ncgHHcE3kEKPfQDQlrotqbIZOiiEQ4Ecc9K 52H9Guyhk+gV6R+vjETgHhar5yB0hSNVsmz9WEzad7kxa0Xe06hPTfXeIICJLLjRImDHSqv8Gp4F 4G2ajChMb07DtrF7cetPg6rGIjqBfjVpuJwA52TnrQJnsJorgqISe1ld0HIyh0qQSm11+dl1uAyG zsSDQJepol+kZtgRYx/x6EsmjerpmCDxVp5fOX8zs3OE5qLRp89DtDmjAoYq2GAPr7dQ+5/U9rMB fkYSbbRlVleu0oMyZznCT/5VgKCjZoIKtY1732JQbdZNktoRlWAQo0T7kdreFY8ltCMzTRqq+Ce9 KPHtj5EBdyf/MO1oRMRpEj3N5TpmJwFCFTKU1DBBorUxr/7ls/o8V2EX9rjJVozFNRrKzg9RQHHx xfeVYz0d9hOptMgzXGn1yzn+8WWSn9N63RgsdqbxLEZUe7HysN3Bxn8RIP0BaAFKWGow8wdCWMWm AqUOqBf7+JK/GW6KfKosJCBQVIUU/yS6mYtx33U8FEJAHXIAb6l4E0Ou8xA7I2xdf1xdyQ71bJ34 ePiKfTMFQYnIUU95+VUZQq6fgTZK7RvpwxkL02F2tGS9oGBBewDr2orf/isJVakiGqgQDUCc5X7y 5cTppCp5cKRctcyIMig+WDLDNnGNuxbg4Cju76IBsW3WHqjQQIz2RWw/ljg31VatHRsYyA71hF5H 5IgZfYiDzLQgY4PgSUXuXSANLQT2hX7mCjbNUrqQ1DcC3wDDLdfq8mQcQbvF+lnqGuqK6Y4/JX+/ P8klcHxNkIzr1u0ss/wTy5ymZBNsNuTdCMJM3ujBhU9kWPR9nin1HdEnv2CKWNGzSh0UEzIneLzo GYvhqvfM5zs7BIyXwTwDh5n5EXyCdcJPp/nGRIjTLq+GaI6JWYJ9UDQF+rM0kMixDVAHVMOfbTQV EK6BaW5kO5tvoPeulRudJBs1Cw0BMAVawyJ7mZ7VFDjfcI76+SO6vfDlLTaM12paE7D5YWpx0gd+ 2GU//JJPlIUfTQsF/hett9kXNMkNTVysUuUrbfkrAPF8F+VUPvgAM8C4xX31ofyrwTbI1qi9XKbc hNohv7lohtxSajbeXg4ezCfW1fTX0zaCnlRIONSh8oYNVA95P156ZRCaru05xWs0dqbMwZ5FTCAJ U0D2fu1fAVTc29CJyShp8bffQcFVow4cdGQ7qVvSYiHQR/m4I9x5VsqVp89PMrEszXvssSHTfGTX ImUgR3uZhcZsEib4JOwz50TKDzvhDG9Hc4dzYUL2dMg6+ECB8jzB+Xt6K7nmpLLZeVGBvq7/O+eR BLg/bjIUKWdXQqpX9ItqgopYxEmO+52yscdKg6m0qvhnKYjfp8vaNgIHXcVXo3TaPgYCS6OlO3ch jUIJblcjmjY4ntVfLfpKNiGs3o3aCxz9c7hyNv1RXlJCvc0TKn5DO1LZQhypKL4M7t2d4lJY7nOi hrhrZwJz0uPKGTr8O2hQUUako7QAqrJ5wSIktsExj/JfbsYpzDY5TYqBfEUzKCzTmWFvhkVp+7MS 6uK8H8pW9tQh4B5fWq09qeV2KTaU9d9Sp2flBzEs7m25Yet/A9LrIHYHpb3C8nTkEHjcbKZ1BHK3 XnqMLyARuuhPwEsh7cMT4twBj7xlMGshzsTbMUgl9wDnw5l4Jiuzb8tlQtwTXjC0GyCX0Zu2D1yD PqQOsb2kfozzkJ7blVG/q/UGa4hBwowBlAgrDv+ctXk/zptVZ2nM3cvp2JThGSZntBzQXlEZ/yiI zeicXLei98y0IM+vns9Tp5XU8IvVJBz7bfplLImm2SlGQi75GKhFl8DTqqxRjI5kfh9+IVk+1DcY FKhCajPDnxAEYo0kCskbLS7EqfPBM/+QYJ5MHddJ0SzIv0i79cFCDfdr7Pm6ZQzjo5+qedlQ0fMC nqFaq1K4aEfFwrTpVy+7GQ6vsw43OHnOA65ibStiC6jIHB+Z4jcnWRbJPZZK3lnhAcCQCIk0wDGD yIJL7o/dgso4R7gBG5BcWZSc5ROzT3pBQ9PsABaW5nP2X2WoxHskTLN3EBaUE4MANbmozrBNE6+l l53234ZHqEiA4Lbn5bYZWjpLc6yZ5q+x3yE5kksrGHkx+UkqSVh6ZytNFfS/Ug0Hyo6G6R7VuU1H NRiJkyJ8N7qxiVo/taJoTo4PrvaU2yFxU5l3TxOPj9IEf+juqLq1taGBVtCYkqz2bCgFHXXWzbCD I5uk/NWfGqxEczjctgSZ4Frtm0aoCO0Ok1qPOaYLYSEyPFCSdCN5Lwm1FRQJ2A/xXpzJRm+gNJQ1 tZhfzAepOXQC5rbu1Mpk6gYXo11LDtAsTS8mvL4iWNobKbrWy2fNGUqItsyXp2q/JOrFnB+Rd3nU psGpd+Mctfz49pPPsyy33zS/39A5zoN0CDB10X+8MrN0wciwrICNJ3mulwz8fExjGNDjJKvgkl9d 54eG6t4xmLzKnZAgatyh8Yu/Dvza5GthIsr8zZ/W1CCaXLdT0SFarcPqLaZfbWrUr3VwgydSYFc2 2w5iRLWwKvhLyJcqTwyFmCMRQ/AEuK+F3pd9kG11OkfM+4/J33Fy89V/t4xYz/nFwHo3IUw304rB a3b3eaSaPPQyFBwyo+vRCKDRDFZV+RcJ/eqoPxjvEoo+/YjuQRQNyOyp0UNV9bdcP2T215qzAVYC kozscuwEn2xLTaveZAm1dMqpwx+vOVwD2ZLArcf31imA++04hDIovVxc1Mhzoho5TX195/QNy3CS FOtWxFEj1z/JtA32ZK1cyhWIB9SFydWVa+Rl1qq/KYrE1nGNGFtZNUawTcd+n3ixFaj9CR2CIGz5 tKybj3F5p3ktXVuq0U6M1MxEb1zJRIf4qZLMdeSVxOtGRdbfLKbHygPXCUchKZz9L5TfLpn+UhtY X3l/8f7Zuj8fMAsJiL/Y6PUxD6lcIqrJDC/rLDK9CikzPPjauJLrlWEPdj2C1io9a0a4h3G8mP7O 6QMFoDVfU2NVkyz9lQZ/ec6nE5FHt55z0AyVYLV0f6kpXnwG0A+rPFlpVJrm3gohAyJBGZozUG2s Fs9eGo0mv3vZlxpoUg5f1WC8u2B9TTdnaxHrNXsgLMMYrM1CdzlclWEHioNBFJIXwMWe5nS70qB2 3I/0Q6mjY7331jtBb/pDOnM87uol1X0gGTRCNsE3Gr233OMnC8A464NO5S2UsebMv/xnj7w7N/eD eX6FfNi2HbwtDebCpzf35FFq27hITBxqSLSUan4XSS75g4sCBdW8CL7MIAic82w+YkEVeKTvsns7 KWbtQlF10XJFlgRfZ/cmwqJXK+70SGIRH2XnYS362uQNe/eBco2ZGKiTBsRlmKx1uHc8Bvto5Wdg 6BtFxLsEm2K0fE1Le5Sgul4FK0Yune22rnLrBs2oADkewdZ/nnpdtvIke9FF7jeHeIlRIBMOw5KK 0h0nhF5amaowmqJ/hrB0rtqs60fTo9JMXJALAanokhIfnhqgGKdq0tMMvTKA9H2g3keEqvgniPcT WX9cDLX+wEDtrWCY0nTs61GM0ENZLQYA/WsY+DWPb8E4zOixREvoJmNafpplodl8WhC6kOj2I+Q9 vmXA1PUfMDgRr856CXIYbFqhZYXiiw8BZn7woHU0Lwyyr7IPusqKojDnWIZAglbPXGugzeUzNI9D HtfaP1TjEggkQiVDYExqhUgV9pHhHaoEr8vpI7X6FhKVHtAq4BY4h7kFuDs4j0m6t3si7rl1SnHP OGpqyVePc3hXcHOpCeCixkNNbW3NwJjjsrOlsoT1hHl+BSmkyhmx2/OL3/wt2+rYAVD8ZkMpSn9d DdlbKfutxopVnE/6nZI0t88UuoYVcvBSf4kkjZhHkLBfhWIOqwbEtSQpPHr4mjE/j2zXvWRBI+d9 q24SEbq8TjZnNwUp8WWFqwr5cjd+PbqYL1lTimCjfwlRp+04esQLONRyNykP0ccKFno+z+4J3KKg KTYnorj8o20R7l1BaXvd2T/5ircFEcwrSzaH+MOm2/TjCI8Pxkr+QLjWe+NaouGyPDENc8JhQUI/ qe6j2RCsIiEuIoX/UL+lVevSo7RGNf6LkcI4nQxf2myi8T0VaKb9yGZhnH4WaLA6WGSqS/asUq8L DJmOKIOYrD5vRWXjFO5MQS9F2F0Koqebjc4PRXfGYDg2VzBvNyHFcniuzYX16Q1OIK45Rl9DJoh3 wvbvEZRXd2iVh+OcBOdAAFtpga9xkeXJNPzs6IOAM6YTEuwNDuDAG5y9jwH3XTipuuy3MghgQGRE 4vefy/p3taCe9wCx4ZMpKB5Q2mId2s0d2uGUh6jAchCgBj9xVbte0I8+HhNsDpyEPZ15YSOd/P1v Hyq3rOhyxB4KANNY+anaopvoz3n80swMwtIVQyS67TqZPSrHguxyLEEUwo38uPxrvYLLqfOsQsy8 DLK861ZOWYQ6W4zqAD+E1h84//LxkZgRY6m7xATaJWL3346WdqRfWP1Vy1diuN7J+xtJ6dNhkp0t UBwJ6CHO8MCv9nrU/2z86RVL53fst+slCQfEDw81oTWbBqfmNFOISqZfX4a/NojbjKdXXi1qxVhr Apq0BuD5J0EGQEeRDJiWtTGHDOfPBroiMc7uVYqTZ3+qzJlRq1Dgr28OFVgBayvPKNWpCPW2md2r ESAJWdJ2WFq05mw79PdVgPAOPUoFTDqrQWzRE19hK+lI92/jPnq+lwa2jzXr1a8RZZdpptl0sTKf Szk5FSD21d+qubcJohqhyzTaIKNHlQF5r8ar5PIS1VUTZFshc/zBvP1eQgETGtY01izUD2JlcnnK xlPtCRshPF4Qz1wyXafDNOdjN1fcqvXWvnvipg7N31zcohlJ3uGxyxa9Ekap94aRQbIMXf+l2JRB kAYaMvv2/YoIwCNOq2spqopSXD/QIVMGzohf/VXm+NompRDx5hvoJjwxhdZcy9Hzk4zvKMM8CWos hCd6Vmq7o59GnY6KbY7Ste70mMJGML7R9aKtFxbFFM5Gja+aU6CpqKpbkPVHW6DhuVKG6n5kRdMa woOmwGw9va3YjhH2bFSUCzD4ZNAYAiHcGK1n/Mes8qZzirOTT2qBmf4eIqUFNVmsIzHpf6EY17m0 rrU52JOOo0GtzhxuN5mD2Y9DSMzZbdGjT8sIAiXXFiHgjlTENuGz2pdHtRtZRswOwkXdQyZoReyU oki0CsqXlR/kzPkFGFAfJMpVHvTYqS2D4ZcPtQUJQVWSyiWpV8U4whJQAduKvfGzFcYjSvu++9zB ape7Qg0o4ux3Q1RUsjnv//6uQ9uVmIc2pq13u5XvJDanHZKCoJTv/cRqpWF/2PuZAmBenvu6c4lU rZiZBD2PWaVnFqhSDBK2L/0wAdRXLBxsJPSOAMKZBZD0P8B8V7Ogi7n7eemHvsnY2VX2QNTAx1ZU 518SbSxlGG7pCMaw1NpnMO89reqHwqxzwxCmxENkyiQNkS/ezb3jyYa7CPIraOWEoKi7MwnzBPOu XPVNJ/uvRDOT4Wud8gAioMKz9vPWbmtaGw9m0yd2A+K7i7ImoX1SPjkUwvw80sIMBwyDpXWmlErM RNJ06m5Z7FvpkNxY9vb4vypf/eYSaz45BT+7yQIytHNvXgSw3aKAtlWV3erUYVeBqHNddcguuiC5 6WS4RGm67q4VDKpPYXN5E0DaecDo0g3d1g/pxgDGapMdJgrah8Fu7X6hSJwHzj7q+HYv6L9Qo3pT 2XT+7QNIW8OnKEeNAcAFVhk+4Xuqa/4lbfFHhRsuI/OeUSLoeZrcK09Um36sAH1Hnv582TbiKyf2 GLze4uXaqrkXCtzvpW8Y8opYcHqSUB/vsV7G/0QUt1MY5lWbvI7YRbQ7wBmfIhCCek0ybyw51E54 0U5afJNbR0uHUF/ZfNsiJ9saaUXb+OXUr8CSsVN2jI5KqGYAnkwZ1QQAi4qrJezBBiE23HLSZT9n DgCrShu2ngi8/4ZHsBKm0PwPiBHNNxunglPk1TfFXrNHMsTqVVoxNX0ltKQ4qBwFi7mAOLIIEKkV tm6hXCcn1dLEMQnxe0XGNUmTcFgSB0Hnu1aidH2wesI+TJq56Eh4LYXypu1SyHK/WsQfG+btOzXG ulGR9PdLFx7L5xIWkCFjHJGBvIo1nX4CeK741bb0VhC9dSdDt+1FzuZibIFvlBRl2OWQ/h1jLfy5 mncF/+jhXWaLhQAnSjicE7vpepP6LrZUXdmaz2Urk9j7xtHCq5Odj/kyDEh2xL12bAwH7a/eYsjd RFEMhju/T9hjVhdp+ubwM5CO+HgGRM+Nl/u2vvgnRs2svjnODCiZ+NmSK11BMpUscgv22pD4R5sc 5IwqoUUfYZ/odUI+Vts3stRSvVxIbXC0+zLKK9atRFq4hJe0axk4eMsdZokZnCpogZmOQD8fUSED gOR8mNyTp3u/i67+31PMYMmc9KE6WepvMUm7KloYL7V3y0WCbT9OOrDPUGi6ItcopA1MEy50JIXB gnMLPpItUcdutfcOtDZdOTNMINBCazf9CinrCu1BPOVJ1BPa0PxLVJ50uMET0qQ+7ntTzriD3dcf ITtY3zAJZnxUBfbUW85hDdIND4h81tXKTlQZu4cY4vce0FI/ncqAGUQshnGGX84gPKF8CIX98Uak 5qQJ0VNGp/M91iLR/uIlWYFLQAiA/8wRVf7e/DHHSIAtUvKDxrqQyOXbGipIXx7Z/1ryKlT0c2o3 ydDG6dmWaBmqRQPBbYJ/jNVP5Ps8gkL4vFp+HlmAD9y6lriXipEOP7bO3GitoDQbRgoyQvZz7AMO EA8jGu1JQRBwkZHdenw7Eycjbc4dGAlKZhHf+zapnB0+Vcg4vkyyy4OKFZjRGy3ccvoRlLdAOLfy CY+px0AP+iOKWJ5wbHYdr5pdBbc16Jz7ykgau0KXyq1FrEVS4oVLdMuuA3vc4FST0D0h1gRPFtuc SkQjc8tqiCw+lIsy2eXZXSOq0P29rTv40e7GdH/Yy8WVRYoEqcsCSMqZeu51wQoeBOAQouMOYgDZ Br4voCIKBxVcgKto+R+mNcSpqoR9Z9RINVxZ5NaZEDFhxZGwq0g3EtUAWoow4aNyiEEN57lmBTON dqWcg0rYYtNxakRHbAYzMdOkDdl194E+kMJz4OT15Gh6vzLAs0aGZNov8ynQNvaFoW11rhMrN2q9 j8Gk01FN6H4zmWGt2vjQiStTSl+Y2BQyasm58IMVBZCF994OuweUufQjUQ7XXsOM5EQLbLUtoSKW bVtBck50U5YwcEXEMiEnk4WHC2FwvKBQSxWvs5BsgTIgkc4VfwfyVuh3tpyYAkeR8tqn8eJf7MuE PMusaKln2Okm1h0reAn5c5LghPX1xl917NDOl93SsfGVX1J0pAosQ4QnsKoSsbzNuXBZxEYBhY0L NHJaw0yEmcA6eGO44hit4b+WqHfN3d0aWaU2HbpbhVhWJjdKgPYN4wM7GlZiKscYXHcMKOgtAj/y p/iF2wrEDWZDDkAGU+GkZiDTqnvG2Dxj7ruTnobPSofv49qDaDQooU2Rdnia6Y/igm4NCiOevSHo s/127+B3UMJsteNVBBt3BYuXHuTeOtzx9nzzoj1mOEWW/F+Pml9OITsv/cOQuXzUYaHnMVlOFiiU c+g5bXQ3ZVvESkXfZnS7FUGbzIbK9K110B8RHWoK40DHwNTNl16LQfhqCrNQWkDAtjZnEb64miZ1 lqaZeYfAaTJg7snyK1DEx1PGhDMK+q6gG8tBbVcTcgiaihvQt7sapZGiQ8pXb2F0Z1n3ZXSLhga8 4AtPZhzaWopakF3ZAUwqykDc3DQQqyRYzIpy4OjCuqCijwOmfFI56c3i0foVJ5o8P+HEMR5lfm7d apHgdw6zx4uNn9LALmRBLaPe+CZUBc2yVXF6Dp799beZ3zTlN55261WRaWxNoYmREnc5m49xJmSa svs8vkfy+JZpFKmf+CypRbThUCfTSweVmnA8g9x3MjrRCEfe2bFuOgQxNB/wHrf5GhObeMpPT/lV a98D1umuqg5x7IxMJA92Gvzsa/+KwtM/7O24bpt66UDeMKTYEV8fwLgbuqBQMadt3Xk8m0ROFatJ IjUAkqaraEILQZQryJBZTmd23USyIOaZmHQ2RuDGwWDOM1ZyGhqKUpdmFIg3jhz9IN5VERgURhQJ uBnR7yMMVjpqozGydeTFIWm7DHJRYdhu5R4AP6A+pWNbG42P1duvYULgtB7vB2atKhC3rEDF8rbL c+m+70YD7CalkBvbrcZJccY5g5IvhamUew2EJLg2sPWG3m23P7UrRNch7tW3fQMFsDKWfbp+O00d Kg2O98P8T7T2x0pNC53+G5eMtJQeGO1ZQP/wFHXFWsbEvXHsLT6AfnCPcStIAC604YV2lgSeeNBR jCRn8X18nW0qFsEaLseRBMhqRLNXkuHWJ066CRSuGSQ/CrC5jsDvbbOceka3fipNUoJawg4WK34w 26itEdd3xDwZjb59Y0Sh8HsdDGQZMRnARBAMREMa8BkxiLW7hQL8+we/jsskx0qm0H1uTkgj/ixR hJt05B6SpJQj5lzMTPUVWC2d/fGBqMURn7K56g3CQBfLEZ53eRGbzNDRE3/zHQO8emKPxEkBaTbt c6OPWN+XATROqEu0YKOrn0P3XnKDbQJ2f557OXf28dafbfGCIjOEMLakwHMHfKoT14oTGWoVwP0f lTIiRiQBJwuLMcxLhYiqDHiwkbFHsQLNX99ry3apZwx6yQBv+fZllWSDqMHdvWqtRq+8Gqer/Lmb SonwqfYRDEoxDCZENttQa9dLMyDeulHju3OeSSLwVMFWsy6ldPJueDpzfqPGz8q+r44nrbl3stgn BFteHmb8iGMvHPo6uw9Hh5t+vTztzmLVBVgxAAICqhxrncHLlfkmgrhdGejb2ijqeNGL3wd5j+SA 5YdYmgPcZPkBg5/saPKG8XrWu02tQp/KLqo0lB6PiYbFdXo2ysJAOuBIhjSn1phEAkPovXnDqV5m HQnh8/Nb6rtdYgRNgjrsSXlGglamZVqzJS1qmqG5xVte7EfX/ikTBZNkzJEQjWjF9DkbGBGB5zOb lK8FIRj3cjNr6lj1u0vijvSpmaj/bdhVBy2FhQN3C8g0xe150Q978gyHXf9nFto+RxTuo5bOjnp1 +RHWxWunDmBZk3FpDkzQiUa3y+SvbrIl9dj4cjG/doQybiHR8MLct+Z+foPxoFPCQcDuU1DJ876Z 4q7gBqShEub3WyOTerKuZK9k1Q72BM5wn9q7/i+pZ5Vh4jCJc3J65xpG69OmBQCVNgzhjuRBAL8Y +E+ZAFBpBQRY0UAvLI6oY4AGRml2TR7GmAx4C/2s9HNskLLCh48VEN7vdpKxZSI27c1Ry9oODkJo SB11survX9U7z6BYeoBUNMSLcpe0gWyCTOFxW/r3d3PhBw/Y4NXYWIs2YjmtiLshr06Olpw2j0vN DPIRWMfjDb+ChMxEmUnjFEGBYugPmEMnRk5giPFCyTBGmRZtwEvXWYrgU1HV4CCGbZVMilMg73L4 BKgBcoQ5Lxtfs+LZAMQ9WnssvIY4skcqYBYUKX0yL9xUi6tKpm14NIrJgF6Bn2Qf4phS/DJhBQG/ yCnQDa0ns8LMlTiJCRP3C0XNlbL9qheKW99Nn94OZZq+PCeyztE0kv4gHyxrUZpr5oqXtb7CysJo BOJ8aoemst2acYl25TErQKS1qcVkJx8hgTNuZsRF3b73+Mp4A2oxuJHnmntmbcZzwD/BvpCJ4Rwv LSTLnlxATy2HiBX8mnJlUo3Yrs1PTVA15C+aH8RLN3g66UutKOTxwixrNqSDxRpq7/tg/fN7uevi e3X0dXVv9V8AXhoN5gI8HinofCYleB/Z2oIfdjbU93QEirydmpYl7rXHenKxbAyQsEc7UyebnRna Eout09m4yurossYLeY+pBnYV5VbSGbrqotYZ+7jMXTdwVa5uvgJQwPwNMAdCW7L6M6+obmMwKgw7 hRdlwHPw/T0ws5xLkwV2hk13Od2SiWgzqcr2uSSiOjpsrJObFrz1u1fCfVuHrEVw1daLkE4MDZKn rLWo5e25dlQ8FY0+FwLu8UzPJBADD9ZTVp3XD4vrYKMfz3TgL0fsDutTqRLckQ0WVItwL61MCv4o C33k8VZuzf5S+0q9CqnelgcQRITscThJpyCIT41R2jVhRaYXWMF2Po0IsXVhxXEA5QTg7SvgTjAI rS9FX1XwQbueSBjq7jgkcRYUAJXEumn6Djq4wSP/MuYT4TPqy274zM9Rb7/BMI5H8Ge38OD3FzYs wPeUOHIs/6RInX9Y9GAFzvPOhU60HXkEhN4JW7sRoEP7KFtkXmfjzDzv+b1UFKbWtpmh27ZhJI3d qWY975V3Of6RdG0lu9r8eMM6blUB08KwYd4QkDARMu9fcq0q5v7f3MrnB8yHQQ2W2GkEgwtGuI7M t3mNw1fl1hAOnwYKwrpxvlvM5KrEd+G9uWDPnM5ngbo03yQloWF1g//ak2KT2l1THN0dAfABRt4t oqu+LgwMTk4ObavqKZj5S1IDBooB90IoHNyCOyq9ULfNhtS8ZGkC58UPpINsoRJLXoINLZJz4mYd 26UqkXk29TcF55/Dl1+xNgVxgppp3WWLbuL/Ykp3sUAl8HimiSJA0DG/B0PkSuGSkWd4cmU+MvMb KaTcqnPcY2Lfe9ZD2+Tm3W9YCJKw83kK2yhzMD1rzxaFla9W/IYImnCuwhGAsQ3daJrtNltvtSC4 apFFPOdyCIsqxD5aCSnktp5DlQLf6dQ6aizFUHGsoaqtrU+x4T+s11CMSU03jnKjdeA3mBSu5l19 +zvl6jjO4sALl5o/tWHdiTixd6rMKUwag4J2gaXKphCefG4FaDDDcgTO0bhvwBVRryf/PoYb0gEz /qHZys4J+iHnLOS2DqWH75sBPF8uZxlwhMzkUtZDB/Il5tZ3u9uRth/UhFF9lItrO0lAgDzeoij/ WMcr0GACRhm+BoXq7mMEc3FkNT67nIloq/9FbLBthQG+zEsidvkT4zxEex00Q3h16+YWu7DdoBgK KioPwxq1FzcmmaVfbPAHDzzctT103MUMVOFuMsw/w+YhW3qDB8pntFmyPoRrRdwIDxy9XZto8Ny2 fz58DQXQWtlo1VBo9drz7Yat1HgfT/6FD0V79XlFIUMvr1LU/cqX3pSOlmOJwkijNw0A6hYIp8JR 10tYnSgcf9WWg2bNAS3pXH43swvd4NEJSl7PjGHR3VAlXRSIEHzPNcXP8QKZHjuohju/Nsq0hDUe q6dQEHZ4MzoSnDPjSG5qYFwRfRc2qsiCPaQFLSCo0rLi81wTJMtq7NwsVJL+JmHZlKzvPiERqUCp AkISM32bfLUk7yPBf9RHa0jTEmxA0CRiggSX82rHFsx6UmQmG8XFGyrQyYAgxSTyKitqlIP14hdI B8qDFmUX29Ws8LkjregGRgUd7wdsTpATsIE8ZtwLs2ER0SuBDDWXMQhUpaBGGS3R3qYI2qPc+S98 1dqiejStb7hE9FcHAV07RfHn8+E48NsgpTsy3urIozHpo0c2ZxZQxyrvme0Ahr4VmAB/KlAAHsa8 fdrEcPajRx0ovnouPalk2hftAJo1EJKpdLtlvKCO9j+2v033wqVYzhOOaa0lyGIzCzk8qEz6fK1d Sl+bBaFd28rcwkZy0nBF09xFhpij6zpqk1SoQhJ8uO7C9VHQAIAB3diTsm/0JCLCydK3Gsm2CCXJ OjNvQSo50zOuyNECyLpPOS442tnL40m/eVxbw8lv3BDDB6RYyKVSJi+DgwRus4tq6zYBCt4RgFo/ sPTK8HePfvnVXr19Y0nhLrUvvaV13O3W04QwiBaR28tg07GEt6CQChptLCezVOhSIgMpwWWYSZhM NdtxMBbbjF7pTk2U4yKWr6fW29fftE74AG0kPQz+vI5P9PaFXSzLibiarLsVnGSx6gTQXUaGC2aM RQ4+XmYWquD8h8jcYRyVkERCch9RmOQBwEUjrxd5mM0QDYiuuTTKyH8gjg/gqHfl0OXUrF9N5gcx EVNPP3dk7zMX8v2rdxUZq2JM3U8AnovONOUqtWN3JJriUMgb1dml0SEnXqYQUP4lMEpDJdqgF2Hv lDBa5ubp2tRmMPKZpP2NehTUVE/tAHQ9Gt8cakJRNTf25/QVSulrwIyRyQgC6rQ+mLANwSHF36lB 0UAplpF6RYpC0a3k2RbhN/A4adWip3++1H5F0JF2mCQXugu3PwzJa8/AvZ116iYb4RNnnpUzJICC Qn9MIO93WDCnWNM/LEzCHkRJ/hXzoQc/+9pjGJX07JhkdTR3CIsVHFjl4F6Nl6QCaLocBk/7hN5G DQbpq2rUg984Hqpu943q1UL4fwPs+KhrPo8kAPu6Z5rec662vFSS+4GPY68ROAqlvjmNCveUYdqW Ykp8lFi2XgyBx5Z1WDubMXICdPhCPNxEoIt3lfaBA63IV5WFtjfDlC00PhpPOhspUlShbDG2Rbl2 nRjD0cDH0+7NOts5+lXECVqgtEjEn3rNNb9u5gyeUGfcS5GA7kU4kB5w8KojWWF4C98hMfNxQtXp KdpQpXdVgwYdExzMaN4kFXGnePpBQR+eu192gpq5OZr93r7bGlPTkCdj4LyytNC69W8sK20U7kSI 1+TQCoK0Hj6rRG6A0/ErECWKNcdRN9mCMuEhQvmXG8gnE8w9QN7PZYnOYOJBXJf/zF2Qj8cLZ6WH nsNZjzUWa0M2QUP1tmqEJAPF8dPkzmpkW27f7Fj5NwQzy8hZWHACJS4Kd4bQKYIlFGfm3RUjaRV+ KVsrK7Lwf/ZfeGeDIBGVc+PR02sRb8AdxduCZKiMwuhOoojV1Rj+4ht/7kZdSPkabXGe7GnsFASK IRwcYVV1t0BH97U+A3n+aWZjb3DxxD7/6kNZijp7aXBMx8Ot28hoAMs3uVTiaOyiFZ6DWPa2m7sV JAthAN9J7TRl7q4XfQVatajfJMYZN6KqN4u2MuhZr5smZITTPUk5wpaDuv2pOxc7EXjx2QZxJ85B QYfP1qHjqCDPfUvO2r1O6vOpLb+0D2S8KQ2VIAEqZ4+2kt/9qQUtg8lmomgIDfKG5FaUwuKrc8KO stZZlTv6dtjin8KXLdwl/bnW+setXTtgfoR38KqbbenO3C84zk+e3cvRtokFXbwYK1449I82Hcjp cPwG1UcPslo8zS9dBy0NhRXNqmzMdjZ1FXM3T+q3dhDzy1uDFFeK9kg3rAv6DphiyzZXHwmYAgRY bvlb5i1C/oNsUb7lR5C73ftmo+m0H81GvnmdEtH7x3TlwLrgChV7Xn6VP4uq7UBpZHE1zA2H3RCw qDnPq02m8BcCs+Ysp0ADseUlJcUdKJ5sUU07KJ+DvK0lkGQVBbo/KWgPoysmX13WCseL0IoYtRQ9 TL+G8dqvV8kh2j0q/ejPBBWn3p4qHNAYPhfQyhAc/3ajmKgXBM6dM/0g/jlVTpnoZhuyHcTaYlW2 HR786z42rIgQt4U7t3cb325sqsWSD/NvYvskM3InRy0iodAyUkdSilH6m6BtF1WVMI/bcykvHCNG bNLJCFItX1PBY0gT+YkdshgspL+OvtZMyMSGBTQ86ORL73LvzK9Dmlt887n//uuDba8jrQOOzFvA NbMPKDOQyuGADLQsjMlcMQwaM8iFm1n8rOWVCBYuxGc6WppGvGcY4KfZVbDWM8PClUDBmClpUmJk uddWxh0r2KUPDsHYxr2bH22/9abpkeCahWoN/+Im6ZLiDI8DRTs3X/mWcGE0ivEdrak/SxJp+SBG VehDE368Cw4Q3dTofUZlu89l+o33NrHgk5W+5Uh+qcqk4B+epiYGdTTW3k45YwJPWUmzh/A2CRPO 3EBB68EjesJYdJqU6LSkecnEPP7dwDtN/yRNDdKXsEF4wFd7my/EFNBS6D3KKa9PEgR/7T3gMpH4 79WuZ+KFyOODlF0oiWcUWk2+hrG+GvB0nKK2LgWx7lnZyinUXM0Jq3Plejda0E+YEkPdwe5j3IKc m0efQNwBn7uNQCPq28Us1wz+SKUj1ZCuv86Mdl/LfQ+6zmHHUN5b/cIb6LXn4I6j1fmbzAUKvWpK /vFJwqauBgFXIwKmP7nHIafOduVjrScC5/gSEBrWklD0W1fczEjUnXGA2gmXcohKTC1l16qcZpla wEd6+dffLMC1eFctPdPjud3cG0KE25us60OMHGaKp5NNFp89D2ikckbXbr6F/R214uQkpDtke2Wr ATTbCJK0BGoLsR95O5BkpuFyH1AFNSoi79MIqwWvEcXnoU7VlHfdavxu4ikvhaXhEeUqGwZbyAc1 Z0D3Q24cMz0M74FlXg8tRcAEzMvkfh+j9AVzmPWeZNnBRifvYzjJ2WI/JaEEYNkW1HQUcr0PIhVv GxkNeap9XUJlS5mhM0Y9CrPDM06PIJ2ESTGFdfkeASqxn2NmOc/o+oPPtUmb+5glwlJQwepseGO9 iUg+JDO/0vKVL7MPCMtJhtPazHHbzQgARK7FY3Hadl8OfZdq1+IA9dOFCC0m9/219GWBmqVtwtJh trq8SLgnVRXpkP2xJpQ0rJfPTi6gmt/ikVfzJXWikdvdkbJD3jmJNQR2kqfKXNqkOKWm9gczIfFP K2860QNtgLTYr65CGK9l6YxAonkkfdvz9JoLjJGTgEC/p2cTaj9mhbDVrhzWGsY4chogaip3magB lgyTylSJ8OfDL/5fCZ+Pmde0W1NP8emIArTse59JLEco/24kk490lTKCbv/EzdhGmk4l5OMH3eBO LZMBd3gKjhPiWMb9+mDfK6QEz+tfR6vkbfB9tnuEyFNiwUcLqP8tMip8DMv5aaOObDxA4+0zu6a3 Fs6vle6l7VLKOrDoNbHlNS7aFp7w5I7w/L4KWf8v2xEMGtmnaJPI16TG+JvTfr2t2F9VJQXe7dNn YXSuh0sT+d+SIDt8wDSMKP78UzXoE7G14wD5Q83aLXLk2G7MYVuEpkJvt2s5uWsiVB4q9zNXoptH PWeAipBPfkppRW4gU7VrWM6nj6gDh3s4V8Ourmb0ERmN3dVoTRuQIiMIKBh57jfmQCt2NaJCbI4l bWdNLV33rSb5Ha8qGRU+iE2R+BmuDcAIUm3prnuu8VLuOsjEwQEyw5Hgfs65WRNledPNEfgkN6GN LeF1KZRY30UYmXqdheBEEblFcRTIktMRnfwKgoaILH6F4pmvfouYUUvLz0+sNZ3hjquXZNMC9vWq 1DfsFcC8qqIDk8LJbn5TYj04geX/rDbvdWQ45enJG2wrmoD5EYI5Z3m/1jOMzLeFheh3VpJ4SMat p8+PCsVRUFAGduMTCHFXLo28PRVJIsaeD1/MmbeRQAWNNg8aecQoVqjX8lTRjSv/ADR79Xbm92KV LDQeNP1DrWUokW9JCqXmJb0YF+t+wFlFGeyk3G+czIKgeFKMvBZRfjfaWlPfLCEH2KZ9dcnkhD01 k+9p1i2nKH3CN9JYah8GieTC0GqGq1S+u4e3m9zh13AGQQOMvroq0UKq1vsV+XsScGaH3iUc5qux ck5/ErJKTMzNJspHY5vqjy5M1kIDw4eSp0u+bWmIvaH1koQtNDPv5ovUyB3OAeY9BrsXeXSL39P0 bAl6zqJzgOL/n2XylzuH16lcZEAdLlVMqYL9/25Y0y4wYlhGQiuRXiJKD9f0JEb5yrAPZiAYsJWD vv9AwXVjerIrK/rJcyE7TE+X1Drnyno5aCpFRVZKz3Dta565q/PMQr45PbKgqeF4GzkS/cuYZ2Im APFinfmBwcwyWHtJPedvF2SCsftNXeKLge/KIu8fhUWeZujxyMxHFWUu4F8TACq28q6LuexMiAMa nSBSwNQdqyWEbAr+z/ANe7Q6zNx08xFfcq2WruSPIsCaPl7IxrvS0/A+KEWeYowIVbY/bok7uNkW FzpLA+e95f/hucuJjYJwYtPh70KkCnLSCw5i4OYauaiU51QW2Hj3lLlNNRmZxGP9OKSRGt6grfmD 8EHhJXGQehZ9NM3pzFnQCfH2R4gmqy7MAf05I4cIbQa7gnItKm8bTL5s0u3peZavAKo3jYCjVkKG TgZ3WZqAyWe/Av+e9/ktmuJP6jR4D4zHtthPqbyPF6V3uoJJmD7iQAe7pVCNzgeJcWOHMN7OZynG +NhkViaI97kh4yRRO+oxLFh26XFCqbFt3l9dW/1A68yA0ZB73BotVOO6i0QSgTKm/FFvgE8v4vw3 pWeKgoPO2Cgs/AqOzvUxmRmAVTaihsGXMVjYIaDaCV/4JsuRi41CqMKO9KexshVcunvEftWiNhBF rRgf8aT55e3EJ8dJKiszjgHIsur1Gb52ljRl/SOEoGn9O8FBQkcK9kufF5ywPXwh+rpoDnhj0OQQ cLbKvXzhYLYuZopG1yuCU1s+vRzVzi9nMSdPuF54rtyJaWnQKbxLO27kFV4T5YuZ2pWjI+du6V6F BWi4p0kabYLdBD99e4qYNS2EfTcIFIcY4i2mlcTXDWlEpQJYj+UsLyoeRjNdzT/SJmOFMuYUd+J3 +cW5qoPuQ8QL+J9wu57FAVN4YJJdcRSsLfZFLAFHoHoWy4G9ZGs5kNdberTcSOVcEvTX52FFDgWC 4axinx4eUWysyK7jp6OJ5HgXozPsZAYexSRjxeZAC5GhskAAA1FA74ftO3bGPyJBQCra32RfAazq Tg6lI90lnsbNEqsMQZfNEJORoJtOngja6aQYHxy41/ijGcaXcGqZbsKkLpOc5hc32QXWAeXsKSA4 OtHXuOCD6tGYJ9e3knQ++364opB5mfiL905S9Ku3+7KT0YrN0ZC+zhSt1ujzJq2hCw0YUaiZj8zA CKw8GU5JFSNlbX4632am+uUJX0gzVD5Wy8gdvtzOSBfn8D4q7z2HUaFWuxb1xvEziS2eb6XTFGEX HbyU184CTr3ZJTqaBs1GjNK8knuc/bI8XduMISnB4vKZ0yH3pXbmJg7+rukFAOJEoHmD2iaySxdy Oce7VCtCImpRR6O6P4TDim4JQabFpvOVnGk6SnPD6oZ5JTggivwtO0cWVPWVbI/GplOEqHHcoWbM GuDmKhNEOiYjRNcRj/nJCqQ8Gc8OKqonTIbrrynRmTjFzvNoTkFoKcHbu1KaknKcDOubKyP/YMbJ NPw0caqRQ6GkyNO2m2WY1lNRKv2gVf36FxOd0/uWtrT2cANhNSRZGr3d9hDozVIH6yOS2Q89cpYk kqHj0954BDgteF4XhD95/juXTYy+EYuxa5y24gFn7yRoZHLMuynp3PVrtvSJHvvY91ZOk/6crc3p xdNgAC39vN/7p2TOM0w3BBpyjT8oz2YBYoiACLJkqnD6Aj5Csg9ZTuKHm8mniIMrYqpicKWBxst3 f9jD/jE9wBznyk+j1CddkKEtobgZeOuHTseeYu5hMaKVp4iaP9X0/AhKFZecPMA0EwHAHV8fBfWh wNHDm0pmURoyYTs4+Ct+mgrudpb0JGLf1ZT1I2MKs5BnXe22C9qIPKOXpwoI5UlbumOoWNtkPBwd K5jhtWrbWTO8H55u2ZX+gmAnjUFyFedFqBE8OFD1FD7dHmrpijGJ6Knu6gG0w1xR9VTjkX9ktwX8 p9izt9mvpNmgMkojA9OOdys98GtOMuwjydwjcYav0yi4j0rdtiJEzq8KiXppCoxUWEzoDmDZ7fEw vK9+jfHqLKKCwPKXQ4N04pTte5edEpCS8LYf6i7sB8gIb/xpeGR6aGFKkdv2LvSgsN7kj765a+OL o+UUGe1f3NpNmEMTaDS0b/cVPHmps01KhkTC8wY0xrE8fOiV6nSM0XXoNI01ytzS7g3LH2fDpGxx 7Bt0o6zI3pTDRsPbNlA0wShbLJAunewr898BLRWmvMBm+3fJqVPcyMUsiJEoHldUPoTFP8WoKF8z Os0OdIWldTgI6XMH/mhymtfjTWqgsvCFlnRjwdWGmSCtrWd2o+0ngx8Ta3XvxlFeOZ7mjWFxaa5o pCQM/XGizsz4rTuom+Fzo8Qk4slWltSA9V/hdNvCtZWryJbZ6xmKFxsSDcI8xzCKtTiPvaISRats ZEN6n6DFtcQqWe6CGDGY6pWzJyI+WskUBWY0wXxQU195Hl7Pejy64ydGUqZ6zpfPsnzycd0tvQYA ANE2/v9LkFlKl8Tx7JPsnYjtClqQBFYUHIwo40xruSk+yHpygiz2s9eUFE+V/ftQI9TBoibS2cmr eaIncrI23NVeRlIbaYJUUy/IxZg5XyNEzlMPWUufZzG73jdeqXECxHYdGvbGsRJZw/f4gXWqyAKI RJfIMYsJLZuISUmDU8f6OTIlvtzqS762ar0CuAwnggkVQB10NRN6dD/wKSfzFFAgncm2EQWiTtFZ LNRMX0+kqqbcdkrASYCpeON0bdGbQtuNTTUmG30zRXJ0LvYmb2tyZD9x578OrTBJVDK1jWalHeUJ ZQywEaaosVMobVVKCZoLo7/RPxTml71mjrnexAXB8YViOjsZBSidUE7cDePPjlnVov4ilXcJaEbQ 8Im4W+2UVnI82DXY6twlIQU4aaq+NuE5Qh1BtwIGLqz8RpbHVsoFEvQV5uW0N8vrAh89VPKQtPTc C667V/93g2vg8ZpuL1Pa411VlJ9mchyvMdOB7A5pObb1G7smtNrJzDC9CgBge9wF9dQaaOtQBPwZ BL7aQgtEZMtrwxqWlQC3wiwM9NZRb1osbpCKzK9Mcwz55WhvvLKwIYrNgwfU41PT2fAglMQFlCwJ fT0n4KYiwC/6JHlm59cfs9jGG/87N6wdyztOEGZtutLzuJH0c1k4A87RziDAJO7XyE50m32RmxB8 wBurrdUlhy+SBR1dIwnIFqF/9EvI6FhTpcRGuvLDt2uvcrV/AdK/cl41vTCl3mQMoUgnofdrm3Un fkordZxwhcstLtCKWXZ4IVv/be7+Sav39Yyojm9RqE2LQZtuCcsHf3Ag9wA6IQoBuuxp5+oPzm4d ZZbng5J9j1FdtNp1HC2u69mJDopNfu6UsFLAORItrIyjJfxu5xsWZ39MDgeKjYTM53hL89RqqtCz lGQfA39f66AdmJwhoaJUhscvqV5ZrH30du3fQWofbjChMDEeXngtx5EnJ5mW16VPwCtCkJW44+Us /OAMm0cMGZgFb66HWtEO5fTIQgShKmJifs7TvujsHi8oqsn5r0L9Fm3Fg2ZsCR+SCQqSZh+wRiVK 7M7Apix2uV/G9jaQdLsbQCWjQtHC7hUrE9hDtfAIlP8hqhEs3rJzY5vx7g1ghi8H5UONlcZWS0Hz odB9JWdlOf3Sm8Dtiua25EB9A7LZxKmVmVhO6iMRJSHgbg3VaYxk3fBADb4lHdNrqaDAS4PjcPHY E+6oh/4Xr7KsXhFsYF47h52/I17hwpWBbFnYXoTjfoaDEhXA5cQ+xXmLHwtm9OYQr79R1Q3yRrjD n6fV/V/mSWQAcYVzOqmNVIAnPdNe6UbwlcuE2n9AvMqDVMPNbhnfi9FtZbrFE6wlDFDGRwKSO/KG CNZiLoEn9l5BYrbC21YKeqMA69o9C8thV1rLcbCLD712uHkxe+yGiTgGLV5NZwBlnurLz9EysR0b U/Vmi9ezJ8/ctHeECMt5/0uFCuz8CkedE/UYGylZzRulym95GJ14nGGpKfNrPVAPpmpftuuzF4VK gCACvQX37wRbe4PRLFyava9hw7lNApUTDFzTkPrfu46BqXFvehQ7pFTw81pCekcVAg/l4Ah2EflM srcXNSt1ApWbQlTUsqwuutIsVRZL4olJV2eU46zwbdfNStvTNUC/NhXxdmKhwzW2XvbXRS4RQnsl Xgnxs8sThVNi6k5V3p6djQKNKqZjgiW//qaUC0fZDSpp5cF+7/GU1auDwZ6ZAt42pcvBhyx1Y9Me IC4uSpZZOkfhzoPpmFJIEkHVaOpQNjiT/fP/TheXYRMrm1HRjRab9h4dS/g0UtDScfQKC7+VvaO8 AuBCb/c8m16l1oYIW4DmhrNiaDSOVfKvwjVuJYQS0Yjwqnnye9E249qeuvmUavvsKXnrkQU3vOcf /TzZnoKCvkujz7EkZ2knzu+y5z+BweYvJRGI2VKVaIcagj9M4uZ1ygM09+Ae5mT8S8oZ1guX/j7m qR/YH+BvY8uUntRXFgbVeIW1n6EHwrOY4DNKIMPNXxva+rPE0YZHwRsFMnrt01JqHYK2xn9W5Fkt xuE3qmUQ0MFiAVUzLZubFnNrZ5Z1Kb77arSsfzJPcOYL+jfJa+pxC5t17h0n1y9ev3D/e2fpuTyD /8JkAFpjpW92RrSbseGXhNxNDHXWjTquGW9VTaZV2WEZe62biZfUy11PAC3RBNKjgHHKhdLohrqq btdfa/AldDh8sa3QUGJA0nYkCp3CjBvTqBorK7zTIz7dJYfnVi+NXo5lRx1GhZFrY5fkzPI69qei Xw8rXLswnp2YqQ5uIiyWXCUT8OMHmkFhLqkelkSehwNn6mOgFyyuKxARnJi9OHhKwuctW5XNx15e PQT2TxZY+sshe3+hsCoNI21L7vm5aaVbk1ALsBQqH2b5vCxsbMij5+dSdzFFD7d/DeG0GZh6Xhvc 7P6pBaASa+54DtQl5lNm2ZNNo3F8WaAjf0osMKa9MUFo6LAHR3JoXkr/T+LRjEFVjCoNkMZikgLZ 3ByZFN4D1SuscTWUybAlUY9CodE97Eg/PXwKxCxoARwZZSgpJtd1MtzxdbpXOGjhzo4pbHUOEUzg DrLYsV0D+ddF9N7YdNpiwCtQai9yoDaR6rkwuK9pNV9c4nSlP3A2E89o41LdyVWcNaHQ2K8Aer9Q PKGW1L/o7RxV45rbsDOCL8Oh8UYaBTb5E4UWYXZa4afLWy+R7HtwTyZtgoGwM9RzMLHkz1m3EMiL vN0gT8D40GJ4aiR+pr8uEn5GLLHXNFYsp95qd6ZFd72p964ZttPKbPvZj1LdiuqDCOuK4B2cK+BK OxFVId7rg0I/AP+RywsLumE2cZu/Aldp0XUvDm6irmGFEt7mjaTCjIgLEuMvOB8kQ7S+T3QuKMuC DUDVBHii7/EvZHQkRQh8xn4WDrWKrZ9nTyOPVfxTi9EAZjF50UvB1PSDzaMEJJgoitO+htvh/gVe nZaeqUvI84vsotb93Cht9PlR6Oy3Q9Pcy5lmcNW1tukK4qi/pMyudLgy0yo8tncmwxyE0KgwGR/3 D104+LX8R967fVS2Ne0KxzS2n0cIhFRD6ul4ew2EtjcaTQopjpFI9zJ3k/m0wa/JNfWkmrdbx2Wi jauU4ew/usO4WncHpLANCCkE9nrRd1rbeIfA97XjF7dkIQHiQxZ64DZuSyj3bLrjMNp3Gv3eS3F3 4iVbJIC4PND+jVYAzzV12NJzPVk7TpjuWFbX0Pq0QIzEp/EQF6rlomcoOJ/Gwb7TKjPws/jD/9KY wYnAWtrMQA2sC3hsJz3Hb+vxOhvTPq54xHWfQ7PXNsYa47l33twSMQSn0YhmOmbasECFrtB/+9Fh iZeD+umatthYqhFd1DsCWe3TGmo7F0toUr+M/TUEUDOJZxBSaTIuBykIJyilgbsZO34pc2MYg7ht 8OaifRy9tN7I4UtTKgWj6kx5hEHt7+cg1ElaNqa/buUC2YWTjEYWMmOinSW1/Rf7s3yYgdWLBhfa Bez1YKK3d0fx36Lnt+EitZU3egXZPv85Jsk0PN7Y83+qBJWeen02dQ0W6piAMX4+o4rVB0vgmRsJ gF4CjYM0S5YVRVQqZMuCYMK+2Oq6AlqGYnMq0FhHirmF7zEnYZZdgs/9i6M7gQ8+/7bNDboVrQt9 I+QnSfBM0CkvpW4JRZaNHllKNs18YtQFrW0E59BfqppYZuZTHYPWG6KC56Mc7g+F20uKQsslPjts O/fb/9sU7o8qc+q2uEdrUgjWA/7P5OxeNrAboIW36JR5Ea327dVdxl7tGsLby3ssslCIyP8MPT/J Zoiif9CyE3TJITLSo2n4gs8WCfCma9+Dy91khl4thInbCCVdWavzzAT6rdwUjgP3HQd7LLn5meZE wLJSOxFFc6YmbR7QMPCf2bVFnMiaKlz2hEtQCW6tN/QLbuCb24KuNr0xtAQWC0aVdTaUTxQFTmDz oLiGO/uQ9rPoJunjD+zcEbSdi8bAEogEQDsHsaFloN9HyHBmOZX/Hybl86q4B/TDFUK0f74p822n NLyw3/g= `protect end_protected
mit
gihankarunarathne/vhdl-learn
tute_I/Tutorial_I/Full_Subtractor.vhd
1
1066
---------------------------------------------------------------------------------- -- Company: UOM -- Engineer: Gihan Karunarathne -- -- Create Date: 11:40:23 08/21/2013 -- Design Name: -- Module Name: Full_Subtractor - Behavioral -- Project Name: Tutorial I ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Full_Subtractor is Port ( x : in STD_LOGIC; y : in STD_LOGIC; z : in STD_LOGIC; D : out STD_LOGIC; B : out STD_LOGIC); end Full_Subtractor; architecture Behavioral of Full_Subtractor is begin D <= x xor y xor z; B <= (z and not(x xor y)) or (not x and y) ; end Behavioral;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/ramfifo/logic_sshft.vhd
5
30599
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L7QXUHa+EKO3d9U1lByc/MlIKaA8hoGuMRU7SK0bjih0q4L0bhKN9mLV6juvon/DsSBgTbASQDzp XjUIABdnsA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bVntC3V5hTnRNy5jl2xsvCSeeJuoGDgwLuLST+wn8IdrQ/NwFZizL/B3TlZDAisWeOVE7NtE712E g/7YbbYPGFyDsJ33ap0iYxiSCmVrIMH6N1pRLiUQSa8deQqYXi6ZLcSynx4/VhXRXOjw1GIuaWP4 7bM1niXwXkEu6VQdTt4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m5P5oF8fWoOo2eqmes8S1kI3tnhVTxoj2C7SHK/+x0f3aNHd44dJElPtQNe8++stgziqASN/TLwv qoIpiAEDfJKsHZ1leHdcRfuAUeqRI2cjhWS7pRHVGfFSvKKxkDxhaUfv0Zq+yQaKcuEAoFRaXw6b xmB+5pgUmQtuEHvhjg9k2N7thmtgPsqW2Op4FW+rnowp37Auj8WXN/W3ylviLvkH0EXE+VtweEak PmFCe9+hT4kG5xbj3UvnaB6HwpcHRSP1iWLkX/k3w80Ofx/gTGgbyCtUv8x1XW7aptfSwAaijd5b AyjIAx+iwew75VN/VW3g09bZeuGeXy66zQ4A2w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jM5h8bfBeppi5YZJSfABjcI9yI1ktEfvRORzdvd9oTHH0f/cou3dzwit+DcXDs6MZf7DDfhKMh2B IGtchv6rXvRZ6M2wv1bskIAF8VCKmXrnFfYr5mRque5wmkwBYVg0WXCF1cEe+w3Fx/EQsEXd4NNy upLu3LkUWcnh+iqHNx4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Be5+tuEiORBtxrRPAVW2gLIVJB8wW11O71rfRRnUk7uIzPg7SgInlX44eSrJwTbOxlxlEHBLpLwN hMG89KzDC0Jk7g572fHVoZszRBV7zEt5MCNzLsL+nqIgdnKnvp0w9Cm1n4qrDk/vX7M75o+ekwb3 juklEkpM3BS50n1nfMxG4qiSpwbcCAigxZYjSX+3RC5mAtvUDTCn28WbtUgr+BFpzhYbpuu+eIzn DuY8CAlEhGR905rSl/P8xTB2QF2DKCJATFSSQBRrFoRW1kqRlnH1E2m8aow6k7QKpdjLStGomr4L ufRHA2eb126wPMn/r4l+csMwLmzGVqmGXUSNhw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20912) `protect data_block sbRX1ypEr7ivndxuCOsz/Ev8BIPUjp8BluEeylB5RDgNrzN0Bu9f/SpfDzdQ7P3yrG+PtlJfIwcg 6UUMHogUHy2/uLSs843RvfaTZsi0ILpvKoMzG712FK7s4SVuQfLICsqRCjWpIGZDuVWjyxwZgS6V vZeuPA5JOVT0gPkORuT4OPzKdwM1vu+n1ese87YsHp/Q2tAHWtpf2EhI7IXSnUShzjmo9quFFm+o pmdiQevKRQ1DLGdV3L5/MROXI34U6AQXSU9gj2xX6eirLVaJsrQacJblxYzlG0Rm3FcIltueau9j 7ABtQDNgtw3e1zT1odMUxQGST73UGnuCPaXX6XqYPn6PmfrSBhMcGYZixRveCqkc4DAc4CLfcb06 eDiUXCn6RVQrBaxKAF+9lDs81XCgu3WStuJdjxI8p6Ey73PmxVjii+u1mQBlZiJQCFJeHvg43ylA qucDljeh92ImjR1JrgRld1TnRPPXr2iB++gxBaJ5HsQWO5PWY4MOiHVUQttV74A9GYEZ2Vhg5nPt qCB/e500JFqtY4lBq+S6N12iGTbqnWzOiRV0CtlN8YR87xyo9uR4WeXYMvlYEf4kmgSsAUZOiqvF XkHUU5p5LvsltYt7JhYjLynhb1ugjmFQhvARXEvjnVbZqVu41X3ElDMMFgWs/4xZmJlxk8PwWUup RjuKChzTYYyZavPpwaA7i89evtqRA8eGdSZHNDHKLZGshrIVJlPnkl6h32U775s7J5C6VxlvHTXZ v0Xb7xQ/pn1B4JsEjwzUgj6hKCLJT6c21cfA1a5kcS4W7xmbd3ZGHia7RRBoaRMwysSaVs04tgQX i2wXDCKfxcpk4nui4FhXKytaIrLvf3irxwvDLg6DEtTFmSMLjE4vqY8Imut5EvncNCGj6XAyzlEK rZFaOSJEdQWo1q+dch6LLxSKjdg37fkUqUrwF546KtpMdR5x11feEk7fT6vIG3uWuW/YGX2wCJRI KWByxtxsv6wSwxEApskA91g9o3LriZictIbOdtyuvnL/jR4EY7yfz+hkQKKSl2DUoYKaosIcmcGm 1WpkOz19qUoZWotk9aKFEPoENWgCLm3Yoz7cBfGYu/tOzipKZDbsi6WGIdJPwnZEqGgt8KAkOj+A JTE/peYaMV/sx19g+ZmLOi3doAXycyFej0ql+xpNnxsgVoipHWNDqVkjn6YDHbCR2iQH5tQiLDB6 Bq93CxejMZUbFv4kyHR0lk/yfNDm1di5pHwiE49PgFfLerLexLBGDG9sCAci0g2W90i/KwKiiCCU 7aCqkEBlpiCQFTqbwrt4rW2hhTuVTEzotTMbwCOH3aY68Of0iNEu3JyBoQ821EdqLeHSqul9ZRAq dnJNkUZd4SDOK1xlu2Z6ZrjxndDmrfTiYVlOwLtdpPUZ3eop2fkussndB/1vAAc/UzI39hg3TNuR ozlvqzTP6h2ds8Tl9ocutPQeMcAACxRnXOUbIMjRpO7+h8Uv9DDu/+/2pAtHNh6GFbR1Msx1EM6c p2/fF5J+g+TZN4WcWUd1uAKACSxv2uZk3e3RXLC1v0RS4955Un3pgj2xRqeCX0nPMxrjdtTzyAna b0ZMySfYUBEKmklWVX2Cdb9GQgBjcUvjKjhYkHhi5f6m9QK56iKa2cYhgDElxFSw9F3D6mj+Bk06 pdM9pl4Jgy2wOunJcXHKIdo2zodzed5vHu9iimQxhwzCmvmHG4EOh5F/QY+r0j0kNDLoLV0GG7/Q mIOWl+eHnkfRUP+kdkNGseluWi12mxkpKgHt0lWN4ksZdzajE5g3XGVKrcqPEyTt8l96JWdVv8rP Tre4sb6i1f2w1NuMrpZuuAOCz/BamZBF5hpY4GxYuFmlKMAq61Ny3wgJiDVr4JdDfU1OPiP1iUy8 JbfYn7o0gYQB5pkbs8/DrS9ne0Q7N/JI3Uk/mtfXW2aVl+rwkghXV+W247ePZMWry2YdwgemtfeE wIxAUGJcj7V45Laezyn06aywx3Vi59C8RJIUYJ0zfgyIpFuUMWIr25C6bDzNZrWjbAzcfCSTDeQL OZg+AxfTO3/uIDmJhUS5QKiCNhsRgIsI7iOtHe7yUBx0U5aunfLTQQMekMC8RK7MCi4jt2i3WNPE MxjEBOj3Tx8Y6aBIyZH0lUbT89/37KbmlBAP2XhDeDw6Uk4dZrPY9WbIFw1/x7WtTL2mVOQlvz4G KLAmYO6IuWJGr+AktN3d8l7fc1QbyzCVES2nLMQmklcne9GWVTsG5DyuCJk6TKKAlEItKM/U1AmZ Pm+iyieFemD8RWRMfaiN3CG1BOrTluiEfy0SQjuNqa3cUupZskrnDIGhBtzoH7ayr6UkrZlNd/yA oiAiP8MRledqwjT5bIOezu12nesjs1sc7Ce/8oTHefZrpb8dO4cisaF8o4JD9D6qL8V/eTTOsEmT HpwZFCTdz0QFxlIlHcjyiP8NahpU7b7LqG5bxi/rBaMuWWkdn/n36/52ITXuJXQQJxxop97jFnWV u+iFRTM6JIFc1LAaKPzVmzoXhIGsRlMK068S8VQXwqWUeVs4uSi81Z2mB/+FTg+WvWORL1NXJZk6 5HiBINXuPgvvv6Ki+h3eR68gzK+IqL1Z6JXhwQb2OmBJhwK4xKyn1KrRP6R3wXdv2oPcMzanXAmZ odJVeRalAcNikKCu4J9CfdqWrR/TXzN3aFCpVTCZ9jhKS5H1eWre9hamkKPB+SfuewDkdKg6yykS +noZaES9yJA000n8DboA4wLgWGD0FC+G2whsgmRd5s+ULzmbaUh173i+d/UgJ9jf2f3C4SddmIuI Uql9YEKE92tHOm4JMV1V2FParpavaV5CYLQCbcCklEqBoRaa6FQHvti8/HWzsOjLGX+SMYWkXIXg ZpxhRL4kP3iS5lIX9jdki4OW1WJuV1hmgbI6xysngp0PTr5MZtPKDd1rwJNQfrixRJ/CHMS/msgz ILjhOiWFGLQ/80aODSC2bVuTNGxYPrVSV8u9YRdXlafQzl2CTiHI4s14tm1DqMIVuj4aY0gBQxEY Mfyd0l7AoOsoUOhFBNEJvNYAa/Izvfc035vvyl3Wmy+SJQOxK2LewDnA5Qo5LsQ6/F843RPuYKSc jKcC4/M7QszouqLAtoaFZHcI1Q2XmW9pzmBo2svqOs26/vhO+ifmKD5YyLGIkvY/CyXaza6DxmJ5 Bv1lWIBJmyfPnU/8vy9G5tMgHdDeIj3rccxfGCVVh5TVq8nd+/odY0X7LV17wMEdsTDa7HydeMoG m8+t8lLSFTWdOHzCSVvT5GMGZtpuYM125uwS8TlLC4nmQN0YQND+85T/VwNAtCgVgSj794AMOmQ5 16oRbnBIy0M3oxC3m8SoKFM8cO8QTi6WcPvgslIGy/i2KAjWKNUXmSiS0ySvqOdDeVnwa/GT1id9 o7crhw64GbEw4CB7bTzyLNPhuhMasf6f4S9RWqlR2RBpq/ns2N/3r2Ta+m37pUY81ju+cCah3TrH fSYzWm9Edu0wVwKCQKmewXI/J/HrfOXxT6Whb+uCH8zlAfbKX0xr3ZkSoZA/gzxaQ+R9qs1HOuPq 4SZTarPdntJHKpMhBY3LrMye2LN5buQem+i7agKoUCCDlZZ5xIDvU2PaDLP9zmGC03qUroupN4Nj eKoVRc9RSafFZOelVVaPaA5TsXuir/845hUI1C1pYw7ARtOnDlOip066C3i0bGdRiKBrlDvwtRm6 a2EsIn2PJjhtb+56FNnz/hAEC0QRurY1rFCxhHH2kzT1elY+zAgMFAIu3siwUNeH2Nh/KdF7rzzG QE6oLxHP7UpNJ25D90BPIJo6uTuRAnq7stgCyga3WmaujfO+TlZNqsHFaXkdGWTqoTG+JS/5RZVT MBWIopLDJMDB57avtqGrPpL6jWRMhct2z2Eh4gLjVR8vqsLdFr5PGRVOwYJtZIbYdqFu+TBzUIpf b8fQQn295P8W33blDZCosV5cd036ToBMaCslDg50rxOP3KnNTdqciN/PIwcLAgQcVHGjHargK0wR j37j3HnBMNVva0S60e0R5keApZLm8BpCSr1OLqnoFl32rhMPFXoI/513jp1sCPx6/rmnXJnFEwSI lpK6XVNqYPxaD8wpHyD6qtByW6GOYPZN8gwgq6VOvOH/ej8lO765pdPSQRt+8QcE2LrCa1/Y/lsx 2iKAIuLtCdch1W3qb0oWl5kcEaGGkEU53QjLIs8093FJH3VthOYXcc8VQpv4rzP89YiGjpbKbmb6 bmcQwwhV3UiXC29TJ3beVYe/omngqCyMMevJwzQ+YkcE9LbrXlIa0icww29/ZmcO6OwhmKqTKFi8 lL7Msc5ReIuyFwb/jOfbzj9THlwtuRP/3i7N2YDQTcuZa+8bWCWDSwMmXQ7YpP6A9yDf+rjk9Nh/ S59D3/q0plKCbmLj3HFJF9gPPtUgDrzALhQmtDcQ/8GCurVb8TyKq5PVepVj3riB18LPtstF86b3 qgEsimmNTIWk49o2S1Fb0Pu6IZL4dEaBYlXqCs8+w2p+9cI5T5F56ysBMtk61p/dPu0K/OzNFrEe EPHVSyW0AWk7mQTohnG59hfeRpA82N8QmnciIAv/47JtfP8HVtB5oRgQwe9Zd279ZivJtPZSBIA/ W4Gq1/JPDQPNGVbheC2nS771GR/1gbfmwR9K2u99Bn7nIGvawrHFx28wTTHEQ2sEqoYrp+mDWElF nZJUJo4jU3vIN16ZWiKkcbSUKZi67mO3mCFxhzrrNqVh7Wn4STqgvDeY0HWMahFVhOiyZ15Xn6Zv +UIj9FK+i34e6/Nm0fUJgCIPMmc2HXzqo4yJ7E0RcQlLFxFB2Ozbr2ZcF07kmyNzXJ2kKJH5/2ZG yw1RiZrRzQuZtNiGilfoL16sLRKao6AjVDpSL1ywL46noSBJp4DGvvhsVRM0cUl+HXyf76hOi6Sh 7eOtRT+A4dZoNsZjENmf1bUB15YKIEN9yoFvEtUu7hTuw6gwCw6idT20707e9UCuffenNsUzvbGh HgsOfuHJZpw+cWH/7MCXuXg59ivrNp2h8OA87DfV1bimGjP3msmrwTKgS+W6FkHoxLYvFQHNflbB FXlFbfZ+IvRDQFhzuu5IpXeh0QSwrFooe6N9awEUKoUJjxXLZSbFWu13Ek8iF4eGIYYTZOjf/9/9 Z4SyxPcJha0p6XZAG/vVKWXc8gOt9fXp6/nL7zLSg+UxFtzT801GfZ/zrPkEp6c+esRPzncPDv9b h3NXjFDUtm0OEBuDHPEtRAsVCet2MLAccaLUMYO8hR2pe/HdPVFrBqvRP0idpJdyZYp8F4Y5hbvH 2nUdft6kPzfXaHrnNb+/hQ42MbtvDlpiRf8USb952OiS8Crp2r6CMxBhdqJir1ExQSqpc6Ih+9W2 /bzilRSSTV0EPbx55Acn561zRZFIagwlsT3z6fg+8nvTbFqYUWdh+aVZCe9unf1T2s1SZnS5wQxC jGXlB/Te0d0s0kWnx+MEY+2KyYF/L8Ij6GFEsd+mCg18Gm6WXQu9/KE1f1Fe1q3byOtCnF0Wh4nA rpGyr8n94af4V1ifxJAW2cy/X0M/jwXH/7MsbIZFSKTn0nwsGTLi355zghO6P6VgIuc0jqUY2vL6 iMte0QI1waPMV0uz/BPWvoe8/l2q90JZmMjriPT9N1eaEKtkvZXgAD2Qn55X2zygWZ7I5JpqOjMS MqjCsUJb4/H4CiOgvF1B6sTw6tbAMyloSUUBbc9pw1vD1wZj/JGQZuQ1s3AvhRw4KI4kqQ8cxq6K Hj9k4vxbZUPmKuexRx9PGGtn/Pd79SMb1iZJG/TS8pg+iXzhN9prp2k1YvTSNSKRkVs97Uiy0zNX T7c59nnbCpxhGLCV31uiGelP4c/zB1dTAZtMwSmJnXZeCV7csHoErbzrseidjnUgxqydcwR7/6CX sTV7yzJ3uf90tgmxcWiJa4/Fzj6zbQDAgcx4wQKnYfxNZ/fUzH+Bxh0HiQmYBdKl3u9dbatudUE8 a5p0hAE515Nzhy+ud+sHC77LrToKpSCfyxutIkgU/M9ZzU8HFGlqR30SVbAsPLVAv0Gdt0ywMD1g 47s5y9xkYEoCGWlIWYXtQmA3MjPGhWDcyP5OQ4Dmn7QsnkzrQaDF4tKeVP6w0Kvd2r+/ekoTzwNz WcTTUzvBT+4KEbxibiKEwaHRaBMPC9T9F6uei4U3rqw2BU4fqNrCt9rGsmLFbE1SrORuOSesw59T xigYEFDvyV8Nd0b1HEVMd88wthKxNzxfQid6yao/viacJ7f0M4AE1dpbS8Y9k88bF85HWeCYy0JJ zOy0gWy+jMXQJr/djN109HbplDi1gQ4dTSFvKrCi9V1g80ZJoIANcsIKZNqeJVWVsrtIbUmiZaGb Z51qOy/uS+NUVbVqmWEaD2ouLr1VFc3rtNU8oLzq8jdICp6DKRFspScdnXEaM+IzkC2aclDA0Dsw k3VRqbCkWHTIpnnGuifQmgqikWrdOZ62hp3/BclkPhg2RNMiVClKTi320g+9nSBjLIPu9Je8czLO 8j4SpVp9vQ3F1ssHlLF1EojxF111E2njKETvuRdBbH1Oo8x110Yv81vTQ8JtXSYi9bmR/EMmVdv6 XOIBDPhZy/VLSrZPTFkNq2i2ub9bbgE4zUsGyHrRAoz/7ds8/39cKrPHDDqRjifznU81yUfYFsUd p8OuV4zx3cu7P48QJU7666M+Gbdenr9Txh6yN0KCA8+p7nGv/u7FlOWseAGu8iJDKCW2SioOAu5h yk1y+kippqQNLS3KS2l8cjH6311nAMZlVhCoED5DtjLEGfmv/JIva7OXVTWAzzyZ1LgIlltU1sHc c/TXBFDgf2O3uiUxou9Ry6Dygzcnzqn8XEjDd/ZapPFez9/5i4RtVTrGZHw6H4YtWUWPIoRGgl7A RxgN3VUtMno1lIYoYWqtt7aZ7W2dy4I9fkRHNxeGIkl1QO2LnMB3I0MHI59qyuBB6DMHgbQ2/gRs N8IN6CYI440/U1Ch3IPTueXyjRq5rPrlUnPML24cV0Oxoqm/0Vq43EvuLudVu1wzO4tg64GgdRVq mBrXgWlJXdY3WqJDS2M2Kzp44X6V2oh4mayMe2vcGczB/sbsE+YG9frllGen33wfGVrrjaTdD67J 9jMrbAvkv3jOlk1M5yMoJpwzWoSGDAMSS1TcM8blri/7fQ0euEkRK1RXEzteFP0XcuUaoL6+cTqh 2103FynQti1YGH68guqh13SmFkBVg9YZMEt0x3W+/8D9Ldm7myK5TgD4twfrMxPFRXQ5bhY9H+iB tBT64cRLj2jOZw5i2v7fmUsZwf18d8jOaILySrfuvD7mQ9uK4VVAcc3oqDB2Tw3lBVGWTr2mi4+F bEuiYeB1w/zh52+KM8bws31qaJm7KVZ74soCloARg9IzHPYa+K8vi/d0xkyjKjhsAtT6o4C4p0X8 Enzq2NG0JXr2Qy3CJerkBycDR6t0BirSNvQpotw1L5kOJe41Et9psfJ7Bsmz/J4dA2ohSOrnVKBU G1iZ5BlxM4aXNmiIlJmpWpAQCOI8pBxbvlIDYO1xjgAlQphNhjH692RVzAJKTvvxGSNbeRtE2TMw YzooctDf9djl6lDtWvfM9wtzOVX56q6t53H5trbGl/tVBysZWxjqmQUwETR47mlMm65KoMadYKuc A5rnHeVkZB22fneCc90EDUWnHt2mSnKAW4OUhfo3FrCokx5Jt8VrIEAUrVKHS/7czFkan+TUNQqt Vs7y1ZRZVCCptcBFzEG914X/YmubOnHfwGke4Z77Uzn1LqEyzyh5+82Ez59zMneQpmI9Ss7O5yyg REbNI5T9EcAxjPn+4QCJxUOEf3PwDtQR7VUjZhjlfXFhVxH8KKF68abWBddYgj0C71wHl/rjGRnM L0zyCz1W/FDYwDUOibrcXsI3G0bPD8zExV79o8i3ZWVV1ZPTerKRWeuFFULWEBuFvsRwhiCl6M0C y3KWcuqPeReYVNqNv+TuRwbo4FP4CD5gnpTgR0nh+d/v7TrAoY1PkbVg8o3kXeHlpzqtA3k92ypq 1NHMv1UE2GeUpE2Xc9MFxd6oGQnIsngcuYgI1dP7gM+ErUIcSE5g9VzKfV50LcLjWVikfcb2NTJa 6ezMlhj7U2ZR3cEhwM+S2kTn46MPbydvwKbIS4iGdIlNU1NB131yCydxemL+ubaTJWY2iv9cGbOq kJdPAbLF8+toPTKt0Y8OE7kS7qYERFbtodfh0f328kUw/CqJvYtJZziBWo3MjITqPMmoxE8rXnz5 MI3XtxVQUFaZI41kjLW5C9H+eRbyWUYDp3sNAJHQOzC2jKd0tOLqh9CVrhn8f+KDIXRNcISAWv/W 9qKPmKjpClxy0MK72qrmbgvP/wyHdgQyR5XOpTnwCJZo0D/V128+lWcVqJBufCO7lnBRDrK2BiQh xjKY3s1zl2sfpT0lbtqjXoEhR4RUj3GSp4b9tBEk8HzwLDmxjKio6T83nvqDNPTgPHtXD6f+s/IL vNN36qyhNznbzSDmPnkRKxOaVv0c5/4bqABZDOQectb5cO+yXIawQFbSJT/C6+lEB6gUteGOvVhf KWFQ4pn+ZaCygFhibTg9eRDprfSHY+U8U4NCcs8D360RzdMA8JWjeGkxZoe8S/qjMQzdFXH2J8Ip 7r8pJPJi1jl15QzSkBI7lVLlKWhcp7ixmWD/y8rgtVkIOXm0Rn7bJTC3PkLZicaJd2Jw8AXUQZEM YQy2ktyOBSf68efnTvkoRj0HGnfleHlLG7eCcxVleojlcotpF1Q0Q8OPVKk9gnBnp4mrOe7Wa9UD 9iVpuiesvzsIpMe9u/A88KAgZeMPkENW9pm2TdiOtt/b6kS/bP4j2bF5RMJk52fxJIHkyoTzC7Xk umii4VPAJ9WvN++y5cvlTN1jWLcEUkXpmX73GI+FXsy0wyoyUSkCqDAxq+bakG7CDixsH0qHbx9O P5KsNKDCizTwU/3dGtBo+2jtbqAZTNGqvFRnikz5hW44bXFdcrAfoCwYMSO5FFbJBloPb76/Bx8e IQLFE2Ia4ErUHSl94OHOGdS6gB5RWvAWDE3zly2480yFGjBq5Nm8VCDoI1HYS+W6QX5QlpvzxQ5k zkVIHMqyWQT7sNl0+N++QSM7KJSSaguX1MCUH0je0ebjkOQ8k15oa+DKwcjffZvdr3Pq7ysTDCN1 GaN+ZcTOtfixVTu24QWh8xIkp4GAB16zsVZgWyMXDwgTPw4LSMGTUmoouGGw2gZ2KnOY6RqPebM/ /blSf4pQsS4+tyeMQ58AvdDmtjqJ4dMNHDCJcsiF5UGa0+wQ8CRQ5uQDbW6F2rhqo3RhbXe1jU9J WHga83gsAvuVcrz1/w59AMB7/Wl0WheCwOkdbo0LhLIBvs+uBtHOS0q84QmoDdsaj58Xno7gFal4 al4tGEwolpvzJdtfaVN+FU5vav42Xuv4FnWJbZMakeXrEz5L23doqVtyMWQnwx4BfL8R9b/T4iLZ yqq/GcddnORdGwZ9FxB65TcoU+HVM4R96V2xSznofA9f5JgRSxEapEixJhnfGbSCNASVyRjc40Ph 9KO2TJQ7mBeoe2/zMn2a9sWqdZE+ZZJATvPFCi0irsRvNGTUQwJgzu1kv79cZPr0WAXi8lgLSlFY sb2iUzMGom3ett7HEMh1uKI4v61dGtChFGtaicKBpLLNHdWrX1OtAqoE7QQOWDkkSRozn9gUb4AE ObDLriLrpYwW72Y0juvgGn3tEIIu6MWFYksFofXTc/nlcTxoWDTEUh3MN/BPWRD5EN5lSJybfIPn J2Wtj6//UrESuRw6wya/j5leP+vu624zMmgpX+CHxtMxVON8EnyZUzseHUdfyYzzGGWvCh1zjqCT HQmPw/n5C6qcCqOGz4EBYLKUgGc6S/M1HxGUuMqQk5ROvK1FHsprSaowJyIOJ9maL1VKwtg1g6nA sFlOiDKovIDvXg8NKqnUBzm3EgjUWA/vBzdKlBani5HPphnO/pP7NRVVorB1VK90yEyOX8Kk50dJ wThlzbE0umnEwWv639g0ZG8IK/OUTCg+fvjsGjcf3CpOvYTTC5eo5CR8rgXw6mbJoDq+VPiZbY7I rGGNwVPh6P/r1Rs63a2uiTXXpt7hrhUCMS2vNL9yhxTpQPi81EV3bB5zMb9cxpZkm8RegBoLPaB/ teY4EbWp+oh8A+azGfteaAZsLgS9EdTTrOT3YtIZ/75j663KODQleyIvVVuRno/lCypAFdxuwBuR Vi/6nNLqISItkXioXHe4Tplo7w1c+RRG+07vAKwnYkXCc5sgO0dx/ZsGfS6eDQ1E+HusV7yXy37q nJbdYYKi2DaG/DgQPt3InB7gXTYbJO/OHS7UJqisXr8rLVK8kHtkvRzMszH+/KQKnVB8xKmwJBOQ 3NVB8EyNCzQVb1HT/Wq48uTas90HCZROR4VAyAoeH9HrK0fhuHDvYm03QuSVbSw8WqxJEKaKrugu nM5rBEEULB9kNDvSbu584muV9bg31ykqsfeyq4aC/J8oYA3t1NC3oC2lHtjvEBLsQ4rPZkFlYKza S1Bnd9ixsXgL2IPXzcbEK6EVWYJ75WhMwKbt2YqyqI6IvqGcjt7HybwrUEmq3GEaJlJ+etFCdhul K/NQHl03xI+COeG7EYB4bibxyDIRzzPIz8w7iNNc+k0uEHgVwQxdnlapxDkSOB1WPR6V/0hx3pM3 RnHeSkMB8fqUPa6gGJiDxyTvxx+ASJ+56a1l5dmqsySEvsNdnQ3egyVnFGmKqfC84erzlG2wdnb5 n3axMolTSlbJhPc/FrC2ReiQIdYmXofqTe0Gis46OTzcqAcH+ZXAd3azpQfGXf2uuK2CZzhYZtSS pg+imaoGmNDfsmWxSrMIFHiD74f8QUL4QKKl0cGm8TyFHbaBm5XqNkESVLI06ozAAm1vttI+X8ee r44HtpKTxtF87We33VhJ4t1Mt4nidE8T0UVlaNe0MTA0G7GMbNtnQsRBwmOcImRCl3Rr19CXdbn5 N+/0/yFxEJ16k4UGkWvGeVjKypAIz55L891+1zXDi84UEr4IOSxJjddXaGoKgi/uWSta4N3nX/bG hpXD7/dKENpWK9u/dvLTMkQgSEI4AS9nus9QAqhz4eTS6Qa3q0tuxyfcL/emgHjAmi7exBjNig8o PsWaMKyxCnNH8Z7jdgSmOhpQDIDLW/XoOZep/MO8PNGTvgy/vD6mC+YPIXjT7t/p4GLlQ9j+pCdq nKFEJ39iFx8YwQtKWOE7Vm0elTsJvXXDprc6XwJjlJ+8vdtVlC+3JT7SeoMo9vG4xA7s4FZ/ODXI BYBatIcmPMlP1/rixsaay08TG02hw6Zf53bI8jRRcUcAoOkrscOtsSae5cwsyvpkz28JzktuXT77 UeUmOoM0Uy3Zfv7I51FHbkZmOJEM1gXoDqqHV2vsqOBUmRXqU7m9+NoS0q6nhQLXQfDurQj3MozA BjteJfcMphuMV+wOUgosePcFU9CcR++mbujqaDSGNysbXqzm4NwrKjbVpncQL4b6jLSS04gUd77I f9HkWSAlwSiyquwiZtQZ0koiyLDo4hAYzqxEK8U2vvAoA9vMIl/JImhNjJnF712BImuj2KHzoZCL 7Tzzo8YWC/IKpRV0JK6h543ltkHVieCqAN48gavTOLUo9MHBSmiJrFR2MZHH9qhCod+V+4xDijn4 Fk2kKyYAVbaOe/ttc2glTfT7rNIOwTfRlKe+GzpSwmQYGFLw+PTTAorSj35Eotp8sJrCWO8lhidU 5eWPsNoQfztXJ3agwqS3kSYf/A/2Lkhdtq3oij3Stgjd8imYF6Dl+UzXD3e+KaPPfaCw8P7oluRN rXIKJENZqZO6WsOjxR7Eo0YZrq7Y98jGjGkyhjZ5qcaaF4KUPSsS3n+n5odUbjNoRhw08BPZ1aaQ GfqbUskxLWCfTe+1uV5aijIglGNe0DYp6SaXL/WLdtLToLPkUQFTOgOyQabfRAm9A5gmj7vEW0co RodXCL8AnXDIytg0jzhm94007QGOkeH03ARNozdEoVqO3nRLCiecSB2hr6/7spXvF+A6UC1iakz0 5wR7sisiti/zvtOmWRqyXXprDFAJxmPO9UH3VijlbL8JBDc9T0CnHz5LR9Q0TE6DsSFmM0oAA4a9 8DMNz85NJt7gfpUg0UziCtWuQrqsl/5AF5xdB00m+vOnEnJlkJV+dVzldtEXe/STzTatmJe8Kqpx sEK82uVnhEgqT1uqpEzoVN+k9sWgyCI++m6t46wGcHLVaTVbWcvtz+RZCH4s6iNAzp2GMHtMpSsw rgo6o9wmCiyYDzzn31S/UXlSSoqaeHwiAmmqcd4ANtPloOhOV7wNaIxwKcrYlDC6UMCjclWI7K4T sKg0gFLpFQaHS+w3pUUxq68R093t888PeeeuxPXLNXemnRFVh2s5Ms3O+vEEDcRg6SXO3NrVi1zK XwC3Z5tARYlxDyNvLQPD/uJINNTcREgYhSX9fe5vGCVUG4gLDmWgCrgdklRY0/2cs2EMklsZtAxm Kx8JFie9fxrHKEBaZ8AMmvuofyWcBTvmb+O/ldNacyyn30nScTaCpFUyJxt9qz97kg54EVXb1B+j Q0doubS+41ESHFsuDCLkEfXU6jvHIDouQ43j9ZEejWvkJT5yt18+HLwC5YyQMB1WBorRU+0To+R8 cY3dAGlDMZoi8QDHqSlVCSI/mDOr5QYDhN1EmxoPy8xRaJpL+y/YaGkr5/k1Inb6G9dnDHMCXqEW ki90msitR/96TOYxdF4yLeUOL6Ce7oCHIOgpv4FNL1QKx1tFqD1jbFWoO8wbD5GC+9nmFcnPLEzR cdkZ3uZBjXD2dvtG3SW7w7Zb5fAMgs+q4OU0lf+rAEgn/Kx9+uS/GjyXwhn09rPp7iJAXtjJf5Pc JjLFT/O2geXyKaoJdbzHp1emaFUaNtLC3f6LHFp6KX8N4eHETpuj/71smfBsP32O30cWgSrxPOEB mTbr+MlDhCI09l8ukvV1HS7nhkKfPZn/pMrO280f34OSn3N8VGZyQ8zpMaNu92vyuv3Jt9262MYn QybCfb9FLEeL9pHgGSq4rovUytr0JYGmWTQPbulWjrmgq0c7XDJ2lt5o2dRe3FIWFtC4GzPHoY2k 8j21edvS9ZGcBA0i91tSi7xsmSz5ROwukh/zRftR3W9F78uak9EKvAjTdLDcx7yDenXd/vtuuvd1 yKe0DmcTLIkUPX7sJQfYI5eQAn/PW/+cB3NoHx9Ou5yrRgL4Rq1KZprCWnrmRV+ylO7MwcTRgMSw uSzSa1m4K3Pot19hnMaAeep6XVA/i5MyqmL3+dwDW8VsuJ5O7ZnQGIgMs8+Uk7SVL6n5N69ufnQ9 2pDWXn8Gf1lyyZLTqhAgY3HyzyPVW+BXvNymogI+NtPN1ZlFuVJxAajkgzj4Y2TJM5wC3hvcympv GbC3aLpa+nxYo0QykpDtdrAIVWoD0i7DkHtDuFpKIgqZN5BiFnZMEJlKNVl+fZBCdSuKUDk/Smwq 8HVXxmWQ1rMQuww+CCW/nn1aW7CRQBJ6jrOhmaZp5RoOyQHINmIhRFg4BSYmuqyt+LWQODA4xHFQ cexwRnyFVfauVsOb0sdUVEiEk17xS5fZThWJjAbvBrV/egGdpaVQvGdH0Jfkv5zJwSFWWlHbLT1/ cHwoIOl+Vmby5XvY7MuE3k7lz3Z0QdgkmHuKpyaS6h592Z50N3mE7SZFiQs3mLWC/Jm7CTMZJBe0 9oBYKpJWSsflwv3I59rU2MkP+XUruECdWamwdw4MWACscNEnOjmPiCv/XQ+HHNT8lAsijfrNl6a4 9eGgTpcE6/QDEqbnPIQLZV4QaRezj4eHSFhDXKeryxP4QLWEibHOP/aC5sSCtIlm5/pDiyMrJISJ jproHgXab6nsRQoA5fQ84FoLt74Lz0cSpt6xPnwXlHgN+6WCV8lX92UuuBVDkb8zJkHBXt0mssCy 2DSo/Ns9E0gGered7Fbq13osofPIJ0QupA5QOtirTZDH+GgZx6Anbhg2mu7lD0Zl+GK1la9q5dVc YH7CB5guhBLJQ6koO0hGZc7g9F981TgDF74Mt11/XwORSm1UhQ+UyYH88epG2kqbCCF+4Y3BT75+ e0KQBaKl4vr4HcPxwCYVLOJO6BO+1iM2x3VHEYHRr7UeiWg1flA6FvhvGmsN/CRKWsMK3zeIvQfO Igt+1rl3WNCopcHicME2Ya4q5s5Z2/PN5muXYeyisg2RL/m/UtnZqncQrpTyvis28HM3O5kFjXiu X+Dp7FGntpz0qtXoZIQZ26kgP0fycSgQBbj+EoXEouccZHLa7GmNd0BEizXmrI9vZOHx8LzLRKmB /wz83QBwOmAe+a+NZlmAmML6Gb9zsLTFaDq0LgP+kEP80UvdbtHcgwyODhjTX8vWEh8qlmK59g/0 QSecu+8xei453wcZgM+xvW1+ec9T9o6oIM/+wu2RezGbevdM/39JzKFqHIZ7y72BMOrWJS1/EqsV jVhXZpMjS16G74GJUL35lnNAhQ/e8Om6TeIUNJ4DnIUBSWL6xpuEV7KLaP0jX1Rw69cNYx67Dn8x 3mn1gGx3cc9E2uGSq9mslLkyukK+sEC/71mwEIG9XOf5l05AnqaUhFMfY/m6MaFR78cemlZjglEc rrEZU69UdITuJ/AINe0xVh0MtVi+44lHXJZ8EsmsXhmyAk9nHzx3xcE5tJNkaQe4Q6UIZUB662vi GCc5GbHzNxLoGSzehUPYgZX5fNTPJ3n3nqbtMej7r0hTQ2apDAW1fFOOcvGwISXEdFeKtX5F78bB JUduUGUGgDEtSweWb6q98bvcTLqcf0my+oLWSpsC51xpz5ijcv92gI5lye+TO6T+KleRAt9xn9i5 0iP6wPrqgrf4bMN+qzqj2+ZK6RoTw2eheIv78GfjG37GGKqk+2Z+32/a+plnalj8+R75MG6mHGkd MqbAvpl0nfXDts/PRb3wbkArilngbNjkAvX9mLtnWBcpr4wKj6OGv6TYbAsBp+ojXti/7/wsPYL5 0yKOBTKj2YaNs4mnh9knIvV+wZxNWhCy7BbbCg7ynqRTdWpXHfVhU9YHEEdeOwCAWkFD+YeCQG5Q OrbAQkO5wkntZREGYo/TPMdk2SUot+zwvolnhjTQw+THS/1oPltQkV3kWLQMEIz5wYRvYOic2xw6 FaHs43sXuQXqvwfmtqopI1sa4Bb0OFEPZalwD3aA/nRfzgESt++oD8qYg7yRtWBEWSIGGl5Iw3Dm GfWwgXLdgjyIMrh/ZYvw/qSP8QtN7LmCVpT9ogX6pZEQm55f4oT6xRa83pdiXe1tq0n2cqRgR00H c+X5lJQX7L9cTrC6D22nGMNplvTpFaTYnEjJHMVPL7uPXqbFh+Suyl5MWk6HDdneGS8hLdai+vqb I9EAw0SMmF46hbf9Ym22Hg11ec6eiMFmNt762fGWtt0I72ypzGeeluD6b4Kxx4pmlD3SEXZAVz7e 3QlMVSxzdc75Dv5htM5weLvTWpNApE9/SxvQ7Zq7uAVSC3vUILrBmZPieBpdUeqMXnNsynOKY1Wf 2FzMdpWhOWACaAVj94M9pDiEqesf4LgnTHyLHlHO6MCrJioU6KaiOnMcT8kaYgcXAQDMh2p/s0II nxIGIbY4K3qe1pvNY0qJzu/Y0IWiXa2VnGRbprZoy4a0lMBwi3hBbl52CBlZ3/tRhMpQP4F/oUnn NbV5GQlHC07jfo4pizwKi0lTO9Hv5aqaKSw1hqQzk820rO13kiz7MZKnhq0n0F1B0tfF0cFZjZb1 UjMDsf3iO6Ye3nOA0ej3rtN0qCmnVwblcv3bwSKxWhvw9V8fV5lG3PMyKNXtUjCChOK5sfa0/BAb WBI69UIX2caQoNBmqAYzEgxjod3cpWdZi/ao6cQ7vhLOQk5x9xZ0L7dxVppfSrnaJvwEaKKH5NLi goFbg3nd5rO+9A7fn6uxwHYfMU3zOjqMbbYwe2PWqn2loTnMde1NMM1vQm3iiPTtm5j+NttUD9Ot B+y/zv6t1XpPSIAt8VqIM+3JDMH/b3Yd4ztC46Iq2rwsZCaOij0yCdt0oLaWMaSKGLvllPEh6A6s THYgao5uJzDZz1vpj8zM8yybaq2qdLFg80bv1OIanFUe6ietihr2vdSYHbGb9ld83EWPiX5tQuYC RiZSrKjSvQXHMFrIrJNW56XbyC4i5iwBKzE1rPrMrnZUViawDGUybvA6zPhfIKcAcJq0u52QTG7v TLsi1xelcrG+8e5NfxXsJaVbpKjILS/avPPxTYJkMchzG+BTKN632A/vCCpkSuaMynZm7SUarAu5 5v7YNHSpXdw0ccJ/U3N8Jw/HDG/rvmb7SptpsAMATasUfVkHLEg29l1VU6CblbTfuknnJmtJ0xTa 0t+CsTsMTQgYikdlbTyfBm7OCR7jAmEgFld6FmFgRXkDeh1Dw1uR6PO3FCrnbmwMTWVoG8A62MYO VsY7r44mDHhpp5jxKqAXQcoN6HpigozgdFgbBEJX/HPZ9HTzX8EGBaqH7UFOcb3YGht36ubbocu/ j84lsL7mD4XA1ce6JevS/mTsR/qOZCX5emNKz+i8NE7EXdQdG24nU2yqEC/FZ8PMWLVINycjWq5v F0JajDmYdLN+o3X0S7EUpnoSqW9Y2OVDL8afdhaJk9JqsKO6kWDSnQ2RJ1lFKsaeMlbBom9wa4T8 +ij6BwDRWc9xDR2YpSyLfBQiJVKjU4+3cDWCN3DjE7kcr2da8E9sF51X4N8T8+pypIHUZMXFp647 kNC+4SIBhzF/8hXvOZHpJylwuXAK0RbUZB5Ewv6knFMbZfumKyZDsBe33oG8oW3ex8HIjgoBimKu QLvqWrDwNjcTI7lMo0YNR8kIJXM9wgYY89upKIu4MexBS1sb+rI4Jqk3JzMg/eg6VQkl8KaY80U1 wu/AXIfSwkeYP9f/GR21yR+u9Np5tfc1PQVDRAx5I0wqPwWnA1zCiBRMra5KO5ZA9hiwClt0AKlk 6ICxwwbCSOxK04mMjVzbjSHfEl1fd7OGivTzf7XNwtXtpyUKsEOfOVnQTwJ3DV/Oil9/43920ReT lfmMDmxEenB5Wv9IfNQ4rxAnlvSuJjgEQ4CsBPBsXlZ5R7YOHautmNRXvnqeLpkZif2zO+Qe3k4O RUXxFfsTBUFoUmV4UZ6e8c5aT9xkXBoMIkMDymaZnhpQZXCLEeyNeJ+8FAmL2n0odNrOL0Ob3jg0 PIH7RKbrR/EOFuqXn+mGXbFSUk27AG5vd6DXBSF1bPCoVyoVbvJZO09hrIxMztYu6oNTLz/30zs4 QtHKdRiwcM/2HI8K3epMRZkNsiq0HTQXZhgXw6SetgTQJLqx4HcJGszTl4oMiBeIQIZBE0XUg3l+ Cl1TPFrbsSuaAO4SqhAV2lp6dl3T3WG82NIJpS4+xeOrAlXlgEWkn4lWUjRIdXBXZ6XQCPblViJT XrkBfk1pwtM9N0y/TAytzzKq2ImfTZvpHiofC6Nh3WBwSSJEI91BxE6yF53hO/1yzuKEs9i/puTi uLcLbG9/Im8VD3+heJZFq6aDH/8+3e0jbw1n8lz32y3U8NMGpaEZ0aK30+IzidBRbUi1ORJR7REu 34BpUx+iE0JKGEQtBx3F9D5W2jSXZOZE7Ww71jFkoTlF1GXDlELVIRYFAuqerYjfnygQzBLxC3Bn PCro9rcrgkjareN4JMTBY4BJyQa0CU7Ttu/E0aSZMMz2B2JMPzJbjlPJH8Ls7W+cZonPE8AtK9+8 Nx40rQG5HhoM/gyfJyGKsrNVX8JcZ5qJYphc+SkyvI+yaiNzPX11MfxfsinFOcoIgAyS5XRk1dXh Hil3IzIpQCLXdSeaB9b7JCWs3meD0ur9owFz90djpn92BDFFIGiRyUhaHG3/y/XbPmHsRzAEML1o rSM8Onfl1E9s9c9lvKIxjjRJG7RHC2wCjKgpsqH4aR/qvSnp8KPJ5iK/a8dmOkWWaLrm7UEkBkGl +sRG3kRbKGHVlpRjYpG/l07lVTexP7fVe2e5jfQ4Ng4jAaLmHyPlLLvXA+kHZXJ2JF25DMIPDIJh +9HEnmBCZ5isHgy5ajx0h9EXZRKMhM87iIuDBQ+ykbV742+xkroivUJ8HQS9I6o8x8vUpqFKTQ3P 3h4zzbgcS5YCTSlwsSY83DA7eAZ3t+McCyrU5extde0nsM3HeUiQz4eJZkYP3KnZL0rJnxPQhjzx bktb0RlrNYCnCKtE71CIRXIVLDLqB1L2+lcK1X7KJbprh6yf44ou2Ow6/bP+ugu2hGrffJbExFbj 21SbpB1y/Ay0cndmoeT7USs7a3WMvUFOUnOFh42YLSQS72zHLmGYMfPAAh5sp51DMhgYiT3EiQgs Yhh2EnYohUw2ajMtn8PJGuGHjx+9AIGdKcDppsRcWUrX3yT/oN4gIGIe6zncGd/TcFTtwjn53E+N 7AK3SNGQXvoUx+Wkt31cfdW1bleL1ZzEN3vGhV+otPgHvdSxziU/u6B7gefb/Sh1y4rmmTgCCKcT 1V26UX/+rxYsp9sD1G06XuseTFiVIBz8lFkNZBjzPXMkjLyI+tHjY9iQWyYcxSUgfrGP7xroFjX5 6e4uQFS0xqWw/e4Xvad7J4iflvL+o2BJ6iH90Tq+EgU04djHYXiBzk14Sbw7E6Hv3ij/fkDyACtx H+MbspE3f0WFHBiZLml70W1arNB7rZ9Y6gzbB6FqOhh0v4SabKxfoJq88ePLUuw5u5TaJhMw4tV7 zmQmlXnPIN+suzthBp6xvUTp7pNlXrILPwqU1ZLQv+MSVXHRl7pZhHr2GK+yhr0FEofrofpp4wLM 5c4vAmRKeHSZneVxlEOK05RaVgt41XSoJe6Add6IuUgD7gzCojdycOY0mJiyFArnYCnehl3kuiPa plu4mbhODvyWk5+o0RpnU7oASTVeBZ7MJ+oMLP1SrMo4cI2gUg2Whc0NQkPaTTmI0RlYfdHGlw/Z UPaQnB6tmKxgFqQo46zz2gEGP/YbA5dr4AvZwbOxkK2cAoPdPUV877kQ8lvzPtmgc713o0F3TOWD Mgpsq5sp7xfpq6EfWwf2ZeK6xy7AAJEZSS1QeW9/R7rLO362i4sLuVQ1NpO6hcd8bzRPyVcxlX3x 2zuwh94uOXuAr824MnMC4UuOOqv2LnkguJ8Ce7fC3KQsAtko6NvF8TKeBXTDPomAq2sLYU6o3e2y QXEmk9rxiCyUF+PORaILjv/nKOGA77fQ12FoJGHfYFeqgOQo/Q331QGtFs8g8J6LuibxBt+m5Jon RJAruV4gagLGex1f/A4+btosVAOznGaH5yl1vXptPtugFlnUecROp2huLFFQnRyBh/zJzIMT+wWX S+fmK15AJtMSalJL32+FQp8gpk0w41p5kY8mmzh+gt2iLt+ZBvOMDMUkm7X8HS9MSa64yOfj6WGT bMEXHDPU0CZojLPRUnT8wAOLs0GnKE5s2w+Yrfh6upCWnBR3aNRUU8D94P4fMlUYUGZhiAPPAbUf MmbldWN16ufowuYnmr3Pc3lrOHNj6TICWSVMeGjaKozYcvtGyCs0I+uFeGu6ORJ0KPHLfWJFZIQN WBRv/8HeSfMo0GDj9Ok0odqhIycMso6HM44hOTWZZawwvp5ZTcIakZDcYJCEuL85Rrlq3ghoOh6i 7p4iqdxPGplq9vLG68KxqQKHEI8Qj0NZu6Lx0EUHNTqFPTwnm1xKaYY+QOhm+pggPQtXOnXjc5j+ RjDWoVu5ltVjEap9fcJlhR5RzyVch+NzsiC5K+G+Nu7P8+gHCrP2iAfKng+xhkV1qvwY3h7K/uwV d1nlw3Bwl8bnP3GOtkO4dVit5T08j9fSWsE+RPhVsmrt0CuXVtywMztrrvE9mZ6QNJlLxkB3Zbn+ UmIq6pb0zZq/Ei4lxZuGkxa6SiVE85YGUdjuwJLQAaiKHx3QcdJEprRIWiv+kacaKCsZDUNdqyE5 /7X671NTSEEi2cGA4skzEWLJBELAQPz7m8o+Fe8kuNhiLeGmT1zRAQ+AQBUR7xFveSQrxZiQT+UB CP1XAc4q/pcNZrFeqPOTk4/Mqbi+LwzLQrJWFdT33tUFKXZNzKZBiHfBZM4GJMZs0FJ/yvTILI2A ilpYYJX8QnxzNfD2WDeN3FM5084rcIN9m8MYCoIs6QDYgy1L0/QO2SuiHz00rd8yP/MWiQtrXq2T Sb41wBufc0C6UokmS7Yu8nlh/J6Wrkr3dCRGXkk4oFTv0vIFaM+7CUrSMf9dysWdAUduCn9088qU sc4Sjjm2lquhP3+BkfIQQjb16AmypmZa1q+6hssXk8T0AfZBTnsEagLjOEs1GBhno86uwXjIkNGh Q+5SM3HdAR7Ig+mrfWc8HqHlqaFim29rt6YcRRQX9tEuecsj5eR4iVh/W62rKPmRzPZwzBT+xP0Q JgP+chp4XWBGBDPXedyMg/PKHZxbbDwbRd8f7bul4J0tQNdvPmdOca9fWVRjQs6BT2viNifdUxtz 4Zm0FgPEjayEU8CQ8mut9esUz1rohVKoPogG+Jm1Qav+ibny8jT7w955jWaDkN7FQMXHG2V/gy3d ea1AjvZnirTKN0M8Q5WtS1Q3zG+txOTrYIROF06WpHrA7QOyOaeFaq3SQK2aGOvR0+sfLtJiLiNy yCSosfBPw4w5fTCCAKkGc+TIZnIE7qOL4HTNa+89ypjw0gdKiWQgCROp+jIdFcnJ7wwPRZ+COi4b JnXwxsrMS9Gwe0nOX+X7s/UfKrrWwqBB16/ob0y+VGjsntjyX+g9YZHJCdtRRC+Rc5fTmk+PhXTr nNx52aI6SKrPoX5v71VBgNcLlS92KUiHbyiUqwWm4uBIn2afT2MTsAbnKUhUn3wq/L+a3dWP1TSh zVoqgv1pLCrQLEqxdBTL8jWR/r8O3SO6fRfOUDuqncBp3wC68H7qCcxOB7nRGh3s770Wfjasxrcq Xijv2M6TKVOMNMV3sLv7hNisNADyzVvyzkXSBcUbj8U6z1yOZnw9XwShwuHDMGNgJMNUY/4EOoKK vrDjnse3wUI5zmz6Cw+zLTnQeJYAPO6w7fe+E2V/y+KYitfRQa3vIbv93ONhGbJyX9UdqxaFuvGa j8hfhhNlSu8Ap322RW8uCBkURyA9ogx24IoXXWZeKulxono3JOB5JUctat/Il5Xrikd1bncDhN/w 9cZGP7Tkmh1iSE739uLA5o67RIbvmXA9dqt1rWSryjN4BVbWgm+Wu/4picibcZqPDNl+MdpC6rcG jND55lTgcTD91jVQeVNNMCx/3CGcC06TJfxWSzFaecXZ8fI/dvmpeKamY6minyWsRpP8ToIqlcjo PllxMIpDLnr0QMpu5k01uRMFGRhhwW81VK5lF4981paMF5Fr8NMLLCya3k+jCqjRDa6ncXamOeQR UnJg9p/wfOt5klG9fn/H6d7ImIwnRJhO6MjXq0ZmV5EcolVINkoZkcmEDT3zieZIiwYCwaQPqa/4 rnFf1GxVxMuVW/xB1KoDl8nC2SBgLRGi3s9FmQysnyP+PMH2V/KPcvHG/p3/Ah8QhAOzwRIIPyjw 04RSaLUEGkRcrK2Z3cKrbwA1EevILt88NJprwMB0P9EGvebt+/QaGjN1qewEFFM+Lu2ZB2QoRCdW 9VvwfJh7L+cgzWK6LLqdH1hyQ0VbcIW/MM74xjZ7KuRvlE4lHln05C+UIuu3aV/RL4TDHQxoK+vg 1dWt/ziYQJOvLh0+bGgZBc7Y8fbY8vR04wIoaRqQoZmh6p0J5I2/+chE5BlBbejzp3iqNMj1GZBr /n+Fn5BSxdWFdcJqmAV6wVT1a9aW57uqTlTCClWEECMSzs4Z/KDxwwf8JaYRye0lKUB+2R9izf6n 4ggVMgrtFmBlzqvY16FFQ1OV8IxB1eYz/4QRbKpI9n0t1TRPlMJa/IskADW4+1yRMOqmqj4e6AYb Ufp81bCB0kltCMQQze3u/h0Y+czscauErxCcBWG1orndSkDM/lpheCWDaQ4J5F8ynHtI0gYUd4x0 qu+jOf3L6pRlz13Tho0QKbtlDEIPXFc5mZbCLPjAzuXEV54A1N+5quW7ysaPbZJ8xGtLeP58eORF zGgVNapMX8s2F6JVSZ1AM1ev4cRUsFIedj4e9OgDXaO4agnyr11C50sgqtXeGn3EmSqAF3do3LcT su+sjuel8UZV2fuTCs0/97t6z5dsFIILp7DEsLMy54X0MYl9KJdWIlqnJIMrm2EQnH9TogP/yKGu GjHSf6vdYDPmhf2DgLiAKNkvTzSIiIAYknYhBI8aiwgIvuvawfDmo7Ak3MPoQgJAiu79PwSriguS dg62GNsCeA+yPuoyNrxcO6Gb+QdnP72CtOGXVhQL/kllUyPu6hfhVdo+urQ0q9ISsIwE1ZxrraqL teesyhvTJMmJI0CGFkndXV2+BlwsMb5MEUwnyEQF7rs4Y2zBKi9LzSY5yvpZlWqFSi1gvTWD9yXb kn8oejDKynroLTL5GzpAsE2AAg2jXn2Fv3O1TjNsYv8VAZVnibehC+Q9Oln9r5xu6/woSLhcvXrW JZMOF3CkLgmVHVFthZ7KF9bsQCF6QDBEDK5K9PGuRy4iw6e3jDIH9soIpPh8La9Ed7AM6EY2aSrR xuPZtEqp9OIkOQUyvsIoZPpbl8LfWxFiO6qA0WOVC3cjLziwWAr+11vuUvQCD9IV5S7xUapBEkbf Z8vorbEzX3L4YnPbpr5SPR0MeECwTtRcuAqibs0U+GPxlZNa3Ye3SG3YXmx/T+P97DKM/3kjeCRe q0DCI5NHc0iVWi8knpByH4k0EBHL0e2O+DQSFpuq5UVJ79z/8Xyl3ZCrEFk8b3DKsAfWaXaozKVT w95Vv14C0A49Fb6YsxlXhf5JP/eWog//7Z4iOiQEPGv5OmuolRV7NUSAR1Rznk/lsSxvNy9I/Zlp obeDI9qS34/cwN0VZuw1y11ZzVefBYRRPRiv/q0rum0dhpwjbRZz+oka0J0jtmWz9j0NKpvGUO03 G6XhpsHAHAiwL0ZWPNHCzGk+HHsVhdHGKVfdqoBgjj27ZKCpD5IqyBTurvkW1fFfRelrlpJKV3Tz p9rUHbjiro16TbTMIUuLaR1gdFtiRhWB6CIaBdwH+XdTWXQ1scNpImRheyFCxLzIbBf5wPrZoAXx LAOXkLfLGFuQFqmYBcWDWhQL1u7MQkpgigTQ1M0BZJmEZEQeBqnxse7qFXh22xoaOmVYq/Np8LgO vWQOgkeDAoBNIAPLNQSbxCWgxMMq6W0YdQYq2MIxemTf97NOJNe4iIQcTI9YF/L/Ma0gz6QRDLFd sEvZ1bIgWJzSJ5mqUWT9XD1uxuZPKL+XcPI9eGaahyfdgBA9CQdA10gqhvU07FoAEOcTCvc+lCc7 gd4O6xXfxAK2WgQ6wmh6eSdjc8+uurDMK9zBMlCg+CRCI2pR/1pa2jR+ToHDnTLFgVTibEwk8CWP OhXP6lGGtw72kBc3Qv/FO275Qy360NKle+9vyztshJaIma4NQ9L2JhtM75airb8kMLhwJHnFkifK VkkRaM7QkLUzigVyVPde4ympBRVkUaizAP/Ios66wGHXC1Hza09ahvQbbDGXoAjCDni/hNXCeK0y G1q65jDDf9RAYq/PqOxXOfhCbC7M2Lxiaf9U9sUVgPYljvZ13Nbnuoz/mR5nLVTdtR94cfcbv+Lk l6OOjIVDKmqv/bvMHnLFA+xlljxh8aWEYUgWWv9mZQcUPKWWUGJiHALr5RbSMDCjT3q5s5Ufox6m 3zvQ1rFwxvdxbpJSUN03IbDaqv0yVmholsOsRJGtbqY08ddhEVP0rD+Lyt3YXLjyvjH/dlEgM1jM 2pgYS2Xl1qqRlWX5yQquq1ngBYeUoC2GRds0tI6YlX6qsjRQ4rboHbL0TF+hJPpOgvosoPpdPGTv 0ma+SX772a/uRL+hyhgxcOAJgyOW7v7DLE14k43XXfPHYjY/ba0vXcUJWdIvEzxacKYBKLmvtd0X +CayGwHBjkF1LDArYoyCrikwzbliZ61po01ppmAItbLk8wW4yNoybcp64jgUF6LkIuGfFMP9vwlJ 3JHjESbaeSg3Feo3FnOpJytZcBJ9wXp7QFed4IjLmCqE5Cv0VqbLkU5+SMPrC2+JWNG5omuQ55SF pJY6usr9Q7Yv4rQVsCZ5ozxKuUM+MEI06roO7SvJtisXx+ZpTANHeS6SkpqoPH1iz6c+bxHKDo5u nNDas+pOMkrv8UDxy9DELZnD4lLsv/HQ2N2T4TfY1XqyiKA/I0oZ5p+576sb0K+sN1DO2FTc+U01 SRQD4xqdir3uRIGd/U7JJUCzdN3g2tLS1o2myEg/awBg08sccRGzzMzM8hAW4bjofihDOBo66M8u 4rP+1rKEIHdSgJMvznHPcUu+K6pandyLWsKaUcg8lwhBOv7AdUc3RJo3Bzz7cRzCCa9CwyOmhmB5 Gxi2UH+CuJAXo6jgwsrPBCTWmnKAzuyLbBYomp0Dr3SHUKT7rDVINLyrZZxJWe2k3pSbCOHVZjpW yN9WZsr+jlAHnF2Uauowen/D27AMJHFpjn8piP8OXQl3zt+6qwU6IANFgLCuICIjhqiNxtfaML3h VQfdPEFnVYZGLalX3j5gl5+byjx8+hcBXCZ8EH8170ue0NjwePDQ7N9vqiAFrb2YXO8OQqVtDu0G D6Q3tzgw8Ga0ath8UUOHSuaBAggprDElFFv+H+TCZgZ5AWjjJchcwIbyOPz/c4PTEZ9ZAFM8MFi7 exhl8LliRBW3fLBjcmDI4d6vb2bsLjK95kVefkSCy6b5niUIjvvOdUxSS3l9YtcVUloWEIBlpjmB zW89pJfTGBFtQKBv+n8ggUecGQnNplYTHnMeCOxkAOg7eLW5P6GoWlk5abkARKjJ15VaH47W7EIC 480tYfaejB6DNOaQDx/IeWcyI6bdzJoWguQzshbcS/Nknlp6UU/UR/8PCK6z1Ns4GljhS47kvmmJ o4dCFiNFevGUL433XOdHzadrUyxyLoFTVhLWyvHotULLGOaiiYGhWSgpuWpUqxU7D7UPg2JSWe8J LyKSmaZXlY4+pcQH8FhQffpeHu8nkywFju9VrK3QXaznx7OHdnbsDPFxlI5dwz3p1nCkQdspJWFK PoMXlTrc/Vm39IvGLTXY3ASClEYLDuizHKEE0bh1zNePABeq/lDv1W17QD/tPOiL5nQ3ib41f1t8 eVqa6Qqx+VMMB6XrWxdsRhptXeCbhfQA9wUEQUMsLSkZLnaTA7H+54U7uWZP3lqBfj1ytaO6naSL 6d7meA89s6gvZyLuPl6FcEuPZwD4y5IYlbdQtBqo8BPVBA72qfPyX3/6CNnqwVvJ1dRhFe/DboIy us7y8+RqR8+mR7j0N/+iQE1gsZWp3XrliENopUyZ/n3mGy7fadyDy2cBig3e/0e25PJkTCaqOp4L r9flTNJG+hiEVNdZTSl/B4HCZez8/9oEbQH912vWfXN9jpqdlZzSmXnootPrTmlslcEeVBaPqXRj BQalV91a8awcyVwKn6+UUbS6WwRX9ZprRcEXKxfhJgQ+PAb6cS7N+KBn7UDB/6T230ywpMgL+JBS mK/R9G0ixXOfg+k93Q27rcJXPf/bEJAiNEVglNqNVM4FswKNq+Yi97L/HzCrptAN9m1ISWy+qk0h E3EFPglRKKSD1alMQFqPblh3AmmbFs1Y8jaVFzA9tQNqfxnTXC5NqfllvOD7pbQtIBQXCkNMSBIv gcp2/gRpUBMscuUmAFa0Xxcn/9QOCvDd+wXMkqCYVACU9YHUOAaT36br1pTkd4Si479FV+DK3NJr n8NwCHdlmPxIIYNYbwKBQpj7s6Q1xWDBtvtPTZr/RXtZocyHHs7IEHsVMb3WuiKnui0TqSiwbkQZ 6MT5LjExhKnx+bImcdKFEO2HhtprEUErl/4n5Tgd5IpUHdgWo4s7POhrwvZ+8j6+coHEicqeKLby EJncbzliydmbA/r28wmQilcWkDqhSaNKeTaWXKDlbCUMGar2U7cQMQ9IG63RuxPuS4ZnfZ+5PLm4 AVQimv6Tj5qIbOc7uCEnXXBuzNFudj0Td8OlZIrlUt1K+jdyYlN8AvYPuAma5gozMmf9zQDoJYkG FV5qhOyQnVl62ZWAMBeaYlb1WcYnscMgfJ59THhu/UIcqmN+kevwDS/ITT5tTcrw6qA8jlFL3qNt +FpN0TFvhs/8q2Fk6E02lJRz9rAwZPdD+hUZO21loxAFck/bltJHiKWh7hmTqI1qXjPxn0BXI5WO LevIvttoZ9E4VN1lsJDybxTmH6Zd/7qTvk7e68mmFyHs4PnrzIPpJ2J75kjONrIAjG14hhQO9kfa DS8YdJb6OWpkQ9aQGX5j+Bn4co41Wfo2zvpg5fU/kEPrY1iTXAquN7Eb6JgfTa5PUJOJuji8L3Z2 ja0gIfY69s4fkHmJKLssTkO4dRGgTBgOz0iZnVVj83mWPZw49Bk8P9MgcOiIFNlcLkXpqK6lvhIC o6rcY7sWMaTKyFVqIF7wblhg2DtE6/mYR+dSxeRDsh+iEpPVQmqldst+UiM7PFRxGgeMEp+Vn0Yv 1XYIkJzAMTYXHM3g/hFHDTA4lDzTJ4GL1VqKO3CuqbnfLs4XbaZPtmpgiKAIllsMKUb3F6s+AvgR xggPghRTt1dQW3GmZyFbT8DCH/Awr04MyEIQravrZRGlf7sL+aDHuI2+p8MmBPTqIo7UYt1/H6B0 JbTqTaELZuy6uE2IppiNBfiGCA5jWDmDU3e+rJJwC1H4GEVX2qqCiOBlaYG7DTH41YU6XXmBJ38v X/m+Qngh7dylaq6rVsuk34GyNCI8h3MficBgFbNl1qoktd1eudBMUMDEpUg8lritrdVvySUZYCCq XA11muNcSWJeggTGawSNnfopHy6fFeZo2pWxju6xI6Kbf5WExR6M92vp5jchPD9+sAhcub7bgYlv bZpFhoJjxnmO4nbJW5sXX9w/45KCIZSoteuUFrGY3KE+iA0fMGQX5CS1eEYB70gZww7WFAr/Lo5e Eanl9U4q+IwcRsh79jeExCtnOJpa1BjmXW0VhR4LQlFAaw5WgLy0a1X99C7c+H8UY7p8sKZbbXo4 gDKNrR3FGBmDrp1Khb+eX4do4GZ/UwJ8tL4bZqherqFmJSd2rC1UpqGzoT+2KUmboa/9/y1a4vY/ d426dwJ1dPrAbHtFjKUxlAclNOkod7wKk8/AJtCpw04Q1YAQQsncShbdkXFIYxH+COy3LMPz62yd 7HlXaSd5oEHDY4tRrrAsLIoRriqMjzke97yyHVbaBt4Dvjv2fNZr7l4hEMN13TMe1miQSl2bPyN7 Kyr8kKwOFNQ2P/+wSz0TqA3416j00Q8lNqphStD0voKPCU6lud2kpCopPfNQJKRS6sUe85JwDi2S NTfo74gn6iKlo2m6fmDpRHn+LzrK7hTz2Fjky9N7YDIwvKZor1145pcjagAYhzPEJ+V0h3qfPFvA wsQrcFBSn+6IxpmOKCeeu1t+2g72z72BkgxHN/v7ZUha9wKKA+4R6/KpPp1V+JfIWpSUibjQxZ8o zKjPtoKxVR8Ne35IHiivJ3NKWfaUKK3qyRSqad9cEIqEqo6LLgiRJKQK9oXqnkAWv9G1CKD6WKoz zEq1oy9A/LNq5w1z1r6YROcynvM16bTE9txm1uDdRdOqL7NwZNXYpUy5lzggV4Ac7Zk9FxEG4iqR YjRWK6qmE4uhTxYYqLb+/pd1MDFBYa9Ua+RqKnLfkR40Lxcl4VjjEn6qB3eoUDXXjRvHhOgIvcos LZa1yDG3XDVUY20qWvhLyvrhFWz5f4B9GdPepZq+wB1VREOMsIvq9JKQCx6f8RzfFoc= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/hdl/builtin/builtin_top_v6.vhd
5
52905
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mXNSQK/SUn5WKu9di7tCsBSbM99q2TTxVpP5AEGWSbTwazyo6ryKJe/G5BLBgJIedVo1ZYewauFr td8zI3B0cA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cco+9BW6/XXIPO+Oj+K+XVA0VQ7DmqELy6EWZFcrQLE6fEPUOY0qPkuw3Yrz5/rsWX1ocp9BSK4E ghI+RuPiLB6+70w64jza73szQ+9gce1kYZVU3bPYDQQTVi19ZPuMMb3rnYJOlkP8tkFekqZzLnkd PKRjDpHeJeFLxfpAkPo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UetISM2Kj+dw9fPIY5/TQEnnpkHTEi+uMEXpAUbTzVTW7uPntumtxjhtT+ZeahOEpu6dhv6X4Zs/ gYxZBgdnqkhJ6bimynlyp6/QbElKwcCKPBTucFG7N6e61RXEJLZkDzXSr2TAch3zIYi35eTLoCVs PGOV6Mu3nKqvUxyILPxa2DSerZQAjl+ttl8r6fCAVe+QWjvvFOOfhr5RvE0ORQrGJk4SRvh2hCP4 oNqpMajnSPn0Xf5x5WHPME2y1miL2a2hMyGY8ftLJbbyun7r+hxCnzXj8zL5lyHn8+iSUCdLsi3q 2N//o1cYWqYEoDrck4ivX2MmZFH56LKdUfFHfA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block upvKKrT8hRiwHK62C1Wk6nNnsDQTLaEnOAWHueoenBhoveVXgZejlDIIIwoZrpH1wJL0oztpG0/2 QCIT5iF4kZUBAMtxxN+rqT1O4kMCoOCpGNrtjg3S7waMZL+bdQnBoz/cU6+3pI0Tl6iNHBmapUgN F0wZ7hvMbQHoQpFHp7E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ERkiiA9BwyoNQXx+u/EMKBReJTLMCwGbthvKKEBK2YKZev3kkMLBngaP/Vm0PwXs7X7JC7TD4W4E kp1/BbetU2fBf8OJ9N90OkfKYA4A0jbI+2zo5VAdQC1UuGZscNO0YFoz+kg8+DPoB7LgDa/SQRj5 w/PZRr/P1U1lILkpgL+j6JEdtpRiQxmiryUnZ7sAtHttPk4aHv5bgR9NTwT2RBhELYNy2strYjpz BWzTfphZVDs4ErwQtnLvjfvpJKSbruIMJaHkbq7HDieM0egxMc42A6zEKBMBonvCep6BujJM8zTE utTL7KxYKEy+2SzcXba2pWK0oH+GTNkedg2TSg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37424) `protect data_block 9BzOXYXM0gbcoJOX83z7Nj9FHLTb7lOu8gouFNMmMY3ybE6HZR+LqWt6wfgZJcch/5oiAj0lICSF JsB4YCcr88fB/uXmVIw20asKtgZNhfEbF9NBZLg0QxPsA0j7PLH+1YQ6SGKF0zE6hrdGMUfiBpcK 1yiwlTavmM4oDldPFTCZPD+9EvnA1xMvmYJqnjNWsmbG43DLhlYpiZwovPHy+uKy2LyxufJsJh7+ ecW3RSEfitvZW8CI+mgXqCeb3062GxFzBzFub72UIs7A0BrvyX0cG4Dfmj3cXXR48ucXLMNz0BwM cI0DpPCL9ZvJzkxofLgii+EOfF66CgY6ufy5v360yhqCmuD06Gd39HcFoj6dcVOdsKn1vaxs1Z/s k/c0EHbEb1h0ZIuHKdg90iQVO6N90Q+6TUlFHICeWM/l1Vxin/6TosyYYI+Yr/H8K6mAb3nCa8Xl V6cuDwAtzZ1J8FYLOWjR+rjPX1+bnNyxPcRytthXnkVggrXRBgZXKGkq6uWyI3Z8+/Zt7wucs10G izeFwXH4LG2Xy6WQzM9OqecAZY5u3qZtm9jT3PG7kH+PDORx/sbsQZkexAp+zTvTayANZlfLJZIo Y15AellbhcrAE+TFJmYBwIWbq1fMtxLmiwaVbf+iATJgSlCR9HkXjKuvvnULZuD2WnNELKv2Xf3v 2kIpvMV81dB945W78BTU/tG2ZcgAMdk38nAuelsAN72QRhDY3J24mkbisVQVSwcKTECrACbKvyi3 Gn2wwY1SrByZeP2A+gWUVZPJ+tzyHY8vBEbRhtHVgTrmmkC0Ism8L4TtSjCy/AfMkrGMQzm7AlV5 m4EFZftQI1o5ysc5UsS4XrO/QJhog8ba/5BFXEzfV9Jm5qZYNgjBKfscNHUytZs5NgbMmEMK0YLc AzwX1sjRT5obGrY+Hd6S7pZt4mD4kP7nk7KdCVykZhhGEnQhqMQcVdRM+5T3lx/ZjdBo8cyz5dJG AAxB1ClnVyqzEYnkG9D/wC7Dt/fB0seGZL8NHcl/B2fDtMJmsbD2Kmz5tVXfvSBAxMI4NhWdWCoc 18gyTUuzU5kD1k/YrrG31Sapl3G3TIsTnElCgaz2AMC4vvd+vZFBUwFMjd4aEH3SzHP+WNzxZsbM 7c0ms2kH5EVJRezmnu54W6uFsv7C9eC+aGOSk/16J/xnsFkVWTuTH7XkWNKmdC8r4otztT3oKH4I 2mjQI5n85wKfixOs0xz3GiERRriOEkftOSj4CxlnRqNKanvOl6wYjut02lklKYFzw5z3qcSmKNKJ 9QtzJeQnG0k8e4LZYFMYcXG43FzGdRbHOxzKUQ9fZ0TRNZHrZTITE1zgdwc+OOFXDSbTJSuB+KNd bDcDrlu3Q31vFhGqke8nzq3WGrWovC0Z+f/7Cm8F5YVhjaRDl1NT87va1LBLZxfY6QpIu9kfJWrw 1emzHTongqaPorSxLaM9TjjQ+If48F7QhuAB3h8fSZTzucr7K6QUq2iYTrdNakAzoSMcjyRyrWjF BQZqvcuhecIC2FIiXFvP15Tsv9TkffNOs72LPty5J/Jxh9fwHUYLtVH7IIIB9q0homJIaDufikIp A9TdupvKSP8c6D0GtCLP1gEBI0HzpFyW4jrBLrsHlmiLVf/a2ma/HVdef6BSbjyDoxwYMQoSznFT Jfi0p489Eg1YYCW/YYeVixud5vOXHvA6iiemjg/eU1Ss/o1CQvOnL/AaoGf/Oug0aScF29IiJZUQ 47prw4/i/tCEEqjrNBCEUhrn9k56MPrSWLNH+faxBAOo8Q0bkURDh2uafof5vbMNfJ1GxA8HjRVq GDBG0C7FlPzfR650GLUyOce3W20BGy1yTCVl9ScxGbSX5NumvfxQq4nbC1/6epUld8OSIcVSfVXb Q5MvUbYl1y9Hm5YRegqhY/BmWm5f4c4rimkAzr9CojY6j2i6KZmQlh/5uYJJ4eM78J0FCg2FRAsm mP3ALnUg1hLRBLxGK7Td+ZT64nCcOYMxvD1W+NiVZU/78mmdD1ho5uyJsBztNcJBgYg1Nnh99qyh qH2U+sBpVTl5CuCbUcHXKVp1be82SsSMbvDVCewhb6L18eh536ySQeiSdmYoG609H4MVtChcc9et XvZ2O+T1o9stML3/PcRGm0q+HTxwUfBrthkByajuj6DUDk0ucDVOfvPzcWOOMeDUDXLuRMQKlnJM tni0bObVai5tzDWf5EJ5InM6oFFvasAO0BnwHNHUrDGkhHyJNtVVYlLt2sDvARMaOfWrjWBG8I+F d4m7pAVs7ZD/QN0vS6dblzMu/7q/p/OmYsJyxkUwZytizZb79vazrL9yRMD6wKZ+4N80r+6MbxPb nA5KS0BcWNJt94EapjkhrLkgUaHobqRWsN5eRtluUU3nmzstMagMknGZ0JSpAD+za4H+wA4Ju6yM x+7CVJWExIe/PXGkCr//BzmRd8u4kpNlmmu8AUiMk3PhVmIKW9MioPEGCAVaMYwUguOEG+VBXXtW wEGpmSuybG/5QoogKdhooqx67AdxraOIsM/TbDI2rXoFBYNY7I/8rc86R9p1Mz7w6q98576cgbe4 5PF1CYYeYiLL4VoDBhF4ePFaGI3AODWRR2v2/Ra28CShiEV5MZMSSUu/G99P0YZzUXkc6c08JjkU GrmM+MgXpVCeS3qxKxQ3TGGS8noJUfMYD7H3SDMS05SrRoVu5KduzbdDRw5fSGx4USJUhTcAulGt riSgn1xXDa4RBrwNbco1xBYMGPnhv/I6yAO8Ux1TqaadHYD2uMqVUjsOrpDskm5juPf/VMrPhkW0 Ji8tMOoVu8+z298+pKEJTBPmoJg46jZc/wLaQQVH0H9WRZcyfCKh1yli+ILC19JstXv6YZ4Q5wkn TJo9BWyZ4mrzQxenWh+e7YcOrzimG5c9hSDQLS1wmM4HL8NFik7ElsxXztbxNCAAfQN7kzrdIZpt /TzO7usnXqfTOBWFA5G+E7gZjRNdrFQmR3LPDOZfJi5XdyY0wuorrWGhoH9IE/xieY1YA9HO5TYv sFsJ95ObQL66jXazCDytoSQBkEIsvwCo1xZlGwi8Ouw53grDmJoSHgHHwoqQqfbrQ4tOUrkjh5gl qs7gQ+86IO5qGPKheDBKTFcDs0X02y1X1Ii19NLFKoJnKOAhTjDT67zbuYn9hKIQYPa5QWca8quX zjuGi9k/cLUiIojueDjTlGI2M4bM7nlrNQCHBEDqONpy/BShLW+xWzhDt6DXeiKK/D0mbODOWL5I 3cNtBRxgxTCxAcWlKqhAex9dPfwdv+uQbiUp3+BBK/lzxUkkqsuHH1lV/AysuWLC9TrjN59Rw7E1 zauPnMtTREbnT/7UzEddhIVbR9S9MqzcYD6XO2IBLUd8q7tIg0g6Ec2uOayX5HO16o2WdEI4SzTG BNVvalDGcIKloRiYu8ELNDp3Wpskmb0S/ler40JgZGVcde47pVKXWxv1scsyoIEuFedAIIsXbw5m rWAXWFOEPss/kZwVpGAguhTpowBHaOFHOHdYv4+xqOgBrKJMdRzzg4l8w8ljOWcHPW5TJu9PcJ4J VdfHtC+Pn3v5apunXZwMUy0+uVwjiRFxHQyGgw/8ETmIU0+hx5Ldt1guf7BPIcMHBlzqR+63P5M/ BqZmJ6McPCDJeOaPTA2IJ1+iylFXCB0sOR7NrgMDoe27cPlrEf79CIuPGmLctPC6gu0mWvBDVK7H woyiKO7YfczvOUtu4RsfS2WMRo95ZGJBVnXVhwvk9irXtxG5IuNSeSRaMcEMoFravBKUNCrov9F4 GaOwasZg1n6IXUmsopJRRvCkpA8FxNgpyiRvn3Ivd3jF9TmtMny5II8/3NKeWUVgZIIeCxJrKqCK B9DVkk00OBjlYYHAhHaKQ3O5fTMsL+G8yn9b0YgtaQRJsCHsVmMMUyvIb3t/N7E2lkDrPU4DWlj+ OmwtndEkoMDxuzLNOOLj87DkNnmSBmyBzNmIjhTeegJG4A+tnUb2vkxA1nBK9W/Y0Qm9Za5ux0gj 2zUO/Im3sGhjCId+wdWlnCvwUo/W5/nupJH8wmDNjipsRfiv+1p2eLPt12sJ9S1gkDBoVKORUG6O jWoWeSuKhzWSpgGHNtOOxrdltCd/4vEt9e+xLzvyN/674hqiq9B5kep7We/WoXs8Md07buUrdesT 44mS0/ulZhefMIlAjO7wq9IzFNF4uFPwBTc+E0jXLk++5OavPbasJ+iBq4ffnxlOxg+tRn3vDinw gIuuNKGBUL2Pmwcv1HOgQtJAKouAtuw/YtIzD4sN+2u6bxTg7rzm+MtjGxn0GXv6A4acCosbl7uX GBhLFskmtB+6U/DCeF0l8/uvGYpBLaRnFU/erOCEd9s6YFlQYcozgRQD9r5hFSzOwHuWFpGq9Zi6 Tna1gIKhVHeZBAXNsAXUgflXY+MvJernLimz+g6PPZnU3gz+CQJHL9lqcYaG8tH4J76P4uOYlFHc McrS1E98kacEJoscoBkQS/Rtx38zl/VDVWHptUDotOpxMU8cEBm83mKyXpmtXGKMIcXf+qEbSjsE KcqpjRzP78Y+B0Fyxff5Ujo9m2wgI5TlkpCUQF4anZRGP8sQQNxt4SI98OONqJXYQrNm/vQ3voun vKnCd3am3QtL5jkUu6GbX94aukaVVvbfQTj+o/+xaBIrB4vu2hOI+vkEE5QfNHut8DRbSaBe+lhw oM3FczJpbXeoTUh34bFjVbipxPXwOPeD6kN6+FJ8/2dyQHghs3IF61tZZ68DPYvr74NgeCBW8+MY VVC0sKjwFjNmG61p4S1ut9a6j5Ud/xxIo2kGc3rxEjj/F0qrF7b6h7MRLPA7vMlQp4l0v8YQNJXz 1dbJ6cQYEPjQCy82wYH2s1houYzNYdCDKgFftFTa1/ywU/443+SF2VHPhbRskbLaNCGoBMwf5+8y +ban4jq4rxIBAQer3yYgxH/E8sd71zw56aI1BHjZvXkDzZmD6253CplHazJuImM0wL/KJng5Ezq2 GHkReRpueT8kdKRPJRYhERRNIIjnY/kN0DA8KbC+BFFUDSyR+CxZNPwlUJiOPSOE8OlGRU/wPw7k U8wDaTZPTkbAsFm4Hap8oVWe6DjieFEnLhF6X7PyivMEmEPYdBgqIzxs3mMVFPpiyvtKaapdB6nT JuvOudUWNjfag1g0QOTNISOzgDVuB0abI9HUEfJLbNHiA99rd+j2iqxUibsiAY0Jv5t8pZOTUE4m rt/g1Hs7uXKCnTl+p+zzIaZn+xpePHjRB9SYMM2Y6meLyKYn117JiSBsf9hfug5HVkJ7kfKthWs2 DUnV8j28juliDz34DZDPHfgpPsVHredBLfifzTckvvKE+Da5Nwh0Whs50iz3DOmQXM4AjYgVbxc2 pNkmtQXsWRPdVIc0JaAxp0fR4gy+wq6dTf8k2LjJzgTQiei3v3nLemM7PiBDoyhT2krdcgqa0mXG pS9EC1LRgvGuGAyPanhjrCzEhRviKq9W/XkJ3uLQTZffYtjF/ofeM/f/ZGGScdzslxSUQQv6UD0g ey7bwjxYpoPisgsAcQf6kW9UdsFYblkBgzLc732hOVwoVIatfwX/OHmij2J2TLhod763uLYdnmPN Z2b5y7G5YtEEaktBZ3D27qiMRG57bctwvU0SXiEVG+AocMKb3YvhSy66SoDhLu4sunvCiEPo5xjD VUiz20lEYOEuXJV+G+blhA9RbgY3FVGXjacqOpfJq8/tWvPFkAfFo7BXVa0jIgIY0Aiwn+Xc5sP2 Fdz+C3c5ivBgIDQEy6Hx7HOBw2cAQ8M7wV3CMuNWc+EMzQxAIKKeY+32tmOY2j+yeGzB1S+DvH1D xtlG3w6o8Qg4I+4Huw/tTEdxmrFQYz9ZV8iyaAUhPsYWG073nuX2gij6f+WkhxwlBw1T44jC7O9S oLbF0ROAgsLEJRKIG5BWKv2V4ZcxNIKOzbSUq6cm1EoMi+7kQTKig4UwKSsdPEfftCwxNzthJ5By jXl6d56/2//bUqvQ1PXYWfA9azeBYIqfJI6ULTKiHjIaOYyl+ofAQSbSKC+IiKz1CYztaFJj3jBW q/Hjk1xH5JTdLm/39fEBrkoXcK0mq0cgHzhu5Fu6mZXjwOQ2k+P2rWYtkiC/8kOKGJ87+heyO9G5 XHbDTUQuzpYUWrALQlHrsS6csvDhgU9T77ltVwqgUK+ho0djkz+7gSM7u6IRvYV9s7Kaz1rV5PE2 g1638Qjw2aTH4wZTHp5sJljxRuK4ssUcy7TXiYej/6wGcpj6m7XwoKDEYqD8JrhNVUYfWnt24ntm yKlg26znwoPLUdjFP6Vpor/TJA+7f5KjYNGipdPExvF4EvQVs7qxGgLHiO4kOYdcmRfphc6ogvbf wMFTXzSdSWvyjB/soSC5Awo9CJPKEWTZB1zVFQ8EAti7+NCApOfUJvTi2JQN7oUQ6Sg2eSawxx0v enojGRa3GJryHLAU7WQitGGcyF8VVEK90VXYHMwVCUrJfOeNJ1FFT1lBRl7KLturIm7876PMM38o iN7r7OhAqIWtF4T8bMy+NJdp067uAbrgvsEhI6VbO/WZOPfzGP7wpIqdZyC3LjxoYCmoNqR2JPZF 8Eol+xetQ591sfNCjKDPiCH0FG+hFwzrIcX9pPlL9hiF6Ri1xaMZh0zebsw2Ly2XuZmU2008cvMJ GcbWaIVymX0poDLfA/dkr9MbkH1WzYAKsYRZKbf1Z1J5KSOcRVJRqsPLrPiUqedUdPzWJmHoXA32 vMFb4XHabIqZ26E4z5EKMr2PGztJAz8+hoDRQPdjD0JaHlFlOZSlQdONfMvKwwGmPc0tQ5+o/TA7 l/4Xmwv9tf32il0glitjjY1dezirxpojCVhPj8gjD7a+HYBu8VlKkg/Uas83RjJ8nO/0utJPtepq JC6btnD9SR3s9obo7X7E9pNRLprLTl4ITaQnRwXLfSsWYhARRnxX71w03S+M0IecVJgbI7FvcT1R hkn3w+oIQIOd/ASglRx2TnHp13wLDHi74/a2yGnTsqAggUPFlgApW4ed0tmXTht7mifdB2QIYAIU ZY+eVPpZQ3vLiQDtWzaX+qTWU2g6vnqoNUx0cDkcuPc9aJOP/HyrZ6pZNJrTMwG5w6zYB6GxwJnw EnnsWBHEMV9yUxV74bcNvJvGDspWoKyuubzSzbkKjm913AHb1SftEa5gy3JQX5Zma6H1g16dO/ts GlZKqVVbjY8GaDdU7grktwF2c3cWBOygaDSQoqPZmrsjoBcTbnPvJz5OYdbVrxNQ7lmZU18Jy2gw XWC/5IY7IrWIvi5ieuJvoCOMqdWri2+tiVQ78S140i2jq/WJUaxnYDd73U/9eELwIby887mOl4t9 hWVAcn1eFSzYcZYy8Ug2GkHtqNTxrIHc0D54wxm7gF8vGWbzm0P3G7L0LJqLuDDH3GDWrFXwb60U o21IXEY3vJJEGoZVIJSUu923RO+4XtQ27uH3DhXbTRhWLjJPGl/HpLTtP41bhG2lxpU00ASosrya E22Ns6F5S2uyXalOTldP3dtWMCToZ0Nr+kccJJyTDyBT1IR6lZaVErJ3y9N3NsAepQEF7nTW70x7 TPZKAixD6z8WgZf+6uqHODG+uOw3hMtr0V34CohHvHHOZSphCLM7ov0scAd4ps4jSR9/khCQ4RyF 9wzZ4bs3PYFNXPpEThf/p+QwpI/8tTPxRJ6R6JiaEFCQfy/Etw1eJ7TMZF1k70P3A0m2JG0INiOL yeI3jCx44IZCbIRjfnWYM9PLg9gCwiIzaiFCMJJUCyVv3Miqj+ZBx5SmrAl6/HZP5bB8Wc1wk6Af U//neSwqJfVoysFT6DUFKrUum/48x9L+24PlBXdCy03A1j8fZ/Z+be69Ivvpdh/P6YWNL50W7T0k 7Yh5+Pm9rWZTEpkRhxq8DwCxBjMTI6tTMgJmz/CEw+xJ0vg5x6ATW5+ElvPiH6UxmJa30Ud2vIzY sxlkurY9B+tPzpiRuH4rq/v4stzx+jkLScgh1D56EN/t7gsnRDdCvAGTYiMVd0yIYZkHgRXJRKTN RGNv2YiwnOrkHtjSef5Yef0KIzQIllMBmr7M2RxI706tu2tUutF/In4p2CcM4TTj5Mvky+BwVE8p /pacXvW7GwBfIHFm6i8VaOpCLHdn5s6D0dEc7e9rkGzoS8l8MNjbjVq1OOtR4QNbJmccLU50RFlm B+8aAlz09c12cUHImOLWjyTtVOjHHkOuAdpSTUj7+Mq0nRdb1UnMQy61qm4f8PD/zjjsNd2ZZoPN SF7jDDPi9d6gPPoBP/OSuy7abelKarRwioZDZE0v2DjR6nUmc8v66MpFTl/R+8MZnq+XTntgNNTu vES7AFvm5wJzAoZzviBT7GPe7iYvWxwirIWJ3tFAVfiHtaUR8kJhbb4go8qoZpDOTtURsIGoMN42 2/u7ywBeJO/3K/JZX6RO/0/R+lB4xQhB6TVMGUgNSpmPUNXJ0d35fUdZpR1mwMNT5l6BVaSukFqA KIei9Tjp+ggLM2m+UkZWBIe1pJN3j0p6r2p/s2PhoWO9FcoSZMTEnWAJMWXoyWeuOczLB8lmtWgM 5xnbXjF+4lxS6Tl4X7G1aauetRj6DnYgkiutOTU1T/4TWtRZnmRCqXnylR1FJetBRRVC4w5AUuXn K6hQrq0T0BdOaI5iSNvLpEdfUcBLwTlBp3kjIYy7IVYA4UQXFHp9Otdobfit3slFYl9vVbCvcvWf g12A8Wv5UPxFbTVuJuChzc5lmbriKqfloNdTMx0zR8+YCqjxgKtE54XA/CN+uo8NMMZqIAR8KkkP mFmHIqR/e6c1smmlWkQalgsvAyimX0I7qiMKd+jSy0jTmrR4OZQzN8BQTd3lgkHxTbEh5XyCoyFE a5IU24BhEB94bediTpsTI6zWYfclCJ28ihi8AQ/r9gB6d4bmDNduaTV6QfqZvLE0PYnbcZ6qOiNW YqPBpAVxuaDoOianUvAka+vtJa9sfEVJNjPM1K8n/L4krgEL2JSyaECGWvo4/gITCDpaE+mGYtD+ 7awFWUw6P1cVjVL2A2AfkACkqsW5cXJb9tw/Q3RKAh1KNyVbLkJReSK8izEJOP2hTZwB/hERbPqR 5pA8kkmimtoxiZnNpWyM77qg0oSMe7r2jvQGuhumheTMLFpmtUOE7fDQrInQE/PWq5bOd/nuDn9X cREsE00VUIl6hvVoreVxcLLzV9l754bLkQ+91gp9B/SSFZzU7Ecc4FBq7BljMRoascsapiTNbUdF mr/yyaA4HzIvS+liAmzx4YXza35v32RWhJVqqq7Iqa4Gr8jkiCNpJRgSEMn+OhNBf5mMaot55tS+ 29Pvwv6lTXEIwi6i4Z1TFZpyIAeHIOEkKP3uAZwSIgLKvzRECD2kL1K7dcY75kRpGU0DJYT0nsTu mUGfmzWcAUOT3WkycYyJaIJQvxnkZHKp7XYflGFgKa1xtF2QCs02TYRqmkFnl3JKuli3I4t4qzKy kHRfyx7VBLcodcD2iq52QHemnkC6QBTD5l7La888ZCMRlTSMjWlLCedDVeQkXKXXz/PFTDJlN5Qp meCOeY7lASehze7HXHXuRk//3Crm+fiHKjcYW8a4X/psGL3qjjEeOWXZKurmbGIo2tywa4B4kNlT r1RPnWBkB8fyOI18ri4kAyLB2GaAW6u/HaQkmV+kTHwAv9LO769YBjdp7A4+A1NMrhUzZ45+9O0H UiyQS2dzfqOmrUdZnFVGv3O5MaRNj03Mwkx+JEAEFx8NvmAtnk+7iZ5XkTzGyqfgXGuO1b0ZQteQ tAJzYBnpSMdj8a4lIGgpvkNabuqmq6rgVQJweXCJT2LKl+Wk3OoG1n1Jne14z/PZBVFzbjVcUziO fXv//qaXj9ua37lM+Xje689Jamj5PmJtSDLXa/AkhQj9Bgo3YsO5BOA+MTQJElL71kvtg/6zVXoy a6V4PwGtmmdipiIx2rQiuDYKvlpq7nrJHSF4GYbZsxasOhMG+HA1rIEwHTUuo9Lz51k2O8s32H5A d71YrfJc5bUZsUD91E9Cy63E6yNAnl7LlxfjMrpjFRVh6CQG122ut4jEBBwHkAuVG3mK66Ycj4cB BFI/9rzJq2epreE8y7UHBkEloMRsYY2ms/sm6j10lcz9fS/Eq2MN+x+NO49v1Dg1/URXWm7m+cnD cSpO35x2Hl8ew9MvlnAdVo2SuWUSkGA9/lXSW5K9Z7I9bWfD2EPyTRUEMOwygm3Dyc/Qb1dc1BCg qw8fLLrPdkCkfOCyz1SNLgIzjfsjYHnsMrWF0/+UrGZ3pDMNo2WuBLjx6AOWorzw+FJNDhA+yv7a c0trSP6yFtNU0DHNmBbZbwJnE5vKrInYxDT5YsxAnNPR6sc67rlY8U4Bb6Q6qkdWTSKLUZpcjzyY 9MoZ7CdLQFGdN84KrRbFwsraHucNRrwGQfTGS2VpjtFBT+QbRr3UDEGUtoigWcdgAXPQxhiTO4ah mBdtyL8tKXeW4jzBaqgNjITi9XEXqvjAz/vlgPMCqapI6GBjpOZt3N82LkA1DZsR7GE1Sb9sD2sR 8x/m246ZooMOJfVWEn8/Y80kDLWeH9q7Q9EI1Lc1HuFastqv9H1qs4KUC8c6w9f2fTurQRKigUKT H0SSUSZQzdEpnPuKYZr7rCiC+GF3vl2hGASXCOK89ibE+rohAr1OCVMbgp59gyNQ1IETmMTtMlsM v4dgEonyA+TX46du6hS5NhQ+e+iGC4zff3lylY97w02gaxPILQnjBOx0kBAo5dc2ZrXH4Xl5foH7 FpNvNDjQd0nG9wedwOJPmhcxS2M6OzO/yjujSPT86j0bAc7YRY9JCdriOdDBAYx9xFPBc7MATUt6 ffh0hAvMfO93TV7s9leDVmqOTt/gf38ab+WhuiPNaNfFDNUVtq51sGCiWMKuu5q4RNhUDaNub3p/ dhwYmIs9b9bDH3Ac5kMI3Pd6qXXSIJefLePTUkhMT/MCqE97kc8n6+k4/Ud//qjzZk3TGohhk5kk xwfv9OHTmWr0kqF4gRMHxs8ej4IJv//247XlZe0KJcNCbw2KHgDHmzJKwcU3mRzIXmP7OPn8z57y b39oOudbvB37QcMfuZfLvY+wjUFu0Ff3qWRbycCE9W0mbK3LObnWJT72aeHbgDF/rdmosTcDI+yD V+7tY8585HcjbHWBo//s+8W96gCf+zvFGH4Bdj9/vYXXFNGiwDdGjdtoiCG3Se4gM6umL6ecfigg +8Xq5YehRe5TCxK6lsaILAB0FXLTWUtCmmPhut5qLLDhBVyezLteo9mzXfPTSeVMxMhR0rbdU3ba vM4XTMLue+xab2PrFoqSxwDe1LGmtV0UZcBqEKeFRtabxRh+YqSLBs/twIHXVdsEBqZeH3fr54cI 8ybSBoefjV29Mlydo/6r1cskRYCgQCz0s+lu65cMsQbYnUzrgDf5CZ5iGoMp/8tpy+4R3kV5KMsk 98KFeL7RxW7IqDCNvguNcH0+xI5J6SDb2uvifQsx2OBga+qh+2NBdhG68Z1Ks1EZ8kBiR0y4t7uj 2FcXUgG++uYiAHkN840vqYc9SUBVA2DtdcKQ4CNHqetxd9DQxy0W0mm/TzuPDpMGMogjsvmI5FH1 +endCXA09lmo4dOCQx51TOjrVA5GLAj8mP/5wQbRBlTim5Kh/yD6lEviVa+MRQYPzFxgRshvAjQ4 /hXMDyvMebH8Cmhblx2aYcMtzxFCKWI58z1llxk1ApDktJeZUMGxZ7gjVkWfv+Zilm3+B2p0ae/V fptYBmkzAI+4C868RSY7glZqroBIcAX79SVPU0RJsPQ541HnCyTtVoQdnCm4yPpVFl39gtC5T4vE ZBwiaqm/+O4ALIo8k73Dt3PLO2zW3GXa+TdCYzxSmOs8KrM3M3YSydJP5uTPlvdbexknybxLXMRr pMyWM4f2vVEiZLWr6lDUVlM9zfQsmtkVNtU0/cp5pXKpaLe74PLvo5ZHG8N8hk7zYkXKOn0ThylL kV4/J5PnJCUsbP5zCfkNQg5iXZyt/AHvNY+CFH6CRGhLR4r2UidN3QiNXtamkDhGH6EY2GN1jm9f kRkUlxD9G6wpzd2NiSWQnJ4o3CB0fzHJqxbMASHGXhG4BAJZYuMXtjnxCCb+yWkS5DN2r6e2s7Y/ 7AWjkrGU+74x2h9XUaR5dEhCKps6P9QQa6VcfYLAA8bfAhXJ2yfBGqPKim+5e3OZPqCxhMhbUB0y VySShw+bfB//6s3xSqcQF1RcDQYHusRcIHgwTdSs7lv0BvwhY/L6WrT7/kM6QbsY+UEP8OwhPxKI Nsj5JhFj6d3Dr6qMJfvH6110uXIHjiowBTT6MKnku35Ox6ZBRHtwO+8HnBeZpMuimQ0ZZPDf2QeJ B/GKzBiOpE7oee6TpaNAEDo0GRtGustXmOXlGuz8SzGDzpFH/ZIb3C6+gyu1PAflJPaq1qxrlTan lIcQgPU1ojEuKG7JUUzWZe3U2h5AtFBONrzM5krpGPSisqucA9HVABekvzjrJIZjM3GyHRl10MNm sJybkm1jdSZbiKACAmYONKoXEjL5aJyO4JUCNVRXsrwxobwaL/nTPEQLP87LxokBWyex+XCEat2O Sziv/fhz7PXV4MiMb18eiKmwJIgo53J9L74APrPUkDHmmM997BWjF03/L9lcBQ17DGYulR31awXZ X/sqrpEPxzctT9cRLF0IHNSehz6IMUK/CqGiitj7mqxHrXtPc3VaSBzZnpBZD5GG63v1memWhXn1 DsvgoxNmf86TTjl4GZ7HfSB+FDh6D9h8quocKCX1XVa+1/2qlRGjIsaqwe2gcsdOwIEiMned9zU+ ipLb/MhI0vGSUBeFtk+rObxUjrc9pYWLhv7zjJr8HZZmq+eK2vefvqhYDTqAPpvN6h8ASZm8Qyp2 iLKdy86WNNdMJsUGrz6xIc2TCuSVcm60MwoQYFDqe64tEnoehKNbOpC6cmmgspWvpzRai0ST9wdt WZSiGFkHbyABaeXYeusnINkN1JNiJCyOIhD9mohOb2L4D8JdGrlPVmeH1cvxKIdrUb5m+aBMcV5z RaiLBQxEuSb53i6sy0Z/fuiQWiciMWAIJuQllA1WZ8mdL2nJmYRcjatoybOvZoqSFv0axRxcKGLM w1IiCAMDuI7fPAWXkzSfY2en8zbl1obC8eNkwUWSwxjlhOqLJG5c7beil1gufgGh9Bu//h5Jgnoa XCwtqyO0701LpbCyXTNtJIOXsDeuWoucbW+MjoRgx5KJJd0jzpGQ7CZQ/fYVaxuLoyByg2Y2gz6i ZBtzUd8HkW9cZUrWc4fV+9jQR9T3Vqysf3SN+3fPdluUotdC5PGh9Wi1kR0rlv82bn+s5oYHyJhJ qvdT3THhFcIOXtf6mz6UAJ5Qg7GlPPliTcuIyU3ZhUa6Reb2KtT850/6Asv0emkuLIXFQdmYrtkz MQTqnycq5fiGf59cdd0YbBgxlnRA8mW6XY+VwxCgmt3r4Do52ujn1odFQsZ4xV1F1tFjokgZYWhr rkdM4qzA2KHwrCgS/LSZub0z9aAU392pHZKasycvc6CIsKzbrThBmqMtUweAHbdojbty7Sf5EX0j PMMO9zFrQm2x92h72asulTzmaXVfvP8LmhJxVHm7JvoL1llWvhcnJuksgXasAUS7aFJWWxPvQxsn rcT0KTTvi8JX/3Y03+x98FVjZAswEYoJvNxoQd4vlMZGAvoRMlRi5Y0aEDN7m5Iu2cSn/NmzgzZ3 W0WiBkupAjejobqGWBBKtUIojJV0EG29PLNNiG2emZENUR0w99xJadN5JypFjfPJnKwcx+4e0Ivc w8gR4sLsZKQCWsZeoM5FH+klgJ9Mlicugn899yc/1iNBPDSWBWeVfOCk8ORoxMqQK4ZJ5VU/NJTv e3KmEyJsaFQXLAlbZpvqnAI8qFdnCo40v7gsQZyngsGD/U0SPcfkibQ1+LrPyDnUgf5JOs8X5cl7 ot5oeucnJdIdjvBtnP7VcryEVyA94KXTqGFp+Sn12Xzng/NMT5z9xT98XBYXwQgroK0npsLNjMDT 3X3wkY8kln1W4T5M+59n9T7Nz0iygauyYMHnUwa+PpdMsANeblZBnjgwuIJN9PxKEQ1opdrl/T8L GDBjVJx9k+TAOq3BwOimdPhtJ29jztEN/J9X0DcNz1eYpaTjQwJyw/61UQ3n9DNHXzblCmZTKg92 jDkXxb72hKgE2XKEDIxVMoLZcnUAHA0MWCjXO1LW1fmHcFXsDaPQKZloHj8shGVWt5lYHZ1IEYqz uOpNCAhrkAX9azE3b3KwiCGxezZR1Hsqdo8Bz9FUUCGz3VeTI0zURMIEtWQ4lhgvcX3+ucDikyUD TbY+1e63ILFrlbCG98D1T74D8s+g+z4xaAsLE9ooUbZvMOtcyBnMdZ2mQmAP7MNXSi8/dES1aPbt YcOPOz02diQZh0QPYraEjFookpKbNoH1NfM7BVohD8qfrAiwgx/EEtuu2nUWWge6a2eCS5D3jfWN 9GxCkxdmKf4FOVa/vp55tnhvVMy2YCS/XAYsfpRKvvlvrINktBLU29IVdHTY6ovVP2o0iPuWb8IT p4BeOSyen3XoaKIBSDNppkxW2TKrHX9nJKYi/GQx3s1PLenIsEdtGl1M4gQ6JFNe1FMxEcpXc9Yu GfDT/KscsRtuGAagLMUNpGXYBBhkPBj3f0v/s0lNXJkpfFZ8WobyUApbBKlMMiTcIDb/Faq8wYPg SA4DKbX5tl6N3caR6Nm7cathnNykXQme2u/g7rKPFOpEVwJf+g9MMcGQSR4BUM5cOP/B+Pnyb8QP wAqYYbj47fCdbmloCY7TD6eYFTwq7uzw/UVq+Cbne+Mlym9SqfADQCfvBXTWym+ZxmnewgS3NZHS Dywpa4tvXr7fVrzrIFd3D04w6k63c4sU1zOj79KKasrZagwgYEuMVBDHP7yPP2G6UH8dcr+8yvMt AQLGnRGGsgZQHj1B0d6tVaro2zafQifMPNXJIqcdkRHxp/CeKZx3D2+s4rJ9Jf7UNuH1bNMB+2Gy 2x/z0mNiNT37+X8oVfiwdegpDAOZu1jEgSDgvZ/9MBDBDiuCax/VOZ+Znsj+W7T2NnIxTi0QRdhV rpq7qn/cvDxi8fPw5cyNKfJ0elBQkD4lY6XFmb4mLHLdqdynkSvZn+2i1jiuKlR4nApY5xuLVXF8 yo1LuDtllCk8e4h5HNbb1iAOMHM+JEMWZT7DAnE979EDE2H/5gpVCwNLBVz4ICbO3fohRenqkg9v jLB6ZPTw56AyAZPQCLw+/kEHAGj8nfX4rkPrp/T/jCq+cfaN5cc4lbn+hcGf7JYULMOOZEcg7peS qbEHQc3h4Kjx85EaX+eBHqsj5VZ//jNRvVNRfRfMtbb5JdBdjATI7ehrW7TG8nEvKdW4EKiW+2Ue GEh/o06UDNvBq0H6cbP8q3bZo4CCxV+c/uTTQAMPHpRsyOORto2eihlbIHxj9ORjwFG8GcS/SbjB ejj1aDEKSOqgJY079Kir4/ROQIEBTIRHle3PMsjQdVnru8aySyuDOzR3AYI4a4IHkFDKk+y6OJRI LUlu4EuijK3RxtjX9WDNTY6lHF2oJyxUGNB5RKPecY4Q8zCak78OO7PTzIpNxzHonUTNX7weSP1i 7jLvhuRlsAG6UytDOL1mtmjz04M/DzxVdJb25xXnnDRO0Y8AyA7koF0tfkEVhp5AWhYhLCjx6FOY LZENDjlyplMV7buAahqYM+0YXZvUxLkU2fX3fHuphZV7DLlWRSirOwpPXPyZOLaqw7xjl5LroAH+ eqg5XCpFS+4wABuEqAZUXSRzJTCDEkMo9fpxc55vMGm1pClgFqeYUDTQJSO6BEqFiLoMgqROsvo6 5QfMY0iIg5GeSUA311eV7zft9pEkVtN8OdF+JENBc6MxgyV8K7W/UxGtGrtGmb4EMAP4hGKJKItN AWuRblcoPRNlravtL61bH/bXRGFnXfdCj9xRgsu8H6EjeOGsT7y0gwXcA54HPRMu+3Of4yFZSmBu fHXtKS0QodTcdo0FSLn/G7IW3M5uGnVeDs/NMvLiKFRHr++7xn1NYjyKsbN7t2o9SDb+u0HxhJNy 2HpmttUsCOYRdZpWHt+Qk5onG55Gh0+wH9bgepJ3vm1QwFYqlx59Gr3rV6nieGAzH9BwIXi/US6N apwNMr6A5sJRkqO9pM3KZc84jzAVVuqPKc/vQlAus92kHJzQix+O72u0250upFq9rJaSXSEc7syj 82rDUmpG2mSwP/Ax07nDBAygXi7/tI/yYautyxwB5n87KP5RRYTcvi5stqdQPTh2ir1Bq7NXYA3P ylnmK2tAX5kRmZIgVJxurtlIRez6RodJWZM/wvwrGLRpVaiLiVoo5XQoCIjzvPdeVIzFRDiEg8TF jVqfroiKOh8GsE+sSWQFnvx6sHDB0i+NmT3M0Z7F1xrL2Ip+D0/8td0NxnRiLhnUymcSc3emoUMc Al/ayngMJgWJCiZtc6vsewk6AL69JCWr3p1N3xRiXyjTlRckWzpxiGXBIAAK+MO/6SD7f5EnPob1 CI9Usr2hTcVIvxmOXoWWqv+Hpg5NTIYrOp8braYeD4xtxMCUC6lttftZ7WLql/0BkDR8i1vMNcoI x4Lk8XRhb2efcqSVYa3zlMoTD9+aE3cNbvqKCr9GfP59UbMQapLr8NyEyc0a+JhzexGHFdQfCXwX T6wNv3byFIy6rmvPKpcar8TxGW/k59YtOJtTv/raXFZ+SRv89EnIVB0D5lEmCZT4aken4o7fFi6Z XdSebmyqDinB9WhFroVBqlRaWimPfgOzeU55OrbpRTXi6hmhqv4DvV60W8bsHjy4DFdmr0WiRzcg e3AXwMWMigIUmaG2u/XMUo80s2VCgcoxwkOHeN7i7NYEJU4DZsaeuJkPGrZin1Q68g5Ktot1jbuh TTvPRCbbznq8Y2YosVZWCdG6l9hnjHEYrp1Q7ZeiDt7jJ4y5KXqBv8VW+9lUPD+t3rDqlbSq88Yd 2Voj1Fvy7u27PSbFVL9H8iiYztib1yF0S1r4ZYtij8Ju2dPFTJ0lUOuvccm8qh0TJ8fuLzA1jxsX VJTsmG4MlT2Tq3Gbi4XR2t8VNMMmh8uLVJVqog+V0BbEkk5/KttFxSr3h0JvbmROrAfSmDG9gsNP RGSlA1pEQWT3fUAggc2KiJKRr9OL1w0NZMgbmPUR3369j/V7KwzVO/YSGdIZ4XqDt55KHlGnEl3Z 01qxHb6u8bYXpjptkjSFT8Ueb4G3S/zS8oYT934F1haeJpPZNq/A9vxWM5dxjtlQpRAkK6QG4V2o hrwMa9hwe8BPcR0xTJ0uqmjqqeMN5xJXYNbWsCm51fswtJCVjMI2y4fO7fXyL50hPwTvuxCFFbns aYcK0hxDyYOWiakWEgk4FfXNcXbQDk3dECJAeJRAkAFuu0fTWsiaUdtQIDnhQ1gsrEZegPfW+bMI nzqOoIQBVAN1rt7kLTZXeLM4CZHdzJe35WnhwcieHnOWspYhQrRdOnsyei0NaRMWmRLYmDKX/TEZ t2kUSYgTBAKobWnKFJowpsEY7JMB6GJVAD4rktEXEVSXKk+EGp58IUGWvuM7kErlCM2HqistwDfP 7YrQk3cLb8xyEUHytfgBOBe/gxbLSgZg3meK6/Uvxf1rk690FW6fDozKxKK7oJG+ILu5tzpFnZwC PzilwpvHJSQx8RaCXPgAgqojiNE9mZbazmZIbokzJWISH6bncv7ZwOV5AEXUldit58QDHhr4dtOm 97NiGuZ8g/+vp0UYjCjFso9wKu2kTN+7jQtobGKHs6J+F5uZ/nsI+2OFvOL/5SlOoDeTyeEyX1p2 ovlg3nYW9A2a3L4k26CLbogGlYM+6qi3Zy0esjVT55dfPI0zk5hKVWHouy6+78cGI5yBBYTuvdud buWpWlvYxtPOC1byYvM5fZ1Rf5XqUEcLZDtK9EF0VOfCMkUAGwBdtH7yv4d8iw4hCH5PMdXtk4tx qkElDlet9/9m+dJSvfwuD+kxb0sXP/I51SDNtH1RJb/VOft3PaQpXZoP2/uWOJxxjpvxL+5GgM2o y7M5HxyvwjzZZAFUA7GQiA9suT6a907Wzn6V25rS8J5dauGOTruEYlK4U9iOm6V9+HQCFz41R+x0 LB73Ztw7xBhM33fTBr4Z/3Sxvvx9rSUaR+CkW7/3Rny82U1Bl1btS8/XtJUn8+Pg8+ZqWD7zouuA uMnIpHfNiL1BHqoSAbbMWdl49ScajFg4PVh0mND4Sb9vdspOZZAoB9xazWf1m9ur2aHZCYEDVMz6 36absiJCHXtHnHHIBHmauV1+YetZwI7dyMdVAXgX3LYZd+ytTodusmBsEZDwYEGGtvxtKU9+wawp dB6hr9WMMQ9bpp6eWsTNNGHwJxorW1rYbeILSXpj4OW++iu1jsnbM7UIKzJxEI+s6IoktK7YUAsf i6cxk2o/wEVSdWdv14XDoV+iQ2x1fXPaeZFqJ1Plpe1XeX0+VvjvYomCtWSKoIag/Qwm1LR9oWk5 2lTdrGnhPAO2OKxooNBXsLxRZ/G+Yuf1KpkpE5jpTW8ooYRwnW8louc+ZReswaa/HhvqVwc5hi2C zZLrC9scmyX0CwVctB5fWz8URX3663/FZkmRmtDz+C9nSpdAfL21mEmjWllEScWqbq4vS/MnpAmF gt2O/UKR8mJYYN6mVIdQSig9jt4t7zzPqJj4WXytOqAmuH/Gaacf6R+az1S/tBZet2vt9uE3cQZc WZJozBJXEo8bYgD4LOlMlesvUnhlZ5dyb5T36Cu7UhGUNxM3r3flLpZssIYBxwc22puTjBNnJaca ytA+NzsXeS5svaV53HBZWqRBpwvefkOAhIsrsZT0wp5p3/9OK0pY27XY4XJffIqtAhmkHzo4+K38 o9ZyELzVFXqr+htfeLyZ0RgbC6dWWEafRhzL5M5ej8Biccw6aGKc90IYKqxfKIYYNG54M2wFggRu epwG7xRzxGkSFPXoPvB/EuCD8MgO/Hujs152lnKNC1fz4ukGPUjq855G2l4YWajmAL0B0Z/vNJ2c 177Chv6OVKkZ0iH1NkLs+A8+IDJemp3NyzkoUzVXFyOnAvS2xYNb3m/Da5CMS4lnaCm6BNiGL5PE 2zXO8UklRueMri4cNRkwB66D1WQ43DYs6mb4BrsdoncusRtpJAigMxc7pmtXa0B1RoxYwmZ1/gQB vPYbrdjTXFEpygoblClzm1pVrFEJ0kkj9etoA051XcEgK8G3AbWesSZJtiWA1/Byh907XNG/Ndyn +zAVP/CD3TgsjHta0bxbCdDr6HTQqBGXVbL348/exf8uZGnytS/JtqlPk7pKw8JHPJL4aF09/7dQ FivYKGL3piiV3QOlNGjnsw3eNegDxaNWOgr2j0BmL9iP7KwQGCR7+44nCettT7eMnRki/JzmFA0O ijmlRD5Ef7FS79UR4dqhFb7HPUygsC8V3VxtRgd6OKYRKYrlUPIYycrG5ZgZDyZuP0stfSZMLTfy hB7eUjr3pdIoAkeaZldLRjlCBwWFtkTbqCXdzzSPqLvOqAopE1jjmB1cd2jnELVmN1zWZ1Jq1J6q Q3wNh+j8JWGVpEHKyS1K3dyCrvSvP6taVkc71bYdqMom1h8Hoc8UDbjmUeSm/3Pzoaxv+kn6byGa BM9J8Mlm+ATf9C70ncaA5SeyMzZBcuCnVqvNR4feiCvsBxWDbQa/7kBzvz1vdopNMrvWyzoNWYI9 Dwprgq6HqhgXQTUhwqCEJvlIxdAEG7yjj0mAojO/OliTSEpCJKU5lfyBY5x6ibmTbnzywD8x/9Lk y0PIuzTQ5BrISzllO76Ce8pz99XGOjjhO9Z7UvflJBJpIA0VZLLDztvW+vJq5T3AR6yxgPnGCnhL qKnMakWp8c+2/IH/C0+iGdYg/4xmUe8Qv0eJAj8NKm3SnQfVF3lDigUuvRj4hTFKV1hmhH8OSdz6 u4nEF8of1llV6PFkJr6A7XClx5v5oiK+SsvZWvxe9mGCWPGfRw/VB7ie/f0gIJ2yQ9KrQBBc00Tk otmqKAxX+Q6JdFFOvnC/7p4MnchnZ6YGHmaNasi2vFGKtkzVFc46C+Oqpix4ClieXR+f4U570eOQ OD/0ZJaiL9rg9iDH66qTtffWI3GvbpxqnGdSqwxDSsqL6LBmAao9STmebVzOwb+Az31MPOaVN0PT fF/zFKtFMTVgmuq119SPGRL6/CSJRNGCiX4xx8L2ezMz/tNcmK815oW4j3gQPg7a3uraJmu+xLO6 Fo9hzAutuK9AM1cjVQVKb9YjFbBjSRHAnvb82K3as1BBVOvS+dVeBb/qgI4fu45T7nbBaGEJYKm9 vEEJCmEUAEMU4+Kcu1RUd3Sh8pG2q4UTyBzFejZyRkxOKHU3wF+0PwJIN7BwsiGs5WdnjcLUi1ZA EgZkrst7mBPcQ7OC8IlCiZ59140qqnG7EcvkIWRidzjOfetO1dy9BF0pUwv5IotrqLNHcKCQwaRD 2DYHxd5fpgQkwJKf2svaEjFZ5Y1VY+vyOJCzfmJMiT1xO3zCDp61dS6rPU82Iwhu8vjw6j34ZWp4 UNG7ajiAKxKdNkwXFoV7DK4N/i6iYm39d61wqmJIug818lsBNIcUubKjaG9B4T474f6YF4XoDMD/ 3p+Gq/G5Ok8r7XN6MPyb43BCe+2fXkzSneqSMYr8u8qIKm29AZM4IOGNaxp3Glk6xvqsOIV00U3k kpPdjRwV2yH/Tqg5GF7sVI5+R72XCYi2hIalDYn9att2HgtxSwCbqQmRubqQHi8bHzbAknR29O8O NdvXxcnAXr0IinubfA40UALHXgwHfi5HMbdgS9BHTzrktvjbWYSp/cinEksUSuLi0QYWghdfOBmf jL/p+JJDlCvZsy722n2SLkO4S9ak+sMK2DN9Xa1oRttOHIY+4OsOWGcBaffsKQZt50ktw5Ndkp3Z S9vcwBCiDoerP2AvOLyELgyulg73pqaGDzlp+1M2cnmQOx9+iASvELvIB0CyqSYQh+mQhkIuAXJR faCdNs6iG7t5pJbrvmWJhzyh/svU3Hp1n0LBNptZCRjSYO/adtLglnMe+nqaEggcGQxUva7St05K rua0UmGnVAjkPlBziyK7/Nr6EAXOOfQtNVQPU+PWI+qdCKzbbPiKVzQGnrGWwQP8H812GfQiLPmg G0TMWsa14B8QNuwYPhRnVdicSCHHBK1gfR4jMB4IH12n/iGClpHTBdHLbpv+jgP1/ijex3mKFdDS 4+Htv5hi+pUoHtbhk5oPNGSHaqFtydp6vGoMYAHOwyRLUW3bx155B3EQ7jpsQ2A07D0p50PBb5Lg 3yPxhmfNL+jyIQaWSSw8xzih4m/i83XP/ZqgGmyvxYrvreaq4TR+S7ju9xQbbXDGUlOkVqzMlg1C TqgOc1qHFquYk/9LpZvuX9jYqRhoG7Xh/wC5Pop1NjsxlRruqYhm8O/pl8bEd/ifkRcSgTaTsZq9 lj/iQQr9wxkIRF3d4zcjErCihmF7jfBcquYnMxDeNLPSHF9sSCRdjQT18bM3fm76GDsv+38L5O9F nTr+I9Vi8Y0SvKFQgADBqS3SQZ9ARlNKfUiOLd5MyHxmhsbYHHt4PD4sziFrJ8aYWf2Rq+yyMwCh 4FBzMFirf1NaB0Ee//u22FLODPjQ3c55NfZ6gefuiMTe9GQRcIwU9W5YZCIiTwJPewBsi322P+Pb KUG5WeWyoWADpKTZw/qDLltOE+TNdwdNQR3D2M8obix991ai1YhhgxOfvi73zZHJphEeST6qhv0W /ZSd52LJIh7lM6t8X6Dorr6u+V8yL3wrPM2pLVLVnYUP1/LYl5yzR6jVMbmn36qL4AzImHuTSzU9 pNoa2FfYWEI+7+dNvtYPnfw72bNNF3zcYVpnHPfiQ9HBf4bOL53ksaqPSNq8SnlKlpuqzxLBj3xu gFjSK2QJ7CN+ly7lNEIp+wKKWDgGpkpdSG3EEvK7tXoiNDdjRN3yzE4WlBucHkcz1y40wpKa47wQ hhVT8bYxfq+mgaw1yNm++HLQ4uxS9nWWq2j2Y2dqwXyWh8v7LKBW0Aac379eKn1QudvOGUqcPZ+d ZkbT3wroZmvhJy6GxDN7iVmgWGbQaGlniMgMNmy3rE8x7rt008Je81UcO4ykYm/LkMKCAjXAKazB DUKCezG8aLIxc4spSwuxYytrIVmpJyx/BY11ARdOnBlMBlePbkH9CkSdog0t6T3ldqNtEAiXesQG UmR89Zo3w3gCOqlprcmpZyiBXU03D0yPUJsUsy2xBKEMgz5Rih0tc1l/DZ3dO3+LKEyL4TnbS7+L +58/3KbZl4RuEEDCqfoGTYORM8oCkGG5et/AlELlLM3xtYDksA6f/97WrPYC6lKBNSdbqEEfuZ5N XoPymvWMusMjaLOVnvnEDcNXRr12Kv1PWFWfCUnBVnFGv9ks58czvIAdNREoUlG2+RpXVE5nXAFN 7N0uiCBMb2LgNpyHV96LZILfrv2MNl4ALF3I4TOewPmgyE7qd1nIXQBfqz8yqN0GlyEtib3dcm2O Blf9xMXbYwo8bs1lXz8l2ycKQsuyl7cyEU/OBcjXj4uGmuEQswCJvFQpMbdBt/OXq8PmazvK1qJx LJe2yPuuVQ2fQWhPPL9LozRjsXgmFgJQxCR1NHBnjVsl/evqZDStNWXeNi7PbqPYOdwa+wVyyGm3 sBzBWFrdV0LirtPidLGRZ9LhfcaTMOScFIj+3ps3H8SL2s1D8aa7GdRGrUsyLzYYBvS4UweBnw/R TocHTHEyIXbS9zRdyqfWnLr4iWyePoHc4U+MLd+dwDmjxoGE5Bq6ZogLdh0h06yAN4qp0SDC9pnR SsDvZxR2vNIAXbkFyflrPp7Bok9qpUKcjadAY1EbjpMPCXjP0bWnL8eBgBL+Uya2SXVu1cJWrkLp mAA6OWJ8X/QZAUd2upFSEgMbxKvwMBoZ3wSleG8t/qFR5gcvoO+zplzOCQyUoRc8Yr+GVVnIsvzD hMTb+PRZUQoAcpsT4OkGykRpD9d9eMmxvaIFKtGNKfORhWzI5L7uY/y8Gr54VgxHfzJKETiuXDO9 FOEwu9xivxd0gF3lCjyfq/JvRxSU2X8IFkD/ck8RAlVSS7fIL1NdhYEaShVnkG2dFyRGzj/IAA23 SQnfXu7PhvBmobkJ8gZKFyifMxgbEAyjw/8W48hghEgJzziO/vkRrtxIoGdGgVNDP4LflU9og2dg NEgWujxlbmRjy53AxfV1M39xpVqFPoucUcR3OeU5CjdmieioJszHxUEOVlTjKJperfVQgLGLQI7i ihyjtvulueBz0TEzXh0+xGKIObUhdpKZ7BYnvqj54ANTxg8Zrvj3KqcMliqacqESJtBwMlFpNvw3 PWnEd4mCbNKRvCTEVziGlM+LZKa1xJe4hoJC3J5dDzU7b9uWmY5y88cfguY26RXIyHD56A3/lR4b iHFNppP9eN5pxMRQNd6qSEmv2hz4UUfoBUU+uEQpp6+KjqIgV6DUnVSIZJxzx+y5ka4FAry3vYYq /AyOJkb91+UwNIXrbjqBphX3nzwEuQmFZ8wJH80AEtRgSWA+UN03uwjr0yCgE6mbydxSD9Lnv7Jy lgSl138k29JFHvt5+f3MTHVPJ8t1bsme+/e3CM8Gk3SNbvjAL3Xn143JXT23o4sN/tBqeUOmuVVJ inqWrak1aeP0vdfQ0UWMWLv3+F+GZi0m0M7bbfBXsb+7b91Gm4Sf45GzqvT9G7/HKjk6LrVHHRB8 i0M59j7jgbT+6rFc5WATjDWEbAgx6JAH11/wJABBZK5A76HysIp+Q+pNF6S5lXDozWrq1NpSgeMH JHTp8ig/+6QSL21/4Ito/xWZRkzTDXhhVmd55A8sisYCtVBC7HNjTwaPL+eij4GKiUfNqAPs5A+O oZHEnud4aGdD9DWIGwau9a8a7SP/DEFbATe6BVxhyM9fzEm+RAWA0NodW/F3wgTHV4x2krUpZ3bC 7d5XRRtWz1Q4kDtpO0clNolrrRWXqOFLG/q/vlHBgjWrcgfp4D+xpr1NOf63G8mvPnplgAgcww3q GnqLSIhsexyp1R1LL2c89cyZ/jvWyCqh8cMyoatebfFJ1cyxAB8ZfWXEwxWR5aShUNiix0a2Tfi2 X8+T+TnPwR0skP8RDspSHYOYrhOOwRbfJqTkOq/I2xDO7eNjiTXnkpO9G/nHVaTQH42uHZ3VrFPD LFAVfj4dU/srpejHFoJ0WISR2KCGYQtyZ6RpcUDzmyDVRc7j10DVMEXrene+5jjTfW/ewEogY4/I pXoFdpGThv4Xs2QwpdV3IlainBd9o8oFBxo93FUaQSoZmKVgr5mXMPKHoedhftKvM+baE67Tf7yN JRuSLqfIBIScLQNq62tuYPyUtiKCP0BOpRW/7TugEN75/BFPXWPLCAhCpCqB/N4KGgVdujtwZoNz MSkeJxh5CkwmsN1HSM02sneO/p2crKNk6m466oD6izIMjhAM58bTPVluW4xS22Qz3KOmmJlYwl3l v+WhFkCHYTOZ+sHl4DOUWYiyP53FXR168yYemKhPh2sYQfu5HX3a3OnTe7EfXkYL4/dkfU8/XwHC NEY319DV3+s4j0NEuyVlD0LyOKV01rOVYEyn8rDg4kPTRRzkRvTepF4XziRxYqTIpVTNKpR/GoS2 mSPYGVtB59TQnubPS/XDnhfCmqMRDmwovGu5CXhV1KWBB3QtawENixdfCocOEMFl4+h4YqCAN0S6 mCh7RKBDxoIad5/pKHcMjVGV0h8lMULVT7SprthiTZLOHpV5kDVP1ULNSt/5xIgihe7Hqc8tH3gV tJtgWU54DABRitPoz1rpTWUz6HV2mipkOgWR/lBUnK8HVU8bMgvA8rOOVqioWZ9PeLHTq+Ep8s2m YOAq0848CnkPIVoDxi5fk8zbIYQZVxdwdZyAEoOyZUfgXtUHjPuoEeqU2+E5KF8vG9+uKyXoq6Ou sIKTvVXow0SZ76ZaZQj0kYm1hIVwstw3VgT8rh5I6AwDL/zcYFO+dudad0fVWiToDykGddfBXdlh AvQgN31qVYD0D3x9ALF5FIxzC2JGwvyeOhHtfo8rOKHlCfdb3Wv5Nv3XrdL7CX7CFNfizzHbG59q MegrPLe+7kMOPjb3n7E2LGVirDX8YT8W09b0VcbolbuJZjphrVfTfc1iD1QLZIzkBrzkKn6zMujw 6KK+kHcqtfMIPeWXgn4ZIiPFqtKJfUXQDzpoMPbC2yxi4lCMp5MI2qeXf/tGbdRtNwn3AF9tD50s D+wuASg9khCvd79xpugQgZuoAybK2YKV/PoffXjh3iGp13T88h27mjdbCnOvYqX+2n8VPZ7Ltgj8 EnBuzvQvggiZbjdQCFsDyALt0eargi3GnxM8/cwkZ8olE8Son4dfhV1dw6185d2I4HtVRNJGXkpE IGubB+YvwtMHLVGYW7aX2DrXhuvUJIFHb9d/RiIono6DBveOEIQvPaqWVnr2yc8AfhbcHXV6XjAm Fv1duXNvJTrdax75CL6ABiAuKTRkpE2EEvzdxebhzbZE7eYiwFrkHOjtephUJreAIlW0ptEkrziZ GDckrDYa6PEGY7ul4eXAEsfDGUVDY6SmFm59EQK54vtsfWy/U9i5pTsEtWDl8RU/Frzo1bc30OBb dEzz9ERjxQ94bhCW34+cT6YSWBWcmjDSatxo6xAa4/XCa9nbeDQ3k5yRRpsWNP3aXtqOya1UoVod GVgZ8NBD8l6NDfGUbLdCfCGJHkDQfhTi4OeJnnkayUlzn49wUJIks9fj0j+eUwB3dgu9FYGezhBb PS4A7TVc9OCsFyZNKdv19UJ2OnthcuqrQII0/Ickags7uJ3TPrLdVkJJMOF1HyO1aXp0zQCalD2u yLemhLytFY8Y8fn2qBGqJDZeJ18LNH88PPgm3bC25PhSAfaS98dIuPsowOdqGmh7flKMIQqyaX9y TVVxHxZag2ryUxlw6rrkuXhqmBTvnWCbS3sje15Jnp8niSP5vytVdjtikvmKWeSpiQoU2fa8q2oA vX/dRjLArg+iL8haIXc8bvjqd0Pw0OJJLHP5phdvfl2nFjeMxK9kDWUNq6XAfU+hS7VPBLbJYmCd KptXVx6JFNT2cTx6PaWEFjr5kiNnsG40AzRZgR0bxKnkdnGrOj96csYcxAVt32aZcrKGMMzXeHk/ UPx/vWb+bjnS/4oB7FYmzkYRplKk82bmhS6GI4JQ9gwRmPqhP2yM+ZpFKMex1bwFZynhxQRZ9lTw m8Gp6TVgpRjEZ+2IfavhVlBcnPoqkL3EKQX0y/LFmOJ9mDRbR/wRWDTF7u4oJO9lcTT8iecGjifr R2Ur6kwxKF81U2/FZmqAR1QyvV++Nu3flSglGsymGMS3DTPf1QPJm2HStmOwbokWpLUv4e3YMR6G KKpapv/o8EQcacHYbQHt/hIPorgnPvrmAe89T0rYyFsMWQPSKwFhnnQtMLVL2yA0gRQtSvQnBCtr DTD3u5iF9SvwjDkoS98G+L5YkAoj/ziMGwu11/t0Zj4FkwTJKzOckm3LtxpvxlMtF33HvufF93Wb +XbOHgnzbeHFy5b+KfWOoq16rSBQ4mVUEXnVuaD3UyJQ1lt8I3wOe4k9AecRD/XVjepOPLSy0AsJ UtXLzF1Mdj2J0r5JZnf8SnVOvITpBNRBl2sPCjg35iZju7wOhtwS5ILRQz7soLSa3nNKmydVersW 9XGkfpGG8PyjnZ8aId7U8SC34PqWTjhnXgOwM8Q5x87/ZTlaVDN9Ze75v+o2fMMk04winuftJkH1 4t7IsMlN2gDihZj0ecbF0Rmg9TFU8+6h4BpRrhmwVhFAaj32eAEgnsaqw+UuqqkfFdNwL3uDOgtH ktplX9CgLnH1y3AfV/k2JAN7S78N3GLYrjpdhRGemmhJSeT+ktVSU5ZyxQSVG6+1QDgiGujDD89f Yo+36SIPMqpkRKHNUVuQkzCXITBPJpaRIleXMVMMK99Z784YLibP5S4zS2pVpA+bPjmZMf4Vf5e5 rzPIZVTR0scTe0DyUADWPMSBm9y5ak17iY3MUnxrct9Yu9GpmA1XIlgS/Z9bk6arykisVXPu1syy pyOzgx7ghdTfDrHSqqjnfVkMBI+sUWx6XCaue6jOHsCgSYmb0uus18y7Nsg6ho9128h8YR51zD4a iPbteiO4PnqXJVveBBfspYpI+5T2/914rEgf4Mf7QniO63MF+nS/cAS+6rZCJhdIYmWjuNg6ZH+w PtEHk2SdJ+ql45YqXKalIktNXpa8mintXDlnBCSuyQEyOa9HF2OPeL9Y3H3NFfnLxjOzUUIr8hrR ldWkV2GpU+o70HQtTQ9zkEznp44QyzYD4+z4U7AWkM+Iiesd/2i8NWIrMh+PcQyoakTFcBAwZlVv dYIvtgpgKfmUjQZzLjSHeFEgRJUWLX28ydMT+1LNY1ie9jI3tTEy/ZLuH0bZDwh+ewIwx6zuV3Yl ZgoeHLIDSbgKfm/JLDbOTS7CGfoUEcrOvGWysNXLq90uUZJmMi+ITLzyL2ChzMQtW7mytqDtqA+g 5aFPnpwLUN33syFf7uqqFFihPgpN8HdoG+CuuIl2hUESqbIXkIfAYW+fA/8/ZRewtv2r7Y0Oecco IWa74PyIVNxam/rLp54WvOn2YH/DKq3XNMS64W9hLYFt7gGZ0PT3hYVnA3Wp2xo+a92RPmZ0N0Q3 nmkkwpJArXstD8kzK/R1BjCDZsJ9t5MUYpVeGaSpUsRdTEmyDwuDsizD6ymqRHgQSA55G2RhotoH MYAhSxxUA5tYy56coJFH/hq8kDYjA7+P/ItR7mPOPKBh+1/z6xw955kU8UJPUYjW++niePSqPQlN ur/4Yr19VMLhWmCftr5bGIRwg3+JBZjmM+z+TkrQmdBC3opJjU0O4dxEAFQMhB2owruCFKNEC5Ep FTdiDDekJI/JH370OB9b45kkF/FO7NZMXkprlKCilveo4L8Z5SVHk2s+ot3q6fYOZYrLF4QFXCXH F048pFoBJdFo8smwc2ecxfXsH6lQGD5fRV1LFsg35tTseN49TrApnmKStA7oJmQatl46jieO62T3 nJub3s89wCeBOYx6KeS3NwbTQgsH5c/Qoo2jPpTng1hai80zmw0lYsmlzCku9pZZhnmjNABn88Vj p1PMWLeSugdharvROuXr4wygbaE3P1MiSc+LhQ4kne7/A3Pa7v/On89/dTfBXTmrlaGh+7naSr99 qi3Qj8Te1EoJsv0KyIPOkVfQkSZiL3a1xj+zQOzT7Q3xZlvSdHQiUvfn46NCfPo3PUObkiznGwYB KRtzFgmCWMsQMtwZ0AoISJTSJw+r1L6umBmAIEleSJDTEVT79+kATg+RbU6T42zl5OzzV1OCmc2p PuB0Jb+WhI82wMaJAVAx7noaWRwin4zB8/qmXFGUfq3oS94b3ZLor/I6FqsmbdU+4tgBABVUfoi8 w4iAKVc6ZnjqGMS5BKV2GHIPmyDGCAxGlKcEbrAxhD4ySh8Ipr6amFD6G8g7PmSUwVs1jhJGn+Kt H+avB8rkkhfhNTmQ9faP3dYfwBFIuO7IRohNGLhPW0uKybBahi2Gz3P3R03nG3LiVPlaDdH2/CP2 BT2LZRgR9zQsm+zhPMv07kryUz4IV66I8awodc+AJd9rdYnUjRRTq0BIvK1+tPTCl2sXGP2BSMAc IjaRYD2knyOeAzXW2OJJ+88RJ5oLeILl/VpvntfSWmUmjE+cA1LQFLTMT74T4KkoKoJNicNCP8r3 HoTY1EdLGzoEC/xGTh1iCTN4jBE95ReJU8jc/YZLMaardO0j0coRfXphx7v4jB83wh1c9214PFip pS/5t6gpRXy4Pmdp7R2cM/U+sAG50pA4gv4Hsw69sF7TCVAIk/CSU9OhjawJjR0IUSASloZxpX9U jhVUdm3Xp7O78UpJ98+ffCe1zCRmTxdii4S9tXFiD5IakC7CMALm8F4XqjzAirGahrTe5/AJpzJr 26zC6p9CaMNKnXznYo5/M5KbraszB3vQuA6ZnZX1Erc2vymT9Rdo2X+8Ps8hqM6AnUWq9CAC5QdU 1x5jEIR380u6Jk560dESMK1Q7X+ifbmUJUfbuXguA98aQNx6otoWFpL3w8Iszb6srgUYs1CyZZMG 3oh7/AjWoTQJh7nGJNI394EzlbquI+/Rmh2B4QexMEknIlUuY7b6A4+EY2tWvzg97Bc+4S6YzJLx urXBRheOkQ937VYuQjEsvMhZihkGNUPQXUAGpp+e9SLYbAMtQ7heb4UDlR/uaZV8YLcf52gNEOMV tpmIwwHrzefT/7WLbceB+nRd/O/dYh1a3CRoc+YzhCuKH0pLitIQpWtcjNuS6nQAXwWQAG5h9qTv PMKIokWuHjQszM7gVG0y1AU25Na9eYFzKS1mnwQA6cZDHIIx42J/a1hxdtOJW6cDtMPPC38c6q0m P1ojri93UHjSEfePZ2MF/ohM53+ulfeq0SGqEqbtddfE8z9BJaUvnkis8JDfP+op5HqZPPgv6Liv 0k9IdA+2EBFnlRF21ScV3q8Vi+xp8GhNFKQPDbWq5MOG9MSRAHTkw1yGRCSdq/X77wGWv3fZhRf7 d++KzXRWlK5GCCIgg/igiUgNKQF5B46F1D9BTDbKUOITLzlKZxYo4tJd20fKwCcxJ4XGDApP4Xhu xpJtJH5PBV6FMfkMqJIsx352+zJKEqXBpHibbay3O931edQ49/yWpo11+rSIdiq1ThUheVzPA421 nos9D0gaRSL9XILTnjq4DaOHT4YGuzZ3rgldw2nlhQQ6G+iAC+D3xQtWZ62RyjwwtKix8lUPwyrP cac3FcEDR8RhCiPvDOT843pa7coDyVj9Jf0xnF/M1zDuoAx/urVwyrFLQIQjmFwvtydwbrtbA0yU B3I4eMr1A+GCxPZtJ7shHB5p/A64rpbM6GGCPDgZrVn4I3YyQYAsMDcHBuOdSOyWf+CMYYhpM4gM ubwG28WqnWzUWQwOD/pYLx0pRiYRhXB4NxyoX2rT7tlefo/bSui0nxsN6o/U3hXLZu0Vd+MATjdm O2b3rQdU0n7rghOgr/waUHQlNwrQNw2JSTUnbEACKm0V1ar16CFs/0gowskWC1XHPS9SDvqkW262 0emawDvQcPOWK1B/PaC2scSGMuvcFbJ6bz9fptTcUgkIliGlSySp+41UTRfi/v87CEobLFTe30zX jjD2m1Uxq5X+lGuhK/y4Ub5epUfCSvORVhTqDj8Fdeqv7W5fnucja3X3/3N55nGHG5duxie8v6he GT9ir8MDLEqF0+WKXTXjUVnmkLPrVCoBKhxnXiDM7MSqrR20UYqh9wfwsJOu2yJHhbnBk6om8zJ9 d4aYVXc91YycHSq6aOlMk/2NhebJ+kGXejm000OAlQpzmeDVtX2lSdwC+mczu86y/nrO1l2fkcpB G2CmwOYyPLv1I+0dBEzu++BOquoZgoue0We27UlOcNGaNlyNq/a4jBS8LbA9zlQYa2Fjdi6hlUL4 XlVtRxUhfOFuk0Ry0uPhn66pFsCyo+tRD0QSEfj7gVfpGKs64ew/+Fj5YHAb/WygxAa4jgL3lO6W UkCV9WLoCqB/XQXWoaHC8X/UyfD4E7ufEgWdeF7/Ns3uF4HnGtBrC/hgJUU5XcrxCt71x3iFw/Nz QkElAx1aU/zo1xpIs7j2cNaO+dTrjb9X50rLQ05UxCIk6CExsXeWYh0Dnmrns29Xt9MjvLi21pn2 YrlKjloJ28aLzl7nT9ovDqhsILFyRyTbyMj+iL+9g7bBh6PD5eEjxvBlw0eyNVj8PFTH/fDGAo6P 64JCe+HnJBpthiUvQEWyy3+GtrCj1X2SIOy5ZmnEhgNoMIfAOocNzLlGV1S5eoFDgI2OWq9rmFTj Ci7Z3jGL1Opwr+pqr//sOkML56zZoo8ExxFRL4LyoMgblLhJ4iqiA3E97G7hM8ZPHsNmsttdGcxt u+7YhuDoi4HQ885unUclv8e8Z+jKS7vSk/ImPI7s8H7sLiGDIFwRwa/amqC/ghHHeVCTwBA6DrT2 vMUDMKw3cDGvZD7WdI76ZTo3+o3RiEirTkyoww5+pn2bdNhGg+sx9yQmeafbqdG4Fd4gQQUAaDwP 2cK8u5GW5sFUT5/ZllMacJRaCLxTakTPEM+wddDIykvZSeiBxKypZ0pMme8EJk4l/vL1UMfEeH2v 63o3L3bfyjgQIvJbC4CWafn87L40KEetx59Lsy9+AJN2a/yy8fW7KP7t682mnlibx26/Fq4W+p9q 43n9W9aTzXGC0UT5FRG6KS4w6a3RYPoiKKq452wu/MCg8vymF9pR0XStVTKEVGnCyRb6LYG4LshK 2Vj7wG1hQdbtH6aO0WeHFdpvELUT0+7l1F+PJZr85/1pwkxQsdM2/reDA+TCFOF8+lnIDSW/X/c9 DXxSHDmptvprcaO6MvAG9iGXo//WV0xVz359e+dI3YjQwBZRMgl1OHPTdA0rPQvAxGpsBdMIh36+ 3QweXl7maLSUKZ6McEmYS7c0+Z3ingRqJTR+8VVjMfOCpSfVj/E+QAvKk7Derla/Zgdv5/xo7q10 ZLdNxOsGS4HUm3Dlj/dN9WDeCq9CjuZrf3jGb8HhBFX6+F8dE+otGIzp2R0EYV6TVQmqFwKqqhnX wacroR5sxkwguEuoyhM1w/jB3QrS0aKqhKy1SQpr/VSsTfitHlEUDAuvr5gXZGWxiBsEL98+U+Cl IPVFCDeiM6+lNze1fYv6z9W9MUClzunsYnDDHZhJTmvHa09tsB7FMOftP0B2qTxHIhNn+skVC5IY kwOSoBweMHm+BGjdZKB8JYA2GJOpnBIgtP9Dvks0ye+Uw3Nh+b3X+LhdosEmvl7GY5Y7CYqCQNKN nlQH9Mn4pXLAoF1gnL1OKv6Bfm1sP8n2Rs+ZhV7S7vbd0kUJJ4uoUO2jUxnW0z/WCv0Pzgf1guwG SYaXGT8NgWfHWdLw/ZrQn1XS5zZEwR7pBKi5Q+aL/yUH5DjRfV8FKLc8Gvd5os12fGpo6RKGSD8z xHQThQxxnKRxHhX1LqDpTKcN8eL2+FRFx0Cr5nZV7xzB7N5wXTloZ6YF8Wvtz8hbqFkDmw7uC5vr +lsvzER7RaOnDDbZ30auU6Vp9DTYLJUjg1ihhDkekXxyLy68Who3xzOujVV7IrvaEC5Q64DA8SN6 gKP93a5x50iHSYxsRRA2IY16Uo0dHjftkg3NW/BgmGJg7l17xI8C6Uu/Nvk2wQ5WHNHGuuFKecJF 8SUjvUdQ0mp+TE9ZddoKISYnIPQCsN4PiungVtKina5bJU1YjS+uack5kQCF0NM9qpMtr16EVirk dQMBZzjEKg8daYmHpGOdcyHUhypDx9vlwa6QAZusXpi4v9dFVYoUfErsaUVWi62fC2Yq2In4IPh/ PyhflSn+LjYyTJ//OCKWrYurrnL47AAk29eiPOxBuRhf57PNQeUMSAGhnXZL4g17ILEoZD9Vid2g bKFBm7JWmgikof+w+W32DSmDYWd0eyNwksH0v2142xzOOEM5ONHTNS2RMMYcVhHW/5aGMFpitnI2 UNPV73MQukyDJ4EU8fuCagKJ1KWsq3SQ6k3382d3vcOvpuyAj8W0/7AmQURbOsOu5aXh+1J2ckDf Su7jucEX4h8KGtAMT3DxplnCJHUGclU/M2PcSZLuxRIDs1eWRYNG61DZLLGJ0Ls+++9j5GyCZPXX MnTWO01Hr0BIYZo926cXveQjv5CP2sDpPXbvlmlSQ8D5sxj8D4AbnM2rXGgNLprym4EMRr8ypQnL HWfHQoudvU+BH73u21o3/4twrbc4o2gC1M4q8y9/hrCWmHzuj1pZ6NZPuIctaQ+nkxNE0zIwkNYE Op2xu4ki4XDmFXuDVOLkHMq1GDyhYJq0PqGqWpqXDSid/4uKKi/C3+FOdme0M0DjhNRD8avckYhs 6yOubfxgD2QC8RA4A3qjW5ggooJ0N1x6uxTVUhZby3W7JvLEyV/Ij5eoQZfR0j9WQitfAn4+SDkd h3rMslww0Hv6ZxIAmPq7q3pZU0KcjuyCUWrdW6pUavRRXezChZHMkM7J+SRhyt2Kf/4jLmlGJZCS Zc/op9apZLIo21EC3rhfWhu2LrLbnuzAEUA7QTOB/12uSaViEDgV7Dzkqk2TxQKPjInnLd2X/Zsw YLqAAcIkqq0keaEb5UIb8qVThER5/p4x45NHyxOcEDNfHLJEztCvBqZ9dA7o2VRIuZbHZlVYy839 4DsOu/2AgNUTwTmkIJ8mqhHsj6lyXe1TKEqoTqU6NSjiCyDSoBwMtOLu1+3U3yQoSW4abEl9nbET gpa3LHjqyl2XUApTUIFva9Nir4oHwjihLzu9A7r2lb25A1ucQb+h3xapvXdpuZpAaWRdG7DV+AB4 EM0TDITgu0Z1YNp78xUgh7K7kOJqVVWmACf6sLrNpekWNddc9/IGcRTXhL/M6bPbawFH5ylfq9AA pe/XLhzlSoXGARsn3xje4c8cCifasv8iE9+YgXL/SNhzgwXhRG6zu1gKEKkOxCVDDuyVaopI6Ol0 Yib2Qu9mV+QXaSOoUAIMpqE8fXSTMnHZl0M9rKOAOs8z0EcyIjs7fKvEd/CD3owIxlnEc8lKqP7T mtgP7SVZizWc2cUElPW6F6YqyA+IwBjOkaXsy24ABI9RdWMVWYVRENwYiY/jIW3lGBRks5JIi0O8 jyPG2XQ6OFxvTVLnrYt7kTk4W7M0MG+IxdoYIIPSYASkLmBhBbw5fs9jWVsx9QEad+tLfhuMTojj DaRn8jaGpYVQewdzeZoN071Wl+gflRsxT66ez+ojGoV4LGW0BPgIXiL1NpO+IfWnO7oZ6L5vltM3 PIysxZiCXlru+xM/8Xh/0KGSoVXoX7a6epWcBwzCilWdqEKrqWSiAAZAHZalG+zPQkli10MHFGqF ICEE8lPbszqksWwt0FK75mUsWnj3H7Aks1H6G2/Jqz0YpI18GGzA2e+jL1p1ojlC75TVUMiYsyDD 3vmL1Ws//rI+OiEbacFTgOepB8eH5EIfBCQ5b32jF7su22U8AQeg1jR8wpJAaNjVCCX8/VWlRffc QrOWcn6Ao/MsKlAUqbvFiIwprmE0eQ77z+euGkQv+g8FwZTqAxTytvsdAfNZ/QjE9gLsdRZoKj6i EXL60+70Fvff8rxcXyr+1wPKD0lF+Mu1VphrePqlGhx+guua4lDYDBwRCPEp/rI8+8nhPsibHs6A URHv3d89aAghDbpiCA01Pv4TXPp3PJyd3hfABa8oTMWcd5yCwvgBT4S63F4okMfD5lZRBzSrowIn MIykYzr0eaIQs76KbAAxTZ+szOo2Xi+yOxBtW199+QCO5ovFf8ZHfvz6V6cr4c4maWXB38q0IXBa FMNONQ6+W9g4uzURD4zLt88IpkgD72f+dY58DR62G1eSJgx2Bnf40dxqoPDR9Zwdbyg3vv6/kIU8 enPzNRkfxlGCVyHqpSzWUbD+zPo9ktCrYsWBT2lA8XKwLBNzlK64H2ij6eR9vYi2pJdKvIdunq+D f42uhVPScDbiKte/LCIEEnawC5BzgGgiyeEXFugBej9E6IVURZMOPcgZ1drovYs3IvrwNtABeQu+ aYJqL+A+Shc/VLPOMZaQb2N+wpa7yJgWKiPnMlho2p0Bs8mHmm1jX2kMf9xJUVNLQogU6j0lAukh 0O3HPdcH4pWHpt1S+IoS9Lv02YfhlEFzENqDjmnHWlZvLISJiTHFkd4NgJb1pOpHHQRt5FLU9qfT zdmB2nNsYrz32L8hsl8dvl667cvDyRvfQQyFowPpLvmPTDlOV4Sd4AcGZ0IzHuRUej24RkvWPwjP aw1DrSK4klryjssZWT4s3S5YCxUNTk4T/mGhTVvJTvgGYyjXS/SvqIZ09M/ZTl9BJc7zT/iUvfRZ WB0ZMjgGpi7Lg+0j+28ix33YT7iG8l6eeHOAZWXQL6JhdsQGbMMq8pwxUVf09PKu+vLUL2SxcoUh XAmrhx+FQBxUCrcfbeTOH00auhU5RDZPqyriBFwfy2dVSCcg/yEUFKCJ0M7r2u7vuhzByBQhmdnr SA7b9c4K0+z95yb7lMwwU52SNEUps1KoJtygmgOzArkChbo5rOVFu5BMHxxGw0zfvDpXLq/nW/BS YGpWuBFQJN0UtLtq9YE3HUBqPThhopATI0IINdJCY6CYqP8ShtqiCXRZFLj3GSLeJMiRnQmZYu5z Vys2+sVf7YpCQUI7g/YfOG0n//Gi/0NtswJvG9jbZNxCmGa60l+t0vjqHGZMj0jpp27I42iQKpyy JbTgkv1io6U1T7djvWZ0krPIAFs6iGYoNnbdYh+oqZwi+LaAI7jzl7lcUR14pmWkwbL/fnol72Jz m4DTVtR0OuEc0Gsa1ehgzo0Yx/OshxyCC5PV4JW0n52mcA6ne0IX8aA5nsm7rr8daX/Rl6UnZkd7 13NxQ3ZCI2pMgLMom5GRw6uJ/bXJYBDHBedcQZHOOrX6pdY7yxkCuvhYpO8nYi0ZrcNIS0i1Yw6O OcYKUw+o9nu87UYC7yceKaJaTKufDZfcosbZvuvLYAg+A2BW7HYevaqGto6S0zaPWME3WcVU81g+ E9v4O9wMUnLSod7Q4CYD0T9ReGB3WplMd9Pd/tSjzgHo4bu61xrHVJmsNziZdstx789yPW+LcIql JGdAWGXRjlQYEHjnwYo/6BHNnGmC4l1dkyHiFh1UjJeN4bCduSAMrd7poTsYhpTbTrWE/OLYXNgB SCABI35RsmNccbp5TXcb2uc4HdniDYM32l5NAfQvgV0Efcca31SawQjyzrPF9FgsL1dWAMYVt1y4 CKa/ubux3DuwzinFqIigsiT/B6nsOvueC8NEBeXU0KnKQKTF2Egq1aaCnIiI4sIeAhC09CPJQUPg lJFjnryzUVj1CgA++oOVTcZBfIRK+T981sHr3EaTjXOJHCHoRJPA9obZJDFh35Xs9d7JUuXVy/Zf 7FEFlw8Ucl3G3rA71xtZ3VouqM2H4efy/AWsGBiw89wYmd/jFjx8Q2yFjv0q8TBn+ujPVvyGc8tY ghxkj3E6qmnGZmav5Em2K93dpTRZSSjEvJqAZwiwxQgSCsU6GpV2mm4/e6qMo4y+DBdKSfZDyInz qoG1zAyrZ3/BF1UCfIpHk3vqH01L6MeeajyMUKNtf4edFNL2vhRaENeCpn3S/BB7OWrHysJWHwpb p8dBqMHXPWzUKc3jZyYYiGSA9E8Otv90S7kfwoFjHLUdT00A9mgWYSzG4B6u+c+6dse1Om7VNfZy EGmO9RbDMRYEO1o7jBxB6FMOmkJgIr9C7UieTsQbZbxHJidyTw/XO68Jc4+uNC80uyJmHZZ/0uEp xH/gMwrMcLrhO6xAEgC+ykJlyQxGHAr5AV1CIeLBeq1m2cl5fP6hGYU/xd+KsRZ1kmL/BvK4Ix5N zsWWVOpY95SiBuAkQk63wZIvehaFmkWNgxd3d2wehm1Fg4r41kucN4ftVkoie/pUWqICU5lvP0jn MizoTscI+YkeebtOJmXMUrcRN1Z9g93Cj3R89MiOAbiZcLxOnePtTuomk05tGrpDgW+UaeYVXbbV 50NmXYC8pK1DTREH8zmpAa7EmrOqBP6GFxJPtwL656Cwdq19ewuDitgrYFrSYegjuB3vGA0VGQoY xmlUrYyIJOAcgCl9phpsZjzmBjTm1O/NHrKu2pns7YV70TKeCplw4dUsofbwPx1DABN6Nzl/qTPW YUQAbsDQn6x0P4vlIyX9t0Bnv0rWNoTfMncr+0gEom7VSaV4j98Dq/gwyVjfFwnYjGe1z0VKtiw8 2zuLkVKWqFKWc8UY4MH8N7l3wzJ5Dd2lA8TJdWJAOdUcZKCbXVsqI8Z+skF6OlPfcbT8B6DiRwGB hWiwCdlXvOujnbGAA4dJHXtULJFnZlnwFq6SdaI+GXwNmuTVS89vfjM5yemxB46QjEce5IsIxphp o3pxf7pn59nwCz30Dabp9HiBRiVXV8+lBa35FHYRiNLQNN2Je4jOEd9zxPjM2/SoZiLh0SGHlEvJ /becfuFdNAFJG6bV8weqVqr0zcGaoP/95+D1fWpeercfwpGf7mmywkbLuaoEikZrTT4/4py4Jw7z KVvTjFp1KsPH9zuKNx9WpnAoRAVsfkqR6qg7Oj7WK37hv+78ydmb8FWJtoewd7cUYacCCQavLXW9 ehhiqJRZ13lJPWF14K9p+/Bh9Uoz40/J39bYtjYAkEcpR/jA66j+WtC9U+QM5DXhhVphAGaIRMrz KUFMJTHk2cwp4Qn/r7RfIaowtuh2B0zf1Kw0llrztF3hHy/6ZvWWOUamOWPXj8pd4QqeIZam8E7M lG8W+h5w5z6sCPqfPaK8Hg1pNCnHWYQpv/p3iZvEPyfdu2FF7Pd5wQQAx+pinUEpm/RQStf+Zak9 XTVeR48ec3I2ELB8TXujbv0cStducC1kPSCu2QSu+zHApKpE1s9x7L5n0Eg202hKnEkU9JH5OCh3 HB5ZsshAtItZlvsC30yphkry6BCmXHG7HuQrU3ZZkCxXTZzidgyEAMg2DH6V83pozpK0sUWwIsXu kJbRRJibU6nPTX3GFB1GFCDJxsc998jgyCy8eTA2tR83lSe60yGTxoWjlvfET4jSxxnE8vZ+nWDg yh8hSoFuatRd02qCIw6sz90wAcgGqmd2TBy/NGp/jVIxR/EZEibAd1Pzhto4YazfpA3rEGA4Wo1h N+Nj3j/eKDIubKU476Ec32NmbkolX37U9dzmF/yf8UIq9G06NrnAmGDOfLie6mBigDbkr1h0BfdC e3VaBTeXhrlSbb97pirTyy/s8UvnPMccIWlS+K+N9gwcx9DIjX8qMeeYal2vkf1GkiY9caJF8FzC BvgrG7H4Df432Yq6v9zkwYksfAGblhm8HLG9Zo4AszfZeixrGrK4ZtOvGUdktPpCM+q+x/SU2Jm2 roe1K+tJwIKAON2n+5MaoJ4w3wKi/M6p6mTXf0OWzv+e0kTkD5TuazDwkuWpQXkpArhGPidZsOQs dpQZE/VcsGHfKlxttKVGqQi6j/z9HxmpMQEGkN09vQ2OjctdKfsuPOqbD67BusMyQl8vRjunBRcc X6Ns96UPGBsYqGK4gM91NknRlJT8cJun1ZNDfhIaLLsqYMH+6gtK4D6xvpmQguA5IqcoRFPZD3Ar ZdoieKpFKwxz6rSDRcfWiWfrHFJPqvbm63dtoy8+PUG80CFBrMOeMQKpJuFo5BnC2ShA3BAWaKGT 1KIVHk0EwmbQvd2I1gaouaWXWujZh96UUhri1X2cCh74ID2tbvt/OQaqE0xPzGSg6zAxOrGuwYXm 5UK2KndU38Eh1XU/dJBIgbxJTGigC0AMpoQaKSt+pF/bVS1qXdPyY4SktFHGOKuQxvycyOXwz+Yp f8YhK4n7wta4DtA4rLyxoUkp1wle7hc7T1ER7aBUyUH5awmnt16dFtV5Hy9zs9KVKYQyZZDw4YqU QVkTE4PvPNyh7UX4JIXejYwUSBBtAkPwUcm/oJLRx/JbnuC7gMhvhE9c/H/sXS3E+o+tz3yOZ0ta FQBU50Pm0Q4B+OkWN3l7dc3GGNhT5jE/toY5Tt5ILQW/FhKDL9/pq2P7H95IQUy8gxE2vFOce4If kwBEhM3GgEnRnj81KhIkabQDXfQydVVHLwNfAuY8+iypSuL0Qa+s9pKoIQhSonY4kzBphNQKsyB/ MNRfXb8A4ujy+WatcnckAK+P1/5ZM2Aiy/FnGhAlpUCynGB4gxeIpgFPS7YCKF5R+AagjizqnzUc m3r/Kz9YkKLT5R1JS1DuZdn1PmLo4DcQe6lk/baxRx+NXgTQJPLOfkbCFKjvUMKcbYKPHDCtTo2h vDNcGLHZOCfQUKAX1RnNKZfLY05PdAsnLFKhQtVFgGGGfQPWm2WCivOTFyGIjNDr95m37v/Ukr4n 3pk0UYPxa9ZW++HjZj0xKVuglUARgoXQs9JWiuQ7YxLjBazdtm7xktBkamkHuDIdi29jOX2RwJ5c UcGBsUjpFU59hLqCf9uielOFJgOcbAu/Y3SFfKkQvUAsvOOhIb/dBux3TLbkX+wSTu0xP+3EBjon ceHKCytqfqleitX+Ft6NsXtC2I91cweM6ojbTc6cAFts1Fq8cymfPliVFvLdmYDF+dxeoH/mLS89 zmtGRBcHvZ3OwvMvoJg+Ukvz9jqVgqs/lXBzUpPWhH1lwYtw2c2hEe1/idcMSk1XP3SqUBdJ5TyR 61YaxtX72fmQcQAI5ElZXh81XD3UugKkuG7FJvtWyWHZKKDn1H7xfLiteyAfTwqpl9/Zw8TWGLB9 0CGrKDoHxt71/2R0UN3LTbVdA3rUr6K3E/N0NMd8o/e1TkJeSgsthIjibLKFCa/n90p8ym+bmRps XNtlBmgyHZ50rvUAx1Lwcgfnv+cIVkzqMoBaqslqlztGIylmbT07dZGGwcFP3TsVuMPZcdixqNaE qi0gwOFJq/Ts6XvDmfjW6YmzcHKdEyf7qqdfb3R46y5D8yql7P2TzqNwakCyVugXMjyA/vbi1xDh 8tJuuuYpNi5VLZ1EwXib0E2+JIlJcZ64tZ/6JkT6kqED51jyZU4Va8P90dIVINimcgyCAvZoG240 1Vp96BWD+ivt4dDsfN1fQ6TGxh4Z8PqVZmpPrZeiePZvJ3RNSyy35GvUkvr3qs0UCzlNpp9Zbd4Q vhBvry84iNUwVkXxspHKm6PREVomf4Apy+bH7iuLL6JJjFsDzTMEEWmv3QXsTuUSkaXYTrDv7RlD 2XppxaLoosCSdQE1KeyI4nLYkNPWnUo6JsiStBx5gu+Gk3lMXwzxRH+HGDndblMUlJOsMblM0A5s Cuih+oEKM4XX5TxYgQOohC6XNztz36QQK2+oOdmyYPB+RRCqVZYDyUTUnhPmCgP79zXpn+w8bFPi NFEmikK4/X8/hCPCyEGFn50prNvfWAWUqW8Hvk5SplWZN0STD7CWSzNv/N//sTCNgKHs28xGyVoW qJ0AVHL2GYS/2GkHv7xaOxZaiLU1QnU5hHVf+JPRoTvefq7kfW/W8IyV23AlDaMJcW/uu+bvYeWq WwMaq5SOqdlZ7EEQtxVBzBMFWUwvRynd8wY72DQ7QdAcDEFVzunRxQOXv71bnZiKiSTvgPEsB09c SVhKCsiYJNayEl7YEqqH/p9p+SFScKEmJnFYODqu2vsK597fP7KSTc0QvaTz2PdkV/JQILcxpKAi 37pgRwID5Kuow8EgYG6oYMPPE4DKYxlsF+gXi8rPVevCqJPcCnG4EA4gqiy3yJnvM8ndapsgTgo5 zTny2YitXGFS9O/3WpbKltsMIUqW2bVJcvkMNjPkDHfolenfX7S4tIsg0MHsKo5nqNkHno35OKJk YYzOc7/+V9DMPx9GGLFmDEjFXkDms3q+dRumDLpAyazVT7fSyNrwJGsMuoMKi8VdBdAS1Km/1G9R HALbz9VHGX3CxP+VhgJg+qMbwVR+HmVcHLt9RENuU/qneuCapoNB7iOlQ2JiYd7w9vfNN2meYTww 7vqCezFHbQR7Dy1NF70Mb2ZYbiB22hRIdWEMdkCrpleQyhYaz4Pujva9KGE5SPXiumrLEIkUYMQ5 rl29Lv/svNKj9hnXZx7VI+HeJWF6vp8LWV1ix4QihgKyiWBB9XwelCYkUO13Wr6WBFfmnEgc0Mch 94qnYlnUkjLXxM4shgB14Qhez202a7AH2FwSSfXlf5jMspzl+hK6QyTO8EKUs1o9+ETZkJUAUmJJ tvdKGBbSEHVrl4MYT8NEuddycgKLoBzn0Zn+9ZVkd7gletovB+k0clFHRVqyLFULILxi2/eMg1/p F2oVzUoB8nUShvx/YizmRIwP7PRjY4p217qjcE0Z3sA4WdyvnTRYS8zKr8tKviEhq6h0T/zVzrOu quiI93IHytmUrhXe6RZXMO+jtahEKJuSHubKMG4QKFTQPR1gx866X9k8uSHqbePj6PdqyBtXJztJ 31ng+VcIl4CvcBm9vKXwJY4NPAUeoNRIDNycwPmMNIh+Dqh15i8SaNzLSTm79EK9HpquadhIiui2 TYIUuSWQd5Ep0Dz0WSBpQ5ICmvSkWKpcOCQRfiliXZPEzDnlZSE5Gxr1i3wzAG1r/YItv/JDFdY4 aGIS5OLt7URDGPU7DGsXagLNwxRYB9Wt/d/GStue1y4cCyCfT9tG3C3QRBeGajnebuSjgND6qczs qkL/2Ooyq9+8lNaRU9ADa/8D9Sb7tkMVWbub9TacJLwZDC+g6NczYElGFq22dwPCW3qLcY2xlxRu NGtDTiQDiEgoynR9LzbQjslwa2BOmbx5GoePUGdTWrHMj3gOzGwV+fQYQu38fKGrvSj5vViU7Zgd f/AG82Zwfjd+Ieg3fW7KL6SJtjbtfCKLm8VVe2JYjssy3qrR3oRCqBbBhIvOmBZyTjIt2xirlHgN w50eoSJ+Tlihb9oRhXs0n69GdcP+EoJTmWUbxLhSo3T9TfaDiTrHMD/zTZ+bzzp143BKLAYpMoDC TX+ILkZucfWyQrSk5EW2C9WGRQ8qr4Ufp5Vu52W+G80TSEcAvH73gvDqqUCUqEVdOL90Hfi2PahP aKcd4B8JBZbU+ayVlRMapia0Ehsv1Y3mStjWOpow5YZsL+no4MxWK9kz5tKFtPDcaC751folqc3V onbVnj/hdr80eRcXT8SiJ0pV37hDtUOOi378x4igS5XP+AEmYaZLa5B6JVeSVSakMQiAebPrBTZi fzyvxBFpna4jGUxWZspK9qNqAZUNwWQyJPrZB7cqYWJsHcySims6PB+vQfqQjRg3h77PfUT4LAsF FwZ41752DZ9WjTsgUISwiZmoD7XuLQjtuusb5KELFPbDZy0ZWSx9aKIqL8sKuyGMOM3OSbHoUvvx ccY8FW2vTgBsbh8Ta4wdgyXa7CgOuIvIVoyJRW+3U3xkQJqLwtC1o9zsx/Syh+RzGSdjhDFIFwiy /mKdJ2bRnn2LBvjsjXKunjkpbdvK8ItuAACUZzaapPwkwZ1Sj+2rdBq8pN/+LS/B0lf8sramAI7f AaX0qcrV23qQx1rmJLbzX8Qnerq/dRF73mTbH7HRWV8jjpGvnq/B0Suie1pOEHq9kq5KdeYdp7JY NJOXiT9sL8p8VjpJ5njlPLnd8BA9AKMZc3a1qVL+D7lbtVI7+sS7TONImbHQDsI2YajGALbNK9fZ QWPrQSABTc37YMof3tqMl/gMSuaCrXoGgIdgj6s/nSnHVMCPKK0FJCGnp1ceS0GcTThwsv/9GfnR uQAQQus4WMMBHzxDwJs+nLnylQB4za7U6O0PuaVCXSJMl8f2PX4NlPYAXigizukh980wTQt9Oib5 /5PFTPefGSLthHw463QzM6hLG7c3vxZ67o5R+KNNKSQGkSZKB6w9y5SE/FGajDIVtkvZYAWsGiw2 Hg8/SWNAWxQlFubv5PBrFWfFHtWOgLE0pVTrIDl8thVAC4JQxFKjglivaL5tj4aeN3GFFi4vQkI4 js8z4+WeISZZ9cEInOOh8FGBJylk18grCYLJIbPetZTRT2wbUlE/GvRK9/3iWpNFNMdCG3RQpbxB TTcFMlLow7r71I/7H+HP163ht1OWEpOLBPE0V8IM8x2UwG6UaajlKptymsGKDgoG1G+VGTtKFygv mjlAEfHYsOadS8joOXAjyOyhHecxX/rDyR7Evv38MJz/jbrW4KOZG2T1GIPsRzdourma0nIq+Xn2 H90dErE9OO6pxwHCZaSdJHAfBEqIQsDXhQWCslLEZGoxdKm9jTNz/0J4QpqghMO8eeD1vrzetTyA jFjtKTynKnfTePOIjToTay/0lR2WYdJ9WEsCcXfskzeI1ruITFwwu64BugVcUlBmctUNJjvTS89L uZnewAO6+P4msroW/VfoX+6r0508xQt46sOaDNsWkF3m5ph2U+2fDeLrYifQoZ1/h1VQSDE+IKmb x+PhgpFMpNT9kbCVcE/vtc9WGNXyxmmik/9Ysy00z+3iCmcAed01P6qYBaHh+2r1F4TSw1egLq1O Q5viiDU60tN8ZHFxfaB9wLKCn8qL/vVNri5s3/XYDydYJBKiQNWRSZwBedK2zV4yZku+4nfYTqnu zamWIfvgUA578bflTO9CFoGu7mEV22wEYdL/S9ipzJ+wX25GYt6LE+73o25mzb7tmkavIa+EJZMk ooN5Za3qXV78DRSrTApUDad2W+GtUc8ZumLc8TOR5EiBO8zxENUUzodWc6TaX6hwakMzm9tDJMW3 MvvCh7Vj1o/JrlDSRkVGKXJLm/meN3KIw4np61/7FbwR2CxDnUKmpr5Zzn3cNbKB8A9S5wLnMcmj hpEluT8FzTg/i9vjH3WjxsJ4rmMAT41THv2niCUkIjTsRcagx+SWJ/Vzjp3hU77OObgzL9rzbEYD UNHGf+A4XcY1+6GbIuzKl7z9j8+QmdXGZAjh1ZJ1q0vn+rjwQCDhZKBrvbgpsKisttgiiXlG4Hyn AoxQ3tE6CM0E2Dol1iD7u4rBZm6/nmbiailV2KMBmDBLIPOXfg4mvTeQnfndbDTH34/7UGXMJSsI Rn3CTh1CdMGgsW2D9175doHpgyvSpezbbo+2mUblddATS1fKGCG6/PlF/AsLA8NDDoGv5KkNjOUV IMOaincKvFkDvByCaKnYmze+QdKiC9hkMbp7Wr8palrveDgaHCN+FvTvhRdFLV+l/iFciKCBD9QK SjovrfywcTv6UB8W8RXp8rh1U8/WVqofYO4MKL06iCccBHLzP2QjVixQiCuiNoWKZboWhJv9I/dH HjYUMfqwNjGGKrM/3zdJVJJbOvpJ/qaPeyXHBYZpS0CYNHmPj/a733gMtBHd8RPyrX5Nfnh7Mc6a Q4RMF2BvBt7pTJg/cx/Lw9kDcTwLhneqoYg7SdpfEIQMnL6/ksOTKmrGIMZorR+Kjxs/xgrTdf1v G7aNakO3LiapnU/9l0x+61BJD6gLSWevG2lfaKGOphxg6wu55/GZ9ws1QEXBamM5Ib2rUql0a96R PAJdz5id7RMxE5bXwinexzzg+n5845IZAnu7nAdchUBlcMP+vttDyrEdtNlEzrV+5jZMYkXbvHKu 6AfCZOmDblgjNfD6BZELnwryZtQVL9b66ey1b2dnlwADEdr5/LpJfW9PVjk1NgswAhaseLNXDaoA SU9pgn7lx1TuRGnavwyTDogGdLUP6FW90wOii5aDzTO3H2/jzUI0yf+sWH1hvaC4/NMH9ej4plxp yevxQ/9Q0ctDvoSi/q7v+jROsg5Xo3+ojS8GpxKOp2lziDQ2C1zXbY4R4myDicPAk2t/wyGqxosZ 9BZ7MF4hbgtvlwFgqoI/B4V3MeYkC01g6MrKlNHf2X3442lHKLGlhSBMMNRZrYSm5mNjXk6rYOwQ FZtVdAhcNwXq5FqMfDdjvSN3wtlENB8jS6/IlfD6nbGwx4c2wkgBiuombNvhpKryO75yBSNcLhRD EtZ3IlaKorh5VackClKf2SFG1x5RgTukeAWZuaD0t1lM48sdA4fygu+mVUUkXymUEO31HYyllcZm tV4gQM5DzdfaI268SuSi7Z9wn81UOwfqZp6pVGZH+fxdKIwXOia22E4f1MwIpPxl/X89uHWa8PsU Nprkj+XnmZpBR+JUU5KutV/dJq1WWzW7NhF2d8Q6WqzaPOPYFw1fxJxHAwclR/jqVcV4y25INTBy r2+80JDMhfJCeq4xgFMNrbJ2HFVlYbgsAJ2rEv/djDH3tnDa3qAbCOHvWgaHevdjwJjrzBO1xQdv BEnn+aPGQVKXfblVXy2ITaH3nl6aHJ4ILBxB77H108wY/+BHIYge5tyUpeHgXphZoAs0Iw08GUxP S828oO+DHuebnruvGrgsVEKORniJ6If8oC6BjPW28Div4IgkyGzvE0hM3yXBJ/Gsdq+TxfBQxNIw m0y8Jfg8PR9jej6YWvmnyrOBBkXI4CwW3As5I2aWv/e99GIJgKg+WP8XULnR9EVZi/kxmEZJRNYX HQ7EUQo+gku0pEENWhpkO4JYrrrUzbH8rI5AzZjfroJHUibb1/PP4kTNx7AAM2RKA4pCxel72yP3 9QiV0leFqofgat8hYTiya7EQdkW7X47dpcXygIsWysFT5FDVNguq0JI2L40YtrI2ovCFJ58dULrl fETPdh/OyB44CGUQqFFrmCKkqdTQ5Y9tBC5YaBaFrDftOdgsOye/0Dq99imJCAoTMVHDPEPeUqau TgPEMF/tI6y5CAybSMmcEBC1ZwppbjDXHict8eZ+sn9Jj2Jj00Yh2L11ypWlJFOZ/r3DE09k/zq1 aOp49jUQXLuC6rwwKxDeHvjB8gO/eUvlXrdzxFM13q5q2xDN9mIKi0QChfmfU4V6cylgDDVIALUv N1OsqgZylNeFzL6+gS6KiBkaHeCZ75dMYviZESZ3Wy+ykIWzOOISQbaCABaoubjTk7a3+yuwMDh5 q1AU4oKPHOhmd53S5mg9G2mE5h/MUyKETvNmbDE6RDPRhBi7P1SLOqnHZApboIYAlIClSDNV6tXc sJwHc+vSalON2TeIIGiDuzmRiaXfTzquAEdGZy4zap7v3EDUidz6A+DRpkY/UMGUAAUz+zlzVCkX wOFca0hQPW5YNXrIYIJ9e530hV2gpa9QVxDIXxhDZC6uPtZF8aTdv6O4QhZ5DKoEAMcJ9+6W+Urh xOW8C+F1UEU4uaLQU+VOZVl7jpSBQbRz+kjhGiIP7ijQTrTv5Uo2bu0FZKtToOAFC1L0CE0gUMYi lxg9GDjUBIYrp8z8Tx8C1dHjcv+UX7f+2iFsIOpKUAqt42+PBnqiCCu+Ujz1ZRXbRh6g9xFKQ5SD cT7z2VSFfMFPvPqHDClTHRQnPc1yG9FeDPYXSQU5I3CtG1pOvbbYGFzZbVgjzas620wevsVFxm4V TP87g7hGAXopGTd9Q6dV8etf6BWf+51Ih4o5rm4ZNNya6TSzS5A2eLSoWNPMlO3pObTuYo8OpNom C5NopYOdgOLl5wByz6C5b9QrUtCu6uLZphAsd2vH3GYLXFBO+Na2K62YfGshT5qv8slTjHYqOdr4 Z5Y/bMIf++X1gquJXbv7sH+WdFKZ5YwOGu7MucT8fCqmyBgSLVxc8Ky0JVGMBRD1qmXGdIUnMBHT uapjbyA0stiPy7BGc/1HKNT5SSYLse3DXvTXYNDi8pxmCuatC+N4A41bI+qmIBGEs+PN3yGvCcHy BT10FBMf3j8TEhvpX2WpPqfqQtZu/NfqQzKRo9y1xkRToSVRfJ4h+YfK+V5d51NL1PskU6ktztNT ITmQIIa1TWdDBFAyO2PK2tfBfh5Tk8ugbyfmI4xV3t4wLiDEcoieL3grjcxZv8F7Cjq9JACeOMug 73F8jHurrhpy4E0PkNW7a8qtuumCa2YhYpQ3skCbtKSrjWUNlK0yjKo6MDTTC8IiJgYKXvAcMI6W oej9lfvfu3KQVGG8PMzDYkgn4pcJlUq756DdTsywFiFt7sTFclHiA02Zk914ZufpiFn7JBwOiuHU ZfVJJVeLfVDRqJ72WNvLC/n7jmZFJ/8KPtSHOvjSi2JbGL+1ImXcmlmySk1/1Sxk8WRklekrr6WD YcOIBcUMhBDhh1zxWiBWWUZwK/zQDUBYu+3I2QpidM5VUzKUFgSnk/l1nGCbAMWZR7PmqR5ad2yI wLmcOs8pYA5LJwMjw4m4WDZcn+QrdwP4NlntZB3wPUGLa41JSM7qzBy1DnL7rF1iVZX0qUu6bzSX XtaamJNIcarCNTYSXCo72LXA5uZxs1MCyMb5/adKPAqJocmEoW+MOljZD56yP4XdNaGnN2Y3Ydq7 X8HxnpSAd1za1fyiy5eNh2R/MEN2PHSOC2g+OmWQqBBc/LmbcXVnq5tmvdW0aTAFyqkTrhasFeKp wr07362kDYkQ1sWgsvyPPP5TVLrPob/jcMlSDimLpU/vX7pk7IU8ll8h69CDvnmyB2q/BA29jn2p I2Qaxrlen5R7kgdYsK0lBwbqoWqK40dv+G//Ma5hSyHwyvHU2qsKv0JheTGZYKDdZWW6Iv56acMY +uHb3OI1CL3vR6kza4Oubg9WXmmvt6r7Wjw5lArViyalZDPAz9ywf6Aaa50j+WJblsvt4it1hSbd AtFjeoyop+ad+wRKD8pINGXJ5b1sxFlfyElK1fBwnSL56JkdfeN2p6ChITozAiwxs741DLOe+dnN MNZwWLcd1Pr2iUzB9thEeLmHstXDa7qcP0y2JCxoM5hGgHmrcGkR6a0iAMkGzToApibi11LoLzxk tkNk6op6azSIDNvtJ8JWkm6rq8e7hxDoj4Hm8l1nggo8exZudGC6/PgfWL7u1c4EWBVLAvYbZfaA M2/2BU2dol43YK3BoUdBUQNSV1isNQRFBjLI/k8mOLh/nVHkQpWswej+6PzWKOhmM8m9qrhXtzV9 UvfUInz/vZgnC0I3l07Wp0vNJwarb1Y6Mlez95FtaftuCp+QMRcnVvkehDPmg5j4TDxP0zZjxVwC W8HISP9Th55q+qq/5Do5e0FhVgRiS9NP8x1qZfZCp3oRbt2mhyhkBMm9hirqLpvNZf+S14dkQVyo NGvXshT3YVn2ljBeMqnaiY4pFXmLI3poNcwvZbx8MhbWMkMQA2pae2GUrUZNYeicdNX22Rq3OAzc /dxUBMhJIJlTrEbTBESKn2Kmf19WxxaTKh10BIYsYS9Yy+wPLQm/WOqQUfvIDTP6HT8JlvwVPsCJ y0A/biAPasZCVeGG/NKuzE5RFMqJ43IvzQ+3//wX1SwrIOEK1y1QZTVHO2IfLgBzO0Mec2/rLSq8 tCEuQAccfvyDpb1bo7l+SvKiCa5l+7slTeOugZCEs3YCeu2wRK+BFpknriHnL1Scbei/4g3g7+SS VSPDfxeS3ym0bWmVaB9JM5B5aKjCrEjSao2JUfrHKnYwwv3fSsdehwMPz08hDBnP2/8/rvFv2N7F AYTny/m15iP9EaXggZIAeLIabesCUW14Y13biv7VMvqr610CE8L/DFcjWZKTWW1bJ/YIh6gbTc5j Bl1t2XQLak7Pf497q2KWCdtyewcM9m/rgebnnO5iBOsEuGEPwvxwHFi4IniUfH2BRqShcvmwwYBb PiXgzYsrQ5aJFIUxuDuB1kLwXcIJHdgo58CqK0wwJn5S+LOQ7UgrLmUmmtKERlEjNgG7jgfAsczK xekHpf83BK7zT4NMXPC/1QjXSLlMuhIS4w8zgD2+dIo3SJ5UKqJlHUjnK1VeNSIEG8fE6X/Jx+HT P1O3LIUZOcLx7Q6td7Hrz/zIIrOHaflN8ObgIv1jAt++Fh3SmXukt8KDO5sh+vg8doJWDHQrGb3x dY0oG/JiuT8yRoIY72dCwYRc4xJ4amHTXDsmU+eKpojcKRUi+DC+6gyvHQS/VPkJ6s8cgKSIiy6K 3+rQeEWLMd701ez0/yirDV3IrAvB1WKUPtC+SPZqnLRMK7PtkL2YsnFgBnjlwxlq1Z3kFIan2U3E 8/bLT4CS5mqFaU5FyKCmwzIc70n1ncx285PM/xqwDOKC7N2e482kLFfjECLXc4i6vC9qg2nb0xBD 0R7rUsy/+NlLcxI0qBZqUooPexx+r7gZMi5mkYjAJhL3ApdSkDITurWldZE5S8ijJD+yQjmNlclQ CpG4+2EeQK1/0CbSdqJ9WGynvWpwGdjemUSQwUnz67zbYi/agt+j5wIejkJ2k9ssTguY8Un3/pqx 4VY8ntvrtA3qZXL1tCqfJoKeVJrBrXue4bnagzWHvKC7Z6t+Mj2cZTEBoVh4BObJIDQfPxJgFB1b wcAbt1KbWNF5g7XsZp50AVJuXlcdunJpvyOwsN0WDOASeePYjeLJ20/UJGekTJXnO1zjey/cRl7c twjDjmfF/MesqQwDqiFWgNUlJknDUnm/bl4UiBvOIARi5RcDhq1bNVLs+oOiL8hvLIoEn4plkfhd x70QuzAn153XC8GUqM9Vf07GR/W/mPfEfD6AaQ6EwSimVApZ0MkIraX0OVGV8sFsRzsQMLe6j1c4 iYdrOaWf24u9zfXfVW8TtoAod2hZnjIPMQr3LCPPPv3zulHzRGME3TknV0HG/BTvW9yuRAFN8eoS nL+Gs1l7lyh7UJZvFgKw7yLq/dLgNnh+xvlV+eZVCkGgzQSHJ9/AL/PRyA6K4bNKvrMGOQzQwj6X y0FyCvDiCZ0D3FiLa+wr+cYoUeERbeZKi/85SjfMuioH3HGRqu8bytaZcHEcd3oYv0r5KdiHLMal hcJOaa7F4psQ4S7uRQVB8yKZOTVUwAHI8qIs4l2ufrBBrkXyqN5uGmCp81V2wsToGSR6g57CxTKK l2mN5pTXrZ34g8QgDeL9zvCGvaFMi1n/yf3paGhlv9N6Ue4kvdc/zWfEgCRL+Jjfwm2eS3VIc1cE s5T0wY7UufSGKqilAfGMm19dD+9taDOtIX4hiUN9k9oaqXdTmEaiq5970ulzLoHxx6VJ0YP7bW8T g5LKAFbea3U1NQ02C+RtiNkdtKAN//Z40TwEFvI7m6iIjhgI8BGGdVNmA71RxcLQw0zq9NcEki3l KiZhT/+fjfQh/2m7DaB/Br1iYttO/4tYJW/o7ze4tXXfOrTBBYGGYRojQUdv69WYHyUYUuxxFvTu SC4HcI1UeIJ88Ze+MMBhWRvbAQJcdGnzWjaXttFgrOWbQUo+0QnllUWx07vtJL8AfKkg/haB8aal FFKK0qDqLtcwXsVv+lXQvbPzPWfQllWegZZhCwLcPYKvDYsNRw7W2qV8NO2QBUTeOBjYLlDaR2xZ WnldQShOsnfgg5sYauG9PTnVtpBfefb20IXj9qQTIiI= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_2/blk_mem_gen_v8_2/hdl/blk_mem_axi_write_wrapper.vhd
11
66283
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block X9tqRM1Ldp3veD5JR6nib/Yah7rNuzujWVoEJ/KsnuK+H3a3VbjPwpRdvRjGEEncOkQuCMKvc1Rz qN/qA11OFw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PoeesUIChM6pr56WGy27Ub94whBuJL8D1tA0f7JuZwGYyEMs06k5StsVd0EoEKG7z1AGJ+tg0B2T kzQ0c7+n+ZJ2P/bRGyu514RCetYFq3UF8Mv6vrJYj/Pgk+aaYtPaz1H5+KNAOGQOCQuoanvrrXDy JUg5vbMZL4tpy3r5n5g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lqTssHZksaYsGTxPtHCnsfkKAf/ogIUdNmDA6xEB3w5vibYgk0/dSpi6IDDPdvjkRXP/u+1yrm16 +YPK3caH67BdQxujJde/5wqOxELwT03TerxDcl/90UZeVOr8OhM+hKu49ond9B4/iSmu3s1tXXnL ti+c3hkm0k8aNzuxPYM90Q55P105XIeSzaajLMinx9SpmAXG4q+Ejh+WwVK10qmLtb0jWMFZjRw7 RJZeiiZ/ZEm/jewKU3km+vZlNVyJQqvP6atgv8diGGekUTTfOSuDYD9SERNxR62a7r0TgN63tVrf ihRf13doegqFKtUuOfO+L1z14sO0VdlFzyCohw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3n0mKG+c8a5rAfH38kljmv0sHJu9mH4qK1bFANq+x3cPePHohsazGbxedmTfsLxvQv66PmA/LvIh 4Dr01v/9QM3+zV4pWHls3DfTgpqMPa6kTqLB77SOqEVCZm3lKvNzrCMTRfxX9/24zyPOLCwcZz9K Fdg7fJ853OwdI6iwuv0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dLJfzkou4RwNfYaZ96WPGKCHnbE04rtkw0l85srAPggz9EJ7X/Y9m77gcc/iUVsRyhXfDLiNjY/u kozxXMDckZLER3RPrWtjxORYqQnFO5HbnV3CZYhcpiRjVjeqhQ1t7kJ2/usNJB32TiNK6Nh+j4W2 M62jJ4dgv8umIAnbLE86vgZslFXArNfnSiEVTG4zyeFP0VpBygLFcBg2A6u/nCoijDbQOGfweFAy kkef0Z/fdGYMKyFWaQtf8/3cImNDYqmsd/NcK1bXmFzbHGhDsyrv7/4Qdtz7hT0TLCLFHvbc0NUK 6tflOAhoxymvEwHsCmTVcQWaKKGeAJeN9wp3jA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 47328) `protect data_block xwoM7ayotW43xesP6+RU14Nmc58AUvvbInUQY5zu5Fuee07fAYPlDu/uZW++gVsYFSEjyTe2agM+ nUT2rLXeNMMfJvbyM1Hizf/x6iudcDzqin/4FSCc6U6Fl1RTyfPiu1TN6J9XKCgsMnc8KxdJS3ps c3eXfsTBLKvXNX2HSbkHtBF3NfEKdDh5xavueihC/PumZRS63EEQSJ79HXxlzF6cU6w2jeDJnv/R FGsm5NpxS86Do2/7eSCYWLY6gXORIRXYdil10fwtGh6cCNTMuHP2v+J5WuAusmt1v5cxQS3eJgUh jxxL5DRHK6xSW8IRN6bgCJIZxES+9l8d2A/OfDApumCCYZBW5at0o6Z/qN+Mf/S/YONjQtuBR0ws 5sBOPodmTVnuvq3cGM+QHnKZX1dV/bdSHhrMLwUNQzYzXgVlMuBYhTigc0xvpcFAzFWrYMOT6JuD 4XoUIGYD9ZAhfe3I1BCUDld7AT+UQ6OLNmIYWgefyufsqe9VrTePcQigHnHz0ej0is/5sGnnfGpR pcE2ELe4sWalWMnsQFpR+DI1wcWi8Z4E561l7af6OB5laaaC21HW6oJxRa4+qKd//PIg2mLJGzQ1 pr85MzA6TcPKFVpVULHvweWup/BU5brz4j8ldj0Jmqu6mxKFcP6RRj3NpVTe7ha6oZinhI4In32K vKBErNT9PbQPaWT9bkJtiBG9NHwLGRFEXyVjH8tY4mCkUfYg6uPqskzv+J2xVHPpmpiVyhQhO5hj GcByWGDoe7/AkwowCpj6qKanLWWeXYugZ/2BMycoI9ZH0J9b3MegrDLwUCl+1xU+ghbcLzjpD8bf Tz+zxJrSY6bvKsCPTe4JfmJCCIY7Xw5m9yP/+jIv84YKRG9fx6xSkZMo4Hmw1432pVm4D0KJCcRP HhW83xnUiFQ9Ei8esxpddVhmYjccIJ8yayb4PV5r4u/Ndp9lGQMiU1W3ieqGS61BgfbAMAJw14ov h3ZRqBxxBb7PhWly3xrjIWwMI2AlpiOkFLm04o/rAgfWLEdXtGg+eitLNnU8TYiX5v2i8PrVH9Fo BMUKS9vqPQl9LssB9nqGC8mmfoONm/74/UKHNtE6o8JE47Edj7eIEj5P6zOCHvFoNbIUcqqvIBbk wnFavxgme3bVjR3KNWRZnUcHnEV2e5O989zPVNEyQl+KA86RGJgvODbDhd/5gp4sVAFoDt+MIeWZ nfY4MTfsBHAxXhOopocWNlWy6PKPHaxOFHNjFjVlb1pVxTZRv4f51knxsSJEvS8A5kU9Vp7DM9NA FYlBQiTYdVT0J0Q8bgbZPerSQxFX9uUfHIsusrP53W61BnnSivbg/lCR49lQsWctCV5vY7+3/zje puuXmUrvjUAOR6QTzez3VeTn2a1aeuZHOqbfKuxbBS1sfyyl1El7a7tBaBqdu+Jjoq+m13n5BoZB Z9iHotP5gXoWtMp/Kl5+OtFXS6dxryRAvjplWikrDejQhDsQuauFmVPeowsFcBu3QqGdj7I1g7e9 hTAZDvHjR/qXScUGhfzzSKkA6uGRiYdl8tZ98iM+CCi5BjebSLd8WYcCF/2I4eRyY4bz7u08Ivl7 LGjx8PjLfSYecjwuzCJr+OIekwoCqMwaOLqDsKjW1/O5msBzyt318+xYE0mZxNCOxM1SSPSZrHnu qmeHIi+2o0Oi5+TzrX/yYX7x7R6ixJDwwP2l1fRtPbt2tJA87DtzuLcFlrFvOJY+9gpRUtA1AkGh eLs7ZKHHn5+Y/HVg1GvRe4L5XuKFTJLmKXjddLZOtmCKZmdY0S52NNkbdV8jrquQLD7LBeAJxzJy xTZilgHbXCQM1zFSSkdnrZxfZyu6laVFRPHx66GZR04iwLRnC4bj4vO/3wzO1MILuI1wcHBe7iIp cT6W320qlC+ytazAsLqfXezNsN0gUzxZGjSURnCg1qRVaXlxR6GZQeb3Q2rf0+3dcxf2ERHMbNxA Y1ysWFVd56WrIMhtlE3PYligeTS40V92KehFiBpnoiLNl31W6oPABE9ehB07sOWAIWYPAPDGsFX4 e7/ZSPo2dy5ncB2zX/Aw0zNSHBnlS8wOqIBn5PhX3dfWF7/9CUEdnpTKwYno3lxyNP6dFFuw+aOP /OqIC86InH6pRHg/y0nzJ+OskMSFaCdDAGoyduXKtPGpeDJuED3Wz6jhehLBb1x0QOXnXcxhBqTi /5Mjm3l3enuSqtninmRWR7DezOfFBH2EbDpx3iKQEbR4JIEpcV3WhdDpTz5IEffixu7FohPr9jV4 gGug7S7vxmTXQJ0MxydgbsjBRxANmLAmYM54u7E5qievaLWn9dkTiPViwrAiPvv/3yJZzhEtQ8Oi 5SamZ2Ug/HiM9oHIcq+q+p2qMFPLZSc5boehoU58ohak2tyJAtItLGTP2efA0GZ765TSWKVUWZdG F6hZGkkO4N8Ulvsv5xCUlNIE6WiCerXB1l4M/QJ5jfSV+gWKP+T2bHEuTvrkfkDHhNH9IdDIi4G6 8PAjKhEluosJH23bIP9Ba1gnZMbP30ZBbXBHQ0SsxNDQLMouXJxO/PPJ+iWO1ddfBqpxXMxpuequ P87RsDxM60zJ6/tow3fcVfJaixs6quFebw37Bfh+Fyox+LyzrCGLHrxPpZWyqSWIzSi+/CzQmFnd 8+aFlwylmOV7EgO6Ef6WSUuvkfnrL/z+mqZOtG9RqAI1WSShN3sfyVPWACpAPKi9NVt/l3Vp4+ki Kpl5L8ySeaFt2OlU64/EqIitiWZSoga5kepWtsz9p5wHUzbLjZUdMdsUNSyF7G+42NAlGxpameBG rms5cmgPx4v3J3el8Da0QxzB9HhN2Iy7idr8pVWEHg5usZ478lJrMTSGThhDOhsKRbkiWeMsMrLt iheI87LxkFjUhGMnMdPZSsHby9KRnRT80yCK4T63Py5Y1dj9gI8JctA4oaBdfqsaBWPJCOiINi/8 yFnesmDzjUDV5nu5L+tGLfeeDgzcEVIa6Qlx3KBUbw0Bur0KypvN2m7p4x052wcvfhXIoI0HYvHE dXOUjE4sbWc0DiDJ1dIcCWYM3D6vMjesAl86xDdmYKAvhCLLL1t88XE+ZJ1zoqQ4TuMB5GlX4phx 05YQ3lkB1D9HGOFhXoiR4m5FU6rCnPcgBj9Nsah7g9k2G5flAjRPsDU12ISSai4vnqjVvgLpW7Hc JF6qOOwDJr/fBXWGwJWwOn1VrXTrdXCAnOl6SkPVubViSceHDe1Clrt1j3z9Z+TR4Maeet220Bft Clia5SI2fRvNYJq0uBSnp7VKnSJOx6bs/2+mphcgAuBhwCpmHX1b2PBUcJftS3U8zHJXelHr7GbF g7yPuSAtsAq1CsmWaWY37+gmxN9RM8sjiv42enCfvymWyWsez+X1jO31r3BDHdI5CQJR1gfdVJnF OllKbdokHPyy8ZxXcy9LUmA09OSvP9gDCBT+Mnu6JAw0VUFY8r/f3tqevPzHdaR//fUKDRc0dWtj F0Xgcojw1dPHMsYjPTN+UIsJeFTz1/xK5WcxI7nWvYBQ1c/ZBSLbHHxvRbhWbcbt37t5XrjzQD8y +b5SubN/9NylBNq+A1VUixuu/nYmaSoJoICPPtiItFnIf4WnO3mZoKgBYe4szUmXUWrz4FGXv4pZ g55bdBMzb8H7I9gC3rXUQAXJoBoiJH/tj+PS6VZCIFIiWfj0paKTG+5TwQDpHklwEUX11YTdhRqK JAMTeNZYrDK6SVQYpQr6Q9oNfN9qIHhyEUI+ej+/cItLcYIbHIkfyEruB+qc2Z7VY01K3UgybEHc OCC9/YkFzYmed4EJ856AwygXbIZzVqQvJkamfNysMwJgoTvlAyd66iH4cyeHHq/lYESQYY/SCtiB UcRgdKbsIBUr0cHcWYP+4C8aJCRQFTIt47BtQOFL9gRgDN6L5dHpCNMwPmvlNL5fzPkrzUVd1abk Td9a/se/2v/I08nZdQhBrl8oJUZFv25bOw6U0eWbJ1Epu+I0RCn6o52dYvitx1BDIhzw6hbaYDXS OMK9MGkiZwC2UV1sj4ucrsMuSAjJSypSaT94L79i30QNQzIsMmABYZW/PpURtsVJcBNB1mRWRDf6 CAKxOQTrJoNiq6kLjHfApmhCqaxy/E8ll6hCtXwaYMLJXYXluB/e10ZySJ+7umfLGcL2KyaputBt dci4S5Sd/CcLAFRYUX7nFmHSL0FxUYB0Mx2N9nhnlFiJZuBw5CVxAFisBvieWBBSopdnx1Dw6p+v +6yyLgqhXqDUPj3vng+UdO/ORAyIOEUmYCVTsKBRuGoO2sAmVF7YkBZQRpUSCj4nFmjEoLCQsIiu BBjhhbKAQlAxnVDNy4Ko1gt/0N+6uFkciGK1Teet3Q44hUiPZ621JLdr2WvlUIgt7kfpGR9nVk8r Y/fLjBxiyDrdUzdsDawOw7jNHIJxkDfzLaLYmaPWRwOv6YH1S04xFYCtloFDddCmAfObgi+gAT+c QMXQvV8CAP2wyYqmD+Rr7Yyr28NYNPE0mB+pWTm1fpJTERPHwpWBv4FtBBmufEhLSsKogwm9TaUn w+SlXEygWVUXNmy/tfRocfxMUScjOYXWbiWDyhz7wsAhyNrqFUNLS6SVyr1Uh3wbSh2MxmtYRa7/ Fjaf3/zW+8Kud/ulCfQ2bNF1fZRIr/+tuI+MxMKT3uIzh1JD/bfSKB/dCJSUWPWhwgG82/tlu2Fh 58IhIbGBm4u8J+3H+PAm5XYm4gqEJJPboNTQu/XgvWP95gHL8BxbPCqdJVg8rzdpRkENJTaOVElD l+eWo3+D8BakbI0xRpX2lfgWjMbROEdzD2R8xloWiKmcwMzoPbiXgfCilhi4qAOuibTAO9f/iI4Z LIU/UEO+4L31BZv7kDTlO7XCbe0y42gNTiq0aNk2lMXmzkQG71Gh51r6EbzAcoa4yH2B2riE9yV8 qf78FswrVBX9mp27UW4MPxc5juey8PpWXgzW9wDJutMGiiUuNSuvH4Def7FUzMQksg/nunvXU9JZ fdWkef4ZW6emlhb6SGUrOb7IA5gT5BV9yioOt6R9C2OxJ4kzn1zrYsbXorPlYc2duBiXp4tF859a u0YbaTGuUHCaUpdWR9iY2XUae1JuC1jvo0AMRoNkjy5vg3APeLgjYdzxEQzZByhvb9S2njbNvobH qGTKgiQctyiEvmEH8StDUtMei4Fmc1eWEZb/YYtT5qNdGeRXa82+SUlTH37sJLQZjMJnsj/06DnI dxXU8NB9kZQij/BDNc0sW/VK3/nLV5/dkFvSfdu0vJgi62SYG05AlNa42dwEfag56J7K1AhYHWV7 lpZ5wvvWebgfXjsd9NL/Pf1KhotFAXxlHtKb3fLmXKUEy+VTzylluwxM7Lq5lEOMj5kwYvcvjOGT GE3H0cmI9sJ+E4x9naVFx7cdAPSGO1t/m+8zfsKDDVX76cAXB8Kx8xTSUAlAEnwZL2wM2ozva9yc V9m7fqfdvr6zxVqDx9vC1r03ozyvama8f4nCh2pva4t1OyVp2TbY+vjjadkHeprT6JLZ5Mm4ng38 PP2olzCvnO1goxqYXeaNYGWuIxg1tAKo9QJx9a+axZoCiBd5lRXlhN4QLZ/Vhl2x1loeU91j3SdL sFOHfRZVPtNnXH+IBqEVoEcfDZ8hHE0//qaMz1SEZ+5ofVsHUsOBve3qRB061XvD6mKHlw34NAc2 kdAX0252oeawCOljelEGDAFQxDorMms/gzWwR0iNFnGm4/hldS62vWbvY3JxcZyFLqgnHgCv39Nf l+2gKOi8IKeCesQ6rEwU0dAfP3kytqYnhKJ2mrm2cjSCM1OCsH1RkvgCZtzS+Jr2IDUc8BmYHnG8 OusLY00MRdBoyEObEtSbDB6IuMfwPGaUxg4d0QXCnqDfgCgjVpDGtZFHppdZtTvW+86pP3JLC77j THqH24SG5ne15KXzXC1CJqAMcLh7HfKJTpSSRdwI7PpHC/dE7y4W1sF+/wLVDUKMlzCW6fEVCitE o27uTuTblSqkMvq4VeviPLKAzO9RsNpOVHk/kXuwkR75sLmHXA/RehVl2G5cf+bnnqnpPDwLNMN0 2e2BndAlQ7qwE61Kwczd4KNQRrxaN2uUGe1nRc/x6fHD09wdO+/vd7C/rToI8EFF+wdXoDsGnAzQ W+t08fi4PglKs1AmRCG9cebXWUmjQpg59s+9QbQEtLBmw24/+7F2SWC9O2h/wWoYcB7fuNGkEIvg cbF93aAyrNYdUl6AWDQV9W4z+cgLACao3rz1BC9LrVgmy9ykwZGS+Lm2VeS0WPs18yGBhba2C1eq cf3wzqdfJjVq9Zf4d312MR5QKIqAdyyc/pSnp5g86QQecEj07oWQodBCT57Umobu2RUs91hZIE2H XqecucSudloQvPMhqU9vjqMjatxhlJ/4MYhuvSGgQWD3Vz6c7Wo2Rzg+7RV8S6Twiif5oFgM8r1B r1Qz1HOeL9nLWCxIxsT7QPftxb4Rffi424iU+bdDBX8ax3gVbLuusJs2P4HnhK3Ucmj2ijKpCQev opFi/dOI4Wp4IZU9T0/8PPsC0ZYDj8MoGIBtOiz4V+Ef+GpMMdfrjhAccb1H0kJgZPgu+RkyWGQg CTkpQOCzNicBwzaO6RUkJDwC0Wz7Blkm1AXZNjIjBejv8rYYIxYHALyGKYwj9KH5eh8/aigsEHA8 oXPBn3YMViHwALHq3hdEe9sCCMd56TA1goy1o6OHVXEwIDbRxAO2z/kuXRdP3ZaXz34cQACXXTt0 noYBEwaAmLHfyNBsUd7S7h4ssEF36PNpdG8Op/lb5Rm9EjsnoPVNxecU3yciTBhvyXuz8XZs696L 5nZ+wquifFLAixuN6jnDPDvRhqw3kGMtKKma2fvHZP43pa/djIGm5mp2/tFKCy37gL0pxiQmhlfX oXEZOK5T2wTdhh8FZhox0IbHa19dkkPgAYG/lXU2ApVJLLENI5XMJ2Lnq9yWP71Cloo52M6AbL1z MM4Nl6WFIp7vv5DekDQgSE81saEzbeoB1I7UFUuzJAd6f/cJySY6QoBdFNqDbbqWcHDsayBLvK0G k6e0YUV31BZmJUhAUHBoKQmTrUtNqsDbOqh2ztlfNgTDbbziIE3r8Vua/cvX1q3uaNkpCawgkCKJ rpxJkGXKC5iTuhY3k+Hgj+5Y3C5pZ8j0d8r6d8cp2Z/qNYsKVSGpGMETNeG2P04614e9XC785XBy 7AZdSeCvmB6GobMTfdPenuTWyXLk0JgljVZzXVhUpRVC5VSr1qVVRKZHMzNlleIHiYzWR1NAoht/ vPe2OHW/QlG8pLWZ9HwHRAVf3YqX+5maZfNFnCzp5+KEPIJyTqH9u8u40IWFnHKgD4TBg4j7E7Ns rfOCDvaBA7pUNaJkdDY0xVBTVJUEL/HqS06jqF+ln8oOy1DysBis0VEf0LQ9cs2L9hYgzm+sqxgu QSLBInD/uAto2UUGxy/KeU4YuPP5BaC6JJ4OhdaB+gzx5jvEnKBFkIVqpNH/SAk7Xn9Ir1N4Cl7X ArdMAN4r21dL8r9Zy6mkT8fQdP2cYIv5YZtcoursWrA7AZTuxOtLBmXyIs9qwPMzkXlrI9d8+LzH bb9ltixt9RKYDdS5ifiIuq7VkYhdMAZmiSj97qNbzGRoENM5w3MxJEvtFlf57SChwJp2akk1AFvB MwniHme+0zyFElFnFAk3DUWBpN2pFFPPyce/NxY0K2gq9GnPcRbXRznJPY9u4dYWcJQWRkZ1kfQT 73WwFk7bGs9yZ3TD9CKA0y9+Wem49Pz64f4H6Drzj0zWlJFSIprwEwQ2v1nybemZBXjxWTyIw4Mv p5iuO4cmNSDjZKAKvb+E+HHo0YWCq7NHLKngE3OWAIrlFYM1+JYa+wQVU/toaR85wLX9sm7YteHf PmXra8IXf7K0ME7TbF3CQ00Nyxl6hwkARpQ9rpx2ySLgPqA80CAQwdbnvWA+IFkXC1cu/hRtDaK0 RXNqOL0ztkxmE72HJ0rnXiRagG7rE+IrGz/dKHhdy0M509G0irOttbZlbjwcO4n63EUQ3cCOgcs6 DiLdL50n95Pgp/Ze5LOTAGJnPOP63f8c2InhH4junwUcPEgusbWJ0aRvgOVNT1NboOmXUrbYtHN1 GEFHP6GHvTxFLF3rkI5uMoxWUGjz4+apcNRLzgJbYf9IS/smlySq7803zf0ztEi0HnZYNP3gtGgW VRer1KdMozPEboWcspBopkGLW8DtgQD3q6hGdkHhjYhbtWuyTMj27ovtANcYehl0zbfF8qVj0lmg bRE+YIVyoPB4aTCcA+u3LKzJ2COLUjvyfNGGmxCHKy5gDYKvpikPaWkjcYIrbUU3Br55rjn1z3BR Ds9/4FRbEXEe0cetFnIwtzR8XUct8wzxoP45NggH0QdMb1tFuIKW8bvjVsHzuD848b61rF+WSXsQ lfSzwABumtLNc98WqTB9jZkWwAaTdJ2JoFpg1oUaMAYUjphJ713VIOJNed/l8WgeM+pe+m8oRaVt grMTD07qDSWVbBj/4dbGooNogWZw7ZHQPW7iZPan6KG2gzrvXWk3kQ76cKY6sHQyYl1fm7wpsf8l XU++hxXatcz2d+sh9GMPi3dXlUldy9MQWwWad9gdSB3YClTm0tj2usZh9uRC1CxwnXpV8zz0wFnJ GGx8rs6T6Df+9cd8SOIGoua0bSZ6pGnSuxsejKebV4kr5mVpQpo0l2LXeaCLCYdar8VkYsLbaPF2 gVwrxy52JQpgLDuS039lCNidAofoCcj0yLXJ/x+8Tt6XiQaXT9Ylz+vu2sDmIR59T3QYAhCm84ia ee/8AZu0Lo4GVu+Lc+YEt24jl3mBIvlssutnI62MEVtrla/qmV7sB+a3pZMBH3IqifD6j/jmgfKU 5z5jHdvHz+MUR4JiWfLklGMK+FbFZ+QrjXeOsjIXWLAEvEE95jWM3/fEAnRdgbZ4KAFXRYuuwN3g 0XPm5p7913zzYASBse8958nYmFvc27bRB8EsBVvPSf3YKwvzgjJ9bS2acvAFn7sEg5OEj4FJwiyk qMXEsYXyKx7jKu0CrQN2UMjO5fxa2foG1vHMzZBcnTEsj6H0sT4gZVOVWdr3WZs64rQuARPjsQ/o H+PEGJqPPDjRTgKgcMIK4jO4ZTPRqODz/ZCqqkmhjS8y14CXGHrPIIGoukye3dkNZO9kveTUSRBg uJRaxEHnWjjwyXTiybcicqz2keTUI5Fykp8t4hF4QKRxniZJLtqfl046FG6PTN0yM/UPrsv0L8uO cwrdZSTzMqG6ayPAIuaXvfLbVOTNAsOXt/Z75l6Nw2GRnW/qHyCk1QPFmJ3I1tN3JmSuWrf0dnjr fu7VAW3sUbzu3ltVXri0MdqdZovxcSyzMd5XGgPmq9HwlWChV3gMvoZizvG6A0rK4ZHF1k0IziHC tOHVhVgLmN3LqCWxWlGSN60VFaKd4e3Kl43ujd5zLDRNGPgwG9TJiVzt1G7c6uMZCX4gX3fzLtds pto2H/ACwNcX1va/B8dgTKrIO0rmBEsYDhtBoO2TEYsjoMGIXMyFuu1780QDA+bquFqqw5cAGAgI no+8xSLhaPGpAm2Z0bo4gZjSNI6cyyu1RfjaU5UjYyNVe3WZ9VsP45DBEQrzjmHFUKplokb0NV4K Z6Xf3Q+BxR+04IDg5rzI5YAn/aH7ni1dfDXO+qhZZz1xw0LoADbq2BKpkWLQ5YLFany3Li6cDjnK mSlRXkw3lMPAynsNAObltNAtnnVyH55gAaqSi19j1+csIjRIQf1eCV1K5kMlzS8SwlLzg3OnmIWO sHVCUHdZv3oxgm0yeX+vBZect620CpWS/zHgaSGijueN1nhz5vML3on4qjWMw9hTEwJsalICYsK7 PvK1bd//D3gZcqFaAasxhCxQYJlJJZPfPAHcYU/kN71jEKLJ1Ab0BRrFCd8DQ3ARhBmDn3nOivjP w1QH5VcKE14cPrvAdJ8HKY4jFTT11jRMQwy5D30EXAKRUgFPRywe6wy/ENJMP066g6SAAp3w+GcC /eLLEiGWfMMernodb3EJyciEfqRPZeT48QCxYwAuCDn2DfPFzxaH15NEQQfzHrNr1O+/PxRc+BCy iTtUYvVy64VA2xCERfIo7Fwz64qC7IVm6yPMw0I7tw96zGlEpfnd8sIscTH26jBpcc81QRnPKMX3 76T7vQ3cLmkl9tZVsnKxKdnP+cuTUvPf9t1fzg9kRP8h10XEe5woSZg0/1Vj/tMp45w9dj2MzjOx AOEp/mQd/3Lkpb97Hp6pvTx7eJ1auvlYcdbKgCexXdpWi0943iYC1Y7U77ugANEkB/kq/vHrKf+2 na2oY+scaEIAwIGTTIu10RYK0KC49wukFysZnkaOQRTlKLcISW9/Wj4Xy0kw/194yx94MCxd25pa ufYRMxhvJsZqIJNfCrKoe6mbJYAO77B+vGfFeCdoktMnmxJ7Ea4DpdTbr5O5Io4u6YZpg9tLvYL3 ERJX4GU9Krj/dmCqibrwyf9fDG9OAB4LHC6t+Y3H2DAomn8ALwBRhU7zIef+74JtfEq1FCuGJHXl rR7YKtUGuKL3RFaXD9PvfEhb0byeVDWcabLiukOuQYeLzMBkFAAJul3+VNvfkOMVMPr9jL0erzeP gd6EeX0jPilnkHl5cIPzNSCGfuX33WoYz4TWMpxcSCFfZqb52xR9o96RnYLXKnqD7fSFZEbSndFO zTbVoMD8db63TknMchGmkVyj5OxokztBfkGA8I+tjjusAmqk+HpwRR65+Zw2ycTZAq/y4Itj/F7w xn0t8gI5KOAtiSicaJuG5lrAUxC5YWD9Xd6NCTwCWo32VVCRlkQoj1nq4TFbdIytTU3mWLAxcK2f maWDmeJBVYSpzYubcLlZWLews8+ROKVgWkchMEDXO+eTQtavLT6V3tlqlDRlA+9GvSZo/lB83FIC E7icuJle1SecrZG0r1mG4hmJCauVCeyhRtdzakzKwbloQwBjtoS4NtIgL6SZvajee9u4oj7uR0UC Kh5ws7CLaazy0ZmsjRn2l38tKDVwXU0thM8hbEOpE4gGxiHmEnbbx8MCDxwJ9ewf51l93tWpyjHo bWQKlCg0lpLSOq4g+F0ZsvWgJMAdRhdzSfg/UTshQ0xouTwGvZyLXeDZydSF9+Ag6pOqef08SoTr DaMhKWkmxribeSysqls192dWwPWR7IHT9tJHCaS21CIAQ6oM8Rq3xbwSMjV5+LFitFuf8wDBlF/v t0kgqJhRg3F8k8nU9FYErsoiW8zeZ6AAm9Nr03sZVkZ/PNJxNV/aacLKqymjMGVhGxZ0TZDYglDW qzeW+fa153zY3xeggmGQXlLZHr9I16bYfndfr9eMXAChW2qSkKRtXJu7O+xacklEzI7+ltfT3vkX ydNI72Up4VdoHZbsg0lWR0pkMJhF+pFEb4VwgPM5HmAYs4o9VAZeTuFvvYmFJMZbaEy2Pkdm+an0 Dui33JcRyTMfCODwjFxm06ubGoU/MfovpBi9JJFf8le0RPMQHaABhaOY12NUPtyZOMfEAz1n8/Zc TaDBZI2v3qEIRSVKf08lf6Rm/J0Y5JJWIKF14vvTj3fr9jj1fZwI5UqZw7gzr/rD6BaUsX/clX37 g2NUIx3Sdz4gOhVAEPUlKIf1LcqL64rDDR+B1/WXqCtdViC0NCvMDqmhoR1MfZB3Wu8/BXERZM9g kzxS7HyIesFsRlPzXjSJ0WnOVspELXv6A9DmDCf1mNkBKDneaXg8nerFVzyg/3Dic+Zrav1wuC44 I8zGXGYLKSbRUcCDoS+34D9ZSJ10G8BIah8XJ+gQoGvlCfod6tttN3qFc/vPrQWV8aUTC2PIv0xV 1QBFSUooG62HtUYxbOd1jwqWv0q/KUPA6zjbtntwCQDvb/NklTKVLPNulPXKO80VZ2YGlYeaqQPR FuFnXEE6aMHtd8LINxgfDESb6F70QrBsMVAuSRWNd5zQE5tvVt1iTCjD1RjKrMOTqRijgDGQe8/m 287I0VZ1R1+ik7X2jdNNhxqQHpMw4eWYq0dD1adI12EtEJ+3eyC5G8nq8RMmeiqG3eXFVmuzxxzg OOcBCHevWvCQw6YJGxjFUsjdJj0c3367PcxFHE0KyTe4NrcH21zYrFPonhEN71tfFtvgOV6gpa4x JFl7Ljm6OpOVX3scnb98Foa40BJ8CXZJIySgT7BTSsuGOeAmf4MWwQ0dwHw9dtF9MHJZwNjClRss T5ppZSb7tY1m4jrCXZBnVozfDD68HRJq7uZGLKJQGLiR/u3gV6pGRz9RZzQAPUQOiPwNDR/b80BS hcuI+tD1cz64D4lvLEYOA1eQr+2WIfrwd6p0jORnEHWtzKx61wIJ1JUmyeNjljVXHpZER4FodOep 6fdtAL52OeYJSvaHLAWMN2FmcmrxSyE+oJgHRGvRU4YLJ8rByTB4uunG23htO96g8d/YQHsRjUcR vABDoiHl4bWaeF6zjd0bjCly6RN5/ExtCbTPiGECiPjNQXUZsdDSLG+rLRFAgY8d9OjeHCCgNycy eoYVrCiergT3u1lqPbyPtwZwqu7ewPeJ298lCyMk5PsVbxJgyT776YHWKRQguWLS3ROPsl9oz5Ek OKtSEsrA76gNo2jkhhaiTcWCeKtoZU8zGadyRUQtcDqCQoSuBSVDkkWF6TqKpE7gtqDdKTGo8rxz xtyvNsuDtbYAg3CUOQDEf/IaKBiG0Ksf3oFxtq+WMz2tuR3Phb/DDNw+rQNsCC63O3uzAo8h3Jq7 uqS7Rrx5IVJb1+8g/U/ksPcozvgO3h2M4wPfNw6xd8HHak8pMIBjAl3jx2U/EiHitxHvAM+fqmqr BoK1fdL+9X34QPfRldxS+H+el9dTUJbDfgQPTcmgpqqt5IsDJgVWzT8yUFAHqd7sikcKjZSiESbH IH/Jp1b1M47xw6CFBi6IcGgi69nE2zHPWLEiImuoorJQd9p4I4EUx+wlc9LMUxHFJ0q63FRSD4yC lsPeO/zlNhvsLzEcEgo6IAsQjdnIJqOe4eV+ad8l9S9mY7eGgHGPS/LsP64Bb7VVZsZpCRsqy4Yl Cj2yCuOM35XQsQvvbc3gCcVCFTWg5AVsydzYsC3J+i9VoRzEHl/SYjI23S41SA7fKnjzvI9kyHlD xoRnIyNpwlZI7PRK2LTMQ71zrextwzB4DpHuL4n9VJEkJRJIqlr6uvdFBACBG8HkIw1Gb28xZYmg Fi3YjV+KnTNrdC5iehT0n/O7zm/xeCVVn96L6Ea6uc+Yl6Y1gjKbZv5YpjanrtbLEjlGmBaC00Ts GVJDVJVs75tKxEvsv3VUNxXp54vIjqM4w8HusjSCRo5VVuk6cJo9byue67gW9OdrByj9UAB1c4Gb l6/dVx7VKL8EyyV8dezsx1xWIsJn7LPxYeyaHT/dcopiaeDkI0qS9nYUvWMmMISsyAX4MHK51Lzm majmk2FQ2FPE9GoUVFRYfOOicdM3D4kW8gFpvfOXhyGOywgbFfxtEUAhk+NbrYC+C+kLlbfNlK3S In6jXnSTDWUAiUEzZED3hEocN53o7OFHlUyi4N2mtp1yFO/F9Q59aqYtSBox2IgxK/EBKREJ1AAu JS8gwYhh2rzgN66MTyxv2oxIOiV3iJF1mzKlq6RQ84Z04vrr7TFgMXaMjE7mmpeOQPqPv4InRueL WLlpHIANEs3de8eRnwA//8nZ1HO5XE/XoKVlgbOx3B93YmstuOZnk1YAKIUfnRVOOavGNaqeJDtv sS+jGkXHT/eBVMh5T/qLfWaz/Ga8ipj/WEH0irOGBgl8sjANTg1ss33Pjg+xI626IlpMqBdxNe1k W/yT4hmwaCNDOAcXjUy85EOLp4xWg6M/XRY6Gyz3G80Nazv/Xe1D/E9PNDPiPmDyIXOS3WWUeQCV woqasIOrFYX0m3ywH9seIaGFzwf1jIsgqIgnpPLKJY7JD4ZewwZf5gcSw3A2HgC5PzHZYi3ieVx+ nL58oi98gmcOeFV0O70/JkW83tj7qFBwJptbp+C05w9SRD2Hn/ygtFDPDzHK0PdwtO9mR2GcCQMS 9lg6YLRswT764enA+h0d7xrtoNg1Xl5JSuJ6HSivdtCf8YoeaL1vltixxOnHON5MeTZmI/HvMB50 WwZwk8pXb/7brso+b1dXSf4GZrOp1kDplKl89pWQ9CdvCkfYfxeTIM900VFTJ419/fxBW44rK0XV +vFYFRt91e4OcDTmZD8wh8yZ5RtCPwixrojmdyzLoilqOcM4AlxXDkzlK0r4tp+4labg2FVR0suX r91Wg5jKXkQTmSclLyQf1wJthWX8FYDF4iDT+/0LsZPMqm67CmF4DyUsNzq1DEoOYa13gFSTDDHE rfE3lH9K9mah3TAoEk05oCNW09QXeeiYKLPDTfbDwrDedWkiMoA87fZjWtJS1LRaUkUHAPsGZuiG TGmKfVySFYQJqnQCf/wPfWOBG3loPV020mJRmRcwUjyox8IOlJzky8TdwUT5tQ/5UZx/M8C4L9k1 nP0zTPzzesaXzm/p/7RGo2OT/3Sbj2ujRVlzLQlihTWihQhfWXkir2v8KS070nuHkOQI9KuaEgGn bBmQT5Uc+Ki8bLdkWd4qNE3NQnLwXuo/jA0GvX/ShDjVE7e2dtagcGK1JpNjv+6t/+u4pZgxK+MV nvbTejvDKuC/gqHzc3i9dLtEqZ/ByXqPChooTjUuXYpXGYekPIfZ+5L+Uxf2oV7UY7PFKqM+LKaB HDxly4X16i+3w8RCPFTghp0TLmJWFaUvbomQH3DmIPv/6XHXtRk9/+DzqllGoPdLRFIqGmUcNNmk ouEYmBWvsBstokDyb6Zjk1Nrt68G3jRGwrVXPNikyhU44O5YWLofafCAl4Xbb797+HXk/pFQZJh/ 40a6GZ6nlH/a7y+N/+pe2c46zSsNIj34dE0vsfvnAR2gVVX2ldJyg4h4KwDZusDTI2P256v7+l2X w3orXZDlHyDhW6fo/3+Bqsi/rz9TjKRpchxOk3cZzNHPXnbv3OSvMwQT+3VEZZredyktN+w2pK09 ySqmMF3aaSNccWv1hIqWbvwLr0gBWdQfWdf/FmbB71ftclI2im6RRlMyNF4/a4ga12wfCOhiE8B0 yk74qtrK5pB2WJoOHdYORHBSXUWNfTUS3djc83zrAq5Qx0BWFZb2+dOSS2qqDLKgqHdOHrs2LNsi Ji1OKjC0P3biaDoEsf6qs8/oESqaiHE05+ZWkzF1tcCxHtGP608AKllQi/osVE7Ej+2eAIO3opAS Qg7KlISIC7/qdQFDPdnhG3C9zy8462Sq0wUTmRIJDJicXDcQJTvGgdtpKcOW6fLAOG/twcSfGSvm 5dPc3/Rbjfnj0s2EpRvbOqMSAlY5qI5PR40zR7J0bIy823UBEwFI8jWwLdYBPVHVtxmze4wxuwqk 2SLfsxFfMkGf203fX7MvFXWnk/4rCUD8REIzCzyGxtheEv0vUY+MeO116NrX1JT1E/b8E1WPh5pR 2AYeMAqKkTFPUE9r+ynQWf8aFUcA5VjlL4SnL/igpAQGppYPtVKPpaVNSpRYBuWwwjY+w6T69vDk qEZHE/NympIIHrTv49+mvPRCM0IY2IJsp/TAMXgwOn5K5nbDGs/MzOJonpBr3IV5YnDeyXcdbdjT /NCLcvAlo6HW0aQVi0P0avsyHuupUktWyRuupM/tTIyl85ak0CVNSAwRdAQI/8F191GbxhOOIbgu 9YOpkp9qZchun+33TyaPH0s2cmgKkiMJgv6sI5yz9PJ8V2kOx9Yowfq8Vl84Quv+qk8caLjlSI9b kZJO3oFrHIthFFggEVq98KrvIsUz7hWYzvI/yUQUHWk47yfBXSDOWUx9dIU8/+uB5c6rmXwaR7JP kj2Pyjy1NqVzE4KIaBC5wbU/1NkaAJWYxyupvIpEw+Hdyqoy53CP4XDjj4SdB0T4BxUSOOmJNx75 awbu+sa+wObqfoHVyigY/fuSmJhloMFn+zN+HEwV1DzMvJO+5Qzd3w6WqfXu4BV1eg17kFzXFu4M X5UqD60we2/P8FZGarV4qJz2Y1O6hcCnL8f0N8NdhBvnk7LevTov/Ag0Xe0ihnQne89DX1gjSJvf nVrrVBdPbpgp1vVlcIKwY1i4YdDE0rQ6dsek0BYReXO08PfLaOJnYrVe5FuyjxtXQUrIjYvtluMf 2obzKN0Si6QGqWcoE2CxtZdWFDskML9EIA7VLQ51Aki7Pwa9N2ss4uz3y1pFAz17AUa5mmOKeLfH alE+q3DpVZVJdjhA8DTWtvHjmCDzrNlVEzZ1PxSariRbGnuQCUecOQRDnM1oclP4s6zumzGIONop GQ9p7BWRIraeAc9rZehayZqOpGAp41jZq/ORp868v2qhnTJao3hHbnGfH3je756d9ApCreImkEfD WkxFXVguKhHYe9VsAzmULk+b6oGo7J3uuG7R80CZt+l09hUW3m5lQZB4pjVX2BHAdXPb0PG1bwsM B4Dk+6si0vhSUXJwVR+qbVFGTPKj4W8UuwlfcqEOwhFsFMnSl+On+oup56QJeKVBE+5ohWrf8ZO/ qjC/Xu4FFWIvaN5wsEcEEe75OBQ4uhCn1sIcGoEgCIKnmzxJJeOKUlhM6A76OdyicI1akR7IBY3U bLfWes6x4NjveoLA5gfyEU0B7vZZoRMwj7fFsr549xQp6a97mn96HoD2mwUIdpfouOBQnTKCuU6c ZAVLkabSJtfMcOLglmz2f6mIqsidr5Sf0JA9F0OmhluOihxr2XpgJz92bbZ0d3I6v++fpYpXwCKg tCgX3ATX0v6f61kqEpViKhgm5bjNp91tMK9OSuEfFVCq6wEy+uUWVhnLAowO1vsC1II1dSWeMLIq Pvl5rn/5TekhwGumOzC7KY4XkeEHoHURLlp4lY8IyPHskBXdXfVBR99KTnbM5aU3nYXPwsv14YE4 5XYyNcyy0VyO3Duc846r7sBn+53+Nud62B1SfGfBIVsK8XICk2Mdfz4Vfuob+Xumfh0AbIM7i/lz 85G/yyqfe9MOzWT7G23qgbpwXben5rnToCUA8scW2qd5g9ltx78MJ6vZK8bz8zj0I/jtBVC7U6GL 4RowA/Jv0CEWJtdIGyUqiJxZ1ovUCcrZgl8+pFmWZzBgS/iD/eOsSrbWWMBvVtXqXkLemd0gFb0+ 3MrlkLWf6ZcxFTqSbG1uzA0t5EOsJ/+n5SIXXEbIMcOLSdPmP3ccfaHDrINjqS5eIyzW5jwJ5QU0 Ju0hhGdFZelT3KOvdLP8IENm33RwIaq3q6LnDJjl6QutcZc6VD7Tos5l6geC71UCpEs9/YgVibNl 3u1JiY7dZOgrsJB3/bOAfvWz98in6HBiZML9zY2S690JJ79E+e4zX9Jkq3gDQh6bArzA5dXDHstx fdOFUXe4Gfp1TvfwpMO1U1Tvk+gh9U3M0RIWFiaW+5se0+mOC43CzooM/0bqABAoaZpbZ+7cOcFf eVMJCqzi5hOmfQf6Fi2F7awF+25jzzsiOKjuLwD0itTVLCxJhBh6fmHFwUdmmeado8nbZ6eU9DAC DCIBZ58N2TwQYdOi8QTSVkDnrYK2YUIH69h0VSJSLrlkPNs2xUucbcYSOxni66McunDyuB0Uag4o hA0+nNU+Ih/AMVGXZb8OfsTy6Ss7f1lfpUiTeBnrShu+sJu/hSRCiXAr9qleXV6B2uOTo8ue0n/h gYUMWfM4q+h2Kho+1MEHMvi6P0mUgNmloei/mws1emYpQ25Bs14MEnh4whtnS5W76PNIwCT62ajp Ngz0Ot+L7SDpHxOp86B1Y7wXQv5u07he58hO6R6fWHAinpFHHikCEilGFs+IK69aDexa+SP6PGud NPb9HLSAllBeO8zAZf8Y45LOVFsvxpZLeIqFOeZg4oxm3tm0VtphOS3oG3MKpMmRCovC/w5OZDlG qTRBTh3bOWYfBihwmFkyRkgYbDUMFnWRSZA7l21iVQZPNcNZXpvq5IiGKgv/BiJ2aCQb3QiLa3x4 wXWKx8Z9esZJVO5K2DipySWELuXkbEkkahzlPdVZi/AuuBZokmsUmQ/XyjhWvxk4vPq2uvuVxEPn jTC/PTTrsMiRbSfOqYRyUOgmuZM3Bkfg+LV/wwLUHfIDjtPZR5uMC0D3U3QQEKzzMz44txQ/qwaJ jKwMsrSy98M5Ai57wk9iWopxyRYm03JjWe6Q7GKYV6BpLH7h/xFE/VFZaadcyCEM2qD4W8HKssvj gf2MquDXv18uH/yI9NaGLajORHpRxyavScs7Qz0C/cZtXcckYjzYftP64hhsSJVDkhQ4Ydk2+Fi7 5PO2eScC+TATibuNlT6cNznJ3n9nSML6/jfJZOiuqWP+yfvsea2OaLCCwAopI3nHd3xEfUKWFd2y P6cLfuCZBkP4IWJT2lT4Wb6+mUPYYuuej7VOh6THOYWpQ2dlAqRHRM2+ohAd8tc6MZkJRvt4My6i rQqYQwm3QM/2zgj3W4tELxFdOX+0WTTO3Tvto6zzLSioaNfXiCe/ZuLyGpV1G4LNvaXLy8WaaC2J w933kUV3ooX0F6669d6EoAQp59/C6OJYe3EycNYTd/5rjj/PCrtUxX/BxIbnM3xxh8/wm7bKl2iy Dt4/Z9wIAsU1U8RMn2NHH4BVFaM8FYXrRLjaT/AxADi+RZiHkdFStc/GUbAYnIh/rCDjaXlJpcKL vYac4tuwRW3kdNDh/ZvzJlReGHh8tYTiLQlc4iZArW61hPF8Ff5b50PHrnKntgkduOLwJWYoCORg L0sHw3zhU7AtWKgSB+deUnv3JzeGHg+sStZqHoZ7tRyHdNgtlKM0dnYHU3QMIUvxuWIWPG6/q0QS eg0Ydk+/1pqpNXWU1qN1vji2xMxvX+Bsy0MyC7YY6H7codRvgMJV/QxboGO0isYahV/n84N4c9Yj 1j3bj8fjVj73uG6KN6PBtCdYNXMFyIadJHYlePfLLn66YRvldJmMclVLr/qPl4DxOqLx3TUDQumy TJTMxCIwJHPwJW0B0MciEAkbdhU7c+YydkF5HAATQqyqCWtTJYLOwLyC1nH//oUVz+Kwz1N+S0x3 zQDI5e3IHcRihomfm2ivs/gWxlNU38yLL7SP5yAVdNd/KEd9yF67R5r/fZA8L/LNMEv9twg3OuNO Cq47DFiL009K6HVelRCvku5krqyldj3xAxFs0jD/xGjHJLOxLlttOlF9tsHTVM4xvsEFmwtt7O93 mGzwVLn7sTs11eDlKnbY5dnwf8LmxfDUHGVONqgFzfPl6yj1Go8v0ZSTciPlw7dGsexirY4xf0HR FmhzXi98zkUFT/buK2+2OTQWrygi7XbzMX3xo3xkHMY821xsMJAB5ihByI2+oll8PeY7l/J3HIW8 mHeCBJzKY1z1BIwhVg5lZ7wKzHcpbwPVHsKgcVfXPG6uoVtqOvxy34aiRV7zRy6vakEd54qo73o+ ZyewTR2iuM8xdz4FImc6mDus8we57rBef6IjMLGPhZ/wuD3XCPl1hX1cNo4CsSFX9H10+wa+vqdF YOu1ZlXAD4On3paHWVjpQSH38SmBJ5vu0aFEeuzujSLB5wRudEbzO2I8+8hDEPDWcbjY1FkbLCc+ z/2lyWv4bsoionl1JHf/fG69YqhDqZOpiayzBCV3vAK5LhoXPdhTQYJqyNd5PP3I+5sZxLNPZThQ Pg5TToboce9/7cnXZENyCSUnoX2LyQNTJqB8r3kY9qMIGssB1k4UM8LuhiZxOJ6o42BgAz8YIHZ1 uzn3/eol6LhjjZZl5lUXh4RnzgFwRy5wlXK4X9iwiOH4diThTjwNqmJdW2ahOuRXblg71FQoYfQI luN49nLRS2h1mfmnCATPbg9wgg+kaasVKGzD8ZHpjCSQhr3AqqESDXFa52DbiFuHouCtZ6iOGWgL c7RUD0LLf8OjiSpA3EdbaLZNp5Z344E/EIs/Axk4HSdM/n3+HAce1ki97KsvBU10b+Epm1qWPFp/ VWAqDsJnklhGavoxoC6uTj9tzGE6UiEjc6WdmACRqzgyhE0/3SjiWV/3GHcHA9Pmp5Vg49PZqeg7 kx/vn7HjIPfukitqTWSxKzuW9lGL6fARUGs2yRHuklmiCm+xVjmhbpkMb8BHxDHj4KCjV6AuKlHZ gRkUS3gmHK86HaTTURFw3VC78lOy/9S5JTPW/KqxFY3ecXFMZeU2y1iaQLWeA6v2cp21pusGMgQ8 3LAMznO5lZRX2vQWMA+UuZi3nM2iE16TDocjEyxT2QtexM6ELtm6RhhGgSfVshxSzkXQo4OK3Gk0 qrfo2LkHOi7XeOmVnKiJhAgzOSp02HtIfw1RqRRb5XIPXAIcdSHjDV/P/Q1Mc+EABUkQ5PwWVHPj T7tIMv8KHEJ6zKRNa4tbEvVbZf0MGqVYZuBLJC00suehHdVftm+zuvUckXi/UChWyI1wNI5TpGJl 2HVfN6N0yterwKkW/BW9Dy5nKjlf3PcmcxvYSI0J3+a2J88hQEmOYfbD7A9XrNwvzGgts8u5BR7m oZD5hsoqZAKq2qpiYyjntdtWvFC+SKKhV4mLyeckqAHX4emkly+AZKIfKPqnjA1XCM6Pl6ZWzPqL iipeFBCxsMHFT6V3C14vojduyuvyKZvIqldJavTSw7po5O/fuxuCjggzJ3W3mNzdQVaIh9haDgQb dbTHyAb8vzJLjF6JKo8G4t8NS6TyBcbDoYQT+7Bh9jonbhznSNVXYuQG7+xbelDnKT6YYWeinAsc nTbHlyqugPxVTkiq1OBDQUwcNRHofWSxCddu0E81PPRZF444pMWVD6YGVNm0mAxqJ9bSG4lxiTDs Pg75jFMSm30Y0xgV5TPcBOKc6qAsW0cDCgd63tw12Y5Zm4Elg/ZoWVBnA3WmIfT+nlf17akELxbn WQ/m+p5YudiIC1J2oXdgqzuXLTFBOCovn7ub4/NDURhIyuk6q5WzhUQwuaI5Zn0GgOxlpJNwk3xU eTF9HkcznsLixUs+va8frO83+BihK+POjoUKa6bNvJlxcBfOqGrdmHUO19eqrAHCk8Jd8Wa3BwMO hnbLYjjNvwZ7/GaJUz4VrmcH8n4KS1cnGibTu7rF24JWKh/iCv7KKbjbt2O3SGPff+NupI3Q/I/w aIs7JQJosp3f8p99Is+POvAkp+db9tZWDimDZok138gjDgJ087XFolSwVp3rLMSUaWf2LXoTm7n2 QE1nTKays54Yr2LE1yJRSbJG+/zZRfx4PVcwMqAACm7m6R3S7Hy0LugLvES6SSK8qV6te0liH2TW 5hVnnI0CHoDFutXs9j8cechZG4obvAIgKnEUmN/hIKu8S8rHnZQGt7ER0VqPPFo54KqTV38gBpwi 8cFSsVl0TZHA+Q8ArSYQlkTYnlN94Qny/03iqDFdfNxgYv2c5wh5/+GWkamUaXLI9+eIXMO/N9pr JQuGDsqdq26z9eSyB2kD4MghFmLcrjjsb16rON4K1EFeleoPHtNX9EsGICh8+Cmws2e1r7VGNOhX PaKWzsi3wA3AvuUBY9arPHmERV1AfHggAHJXZ4FVD/4c4PVSg0EWAaJ0yLsIYOnFllCFkSCEhUmH 14mFGLAa4/Q2ARj0z+ltoZgngBCzFHxuqM5DC8Dh+SiTLIsHQl2JxlxqcPf7sxJkEx2Od0rfProY FKuY84+YcP+9Cu4TueMg4Cb6E86UxaTdFdok7v0MQ6eMZnjj1+gpd5lcJzGpzWPqgV9AN/bBeMB6 yduvL5rHNNnbmYfHOZu+6s8G4miB0QMongF2pCznVSwaLH3nRYA4uoqLhiF7sT8djkCS8OyVyKbA +Lc8XhvofOE/0DfaNIAPhRx9pMrEIk/vJzneI9RsCyOQ/Kx5Ee6K3M5A/1EyjcKKS5RqaQ4TPabo tVr0kA0V5qJ55WE0OsA3HZQAqz5uLAEOKPhOK0DGo8B+o6quoOtfdFIX6wllsoi2906g6mDTVXQ+ gE3eLTvf918GUfHFhEX4CqGUYkZMcAp+WlOknVs3qBkc04fwabUGWhO567y0DT2qwq3EWTbVbtgT 1X1QDnllYLhebvH353kuZdz2shBkpGfhboeulGUYAEYMk0/3N3dGPGgUi8Hi4hh9lEqBCQax4k4Q l+IV7jl1Rgujz3qIg7RuDOX0CZORKOa6I/fMdh2VGygKjCBh7ZE8PcSGqXiRucB449aOkMorxwkB DvpGv+rbhE/YNdk3A14M8dKyp2bvcpOkR0ObI1h36jitjyehde0wBIbNDMA3KXDEJ2a+AfWMl+p+ jygVBPRz131NcmItlCSncXIUI6g5j3Lr5goZ4aEU6iXlmQCm52ZtK5UK6S/Y1W+ymhRm7Qc34G15 CpN/icm8XvvuuBdPXmjpI3psA/Vmkr1LHnbn/KMiCdmZCSIWQSIkftclc6JJK7VM8jmo14cTzJDj 6hYVkHHCPuDqh5d9jqT7TrvLjmZR+e2kUhOGdOflHs3Y6eeneJEVMW4BoX9bPF+LhOGN2JkMWqa7 2jZQxUXhIwBAUIgSzsD4bDEo++fFLoozFqNO1vJo7dVPJW/7omxxD8kWyVhJo5eGrR1B8HS/nVYD el6hSlNCVQBmybVBb+ArPSvbctaY5bjGq58mo91unoHqvKnz6OkqqnzpXC9pT6ClXWOS6Xkw0zd1 zwIyl4FTYXk3stjE5EMuxn/wSdTwCk+dRehyolvTC1aVeey3ustoq+IeJGygZdX9mcDw4JSWAa+t 4F0yDYtuH3YGuEqDnhrfCw7XSX22/Y8VxqH2AfkhEsRz4zqANUfvf8SUAz10R9vXxIMchuS8GWC+ yctq2sK858Vbfxp2nLWCAxYz8VLxbi7+Tx092dH0XbnzH5D5FTygOi5+8xHPhISaLcyeLqLoly7x Rv1BV5gUy4noFWnkkXqmXwOcP7549YAztKCsac2zIGvyV8ifS58rvVkQpnYGfIM5uiGDi7JAcqYF VNfzYrLnEOvXcn+DvQy7lEtmLjP6/ncsllLHd3MVYZhViSvrYsiVyj2eCK/sA10AGiNMv+/3Jtdu S7zHcalZsKg3Qrm7c+HXTPenFAk74YIoVWidIZpba9RBugi7flPnbufvbczzZOHbe9o5iK9m1gcR jQCegVw2oHtqyYJIgb4z2JPKpUpNOeZATS7HkS9mzHC2MMpI486RhiOprkSep7nGPWHifx5uuhFC +OILUP0uRcEfFYyMg9MOcIHL4raX3nqI2c7YzJFfoH7wfimXLAZM9MfsCuKiqfBhQt5AVSGa4VbP obobcNGNQxFXUUbWcEzu3L6t1UX/oNMnEgv7uYV0ibgweOF9WRctZeptUrAio2a09j/gWh3dg6gz EmhzhGTYZEQ1S0p8KmUEbUuBqLNJHI3LqwJdXVdVXFrHVuW2jzjDPdhnIV/JBKmtlV8CHZgfjVMe ePHTvTs/eTiKn4pSsV9bK/iJWH0vxMPZUiGnwsdR2Isn4nHIrwczM7bCNvSxaDiLeLA2MmEiOEDE nsZCpz5h4rK//7ozk/wGDAGf3bQFiLfZGkv+pG8/lLR7ZM0ONr2wDNr63afrcog2dOrGKusYOp5u AMF9sryJ/Wb+Q1anIoOOWqdDH/mgL0dvcxHZXX5CWYNOOzkaGkzZOyXIR6t/zhAKbnzJuQUXkKJp wKACWmVDNw1Gd8rC4d14SgZDY1Yd+DuFNKhiO1xOgvBDHd3bvoh4FSsCP+p5XyS8gZKsuNfao1qo klTCFXYn4q2uKYdK3ayunYQUcBaEz87jw+dSzaebzsPPOAKG5DdC0U6LE2cU2auibMhmTFKvAQUi RnDcZbPZMnlzayZXlRSWeBrxTgXOtVI+TJGfU2Pbod2ey2H7PQKjp8/7n64M1hKc4ah0N6Ed6NZC yVNn4C9kU4kH1tQ9+WtAsGNXTbvPqZr7czDPy1pEtt8Bk7aknXG2x2zE+3d0gt1zvJccfqRmFUoa vLwH7gy1zmyq5jZj+lXetXoX+lDbUppdW6CfhpzLAt7nBFSCIVgby+/h9785kLQktgPsxc8yF9BW Vy3f/QSOmQd/nVBClB1KBPz3nz3LdFMCFk5OL1h6HhF2lXq7L17Hl57E81o/frS0F+xpT8mqnNQF 2fGDO7vXYZf7RosNYeylKTj15bZ1cVZknvSQmIqOyDulgKy1+5FUF9jqAHKyPbGixw/+laB0hlTO REnzvbhLs9oSVq0DSKolnJB3ZvpXOim33s2yzGqShP7jAtpmxQAfALFUjX0dF+orhYeFgtsBL5pp 0E10yQhwsMz9ysm2OZQIbhcW2mxT6f5GsS8QWe3atMWtZIny3kByiqmULABu+c1hkfoM4tx8ohYy A95PDtX8kCxHg28A9nkrJsZK7yh4WNkjWvzqOAfrPGshjeQNMn14mLYpk88F3XVBY3HakiorUIX7 tqPySdVV00lHSLJlNpM22zVAtH0YBUcu6pfbj/m9gMWuVyVdXLwiNxfoo2hHnyzZxgIjT0vLMy6j xc9quuyruQgZb8pFlJ6BVTDQNRXM06aRE1KUUabzTuShEv/5sFwfxk26rFRY4lNe7Mw2JdaQsviN idtw/wyEkX6IxyjZIfFjqmCCKzUYUXBfXryuLalr9XR+msBOqkCbjmQ90SX0d5mRZOxaTf6eM1Ja D071YRng6HD2C9rPiAIrSWpPTmYmixUtZVbP9HbqxPzXJ/BeXIuJZQlr6rihtkbvB+OttVFjw6fA PRgzixwfGQfRnWycKmvsyar2WREkq+4IF38znEZl59xP9KDouMY4gB16kpTUL6wwrjkDuBxaVFvJ Rfqu8RcEc9BY7zDhNbG1xB+AFedVRMdQPmiLQj7z0qlHYOmhLGBzHxATAqXmQKLOw9MlVpTtDbJF kPXqUq3I8XTs41noDrdCCwDkbqyo54/wsaffXs9/DIvsom4HFR164KCTLHB1aLke0guS6XjfNKXz Aeq8WuSLCVGCIEoKbEUpjEQfjbS7UOtmTpUvWRDPle9CT7N6v9G2Z2dIKH3iH19eAv95bB3CzF6I LIV+jGiZA/0NVYZOPGCvJ5g2YAcStkJ4eIctGChHFajZgvQkQT1rR63n0357zJooJaBKWrB0LrWK CSD1+MFx0qlfZW1VMgFo/M5euaWpD7cgC30bB5ZqNNdTHG2wmaIrVBeTNU4aexrQtqF++wVPx1fK q54f5Esmo1HxKHa3tAfOm9KsI/bU8pLhkJyIkNBAQw5z9599NIaUiBu5lIjpcB4q0+Gw3N/woVE5 Onik1zXLhSqK8wbNqfMEVWExuEvdFy9CfCikgitdEyX7nhJqX/AjhyzbWZpiwTlFgwl1yNKTYDGm gue9Rjrm6u+B7HYY8YyuqH05wVo45bw3Cyp2ZB1pNQxSCC14Z7f9EYJZz90nureCPryAUBCnx5OE QEQrUHlFnHuyX+F2AxQfEvd17qjcJ2xh96doMvt5uNduVFFuaVxnEoLcE6StfdVfAa+6FjGgJbwk 2517hugWHGZjOYl0dLwbayXp07tVeRaJfTuCMkbACdNaGBr1Z8kWv8yg6BpCHa4/tYSEehX4CCfA KXtHEgsT3zAvEX6bJPF59qmheJl+jH4aAK3PK0bvjravi9w1esUfX8wxYqLviWJ4pWxkpWIvpGur rZb63oOgZkRzRr8miWoDep8FlKMdYUn+tddzArt7u8plSyC/iNx5WOjDNRvpVFpeESev3J9SxRQt Y0tmsmhUvINQSzXGoSkV2LXw14cgoMWS+nDx5L8pwVTBHAssOh1Dez0iz5fkU+0RCKAycXl0B0nZ 9tn0miTR/W5VUn4skr70j8VDDnf9RFHKS62zKd8VCoSCZwOyEK1f0VPYjhvTyc96UIyYjDAb2kTQ HTwkOAmmKEQqn9Lh/lJZugE5bTLjqHkGFWlg9RRzLOYFn1RoyOVbAzTSl7WQnSjLEcXtlYbFJmw8 zwcOGKYRchicLPnKSWG/NHy3EPQN0kN+m27wuYpg71GuMW38WWXSaaU6X3ae8b43c7sjnY4T6EPm u8Ar5bYFxIj8MYMjR5XY8xEPSX5jcbVv+HKJMTS1llgxlfxf2PdGuwhgjav+XvkYvGi1z9Es2stJ jT9dCnQBwRbYG8TODpiXlyDu5uI2fE/WKhNYG5FVjrPgnOe+JVGiSPXsFtt83DGxYo+k+qXqwHvV Kxdrjb+KHX5cBcTvAzVDkSTZnkUln9/U10XqTJeE0hGt5pinN3D9j5vUjCbF+unESyuNLSK2Oqeh bTUZXixcaOlMKcLsSLrNAXJhHnOwNgmru79zUN0CETCJ8BwVETSFF3SbB/kZjMfAB5zL+xxtgnDd 8PTE9f0CbjYc1ng/XlQXsQ+br+D1LmH2Dn2ENYT9ykcP7/LjjrVmJzImWfGXYVwbw1mkVESyaWP4 BAH7NC/mi8/o2ncjsMumdbD3jT7IEPsfxTrut/UoS6VbmJAK6Je07J88FkBcDGyPB5oT8FuTacrB IigkLnIqtK3ybV101RvZ4qWYVjbuyjs5pFESjRc8dYa9WNk4uMyq+WYm5etZ8wP0cH/YLvI0cKxO 3Xh3nEVPNcGlAHsZValfWwJb8EvDhwfMgzg3bbHGy4VIk6PA5M04OTYEg7oUYcrA0i0B1bUVbrQA SwCbmwvRdT9oDTe7umiObfsmBIoceNRpPpkUoBazb5GtwN1NQTqRTlMMkzx8BflVOZZ2NiiBEv89 0QtuAvbYCiF3tic+4XNlFWN1NQ3w8MEUjPn1k18JH8Hrfxf1fbBzFflAWSok/HtT9zIDqHj/rhkN 1II8TkJJsZ9Inm+9Ye6ddUvNP4I4AspeoObuM/qQdu4MoUmgFl+OA53qsNM8E1fXpeUQynbvtbxx W0sZOJBIIZ9O82lEfX8TrLud0cAbG3DF2apoGBNK4DU+JaHtI7x7xIch76X7AmdgT1aNBJRGdolT a/GtACPHGRI2PiKtLKySPiBkbMlIr69qt7Lb5qkj9eazpKeY9Ls+Vcd9hUbZf+0BR5KqDiThCMoy ZtbCTJ9MKCxlQNw10mSneo8VepuUARdU661DYIdNpJLYCd5qeLNyBEHOOw7q2I1yDOsBQI0MROYP f+XaOThmX27OSR4gdop0r3XoY/WVlAN4EskPqngRA0c5KOlW9f8Zkkfn8k2cE+5ypARLQ/wJk0i9 +xVmrnZlfAMZBhz05wh6fGACL9XARZzI391y+MEc750tDuh7vlV3JRoKCIIDm9eNCyKEk/X7axd5 yPuKr8gp5BlMd4+c+F4eYSRw9PQZ0SYwJohN9m0dCouSHeekOJihlSUFdre0FvF8HNxpjAi1+f1z DbZ7Oxrp7VAIR+8h1aVebFGxMTIl6l//idRut2AeEcb9r7hAFLHkt2JYsH4ZvcIAeDiwKMcvarQL 6Evewm7hVjTwoOgeurU4AK/FIQYTZk5n0TEysbZjuyfOCLNTuXZkudM+KOpcveGU4Fz2Je3ziKAZ 3cfp+FMxW2m5O/IVON0DYvPFl2ztpzPV0odsuOo1DUA0jYHaSuf5xnA6p380NSRObJUm3ZCaxJZB Ia8OXq7T8fJNN3YISED8KkQBrMi88HrQRctyhcz932qNp5k3Oz2cG96+7lRKy4+ihOlqlp+xsedL 2Kp7T1aHsd2dPTyC0GSvg26/0GftuZWcLJlyr67G3nofFhrMAuq2LBwkW1kqC6Op9l/X41JV7jyb xWJijHT2amrYk3NslZ7G9OAC6XbgNobi47u/zNfROLR0RUpB//BgI5ws0q+OwN6YWvMENB69muPU 0mROS9A9wGrNotRlqFCzj+3pcWFcHb3H5sXhGsNs2q1U5wASZ6Xh3LnSvl6gVNYBiv/yWaA7bBwz KDXgj6DiE7CIryj8n6unwlbEvUvF9jL3QWQh0D4gFBJbvMF4qdktQIymxNYPdppXt76Zql+INMZ2 z9RNohNFkmF/V06AI3ieExFyHfsglN3k3RaQlpmZszHXlJWh0WN29xK+YZfpRZ1JchW/erwtDV0x 1+6GtZrYWgHHZ5b3ft1tCxecUgGdZUuAJyrPbOlkjMMLO3l4SXcX0bdNtfSXZce18D4hGe30rpMp OWFxsrQ6BxbtuQYDQaCyBpT9eYGk6pBmXxAjKFEjp6i+w38pQRqVZSURgH8aEUWE7omrUlN6KZJh fLzbdkjIWKZgjTdeybGK2GZpot1NfU84AQLFsP67cKwrzCqMG09MHDdYCon1lYmpvA+I53q7wpmc ZLjS8XgAFJi48eXbIX3YGqFrGN1uxdNhlFSH6K4Ul+72AEmyhIQ1uba5WteP02LKb3ArbG/zMibi yBizY6OTm175DQcteAM2vQfm/JnJjLkr0KL9jAwhq09SRiQI1/pfFFhgXfjGO09SnqafCB2UR9r/ ape6JADO2vK/+gKo3x0Ts852uKK3yKKRHSZo+tmU5K/d4saqE1xTLzMHrYRLm8D2BUCAJCkylmQv /VrVzl1/lRaUmlT8CYS5FM8jn2lCy3hIlX+8izNMruXj5wMsbUdUOfG8w+hVYOgdX9ptiBlg2RrS gxlGvgBGzN7mjgPD7rB5unoEMWcGgumCr3vaF2KuOxXMdj9XRD+mqq1qlpDVED2qQ9e4OV3LIYtL yXPaysFobaoT89vBQrCcXziBJ2QaAUW3e0KbP+KgTxYeFBkh12OwWCULLx8STd27TnPp97Z9dvcu zTNKn+l2SvUxpMieaM01SUzU5Uh7tgmlhHfYC/2YgT6Czzxx1ZCmclGsyuhllsFWaiAW4ftld6cO U0IBTghF07wEykoqCElGfwqwyKTof8A+cWFpw5qEs/dkTBsvoRRrGDJChybIZW1iFENGogQIj1s1 B7i+F605dpZE70XzWrOjbf/u+xojmi3iUqrI1qQUI3CcRi4WGgzk1pfdQBFNDM9wdWyB3pM4X+Pl qyt+y06DEUz2WwvBWSL2NI9WRcpv4J7ZCeAuLpW2ZXI89oXMm8NRL2pp+HYgo9AJ2wnuKuv7pVwe GgpASkxj6F2PBJlD/13XD/MzQaYVGoCIvECf/eWXP4cC6P1bypnRzCduXOF0qdof6+JE1fRb8Gj9 5Gq8p0JWfMDH6TF/+B1AJ+GiOmSUoe3NHlHS7Rx2nSXdwlZDsvnS5mFj2niCB8LXqbyJR2SkNqC8 mrKXwg4kKNM5HRphMKlCD17YKodCTJF5eF0OTus3l8b0VI7VsONMu/FmyNlQ1M8SDUqlsTidqR6L ABkaFvnMo6l1i/G4pLm1WGpEW84Ad4xysLdLMbKXH7dCob5RlIOxW88R480n7xZee/7oofCNZo4C TQfPMA4RIgtRGytefUtMQKcxzPUa+qDhXDKm2t4QJ+Gno+M5KQvAk/cwft0YGKcTaKXLFlCh/VOG kKy6dJ1JTCM+e1cxssrvDc2OKVRaQ119bQ6yBnepAZqYUyv42Dxe6q5SHGeW/z2C9IQ5Z2fFnx1J e5W3mmvICbHoSyMvtwZ+ptLC3m1PaP8Q/Oi1I4tmCulExRx4vE3z2w/GK2oJr4ZvLmYwF3n+5GWB 8YpeZkhsR1UcnzwWm1Tvm5li8Spg53dO/UDdErp2ygD1X5YVDbm4H/gqZdFEAUEciPm03+JytfF/ TUm/tMJUMCYVfQU3WISH53237Ky/w0xWwy9sgg2Os0zCUAIzGZUrFaw9tJaLEbW44Gnx6T2Wgker lzJkLqYlR0u8j86RahTMoGiAD/fhANpsTu+XMXoxKkKOKtszEi1gdSJmbcrXHfdgjb/MgsKys5z6 ibnE3HikFK2pNva85HPf+b9UvM8G2VtiTF6NUxVjUdv9fDWusA6bSErPmY6ouyPJmKahWCVohKiT M93qRr9ZBeyTIPxyLuP9/r3ZWisamCRsi3J7+UAhjgQ6adeeXKdVweA/zANDLSRhijMGbGhq87vp jtIpbiPMsyLp/r3pilx/2xgYPrXo/UPK9lmE4uZiMQIk/Sz2+YItOm71jtWS/0jp5U9nMNTaZJiR W9kDpX9aY+nZb+f9wyw8UKk9Z+izElkC+Y92oXg94oluLIRVmCO1c6nX2S5lR+iXshTlWFsdZQPF AtauvZksa4KJg39fks0c04zUKfcED/WCRWVRJ7pCy6ql0H/DnMVtDPM3RG/zFCbxJXJdBgVkTav0 9TdKQHemNJ1XSYwi7uIeraqC5GqUQCdNm6HxOfwQTW8+R+Pb065zQpKU48nUQX1xO827zGw4k7pF 2wR6BMvHqu/j7Aa/XOnPiepJyUF8SuQB6SNRifCbLaTveIOLPL26fzkphTa+2uivoZMtcelxPKpU sOpiF6r5GV33hVS+hzSpW6/rIacHohzlWIkGSY8QA0tI+nL4cxTAGi0V55rs4JH34DVzfnJ4DKxc VPFftO+U6BRBpijyYRdteU70C1etCviWyXK1OGrfe3BLnSzoobYdcd4qa8DTYGCneRpmR9PG5H5s Q9OYPgzY/XvBeoDenPQH6IKI3ML0MQVXFtbJ1YSUrdNx4+FHP7hvsMoVgFJToUwSbMhd0DqPExIF TZsjGk2q8r71f/+H8TsifYo7i8o8/L4mSzlC0OsMWLGP7Y7zYh8TN0kzsFMyWfcMOgpz5HbblHwM vJ9f67481YC0Nv/9qZEIo3dEABZe+DDF6oWEnBBZ7ZurR8/OG5Uo/gXdFgs/xkb14Wi0vglhOln7 n2055VGyfv4e0IlGlKnNpW+U6Pa0N7Nh3IFe+MgUvpJWzULHBrv1fHo909bJpnNmBcPuyqcUW4O8 K6oVvpAX2DU8l+cdZeA3fq45p7kvuGP56LGkn3DlVJM6QB2VtOtFrQZF62rQHKTPDlptHmTV7C+6 DrSmkOLx9XxD3kMbh62EXfeqaWnPYiWys8/7Ekbe0MreiNSVk7vA4tHUBdTrzauNrL37YpS0fuNu cunNMrjbsA4Gs7elvvoQ4N6Exra/I5POKvl0i1lX02GZWlxoAq10zQ33tVFlLMyYvY5fEq+YuoJD bwyoZC5CpKlhq5B+qy7WmAP91YdjYk94SGquWwa45NHuVs/YgEJuRaRjsjakdILzAz+Z4KouEy/3 h26MnS8Eg8yVJl2I//wLsKEgHho/EGkdctCNoGloMpO/FdJUorF6AaDFFVeDk8A2i0r4x8pmnrG4 kYV2ibBRvJJzl0D+6rzX2/W3WJVcR19qUZU85O1soznvGyOeDwiMoJQyHAT10oP07tUciT3WfUZ/ gy/lyAKNsxQVv3mV65TAyqNOccJ3vJXx5UrbtPsLNZEpU1+P25WSJowHnNDzize2muK/mGXx99yu fi9o7vzJcbMvTtHNX11K9lD5OE76N9pLdrihnP4RSLcX9zUTCi0WVMj5c0+liQvekfx6AOc5lsQH xGNfZmn26DUK98OWSJNEiLmd7jG8G7JzVk8I0vchqqpS98Axj8GUdtE5pz9W+I8y7gRFiYYH1aTd Cui5fIY7exIQJ9P91GarLb7jL77l7YRR8OwzkmUTA/g0kk48n05ImeKixHaT0ugDvPj3aaDHbqxr P8iIwJg6/95VPF0E/Wc+kVlWbhJKZ/7BzJYo6ervNPBW+GAcN/2hJkfTF6ZD4USKbo08EUFJJmLZ UdS74zMtUnXgK+8e7Odme/MnHUE1M5iYRYC6oY+ydqzM7npuTbpQrtpZ/fBkpBJBLGOhMslXNCEA U5Ff6Mg985HzLe4QtCjTfV0s8ENyfM/PabLDDlpSx7tdj+PCYYsRoBSMgDTiaJz6cX4gNUoefzoZ eDLPM0fSvrLuQhUXpEg36mAJ/+K/EI9fTuAarcDhHItx/rhcA3FTais3DAGvn23kH7lzS+fTjbOA x7cL3NG3sNLwmlTBvN1Dkq8pzj9sXHjcvyUQ8HitFbyFbXGw1QHQ5+dlllF/R/PZDIaEQ5a9hkIT IGuwQ93y+EL3VIuE22LXvw7ifLXxOdBRF5+/ZgBCFlXomRk82aEb7ZxZrcYY4FLFTIfUu+KSQQIx +d/dCNs7u9+Se38ICIGdWxLFktGdxJZHdC9wgdOjCZkXJvtF5k7VsMAV0lwPYiuh74bItb/mcom6 DOJpD992F6WLnsEkCu+hutDz5Sg9t0xrnglv7pvxIT1yLbsIzR5SxUQMxUzG/LcuKRmgv5mqtSas R7KWFkTkAwN6QRFwTCS1KFWTQApR8ov9uVcsxV9dknUFwbKxdMzCyccZHaXwJOFw7lQZLJgYe3Sl lgpHJzZfdOlSMXcU7kkETLjpnB55yG0aA9B37PXLTW4pfO28b4IqISzP4fXaFDq/WtKvpDvW0D1W Pi2CBn+AqyAlPTZAgpqpaQ+YewOnjrj5sxs0VfH4Yqc7YeTHSavXgYD2vCOvjXgAZJyNLgu2NUWj Dq5I760WH7b722yFBmuTNsr15CqfJmMWBkgtRenQbMl5DBUoG+iJ+sF4f5MKdZZ2tctoOKQa2UM2 G2kSY5dkmUXmgZvMcaIHI4v9vrM/jky5Y0Jcxes05RlU4Uyy021lbYAHi/rR9twSRLx6Lk52EDnC Nxc0bCPxY4DFqyJWE4vlItKnhQr1wbNDseLH1KfG5gOEbv7URj10bb95nQme6SHNFZbKj25czk+V tuxEhB8wUbSK2CEqu5V9Y2mrhmjgsjrg/IF+W6g9UL+7RGxmfQv2vcy6vyE+kZbl5unTXfxAAp1J cEjH2Buo5xPROs6dJbtV7JamKu6Z2XOWjPWUihd+WZS2ZCwXnnGUD/ZV0VCvLl5OqEepABie83XR /dCPOoyqdzgcCeHEcW57APe6Pm3xiJ7EJS2SYoRaXUzUEXb3Xhs+i8MLat2/5VBejLuuJWG6/Vxm RJ5mpy58OOnNA68Gtr3yHaAwzEqlt/VmT6b97ju4a27eyLREjkoFf6hW/s6k9NbtZHfbB+Y/01AF lMU4X+4nLSpFD07JA1W6bDyHLrILP+1FoVgbs01LQqHeafeyJjpLDeDXKJmQkeixsHK9NpHSoBLU rr13RNa+FQw2EwVi7Y73aKOdbhHo29siyjNYGfZma3Xz0WwEw7jdbSiL9I8CmNybsYvp15evyvsi 88GdKAbOeqiEBgs/w7S3KLFXo5eYS6HoPBKxcCnNkTLzrSTYhHO61lFcB9jVPqy4Oq4JyoEVn7BA asdMoaZiQA1NDPMZzA8f9skAYxJP3PgJAkHfTZiXB0uSVTDF/Xmfq6v3yKtX3trk5z6EXOVcZt3Z Mcfh+PCB6uaDWuNMFYZR0shu+HB0DxQGVeEKrOKurDmyVl1ErRlrc2bLhNvRCCoVUXIK6RcxALOY wwiStPBAecsSOp4457wOCVbGElvr+XFVe6G6mVLN5KNRiIy8e1twJA48R91PNB1e8vI6Y0/FYmgq WRt4BXfj3mkZA8JQAW7SFNjdqPzXfRYKTFBl8+0+P4Xzd3LCFqHquMsfIOYuuEHuQbuJjm3uUuj7 +kzVD/BNyc8r1MwLW7OXdAMu4IWV1UJ/qp0yxKKroNMlXek8Vyi6GtrSehX8DomaMsdKtgSYPMP8 BcN12FMPeIRWW3WPN2vyMGpC1WVcIic6uHQUzw2SgCY1KmDDM4vrd5lgIwd0Y8f6twbmp9ZHvf2U YFLYmNdfp+x5tXDfrQB74KsScE2YZYcUmQ34OgH3Z5RLcMwgTQkmaO90qmUxGkGKVu4OlbXxsjJy DFrqW1CdIrmjmFBjx2g37JPHwnLeaW9PYTAp7MLwOvD5Al/vUiKcG/acE+kSFgumM5kItNRlsV1I mz4UdHxZKhSR1ktJvkiNvu2r1OxNoG8jMjpP++x19RsJ+k6H+KI6ancPe2qtT74yXgsH6erzGqqA oCE54bOSgWCzlWOTpegPdvERQ54QfzlIqea+ytcEd4sZTSgRda2qTrZhgz1ojRSvg2IPb6kJyeEU xpupBnr6wf+UenWLW0tr9Mmn3D+OdGy8rIljp/68hpIiurhZ0LNsn5dDU6DVGVxYTaDlDN14lzCP 17gGBBWrPeJ7scpljzxyayBohqGYwAjvqfooPOLGF1FtlDVlzjkkIUd5cLrtIYvZcPodYW6PfiSB MH+RVqqoupmrQyPMhOeSK/iXdj0B10Os83irnufv6TCYun9ojUXcbeQMtWGHk+wVRtAEtG2VkuIC ffRFtMgP2rSgP0l58C7aFCfsjZW/nlGlOwX6DHAygNbC2twOz3Z/s47A+l86O2LMkJGQBfrL8oBY RWFIYYWESu9Ilt+Btqp5B3iVQPryZnyftYdstzvqCTNauxh3FZucWSuAjzEn4ApRdfam4eZ+Fk/V /JeRHtnzgCsjkla+rXYj6wTPLe6C1UkVe8tGBhLuMXFqrS3aVtY/Ogygf1/n6RTXwY8n3RnZrzDr VHAFemRFqM1NoaZZwmCNJrXDABSRIwFtRHjjicPqQa8A2MDw1o2YZ08GjH5/mRZfoLsmCwFEMZ3h keUx2e3s+TWDjnVmV6DVBwKPE1Zkmz/ey5nDq3q/w2sjo+XT8wLteYvw5bRUl8KF7gtswiqbAAY8 HRBd0DY/C0PHGDF7fvSZmBJzYK1hNk3B+s7OREWW67Ydy7jEACDBEDwWlYmqph2TkdEFf3vVA3t5 L37euSraYxSuAfE/sfITRr2bSTO7cw9dTrbkcm9KN3lKy9WPkqAZwyZOl3MVZ3hVUymA6x2Zkq60 SwrlN5CDotCptltQ/iOQeiKwEDwqCYWFkqJQCoIzyRW+YrLfqnopVqUZ9fpT1hlqvISeG2Y+E2ws 4i4KLo9vh0ig/+nsTu9WAnHZXNU1NMO2yeZ8Ow3psf2X+/DrFvkoKoC8HUU5OQUZM6YZOIe3pbaO Xh3UhhFK5y3S28suEQEYBQZVDzBWE8gzdmp9Dc68Dcsb15iDnoFtzXuqd9iqJUncF5eNe5ePRP1W MiONWRXPPsaaPqCq2FMyD5RSqPYqC85FFCjSrTTbb9K1xd7DyYf77dbNYsnn5ka96lNykG/Eh+1z ovf0F3Be1rfHjz7KHBdqmpAvas2AlypaQP5It+jKg/0QjiiirEmSxIzYjJkxrzluCC5MAatCzGbx wC8+yPhbrplnrcyNXYcWYiRGpwu3yBYx6Qqig8kngTBxOxjjL8UCr8rxhrJ/B8iuh6hunkGR+AFp kvSXrWjkF72GeMbwNy7GfTZaoyGH+WEnPDx4+kzwENf56Y/jFkEgdlYu/ROscfHtPDLggjBf/Yf2 dCz7mDESoU5piznDgqArNXEMfhWaEyP4qUKqRKVYOqSCVyoMIi941GA/s+KKK16QbPh20a2Ai7M8 MhuTsLmB2uHm4nUuKPkpECRkGc0RkHWfcwOCeCI4cTbO1//yw6F6UGpt0j8FXp2P6f6OmKXSvSFk gLXoGov0QQPfshE7/oXtJkl4G/vbQDoxGx1yXYjdHOCXtBRrFA8QLo/Q8Dr0kiWiD9srB2+3jisr Gfwbm0XZTmZkAPbTn3VFFvuZPs+nLFyQIOoTLI0D6gPdDaGAGuJXMmL27hybBs3XWg3c+QblQsRt sLbROA6DfnegYWR7+Gcr60NB5QGEKaQcef7TVeXiGmwnqCYNR3YLQxNl3SMbGsg0j8uhiPzMPEa5 XZ8yKcoN33DQd6GvHpQGFkI3rmVv/V1C2mkime19dz9BC+hAlj18PFTJ34fnVPmd5e6aDd3tTlYo Ob1YUYpZG8DaawQ/ORFlNGxJ+Pf7Vts0vxuO4bgAdlyg2JoCH8bnsHBW4cl6Xkmn9oMgOraqngzG ViLf+mZclA78GRJq8YNG6r8KHotH2clJLADeI0uXokFXvdEYiXP5ywFc66tbZKZnyrYzZ9cvw74n zwxUcCRuy/VVQ1cXA3EX5CAJaGA5lkwiqKMls2DBVFeZJmd7cXiGiPzDKxSqrLHuhmUWMvijjMFw ETMh6mlEegxVOP3bWCPE7oBSINBOkcDmXoVLCz+k6YjWZjr+f85a7SC9BbcJusAFk6pR9dz3FrAQ tQepUDUoB2agfpHHHRnH4m1m75JJsnW3dutxhhQxiGZqPftZp+zo++0JDZzHfkkGULlROcQ33unC TRA3Y8QlU+AQL2V4gbEF8ZrrBh8f+Yogc6Wf4/XWCSzF5YKfe/89XaovDL3PpSkWqY/QuA/8VEtI hR+xg/CYOiVk0GjB0aWuKw7m4oryuQEq8q+SUPPKydBE9+Nb+dEohFASCZvJCfHu9cHihNEHzKzU zmd8hHxUS0vHIESkn7FGTsxxMcNkzzfkSIWjYztMzy9QE7KcXvtbLe7U8PLfJwgP75TPv70o+UDp PH9nTCByJb49rFMCZTjWAEkSdxOu3BnIoGDFsYMLtUZ7Hb8RO8SecppNtbkHkIbiiW5J6nuyWvd6 8ievTtU3ZaBXR0Ez8QUcKYiw3T+e6bLaSl+Q7D6F+JuWkYwndRNPk8paT73mTKtzhOMVLZXgkiDy CnoEeEpQdjE6SlpSxP6CHTY+oqNZktVxvh3n7pEajt0stv646Sle9i5aGUqoW24mV/r2mO/I21Ho dAZbZsKFXNcXpc1Ob7BCODRYwuVDs/fYuEpW0vZGgnj7luSiwtPzHZhnE6Sh8yGwULC3294h5V8U R9qv+w1HQ/vE4q7q6IvLmNfbfauVqfPOj0PmN21sv1bwTk+BRlOgz9sJDKufXrnw1pKz+4qxWno2 trLmFrahy6tcj8citdwsdsSmFVRwABX0l+9Dc8ZWlTcfCfqxty1KPjE39/oM/zLGiXyot4Tq0+H7 HrZUtd49KWR3s9WdADuhyLR+khBFDWWRqOSFqAnuEJ/qiu0ELkhxSUYwF8lncqwssU1PRqVT/n2/ vKMk2/WECuYcJtKMcCcaUbP/sdAbO/EDULQOSpfr54Du9MT665pXZnLnC4+t/oSReqEoa8d90jJz 0Q+YOYS1jp3tr4/h3Qbn5BELwTb7kpYzBSCsOl7Naws8JNNLUxMi7G/NyPZ1vZZiu+dev4atwf18 NPfxQsyMDr1j289NbIBrK6ef/Rqq1PsOxxfJDb+7Ve+u2kUIJAsqdQRc94IWefpOi+KTqDbXJb3l U1r9wr/YwyYUhkokQS3n0Z167IOUWCLfseN+dVKB/qsOdiwHAiIunSV4l+/41gRmFiyzrLTsuIgc ver0+7YRTPdekZydAokj0jkeivaRYmIV9deNp/mvwK+vzZh+KlXx2cX8MlsCmV2blGDfel+hQ2KY YDJXp22P15ChDCTUieP7XArvw12tsqlw+nrCvlLQLC2nV569AqqNJHPjFRkpzxNyqZTDs6DBmkrd UNDqc0gSLbw0iqZ9jXsQwVoKXpM8QCPg4DTApDGTbiUGAiWhgKZ2Bw8Q5dmD8ce/Smh7YMNb+2LZ lFclWnoq/LbqcO2kxRoYc18PhZEulDqxZVYwDAxXf56nYsHZ7Ia+joYyuusduogKXLO/p+KaSKrP 9HkguSxtcdwdrjAzdtQ1C5tM8HP9N1R9OUbeW4VFiccq6yyOZPeyl0VNGHVQR2xxbj4qpYXZjLQs KpV7ESuaX4SZYSU8WM8O8BRsCK4Bf3d/TEjW7AVbPSjnY5QW3lbACQ51I2snan8QzIBSAj07zPCv QucRehiTMHPCzEVlJgQ1cTCAtXUjsMk1B4joTZXsUmstxeZmfR6i0VQqwe5g5szFiA5bEsXCNstA vw60FMqtr1kSUsK8KSrKVCno4VAATp9iSZSFtRZSZ0zYNaNDTIciCB4YB5dGLaKHOp/YuiBua2Q3 eFyZue0kL0PwfB+uj574HnoCZhQM/CDAUhpQgrKy+vXr2Y3ISmQYEWdAq2upcNFIFCidZHeyxgHB B8xmO1xGIS+d6ejI2ScIx6tx+9uIPuY9XY5sOTaykNIvQWxbBIvq927sFxd6ENBSD49zZrc5Jy27 iwEUfTSJF8fzZ+0kdsWmbAlGnLJXNeDsW10t5K3S/gAXykDCkA3A40j2DGgjrBEbbfFwh0zzyLTq 6Zt2AOzPXSmv4so46tIHmx5r29b7gojMK5HfJ2riWrHeIO4PVEm01vYJ2ET6YKECuA2+oAAF4V4Q jueyWYfm1sOcUUx4kwQ3g8OBBXoGPGrJ7Wp6EqJLbDKLkNf3GRpP+S1rO1ywP+bnkbhd1FVQyS7E WTU3zmllWHAxzz5ESwoIzx5eANE+iEA6ObFhZCGpoz/zFhl7CVRFzOZ/ANyGa3J+mopiaBVqlhhE yTnM64Bi9necgTIKN56VWWG4LCP8Ug1rUEt+/NcKg01ozXSX3EA1CV2u7EjjqKcYGaubUJo/GO6v kf3nes/wxf/Q486ncTsCp+6ZI8Xi+NIAI/K+3ZUyO0nBp/g0sHrZxKgW8m8ivDDYaw6g1vSa0ute nrU30xUE7EG5NdPki8SU4D2XpvJ4JZtdVrtm7bzn1BST8PZz+1gcWuy5w8CLk0MsqYbVdSH8dtj/ yc30n8yFa37GlGefixN/BT0pboBgvpPIv1ToyGABNnR45Urv80xWp1832bSzDtF7y6mAXPr42GfT X+Oxl9b7xEE6AVbrOQLchFL6DtfttQqRXlfZ3a62Zw2OBLNSKdwvKkv/hKEflidOCoNR84BaK4NM YsNM0lHgz3NsScMKy9g59qHF6B1mLa4bhGzh6XzQoFDzzKR2JeMm2wC8dDQF6vGkPfq9/jIq0P4y Dr0gSoRyH3evW+SEcd5uzddVAbWyPEdxXH4n8SbVDA6HvwZKVBGg0fcJ0qV8MeX5JBlgNtzoZ7O/ f0BhHXBMchFyxvnNtUXWAWgcI72nxBNNBR+8KOVwoiFxeLcz8E0FOXuXsWjj7C3Vg/3NY3oiJMPC clBEw3Lhz/LfpzmQ+j+2/zsCZnrLjdbziU8PFtvx/bAx0MSWjDx+1zPdJfWxhKW6RTRMeT53rxaQ khahUSA/vLLPtk5OmDXdAgjCTzX81S2YHWsMtEoZgDUhR91Lp+7AU4SIsiYCEBuMIFOTSJv7/0KV 1FdQkwEKMRr0hY4JxctiLZD2hxV/OlKMzSZVqKBejBTh20bFMJoFZmIc4hxWTFERRuCtslsMnVlN 61+ZyuNxgaFL8EqnbqLlwGvgswYmJkAJmr85onf+dI/y16aIaEOL5cgm8xjxikLpjHdV6x1L5E2J ubJINH+782CVlfPvpGALZe6mU/TfJB/RWNWSrrLyWwazxJKkJWbgNry+T2l93MarjO25MQcamAOh BPk5CGudK2VW1pTLZ4Qy/fCwr3x1y/ZxLjXVIU8pXjF/CvujnqLhSMdTpgydVT23lHbbnvjoR2uy Rxp5HN72kHuC1hgK3TbzLnGwiyaVbr0Y5tATN7KU0vICqnVH8EgiXwD2uLX05NlI9xkV9JD/Osfy cXl6VAR4cjYokUVndzN9u2WSoX8HF+ZIRhyeEo6NLADhbm2NHMFYk6a6wj/98JYSCE4XKhynY42G rPbb6IOF/YM0ElrUzJSvJfj/yE0SmCxaRseiDhz5GP3ZeznGLKdHuEmIF8MwoK3423lMell3k3JI aduhjg8CFpp+b09c1GdJVAlR1yX3RvtzSKxfEboHu4efrI22ih9t3uxxVXLqIoavIbQNa+yjPh7C mdLN7AlkBqM28v2sCo6Kql5PPk1BRP+5oNA2LZoo0B3FHpiItVndRRFpMRqnlTKO6TLWcZgLYhmv Yz9Q/dIY0ExmTtwFV8DlDtubP2zu4PO45vf9Bl+IA2eN/mB4608fqXdfRVkU0UC/pk+I3q/SbXa5 /yu+C+mCe8i/iwwmLe0ep1nSCLJods9su8pq2AI0pvj7JDRJhuncSr+NGm8Pln3Dz41KzEZHsPy2 AhQHGqtVl33AkN5BsWzHJKg3gUDu0jMGwVTkZBLjf4Ins0+JMujqwL7MelIwNDWjjOE5Q0hJS7Yf 1Z+1Q5ilFo+NMa5kU6tbMncGvvKqFSGeRpugsnixenToq6GvpiPrySlCUIHFLg61YGFyYqjtq0HK T22vn4xHuIFfe1RST8xQZtVWqEcJXjvuIiS5qhXYMWaXIQC7UGw8FJBO/2uDXn6OZcVqv4+8Bv75 GimYhpkqMajia/KqJW/v8ogSISMKFsedWAuP/3EHJKT04cKrqYyZX8qLNbQ/dpsIv3k32uqL/N0Q aSoSwrGGLhMXCE27ph6G5EQaG9d/2xDjT23C6LfLYQlyn27jtdm7N769U81c6hX43P6Su4V3tf8H /YFN08wEnk1vqQUDiEXSvggTkuU+TaI8aMspRHztMNwI1FLfHzdOrLr91Jkr84IigSwHzcLIwqhm GAn1njdsZCDVEZT94L5o/1NN+c/p0lGoNrILvt4SwGh1VZhjErgnaij2gJIDJh82T+zjEdGLPYQd 9zBXx3MDymhif93EoMwUDWEsDNDOVE9qU6r3Dd0OJimaVU2iinhH11TF14rKQOg931uMsKM3HFyX W7YBFvnh5Ol4oHnB/KNGV35VJWKvR1AJ/nopiq8n642pXXwUj5TfKM9v79kGWm/JoQ2tmvnQ6V6g Ys4X773Md9SGy1JPixKdAMT//CVHvCVwbJAe7jK9Co/nB9W0AjVP3zj0gKB6LE34t4xOnw+0+ohf BVB4ZdZprHKnev55cuMZArOH7Cn7YY+jaTw2M4HgZ9ipjrsWEx2e3EimEkXrNgdlfiIha20G6Jp2 UBTH7zq7CRWC3wAIZbf9LSDjU6tHASorF4NJ3230fkkdlGwOSPi9GDia3QvNuHCIA6sf0o6ldmra kETqcvswfRfxwXBDkb6HsCQwiEzbzPJRhBKk4TqBZ9hJsCu8Imklfb83KSQNivd0ONHncY79ceh3 vJjzeYaorDwaP+ygCgDAcluMB2cu74Qm7CcMRRdvFcFTYg7c1xeao6kW7k8Q3XQqTq8aUn/KvXOL HbyiuqJymawWVDoLnTXhNEFQQzfoLSVCLXPryB/v6nCMBdLLYC9wU4ycUrxoIVzoRcST99iyEJ0I 7gVwvh7eynIukCNrqXlu3lqDHKGOYRccTtww7g+UtGyCUHNxptiQElsImaRfr1c5cnHfE6MHgeac msmJ74JHn3Q/b2MjsR55VuY5mB9KDuMddkhlPBT/fN2NAmoAOzy8CEbwDTu+Wcjci7R5Opy47GQT lBmNwWdM9KqikvWAw9pDwNyoNPZ0GZFevDVz53Io4/oU1eB044d7E56o8PDE9QI/yTgSYQK+45Th /nkZjyo1XDGPlG8nLmSz+b9Ypa9uAoG8Kxg486DykpKF+W/8rJR2zCnTH62zYPpUOyyf8E85+Y/g 66Iojrjb/CKSZtUAmhMqUa8bUHynGK7woIQE4j6d3VmMjur92MiU0pmQoiFP9JLRX4lekIc2sqJo EX8uFEULfvLyCERCecbblZ2p5q+UY0YSKHXTOl9sS3oXWkIiYDiEyQ79AtcvUE+q2A3XGUnRLN1H E7AiXYOcSL0zICG6GYX/Fe+EBOHXCxT0TxWZsQhmG36vG45b03xXRFcSD/j2YZ+tlh56yCwRN6ni mSvAPQGabxrOv+zPHitC8g48txtGf7H/kLhFh8pgq/Vtv6qiPeuxurw9bjJ4rowrxCbwVer83WL/ ZZYwfrQn3m+zWXLNjZ3efZbwBGOrVVUsI/Zn5M2gxUb+2FssTQOM7utL1yhlOcNNwSvkVZz9CZEd DApt/l+yAJ2IO/1ZuyNDCa0jXx9YpLyuc5FRlHfqN3qMNnkXzisMrHxmfaB68+nwDuJ92BIpGH5j DqMqU7n1roJTnRyptcIhlyXQV6fECfIAx4NPOFQQz0ZIa7/QMoevUW/cS311lByVHAh3YVvVFjv7 O8LcYJNJXAYoazMYVm2gQm/0vW1s5hm9ugRqj5MK5cAbeZ5/+eP5NvT6HD1fSk2bDCrD8C1j2y+j odXbWfZ40wKBfprtwcgM94/EaK7cuu3+qsW1lv5vaiz+Gtp3gKpPd0XTnIJZ1b4ALRrrrNoQw2a/ 6R6KrWh0HvacW2BpQ2zawl1ldeNjyE/kxS4uzs/YDMFPkU5jIj371KtqNP3WUOBB7/fD/z5duDS6 iX8z2e3jfYoW/6VOXN5Mk7CQmxibVWJpOdPX8Lwv3vTHlmaqt1k5toHERNNOMwIKArbURKcOPKpz myFToWNC2cF25Q+/ggCRV3MzcaRkUkwx2WnV1F9wkIsRMIO3fMhKX/VReFPpvhbsFWYV9LJ+8Gkv crMAu1Xw9Fvn/tPPV5VvLd8WMnH6Qrnfo3DhR3KH9p+Ii/RdLDUuqXvTwSmiIJRwKbZHKdR6hAxb Z0SmlTu3Ot6+udwmZoRhaO1GYbiWvp7gxL8dXLhyf4OlYJ6YR/RCz4Qp+i9VaWaPZL0cPN30yru9 MDnVdNW8aGZuQpNOuoLWA/XGEI5KqmLnyve1YFULlGGRhpKTQ/3sWZ8nprNEV4A/EQVEO0kuEMNs gf2Z/6gUO0z4YInSUQO9TkuWZdMcE+nhRa3a4tEzR44uw+2RAGcwfFPE8LlYsEGqOExAjuiqrnbh dDxBnaG5LrPvYk8yEa/q27A4u7Jucu65g41e8wtmhQd9AbpWREuHCMp1v6Kr7oC+IMF9LDx2/OjJ +XHSAgIR2KsprWFauDcrAlDz4NtN+N5LEl2ijVfhUWHDlsCg23F4pbRpUa22x5t9sAofuKAIlC5X cD4VIMjtMmDQzHl1SNiJE5SeFLQMOSgdb0aTk2N0IKIgtaP3DzMoKy+z7FVcBZXhIaywKPTJy2o/ VJ0Iy8FAQMtPqUoU/VQWysaDLfW/NfobZHua45tzLyu6xsP23+P5h6wmN3mEwH9yPrUeAAFW2qW7 5dO+msBfNsrr+SG1IjBbfHzL5qDgg+UVXx5pmB6tQGWMypuZQLM0WF3eppmg3qBWY1w7LJX1A6+n 9390YWEHDSkSTLDVk8pj50IC3c8ydPGUIAY33Q+tUeLUSE2FkFJPKqglAoLTFb6Fd1Qnai8kh9m8 PAww0o/Tr0pOfGajN7ms4vjXGFZv1bHV12kKB5npU+O9Aj4WPC2ykbc6w2iABo1hVyvcWHwMQ+kZ 6ilGBL2vDQNjyCsNn4w47TGbLMqUxMWR7FQwtX077OMtWDTaZTYGXKtwCou/ULE4QHscNscO8861 YPVGZhUFyjlZf9CT2efTVfoilzmZUY1rL5gD94GBolv80qdaqb6NcWzrtRzL9aiAU+eH4S74tAgh 2554+HennicxEybuPFNHqEH95dQvFu1hyOvNwsWZQs+61j0TbJMaEd/kGR412kDGQRWh3YO8zsog SRg9B7LBjINpjEgNA74oLOqu+L2D/t27Kjusq2WDb8orh1oWtK9ol79X9G8FUi791dYZAPhG7jD3 LaKnf9gJfyVFxem7ZOFC8uyNCfYAI/PnZdNZHFKc9lXu1mnh4GYTlMXiX7ClsGWwoFZMFJGfTHFj 35CKELuVwgx84JnKd9PA6w2cdSfsEoD0WGxmKoHOpAsTjJPyVXvb3R5plkXgwogkx1pb0bcGHe+n +yu8Y9RhOkcODfe0TSBNH9TtYreaUdlVJILFbRLsaSujB/AmkmVKXdi1gD+fPU544d6guJtEF1WH N8dRPBDeZAcEWznjyUYeuZnJowMhNINh8JZOFqVp8YEOAb/GRuq//jDU3ZYaxvjHUSTccLHo6K++ m6aKLg+E0II0EIa8u8gnU5wwp1r1HIXcv3QGTg3G/rFg3OGTGtxV0lAe5gHQWVDnjVwd/yOg4orb 5oLA1RYheIJ/Qd3XzspxZpCkSBO6SBgpwnUUZFAcL9RO75tVkhTmJCvjImXnlZDIq+gaoQOlp5Eu XB3PvLglerKYoXukVPXVbbAfmgo5W8OxzuhEyahzbT0DrmZJxgHxnw/tyVBnlPlyVvIjcsuyNoUF 5E1H8BteY6DImYOzYhyroDF0d5w8uyXtBuhD+E7v2P7FyfKEIz7nrJ3yAMWDU/4YcpBMGoFIwPXx WEubYDc7vRcFEw76p9+9glBP3+aOe8StDy1m+63jkZ7RMP/WDUwzpV1Tf1uUh5NnCwxRQpfL3fNQ EsXnb0lRdoqIFEYP71HKK7dBs3+0Kg6ceFn2KDEPmldjdOUl0l802hderUqF0wZpi/J7lbPqb3mT 7dTUD+mJOwk26X6OJxfGI2ZNzu5lJaOqFQJ1a5WsQn00V85afvl6/RS43FqKjoJU7uhwFSiK07qf oACOnUK2XtWlU2Vz2nxer/TKWoCrzZnZN2p6NQidCSQnTLYC0x018js0WcyNJm842iTWIJ0NaytT UmDXz3SHQb7hkx8U6sgT/TLVCqIoNNpVjNMR9keL4xlO5h7xDnuwB+lsXL2hPGKvzorFzgvP3YHg pRSUrKWdO6ApSahmq+siEwQp2Cm7RdbW4FA3mcCT85ACX1YYiMFxj/HnMQv1/colhZ+Wy8s+KbaY gAoGTevPAuiZx13f9IarqZ2HGLsHj34FfB05z0nH6ANVhMuJogL7Nia7QRDfsHvr+J7Knx+vANm5 vVTJLVkg1mFVroUsKin6xf7jWWd+jUYvvfeNiCex3iNpeAgGW1npUG19lVVzAzLYdlkL4rg7h0fu 3BgxWn2Tzbw7ueJTCgeYDu92L0Kcd+4Qj23czDd34pxXYnjMPzldc6vHdARe8+Hb02EkHGrxc39R fdLoDq5sYCigR8N5w47eOuOBOiYDXJbpCMGYEYR+iZCMs33onNcQEmec357e1spGAhXSbIY3tsuC hyocqKIpQsIfRrymP3mi01fWZuu6pbRlmmFGSggiRtFf/zsKkAt97Nej7T4eqyhFCbVieoZXkU8v RSq/LfIgs36uxToUsxtc0Ou5w3GiD8ZHc/RUGTzASCcpmOWE2XUb4A5pMwS1aJLvWldb/8m7eoR2 IlrcwZuKZn77/nMrsu1ElmN9OjVeBMrme9n7FFgmmYQ+NONyWJT9gUHJzc5IabJePkuaMuwpkl5P QFufW/aqNXk9JTPE+wqy6kaGt1KzOqG959E1knvwx+zeRrZqdx5eD2B3rqoplww++dJKh/A1XQXC fTEYcpv9OK+P6eU52VmoZa6p2Moc2rYp5b+U2962kNVHPha6Ua0YsQ1Cqhc2SiQGpjgfmlqrpAS+ sFiUXWFdJG1KGtlaK3tjLlFr8prAQUYkqWz3zl6/L3JayQUAQKUgM/3Z/hOl0wUGsc1MA6gDMEWI L2o2SJ2+wGM2CXd2syZSNCNGH2Th1Cqjce6f6UovTvX8ZzTB4VfB07MvweVsRGfA5t9qg1xmZrtZ 6/EepIe/6rhKsrHcbbOftqL57xP9obEJRD2etiOyCMkQrK80VdlnUfH2Ft5Y7lJdORBYdT0mjzqt TavT1sBejB7qbP+mRw0q+JrIXGQtOaUsTFjRLZRwzlUr0ty6MfZZNPKqCS3ukNxNs1HXXAmZPK3z qfoPXPk5heEAdC7lA5SoXdYTsCdTHUL6YzQZGGdFukMoM0tL5aZDXpQX8w8bqEP3NnxKcc/w/sce 6pEqKYrWwi+unSOjGTN3MuR27/yL82WVxicPTEYdE4/zsX8L3/1qPZUXbyIw1mVxYVJYKFgWuV1v K//x/jlVaVTokLbF57hzqmj+MTmpjeWXq7bCqZV5mSu0EI7hhxEn6AKcGg2qqUgon+ZfuXgo7IDU QDnfm2J8x4Vgrwt4roQNln55B/PBQksQxHbC2UYTtzgR6E6uBSimjHYdzQkwkTO+6irp0mZr89Wb xjJApp8HF+kNkjqkaUCxhcetGnxqhxsWD0Zlca6VF+B63hMRn2Gp3CYviiJw+jsWKTcOeM2EuQK2 L+xT2SSwY6iFpHCgt7LAEkjmHh4nRf/T54vrRPQMcLAhyX+ash1UIfEcYbclTs8Ngx0HfKaMCyex X25WpvblFewCxGvXmvZ+GEF/TGfqPMxwPXwIwhC1iSn6+NQgk3NtIFNxXwQ+/7OkMJklreTjwIUa shzpXbHCbR80WX6eK5vcH0N3PlS+mw4Hl74k2jPiRvoqf5UQROxGKbTKubb7aQX/tkROZPokVh8k v6Y8uXVgIdkaInqtfxBGOLYbnu/erC4pyg2FviRISpGL7R180o3C6VWaJuSeDugSmLXlB7DkEkNX y4J9PSvzO9RdYPBNW6xiLKOFiN6ooFxCh1ElhH3CSIavNohVWXs0Bnuke6wKgdIopKbj24XQX5iQ Jdhwf5YfGSyGK/2QLo2JegO2UfwPRmZp+YXJJU9ys32ARmpGvk7f9n36oIbzoQvQKySWX3xn2SKT 7hht28ZuTbuu/vbcHZrALxi9FL5/ZUa5DppJjOkrC/IQkYqHB0mALcegBgrxPrtp6Qid6nPcUjG9 U6JQFrMmS4mMJsdbB2X4DwxY6Hj35fzW4kxNTnY23j9PrHvdz8kfThRqWD8e21wGAVFHb3OwApst xVSwvyhiJIF1HT6yWqR7V33+PWP2bV/lAZZF97lWvjr6TgY6BoUaAlPCol3v222Er5bdUXCagFDM oFBE78WPz8mQjjOrTrvLLBrkPbarqcQb1R2MjsCQs7sggDEB9sAtJpjf9jL8skaE9ZdlBFEEmRJw Zh245RByrzH390prcveVyX9Mq0nePmanPx5KbVSV+/NAc2GdBzJOfdNHG7nJWhAqibkroNSmG/3d QMPt+C2gNIUjE3cmw71SdCNMja2mSByBW3cPJb7J+ZHkXW0SIIqADhof2RDr9Tp06+eJ6d1o6iGs fINixW36bl1if7pVwiTTGwcOoeOFbo3V4yXYfR9Bjtc+vyTzurPv6LpFwcqH7v2XSCeFslc0OYBR wSyggE6tljoKn/BUBAGDCpuDdOY6s9w4YUQHluIKllaby6s+8iHgSb/29XumBp8mHtgIXRliMc3f TNctgT10SpkJBlXE6cZLMe/2YLgy5/iDXqmJUAOipGlgXXDzaTfyWY3d16Q+QP684r1ZAu2aMn8b xv1zo9nnTAF38ZX8m5cM7umXziYERd8fIE2MNdCNnOBT/nsQ2aR4bL+lOxEma53MolomDwWwdzEy 1afDSmSGvw8pwqjIDGBJ+jSgBBkZhMzHi+nx3lqWDK0x3BIoqy6sPTCDIBZP23Xz56EYIaE/SAvM WxyUBJhdSbHf4hAZEiK45Vue2mnsACSS0/BSBlihN5XdWrZTUzeaSF58iMdhvJOXuxC9gx74eTOv xWKROeAuRGmh7uVlRuL4GPeu0sciUbIoyPt+KxB/9J+MCq2yz6HEHAH8AmsBgo0TZ0RUijIcn1js ZnPBpxBhb7GPbRb8zxb6+byuYZoCVuOyuT+B4K7Ajl1PJrOoZQKIER+b2XoJsnKjPy53fuAMyrJ6 sXJwsiABgDK2Eh+5oNL2ioAalToYl2cJveYCK9NTGcJcwC4QW+0n7UjI1EY4YZ+cuON4K6gKhWmG pVkDSCqK9MR3iubCGGFS4k7R4LhZsQDkG8jzyrVXRHepBTj5zKCVhHwiyuAGJsHhvpZ6GuOAc3AC trqDBvv+GaRFjx4UGy7LdYFyJS2T8Y3RfTdwDZAqf4aMrzFfYS4ozajphPrbZAW6jxiDsEvf2vrT 4jsMHdNIGeSOeaVhmlpc9tiX9ZSq6vkTBQtoQDw9kE0bzduIvPJe4Ou61F31rkP5f4kbKdA6SeXr 1XvD1H4exf97apn/Dqh6u7Ag0w7fi0H7qgP+THEjvykJizIgMwXpjAwVQv2X8uvREoweqwwDEn8X GiX0qwvDZuCWN+Me+t18W7jNX1dWKD2pw9yXjsNazJihL9ncobd9++A8uyf/Y78zrSQDUxMHUR7A gYXe1JcCa0RuLVXtc7VVHbPkCHYJx9cp3e0mCOHBpezc5gGdW+HRcyequWQxb74oRB0N29mBL3if 8f0XDXEfCmrHnJPw3MU7LLKWzSKI0YQuYM0KYaEKc48Ye9oiJ6C4loy5OjTJ3wqAmgpl0CC40OLM Lwv8UjB0CS1aveGZuLF2ob841muZmP7tN/3s5SJgDBAx2kp6G6da7AFVdcAYxNZYwA+vS8Qkp03q FqfdNvkoSiQ2hSb8zTBMRyD+9djSWs05MHXBmqJgOjn3zznHTW/e+AHpq93R28VAjEsPLtJ8Eshi 0kJoafjXQvDJhB/fx9FHvtgtt+6BjLemkONa5nca9VXz1MAD59+8a3wPn10fxQYaxljcofigovx6 akccyDSa6r0+G/tGjUsa3yxbs6NFpLQpWL2RWweJlkAMmTxRarD9wDNPgP7thvDxkO9rh6VV0dEk XewT0rvIiov/NQoItlqNYPmTc0jY4RxA5HraC+sv5AV19BE5OBxSnvdA9czJcZvhk9nhnj1X4UXj UcY2TYR8WPvI2Yl+OF4bZiukj4qBJ1Yfit2nP7oBeKFdCSWzxf/uZ/E4KRyhIAVzZ37zyoVxOUEV Amvum3xVoAuQBl+Q2Xpats4prXVQS15hLSe+dzo7vEEltHtZig/M8LAa7ic0MbzdfIH+uGmDcMNF DNNBYeNvAD2ueZReEONZ5va1ehd7IeaJGFWCgQADGnCXi5ce0DYFBkQp+Swo1d7wwepaUKNl2zo+ 8wxiRIC6Rz1DM/HQ2O6iRhbAwqEK8NpnLBe346gjU5W3i2N7lja807Yn6M/ZQfD4HRMeQuidqXTo eTYV/WySuX8b2Mx7Jz1MtAjSQ4zWyFKEYqQRgU6ljzrKfVn7Kt8ALd/9gQb/NXOX51IqPVSxfUKH Izdq5ZC1B/IwKaSG4VgtNpDQugE7DSAmslLE6I59e/DxdNI3ODO8oD/AAwPSjHlSZMgWfQaZUp0k QAhvk0uScMtTX9Wj08Va7F9qFjNdF9xa+wySIzezjgy72hHfzUcJ2nRcIPn3Prx6tRzgOG2JeEdm 9NphY1HEUkO8CIWDsazxQCBYD2KdzuKDFe+IordWnJdCXUUA40Wo8Gss43rHbMh2feRRtKL+Atqz xbcUAVHX+eqx3KNE2VDvEZFvI8XNvyC3D1caXu6P2uXPUH0k+L5QtLve0zwE6lyh++ublhe8bHFQ MgH9y3aUDOwUUNlJSQ/pDaOyojJ03lh1d0unmliD74w9Qr+O9bfOWFZUfwODyzskejBGe2aGqF+x M93lg4cVhlPmXIXmB5UmJ7bIfJPL0GUQDW4OeTP6ONTi+8sB4dgEzhdtPsEQA9OLhiKjhUZ0QfsJ Twd3JWpNlYfDmbVv1axY+NtnSVdLu/UKNGZz6TEArCfTrhnvNFKrDUYLt4W8lMNhNsIR4FDEEB5K D3Jm003GIEu8KInUcVGp8PzTS0H71Vtq5fW31XJktSzb5OV+k1JJHQEewsgatSG9t2vyoDlToit2 7V99m6XA5PPBm432bl+63aZ9ucJ14QnegK+BB+QR8lJj9F4kD2o+2QhMYTWmFNS790mALJ6riDRD Mj+lhmKfKTkEQ8t/vG4lqYj+sEec63dhdnfmgPIv4h9Tw6H10IeeUjdgJW3K5GJjyDneHdjHRXmX R8ce6OFx4xYDrDNeVMWvptkNnnPok4rCAQ/8N2T1s71fx1ylmWae6yyhBQD3cE9EpYUiwi68SXaZ 4Wo2ToN1khqZEMc9gmnxsKlpqz0MAd8T9MKUaQVSsjszSVcTXvqQWX5wRjf3bwh8bJ44zP8TfVVm y5rURsSfeMRps6Mw2OPuGadzVy1wSrXmST/+0cHYwXTEx1OugdWRjumJ3VkszbQfujcuJHXxe8sW dWU/8kcqKQMMfYsTbFmYaar1oFWaYoXDsfh7pEn4BVJWIa+2CeOaEEwC5iSny9qF/8pZuL3QHS5Q YJXoTeapTHYvmdI+ARPxtPPGQ5EmYaewjLiqq9ObNG7lqfNn12StMo46R7v4GswfytUSPVrf0ONo myLtTiaHY13oMyY8v9CGO3IYPSul15qX9rJNFzGIlc0+0U8AjraFKCpJdCtE32IcEA9Xc7hjuVSf 3S9+vnfK7YPFkWV6s+IoWtRLCVEPqr3KhL6m1kAa6XpOM7JyYgTBDGvg+29t/YUlBm33usnyR1me p/61vgN+H/sloPHuQuNhY/6vbPu1qWwz/k/LhmUajI6Be++Fd0aNu1aNmX+NMiiyau9uq7KfLkfr BiB7YLDc84KX52I4dwupJTNUjXrbpV2blfcGrv9d3mxA0sbJtZl4jIS9k4YPTiv7gNb2WwL8nW8k TZ/guLyMREyuEafpyqqBQ+Txkra7uxTEF1m13f3hbUrp2QjCcxypi1K9YhY6SiyjsVsKfqluVuAA hKwSeBqvo80HtfBzuxphFhzuUy+DA+0/vAHVwcmSzhoyiL8BPFbP1HNorfBKvKm8M2qE0fCmjgFo vFRo8Sd/LPE/EYnwNNXHqUnA++eaSNp3Gsqz2Y5yGjDO6YcwDCfjA+6VTUkcmmcOBBCmew77jndt EPWrJbG/tvcr6B4eiHtBblu98aKGZEVJCnyoq0ejmgCkNa2JJf9mgNH4eDetBYk2aTfKRZZGRtXt z1Kj5JNCKwJFaZmsq0lgHCqLlRUvkeYirr1ZhBpdHYXy6Clk59AJjGhTjf/MZqBOEC/z4f/0xk5E D8ZCQSARHX4+kdXW17b4PBdE1xwstfVJHj05Kk5FAKfC5KfbUxxnRuM7vwrp9XvlWW7ZAhXCUrJX SRI+oqKAxMo6M9w1fkeo+ZukDAjANSiVhnV1C//hQP9CuH7BsrqhpW9nDDHVz5Uz2ZpWisZgVguS w2DWRn2RbFzMRkZlVCcHdcKQWk9W1ikADFW2weB1/DxvS+khmcp27APQczAWjEn1Kd+ebhIny0GW jtJivk5dctb6SRIh1j8rz6pjoKhV9hwhf69z8YIxPGTEXVJk2/Zhcep100pFNwojWbD3sGBkM4QX leG7DnNfWXch0Eqko9x1jai2khcvKTuxR01oxvSlT2FqkdxXKkM1GgBySyzVwTNngut5cdX5GB+Q dZRYD0jUPyUofvjqg4Z4tQijvJEyqgzThezQdCyl5dXFb2b2b0rR7H2pcKbj50mZpyOqQivi4Jqr Y0UFV0RO0gTOKQA9leQTSIpjpTW5LK1QljqMhx7wqprV9m6OmgVxJGE+dr6Wz8HH8OH2Y+z0FJz7 OP0B3b6gbDeCAdsnkPciVXIlajsVd0lCT+Zugedkute2Zzazq34lfB3A+q8IFWB84Zub4TYT/8bW N+vjWF3G303GN+MWbV/3ymwQPIp7/Q84/CqZoOKKXodA/GvPaDOKJkcd1hyw+kcusQr6S+aD78ot S1/MmPAaZCx5qszmrFZ/fTkUEwqhtzQyw+fXVxih7ouT9FbouZarFlx1sSvmC4En/3QTt9PIxUJD PpEsmQa2Ro8cFrwtxa5zJbPsdCJSNzu2q1o40fFamFyJNQNXFUw6iPZe3c1CtNV+xLka+3H7BxGR +8hrRp6C5w7rlhCiVE34bvzC+ry6Bb50MMN5832RHZKDAghMozp8/ZeMBHG/KhYYStxKM0rtbLPf s6c6AMKBbZ7UoWbNAvk3ym3UHboY2ixtOSMql22DYsbvIRtHHJ57ezUaPScFBnHrE2kR5hqoqm4r j/ODxMoI+cJQMGBVedtXrdA+Ya0SwAc54MbBs/XvLXOTeUXZs8XEHNZTvMJI36YjK5eyG5BgPdq5 OH5npl0YWSVvUgLPD0kxgvXS6d9ysPfC08vAVWJqKp+CHht7nmsO2066gwZeG64XDE7gv2NtuJnP XlRimb2RFaqKVc84oFTQYaYOZ3kl7jwQtfRccVKx3FsB3tAqiNFEfcmv8fWfsmFHJUC/3rzJWeD1 wD8BXzFm/F6svk/ydPRjoBLwvNwzOFxYGWYz/kf04Lvxsp8aem94QuQKzcUMqCYT89CKvrVouROX MJKihyw10CGqmYGmmP0Wk+HWnqB2SflpWEEOBcvpgT6KXu8nZVx8Vu4I11NjMLEfR+Wf+bPe+teP 70dgTwE3rAvp8bVaI1oJjn6G/G/E9fg4io62/+IIFWmwQaPuKvc7lvNTR71zCuTPCyziSUjII4lS ipHhQkvEQ1Yyrh8Ts0Wpv0nM2/6QqxF9WmvfR8i2BubUBpevx7XNpALDQypVkGsqCZF+nejt2rha mE+VwrhLD2vZv3WixBMX4IIqjBFUAeJ8yQre2GnsA1AEMTKPUNiITlZNKw4RlQMEn1VCggxlVHuG XV42BkALGBz5vZZQVol5ipOPHAmHr0LwfTWKaZ/oOjYTzF4rYUo9MatEyQtt6LsY4S06loEhXuos FI9qvrdx2jXFDEzWFOMZyVAqgoIM4TkZREYjxUu6hPIPtyPMT8tLuIuGGweSj3eVAiip4Y7HUpfF /h203m6edkeuIuGWnYiuntkbuI3/0VdKwnIgYvlcCxYv1gl+m6uPrGTZuhus7qpy9CeaXeY6/1oh Bx2z30eBV5UYsY/4YIzEldA7YzH3LVJG+L8TViuAL976BA13fJRFcEfbp/UjGNFs5aAnk9Q01mLe OVrEwbwbiT3T3DfItruo5abdsuY+r0thsV4vpZHfseKAj2pOoxZLR5LA8NgXPIEwlCtzqgMEkVWr yskfMDT/Qg0jYceM2MICSWWbuFkJ82ddJGiUlNE84CcXtfEMwkacEs/ERwmdYvpfNG5mAOchlRNF nqDmtGfdmR02xTLMFP6j55E1oCscsv3ieQd2sGc9OBcGtq+2hxbHJ/9LKK9qc72wHnPaEtPp6b0s IVr6IakRwSavvDYYv7BmgVosEwuwk/WgdIdu1Rz7c6hWXkFPFChdH6QNR4INBdAczCPZGqEVmybD ejHb0gkZmxXFHCvIFgogwTUFKfOkHfGWoA/tS6psR300qD5HLHVXmF2SBYLgl+AkpsnWBkE6zaDq 0+6SBmGlIrdm/VVQO+FtBNNDTf5ev5GTFxzMfUqUdVe5EWEmOPGwXdbOyt+IUWH4Tpt9zuLiEnIQ 5WcgEeoG+ee3NhK52G7OzQrr1BUtusobIDylb8866wN4XpnCTlAtOr+eG3KZzlLZJrAB9J+HdOKK bv6sq7f0rvHyNMfdMm5HvNtGtaGN9TkKfWoSukt0iJ9OWqWPodqy6Gr2yeH07xPETmd/wMlNBSgv MTk3p7V6a6fUqmUZ1c+guJBeAehtdkMfla8BOGxeS0EToHgnksoDx0s/a+O8aIlPrtujZvs6LABn SEeZYeBO3SQkOzHbObDc/Z7+47mSlG0IQiiEwng0mk5o3MfLbiBhxS1igfHJwOcu0r2QwtdunFNs x5pTuoiSADUQkQgU0NceRS2gWCDbFSwAgUkYF3XdseySXxH4DNSEhlrHjY2+AEtktQMUPD8FjcJ/ ERTt64++lEOItyFsj8DTQGci8Jw5mhH+F+JmcsXIUhjdmmca0fbUSehhmwnfocBpJrbVLssBy8i4 1jjuy1pOUYDFAyqy68HrxoWeu/sGDLlFPL3VQ17CyonTmzEyl+FSy+eHEcmYQiAWuRaaloUaiLlA fsxNt8qeqoFwihVzU9Nuphej1QJYjCrFi8aVWSC+qbvOjFTdYe1O6A1vmbH7SqrluzepsPU8lrin JApW9ay8lvb4Sxi92EFNmzVYZQ8j6G9pYTtlq4+8dHGjGxQE8M1VeZEKxlyn3+8ekm4KISXFYmeR 5jZ7IzYbPOleVbBz2jatYFBORnA/jVP77yBpsK3ylhzrzL5HnSjTi4hT2JYh7oL8vP/jsY4AnRkg K/cEfbrEtBQy3VB4tc4lHsKdJPEfwuF3oWr+KOXC87vKaxRm/lLNeSZbnZiy+vtE+oU24wcyr5xF EgBG3lACDU9aSj6YwubRZ4GLWDtkf68JEbXk+F76Fixzh/7RE78TCoOIBaz4X+LLjXr7a+EFlfqW xVjMcFnUbBbaghq/fOh0Ju0fXcVzwrGHw3y+w3srEe6dKrxm/fFkINCANXRsM+Es6unO87FVniuo RTA+4YBoFi3Goo/h67uOV8Mdi7oe6NeUx9xLNbF9nf0GDfrnE87qiSyzdSA61sOe7ZuAd+cLVpKj 3TRifB1PogSqONY5GjIcqQ2XlEzD8cNgEA5z33HT1ujaDjGXPv5wqZFTLVfuHp5b3Myv1ZT9Rd7m IK5qzTNpt6fyTWu3kZKJN2XiTsmoaM4627v9384tSkod7nuShPq62A2Sq+DIGRcnC4ylzmHhgWJM i75rYLnq9wlPvrfGN1JTITdf/+V74edxWlh0AqQ3YqdCv0uknc9Yo/9XbF+kfQpzBqq2ZJdtuwl4 FSesuf5MRRHoXlsxQR5ouydxKgjLJM6FFaxkTA0qpLDh3F+FEEavebRFyjd0W5Q9nR21qIxsfOZX N1ez6miW1w3MIcZx8Tgxz/skwdz2M5nmfkXCtZD6fG/8/9+DANmf5gczxBbIdQxB2nAQqrCNL9Z6 uxQkZI05UOPoyqgoB5MdEiHoJiTrvnvQo8xKgWAd4LRI+Gw1ylqSb9ShMKYIDZ/9pgKvu3qzddzq Tv3d1ZBKiBC61B/h+lLrYiWU29R5oWWHWXhyUjxWIipMnMQgLfSdN/bgf+70K/AChpwRM9eyTdkZ c3iKMk7ygkM+JICLGtSA8dq2R0N6VKQb5Tjkeq8auyfSXaLs+0rRK2Mjl4kwZ9TqNqa80u9PWfnO BSkk5c+I4ZBOY6mqQ38coEN2X3KfLgbrNUdODCopTdQxPZee++QlEgeqT0XLCBlo7jUNOHGBRkr/ Dcc6saJHSdaIIg0gSzwAM7qSngNUaYRZNfDEpChZqflPoCDoXYIWEBJvQFfkpKkOvhbKPE6AvhpD 3A7w0XmQ0/1QogiWDvwUp7s6+QOE4aQlJtsWfKBaQ1nv6SEB93cjiUPOpZlz1LWaS2J7wA1bPvLT 1BUNi+iINVTav4F3mq+jHegzRYWYRzvPB5xV0qENVj/Q1QFpzfklfF31rektgJ/H6bFPyT8L+10r 3/Ho+jA4eBy72uy6CSyin8cmn1/q28n0ViuCBjNNdztSyGFeGKTF9pd17pbCOBt0uHyUhJyy/jyO TKApci56ef0N/eTAt7XZvW+ar4UVOfuIoxNhibVfenvijf4r45HiIH9fH8MectC8Eo62byrszbEE NshxPvyf0anoplzqaKNnOvd23nmW/oKRw9OI4nOdk0V4wB81lY8pInxAU1DxdlY46Usn/KV/oF21 KzIA0e5OaOz9tFApfva4cbL27CYk1eJiSug3f/oA27RsyHCpe6OXPtqTrpzBlQ4HxJpbPF7zzsnU wL+DiNoTEzWSmDYAQo2zxmJ+WhdZ4zL7jwNkxI5YEcmnbYiQOMwGXO6h4G6Dxc6hV9AN3hbTRDOy QPIAXq6dQmc5V3quTZFvn/1/cOt1f6mpDWm+ELqhu/c0H/UIpFY3JF1on9IYdxUnNLH0oW8ZznrN m2S9WJ3m3YTGEsXihKWQkNDc2jjrH+2z8KbcboKwcIIsjxC8dhMSuiXJj9p/UEQa/a3keXqOnOhy OjTWlbRaJKn/1VOEfAcmnmKD8AuuRN/x02ZlNc80J375YqYIq7UfyvpIpU1D9Sy4qNsU1vM9XyIu B7VcOrd/3WB0uATVFHBeJCrquWx+1vuTNm373HDmvoF/rd+YJifS1VYbjohnnz23JmgLZEDN8JIL ZWoc7krYLP6aF/oKzYQQyoJy+WAI+Ey57mSfKDjj26WUHcNiKjBqiJAgt/KabHe1KM3phjkOWvdB ignCC6PeoeCjOSyUxv25vjuMY5A/eZEZi1oEZBN4Yoc+Y2eQdC29RoOcxukiNkEFkeDB/sjXUf6Y deJopidnH2TyhdUy/xHexQ/uAJJ5JrKry7yDIIqLYlyHIqNYeYhBIzxbWT7zS4LM12Pmt6J2bsuO bSFFw3GnqdteLk6iq538Xyqng15L3hwdxS2XcxTu2GuecXns8AXaugdTB87wJzlPZeQbFERVT0I5 7PpIHuiLhwBbBMTiZ75efTtJiB+OF3ROdjsS8PdP+ReW+loMh7GVw47WR++1jNWSJsdhcRGfLD5m /voPkM6CU6Uy6+qLfrWyVjo50EptxV38X1ab77OFBvRLEzBqU6ODw/qr4qJxFauwetnM3qsgPXW9 5pJMg/Z6w4Sc/WGjtMnAmGxuCgYqys+M9dhYFyRS32pe+rqE5m2t6GE4gIWp0tiSPFN5CrGycqCU io+nNC+wkwJ2fib8MFZ0B0yYHW+NqBsEgzNhCb5qY/ej7EDqX4nL61hJE3dTP34hWHPtwTiORVfa FCUmy1fEWeXfFCfZ9YKi6YoFOgnzkgEwtA8rmtpBDsv3rTw326DLEpzwrkx+xJ196o3c6bF4+fKN CaQcpqRkV5/AX+8D2bHmanjdmRwLqCJ1JpVC3HiKxu+43aWC/aLgIvIN0okbZXBg6Pm/Iukd20Wq 9dovR+aw3KPGs+Awau5pNkeAArpCzAWjmMg3P+PjI+H8DiazrcR38toy2MaMC3hW6/zE9WMbbYNH 67CGwnnkbKNtcxXJO2xMA2T3vHzMdnKJTZVJFaRQLD3uDK7Y/fcef8jHWIQgLTZFGQKrmLrtQNat sqFXnpE35z1p1QIET8aU9NyG/yN3tVovXV5WKMHPnsDEXncsBd8BA+QDdGVDlO67Gd0p9gpyHHgE ezIw34nsSXvzztEwUb2dWpCksXzgGA7qA5O12N0flIQLY1HU4JIMekajF3gTq6n+qT9XpfcUrRxS Q0SYHY9ZqriA7E4kg3TLSkbhNGnOouOwAwR5zMEIWvp52phpqGHaKv2Re+uZFRJcVO9PiQs5rfNg S2kR7BkzTt8jEbTJi6CkTYpYM/rAgoZO0mZb+v+gOr01GW95/TPcIQ3ZvhuBawkK4WgHQR0GMBYw lN6Bxf/ynKAsN+lzwpx3R7TyDDETWVQI7cXGW1tfjCMjvNJDdq9Q7rdan4av678d7KYLWM84fOAy zkg0N2vDuJT0kdTNWzbD0lJpz03e3xCE3a6/8rIuKkPCFZaVuXZRqZYsgJ3+J0abD0pTMjgwx/JQ mFD/X7S0fZ8y7dYKbqS6nYdSTcr1UqKDa432SSbwDCrOTdXSmAGhoi2Bd/iCkBPmQb7DQ3WVtUdg jgAie4xL+exkEWodA8PAvlsz+49fKxS2ttRKc8hNc17RKbK8VnjQ4IVBbwOdH7upvmscl8ot+gpS 7ZpQOgN80q2ZG53biAm528AVCEAMDMK5mnQH5J4eBCniAj8gOy+tvCmIy9r0wIH62QEOonnqezqi QpzQ73xWlFacGx34kTcvGGyFzK0PdF3ea6CybA6oodu86KYhCfj63IWrRFykvUm1XVDe38/2rzLp eChBSCve8YwJP0VfgNrUrwOA3fKxi8dKomFxKtJhCa3rTVdbCBnogz+ACx+WR3eG6nbpHuADAgYW hExQ3VuLEuNMuxhsjj0noUtxIqO5ygrQHeDc5Qzc+6S8D5hpq+Q9M6OKGM/y5BxaZpRk0T1MX/at peiZRNZwSt3Ge4yt0TGNXT4HkZuHSXZBb4zs74MzsLAYXAIXSOpBMR5Lt8jtAw6abMHz4cf9WBVM qapy6yH/n7S1vm22CxGGneWCfcbQH3fR0qf9LSw1ax2zC8shlbJpaFAFQulqVi+nZiPj9ZXB4w4b MRECzZImQWVUaRogqNEP5lAnDgpgm8efHV4xiQwnzMxgoZw3Ncw3Amd4R/K261lELktIV9ut+QRp xUfT4aH/JZ9Kkp2U38yn+Q+gm0C/J72lNXCN1tOG8V4XVjHSbXITkfO2bvWz6e+UcUJWSatQQBDO mNrpc8feQfBnOIl1FZ9RkG7d5+Zku5mef0LOroth6qDwwgS5cEaygjxB3iCZt5iswz7w0EkZgYYZ /0GB4iBgyMzMr3F4eWpJBTFcT2Xj0AqmoItQLw/HSvD6bDirY1N8/Y1+aos/huaQQ3AHitIufayV VEgtBu1oKxWYKW4GPvqlI+sV7QAtu3WZKC9zMDA6eNYdE0XLgkRSVjn564CoKbqp9RCb/RzaDC5W 2YiS2MpFjBdvBWc7r8/SLzy1/R8UCox1KngVBFPVJm9Wof8F5WOlL04r6SJhMUeOYuWnjqnfLvYJ 5XXfNIaeHbu3DzImxxyCJamsjuNe/5pjqQxzbS0re1/cCzVH6+Kn+3ch8qeesEGaGgTCi+TXWb1d KBBIDSEFQFbiqqGaUe+zVC4PKbUXyjbvlP+sGhZ7GYMsoX5D812wqgQL0M+nivnl2FrfJEgwzNcW D94bXe8mUWldJcVGwykBycq/46uRryuXzRjpDtWqfyBz1UxMV4fkNh6oRzSaJMYwdqwrjPcBTY1J mr5tEwQnlkdjocvBNLgaObG5YHJZbBaLzcQ47ZctKdir8jmyoZZPLs76cRIwHlN80FDZ2pM/Fqei EOMtaiK0quvyLlr0r8FQTiNnPJ7hmM380HdKO8daULdahhG36hXT3qCXT1zORns/3KTH3BP+W5gM dTwybKOhfyPW1hqoSoRIVA+PhlAfPiRSP604VaelLBxZFTqrd/wKdw5NK302pS9X3+2ZsOUUbvo9 eT7SYVFhZ8PL4XWVzGq0+NDDJEAGOkl2OTrhsT5CZ8TGQxrs9H5Y3w8oSgparMsgCyWqDjG7ohua y0PPLHEwMlLmfnp3d4+TsBZj11pG/GbDy6SzeXWlyOgiOgPlTJY2JyaE9w4i2GX7rkUQNEJ7Fvj6 rLvH58UZn34eIGeGpZR8nTZCEWl6Ik1rE7hs8VGDZGSCJnO4BHZx00bpNVBwYx8sG6Nx9T6Y63WX HGPzeA+tciqKkU1DGCEy9KdyS8O4Q4BPq0yGJH0odKdInJ2oY4wplRue/5nTN/D5HVo2q/0Cx7Vs xl0H+ERMxbxgwTjNUOEGk7uu6oewMespa3t1huDsJ1iUwLorSXlKpfSz/RRebQB3mb7JbwPfj41g wIVc7NR1JobJOj9f9IG3Frjp7i3NwQ6a5s8lmtxo/Rc1uxsYcvvA3Ot3GSUiq9T05JicK4LRqv76 plFDm15lXGCyIllx8Lt368DGoWQf4U+CofZzc6p7h9ICEI0AhB8KGI+fpxOdMZxHxsqCOIpjgEN+ wZsAzVCsDSYgiD5/p3dKcyQC+DSqPri7tgN5XUiZX2H25Yroj0OaIw9bd4Bo81+30sOsA9ivXihE weJrU0zQVag460HoPsToJLK7Uh+NBwXBkQ5Tl9nM0n3W8qi6KQDtNKVFgnSE3VEZjyTsascOy7de +xfc3sVfoguZnhinuxT09s4XxiagvqbKn05CTQffvjtsfpE2hkoh8YkxaxtVlYm5IzAWz6ITLjhm GeofxKhiIIpgoSg5y57OIjz03mOq3Z0s1VvUySK9bNjDWmbeU41uys4J3m/qgTKoTd5nnLWhyPsN gqfdmcu8eIA5XzTMiBZJXaV610INJJnFbeFJWO8vT+gvm8CfvgcS0Oj7tRxw1S6W1jUYlGbSGaiS CkI3I281D+wlogA1RXhlSPTZQVm2lcgUxTUQ2qHKiS6zF+xI+PgsxCCRIiX5GIQ88bep/zJewkmy NGvnFJB7o3JHJONQv5P3NOtfpC9U4tYiBATr5b0bSf46A4LMf4kYVRIBsF/7UVDMYBy5x8dEtRrG bntdxKjO7fzYi2Aqn7xQTIkKijylsoRa++tEXvp+iL2qUb4+oOAV834sEIpjFBLVJ3ZhRL5E9YPG yumke12UZRgz4RLeOeX7N51J/91u3cCF2DHX38bhr0C23fMfmripEHf4dCqpnaXk0pvLRAOHy+Tz tz6FTCBJdFa/LELyMJw3zZ7C0Uwq4iaURRh7GeAOTBcx2T32kIj5r7owHsovN8b9HkCRxet74r5I lb0IsyVUDE3ojx5r17+aJfO5kSCsonMOY/gYzN5dzwqzE3ThlqJPio62c9HZz6ryIHqcKpPzcgDr rSyTK6QJn+6gyilASzXiMa3i/tH617jrPiOF4Cb4LcnfIWqIEuHsr066xaftgED1A+P2bec221OS 1fq2j6ClpJJB6el6JAJffHLmlkH2U+J/yKr3sHG5Tmc6jJ35+aI2d7eJWyrgUSy+PmfcCD1mA5/B Z7+lGIIWy9JTgTiUpL0v/y5hqdtCbkWuFCZ7TdoDWAOJ/P5r3umNZMfxLYYi/u1J/EZ9U8v6fXsZ eqnYouEF1iUnvhbRPZbDE0UrN6FSevCmeSjPAp90N6U9euQT2M/BUq/OlvWVrzGokBWsKz4++PJl cWS7z5KiuF68lIAeiXSJjTzer1tC5ROoUVQhvxMiq4TKkBVMBK5fsjF8GXOmpjxK0RI2bf+ttmbG sDSILAiBKjFErro92SWjh7yOOzPDO/SnrYa7yMmmIG1XYE5NPuZCxg8/1nKCxKugSihdC2zV7dvd wtlsyq91esFNOYxf1kjBMnP0yE0ZJA2Rrdlx2W/MdLQIx2m2pVwaFPQjFGpnziiKqMP8oHpHpd5l oMZhFumQ4cyy9glGrB0bDbBA/qRAVcQYx64Vp4s47zoBSAcEga2dcl0uvqRkVbgwn1a1eikRIWoV tvtUUt4ZSHKW9ZPCuwNrDjOHI616qmCq7rXUqLsJCng4sSHweqVn9ykNCNf6+cgAuZsFYzmg0a1x yatMJXUL7TeBlkFtSkf/aQS9S2XB5sNEfIcrwrQvM1xruyXsEL4NPu++40XnkbaBYAHBLLePJJcp OotzSuMVItB8kfTORN4kgNc9rDKCaZp3ynvGAzPBmWyiJbGDh309XKlJeE0IdFpN8/j1I3Vnxh94 CwQltHDdMbDjF0B3Lf0pNKHjd2U1fAUVNVs8SvzLCCz1t4eewliFZk+cIhGp0Kf8qlo/7m3isHbr WBMZVRmnI/OGOmtHllhIIU01TKzWRVxnu762K2RzPVxoi6tA7BapX0Farc8BIImvGcy8A5OQ6bB2 dRT3k4Hej5TWVYyYk/T4s0Y0f8eAdW0+JJPL3Dv2hRYhS2ARUMJfwIwmXmX4UzpjyAnchxS/uYne d+eyaQHdn3YoG7kNFzeY1+pzZMyYz4Ew/uscCsR8KgQUSDeCHhTJYt17QWJp+Pz1RCppJJDMdM9G Ue5p1Jl6om75EJKz9E5sTsHnDwGFWfW6IdZw9aNRcqYQFjieUgpgPmmK7vfN/9aucqtQCE4Xt2C1 P86GisCqDNAinneIPqQwLNE1cW2qCkT4p4cAFRSsF1FTw480gnTm2ZBgVRNsyQIaOJyomfl6pUyk cY7Nw+z54EVyO01w9c3wn/dSeImOXCAZgf6MVjU6tI+htMO/GNqPD6jQJvDgpZ6FAL7NQMOYqxK5 1N4hAiPy0TiA9wf6Bixz0O+Kyyc7aGKx5FcIrYm/Vp7Cd/oeESeEWr2W11yaF5qyQSV7ebmy5dXv lGCkjMyXAAJsGnnZE2pfpx6CKuRdOQ80pfQ02WGfyiYYQnbiHdIQc3EhRIuKsoQfT1qavarQY01T 63f+Wfbs2Rh11Muozk/byYEtQ2Z+kWNXrTGejcwovVarjLV4Xxmk7abPtpykbm7HY5fahAM9X+rx az9xh91m7pcaCpQriusJQ7YOQEFa5kjTtmyiUr4AW5GzJ6729lbSW5t3MNqe+FDKHCoCR7cmrLT5 dD4Xr4UIrcHXw5ybWjrLMs+khqDfH7Siyqs+eq2Uz64nqXjuFkxoK3smkLvcnsvxJCiz3JtDofVR bi62ug5oSYDq+Cqope8BbmsMXZ34bAIknnFNa384fra1nDFoYGsQmd1XZmiyS7vkToH/nAcj4ocO 0YMTdwaM6vPU5jKVkfMs2HkBl8QsTNedcBQ3nAd3j3rV2DCrlnyubwi0g37rxQrj3F0IG1pkuu08 x3LNspP6aWTqP3a7Sw/fRFKtbytJfU8Cgngue5mvT8mEFjOyl98iN5dS6NzAHA+c/AeDLGB75iZ6 MLPWqaD/Hyy1kaFQSodmpUW6DgHyNJXf7OJB18BVH4O2MBi5ZBERAD3l0Iyi8duJjc/7nEdJJP1D M3dmIlUkwdPQo0zQAV52DgV8Pr51lwqAvfSRHukEoU3MVQ2UK54m3u/Rg+gtitBoHAKb45gjGXAX 9R2nVBuKeriR7Hgx0HS/YXm5udZXV6J9mnlVEsTVG93Ujen3FmtZYwvxbwjGKuezhgWE1NdwyN8o LdoFF73jC9f3Tzdw8DAgyQrf/lXB1+bbfZPVZm0XOb82i4zdmfWxpOJvZAo/g00GfR6pLIBd/zG2 FDILMW00PxZMKQOU2XHH/rx7f9oY9he9mTXzk3YXF9N+U10St6MSbX7uyRGb3NB7maSjB/ghIhn3 lMm28Lv2RzOXysulLojyIK4+gPbLT20tdQtqvTgrdazWbUSsDEa1MrW4gqCoEnHyiVg8rFsjZAup 9aOtz5dMCpIbmnKio65KQIFyFnF3DXMgysx2Of3eMH3hpgwHLxa8yt/rvgZaeBJIe0j2Qx/ea8xR 1/i5jLgz0S0GaBgBdExs6bOpjnYl5w8450q04h9UGUXkb5LqcnYTVwYYKaaUZglVPx5ljSDoxGPB 0MjmP2dGKVa7kWpSiYS7TF/FeqAdBPFxMfCv3mzUzuT5+oXGZS3FjFo4eYAYCm2J+XKhFUGJ1RsU ma6ekmZsxi5j7qRiC62Td5EW9JzNXVscWVKxZ0JN2y/nBgOgMzgzZ2UcbjwCYs6sdQsvm5RROZCA SHUUrFenH0kYqBR7NC2Cz9XGJwqP1o8Dr1uKh98n3vEISpHwTu1XiDI1fMos/WbEXGjppxbEfuoB raMuRruGn/CYbqcNhVbfy41v4ya4/Feg1etz1OiuNVs51/qt+4pmN8Y2a+KWG8JII5BwQENlL0jD P68+nlTxbixsq93i2uCc/pRZdlGk7U0FVj75Yu8kKxG+8PlSPOHPpaVPaRcvT+WivtFf0KOxMbMA v/U6AEpT3u+Abph6/Vg/mlINpxox4AeqPt59iqeiR9xpKDr1V2VB3qzjZoBKqm6+fh4aJArDwwnm qYgLQbFOITDYnDcLOElJfsHIsKB3nEfvNruH+Kl97hJRwvgLds770whoJ4nVBRBoLJd+OWXKqAFc sKVJcn4svs/OvJIjowBsj6dGSI0PuSKexPNzw2I7ssFox0z5Onoo27XlQqSApZZ72FpK50NaU96n bb2UtQ+tvLHFgQDxmSyZvoXJ12WBYVFP4x1TR4ws7xjT8B2WldGuM6f6ISNxyKof70UOhndQNMCf Our7swMw/Of1iK4gUD1mw9pPO2jaqre7GPJ3ct47sqqIBvN9ObDeOEXG/GHE7o4fozzsfPA+4Cov /vK3LxigWTYNJLkvkbc69UzJAnV/do5SIeG544MU2Rlkd0J2vGYlVaQrCj/65H+aAhUW3U8VdEFQ XIJXquPgJ5sJB+9UMNHO02r4XoJaxBD/O8a/y0RfikOf8dY6rrWYuN+H8fB/p7xLI+jup06yRpX4 32EQoG0qgRfa6JELEvSkYZLy `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_1/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_decoder.vhd
11
24873
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JxfuObrTyqbe2hEA4QwOiYQWOPxLUXGFSYHQFiIaolfGxhZj1zvQa30JxIBd8weczinC6gIhKHYQ OiZIjcnzUg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jn06LYncZ38HeZKnzdwXlEyWtMsLkGuKphL9SfI9vQ/nin3CMF2DWW0aaKDA3K4kLYA6+0BVaYBn UL2RuFCmWsSlnT1u8CPdPkxnmiI/ymwfOFbHwDpi8C5zDfwlSxkcEmk1JF8rhnHEG0TJVtjtx0Xb DOIfecnbTgrj66wbvmM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FvWDVCvPueXxVjxE73Hg+66ukrxdvjInPIExuSYH3rtAJ+Q67KaWRMFv+yD+oLMiZWrB+9ZN3z2g HTzYMXv5EWy34j4bEpdxgtMV0THc6JUE8dSrC4UqQRGHZgY4aSlZo4H+4lAhkBWdMGAxJG1vLI8n EM2J+2s58BnuV8K9ZF+LFzoDbDZRwhW2dReFRqmiqQ2sG7WyQeyRz02eFt/5AY/hAL+T1Xn7Abfb VzoZUWM6XUQmBytIopfxBZ5q+H8MS0vngPTj9pIOgry5rmepVcRgoTrSqxAAf5bNJE2Ua0AlzVz9 2+aobB/Eth+AL66PQgnrK4wipZP8U2G2MXCZEQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ibFWWG1XdY/PW/BH7XKrn7+4Aw5Sq++k6GO9sQ+2cEkTOqBF5ivD4ad9Sy7RSJKysoQsrBE4Dl9N fdl/3MPeQybS5AvDlaewDNsg/sr0db0PfG5H49Lgcc82rMjIrbEVeWjyAMxxEf6KvuqlW0Zwv9F2 4cWwSS7GfssRkUKfjos= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TBfxv+m64ke5f6OYO9lUIbDoPu+8GznSlDm1X4Lt/JkIOWNwtkGYOZhN5WPiVhEYzEeKGX1N6cgc t425QQWopPfUn08uWQh8kMi5S78FcvcEI90+JHFJVB6NqTsw0oNCs9mo5BjDlYFNSawez/THWthD 3XXvU6FRu6JCeS6ev7Vt1NHW9XY02khpakJLLTdM6FIfjjYf+zNL9SW8h24wLw9c02alUQjuQPee K1cdLsr6VwTokl9niddPPQ8yf7wJbI++74bTlp8ITT7V/CitgT6dAbG/KE1mPbV+a/dbgvK3T7/A FV7uFV4jv/voXs6JQohvi+J78c7V81f6dbEs7g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672) `protect data_block hzTcvoZR7/RRXogvGvuPhkCeaamo4Oy+4gprRvyc7K91a2NzHJ8Wd02xnkQNHGU6hnz2pPmEDNPi Q1zFjb+yQpmouZ46NJ6foJLjuVywgEz1bNdyTmTMSJ30+ud6QksdSCg3KI4FsHCAOA1G1/VAYInR hU+Hr+OQ2K4VrD6AmVWuaTWg7taKTZH5GSM7Uk+8gh7Z2zifD0hpG+hoPOC/rznu4fE76bYzj+wM xKOr7rWnkuQ/BjemuvShDD8U33xmGPNjdeWRmz3KmVFXCWzBwB14hQTGSoWGQLK3VAxkvAElmwZX Meb4RSEqPlSFa+8//VFvcNVvR6pq470+MuLh8ZJK3J5Xu60xc+kVZJkiTNYfWN80pnQHOR3vj7pM baeLQse3ic3uTzEUobQMv2gi8PPZ8XoioL5kNv8Z+g5WTNFb/uPjBfh9gn+jf8djzxBywAKqrroY w8aTB6f1WjanUmvPH6ON3xz0eiXZiYgHBakAH97E1LXUf1VW1ILMVsVN+ksvFQQRQ4wTGL7uGNbS xOkSjuRhX0k0clKAOJhyvfHgGyz+d1slFvSvqoqfcn58Na1dkB1bO2+icvZ6vP20n4MVJfhsgq04 c2Ws+2Oy2/HZbYXwBv+AjVptbBTFCPs/IzeDfSMAmz2zpMwlbX5F5MaCnb6iooniEe+tCbWcNEYg lLjDXHRlGPXnm+I4kiNeNN24kqIbU8gkOt8AHRJcngYG85HVi1QqDB/LBMh0Nsmq/pIz9Aj8QB4Y yh03ib9GuPnWNXcUmiCbhYEAJ5tVfhdcCyE6nGTS/B9elqP9d4C4Wpcfgty/OSyiozTBHLUUM60W /pXqV2grHeg3efxRVKee0hIML0IwxVTCuMHI90aH9n54nvCJCfbruWd2GqXLqiZhU/AX17Z7iKUo SKl01i/L2RTtyX/NEWeI7DA821kcQP4DirAeCCv1Feqj6snnaqpUhRYlCOI26O5MixCcPlp+amED P3MaYrl+ONe1NosVt+swARP42sQhbVmI6qxNko4XU4rlyKJcYPQV0lgq6G7MEKbty2afFNasxOxm SHZd6TrtVelCF1i4S3OFGmkt2JmzbrgJdstRwGTVPulFxhdG3VX3GgKKwRFFsaOT66uU529HK7wy kEK+RsK6vz/dNJnhf1F1vnObNWUBrwqy6ExIzckR7NrYPPxdaR0GgVewU2O/5FXxTJZTYKWiYeoL VelN76eutw42leF4Dlee3ZKPRzdQz0esLdj+5Fri9RFoOkPBdi6d/fZLb40YUbr/QiX/iTfEjQec 1YOMmTTkMj/ETPk2BqT7H2EHEEvf/eP4tgC3ShLHO+32smBQ3r63u85lv2UD7JVo0nBaXIjIsiYm z/wXcTxZcCJLQW8kcva58HLUvsRqa1BVhtcp8v8zRcPX3RtA1MGjJ1pnu6TjU60+14Rr3OrMjRbJ R3B+/9iztcmFZukN/es7keRExMm90GpUs6TGIH+L3DYajaSEMjcjt7YHI0RJvnQeOoufugq4poMW tgyrLic3gFDC5dQwqEog7hrNaR9x+ma1IAezI+irGE4cqvtKUcIwMTeSA++JZeEk3iH3eMfM5CH3 5IhJGirvBB4uEZAQvyZZJhWhEJjU8+9tVQnadmiyf32LraWPc9MgRBftjnERP7hoCKKamHEY2Uxg 9hGuNQVgEto+M7boZfsTCFqmbmYGT9yR/g9VPak4iYqB/iBHWxNQmSn8f23QrWZxK562z1NV/1d8 0T35z+8iIGO33uGjRvN+2gOP8FPulhm9AIHdm8gjZ0N6DH7mlXCG38YXoIBitQgUXhi8kgFcGk4q ETDWT1mQdIZz8vYG261ELL7RptVob2F6txg1ncXhrd2jh/g19fyFJTtWtG1estA78mUpVP4WFAl4 gQKMa7TIpNlDFWnVfOQtLQVNC95J4Hw7K7Lr99v8wDLitDrP9rxqsjfGrq1fxZFgv/gSTeNGCWI1 UQDlIs0+Z0CF28JHn45VHqgS5MHk0ZRrPxImyupdGQjtNHXRqDto4rzKIJab40ZCj27I93MF91KG nP3GZVwTUSrtDxzjFdrGIPbnW5F+ozQAIDRof7lSjbJSETFb3QKK0Exw0X8VH82pPRhH7Cmzw/rJ 2wdRXX82ETNeJmG4Aqt/cVokXlNkcBUsr2VY7NWklLE16U1dq8glESaIR4BvIqsdCJ10skJVH6LV XxLvkjkV90KPBPDFmFWhni5rnub7kxtSMaT2/XjbyMyh9RdkDcW+HkGYK5Gr602iGvivkRg476rE PpQ5+bpuJR+KU72LHK3ksG9Gpmrsf4Ykz81XZ68Xb3P45sK6CwH5UB2IPkG+zqRfoXUdVSIN5we2 ypX99vJGKOrKO/aoxgvzNIFA0tDndjeogtPAcqbHD5+qK4ayGf5KN6DCKV2VSq9ZUhdj9EeW/tsC u86Kz8FatsjTvCCYRsnfRzahGWqGp4I6NkwTfJXni3zKRQl7++gbuD/Zhhs3ZZbwwhkcmF4K7+wu EWuDzQ00flqezPmIYdzskiZVF6n9Ig2E4uiESeXL6WZiDpA4+jzRNhdbKKD+ZW8f7KlvtdPkV7Vq TwQrxFOjhaeWIie3ZEW68rsrhcvcLMJrS4hiLqqQmTJSMS+t2HTzLvi/fNzMsODiQ4nwM+1A8qwN LSa2Ota/EgRRJrWtZnI15fp3thgesi0dHBpE1/5LQaQFcoRZ1KUPBx5oZbB8qpAB+mVZjsX/GK5g 5sgcrq679CBpKlqgQ+1sXpEplafArGNpNm5CXlcA7dCjAWX17rgXyOn5pvb3aBVuHl7KDkxCXL5O f2Q8WoOBeEFF9k8L3j4Dyw333PFqKtt5j92MCsED4TspFdXxx88VARojiwp3o97lXm3aFeWsER7L sOGrK7YbNy2uwomR+V9PXiWEeh35xbEryz8QLGKxZZwLpI3jdf/ixNr9iyBFLud9RQXrg/cXhxye OmF9EKGTRaBkmY+NML3wDTILRujMAnV1GNJ4Vz5fbni+AXl/7YMQuATuziD5n3Kk0UHPI4c24kP3 bmWejdvPrBYQNC1zj2aZ76dGNOUlwXXd3GUZUjGTeNdE46yUqUBPy/+JJfXkAgJiCB8MgennxNei OjYvWWVJYG7xqXgTM1pHWJU6zypULdNgUj0HSjif9KXTSTYSJZkFcUaEe9vg7xhquKWI0+twvsv3 2LPy2zybOMCbjni200AhFHJGR45Jvf3rgsu8/uUy4So3KH/t2E8i2KdpCjOyv/gyTNnl+wWvftk9 blDBwDUCZ+FE3zEfXVSz1I9/+auAJhawXJ25GF5yjLZtUF328VifinukkT0JnzA+l5iJ2rREriQG 081N2mhGAZcTvrxRIWxJQAES7SiAcn5RHtvZqDjf+c/Kq9VeZj0ocqpiEXEbePtATybm1FBCA9Vp uP9uagGFb5F4WrMKqNZg38C4yFW/u3Bcklao34ZBVF39OQvhfmyZUQpdWFmflXytca2A7ot41IH1 ZWdmP1ViX45h+a74lnlSA4konctqaaXldSDE2UIPKq/PPsq2FPuEh9aJfaWFWsPRa+tW5cVF2uJA GN1QVOvrXBQgo36fOwKEYCT7nEMomDYKrl7OB9eJM83ERkcDeYp9GtXdiBQ2lORdvlg7uOY2DkeX jaXtTqG0EiFfZ1fDJ47tGcD4j1839XBhhQDg7vzs4W3cjsUupAdsuHXWVpTmCeVecboeCZsf658Q 62JuJ3dIAOWX10EZ3/3hZeIC3hSm6N5fa8fOooPNuqC6xVOK36nhyOn1han1rpF1so64AIilRS0q a9M7G9Fg69c1gmoQ8ugAoEhSxAez/enT+2qa3GjdtBSQeXOKmpP+ihtvTwJGBrBg7s2VPFGZonHR p9aObMYr7qdBf1IpN2cHWt2JoB0caJ2YiQE+QSyQ05i8zSzfEOts2Qkw8r/9p1KeFqzgQYFH0R+B nyo4OVL0/lzU9m74vqR0tcRYVw5Wof4RcRyyJXVxcPbsoHQ0T6E+orQk5NXQA3zB63vTZUM4WX2b f+a1rO276zP16tS1d5U4J532TFIwk+PU/IzW09OlOLeCMCCep6Z20U27F8k5gMl5zNKru4XSiiGk RNmHSqsQSChiNycXOTzc/O4jqnubXD6QXWD1VivQitDsTBJ8j8CXvrxBEsGsXeWOZE20q6eRAvS/ rLuaXkef4CBofedI1aJaRZu50OHCMZjGzPnVDcm1Ox1Jx+FXwq+0cRGwrUEQ1k8kFxM7eVkGotyE X38OCJCGnyphZa+2vKkhe20VGIU/xwuD2+Gh4/aOTs452WzIGlQ/YhvcKPqVAEX17TDxthKQKKMI m1eXggiW2YMO+enK7HfYskZP7ddGHVkOhNxFyr3kslfR3NTG1n8st54k0a0j2+Kfaw6Pnc5PKQD3 HAM9/C8/mN29t2PuSgwwWahuPZUjsWFlHJQq3CHLvZHSIgdYt0l1CIkFlxMuTxPe1kPIB2KaLknj l5rhHlNxNLaON4eKCvlWH3OxDHGbl0zPmcWbil4/MqgK3OQmtom5u3UBihrFfYsXG3nN4eCF/N7S W2KptFkM1OEvbP22RiYlGQbMsbG09GwvP51qfORzt1CAib9MAVYLpyRmg+M/OxW02Jd4pzuT6Z2y Y9gxXwfIRG+ScLFetTVUSnLF8khfM0kCEr8o4Ab7R4jqS/AIAOKh+5AxESwyaxHpqejr4lj30WS7 3EegPNmWHRztSApskdoskkkx17gZKuCEhvSVPF1n4Chi69HSbFHXiDs0gUxTLR4KtstL8A75ioNW A6kIGxpU+0h95JZyzbhRJyQp5fRyaGJkdIkpw3n8KTJ+qfB4hdaXY3RFRIBweI7ywyxrn7zgxZyu KFaEX3KYHc+ODHzL4A5jjJ47RbSJF81aQH7/UJIYXXsnlRypMyz5keUQkSy/I0/nUq/cTIKSTUs0 sw3dKaxqNUrcHwt8C7aGiHY7vreJUyeyYH40LvajunEN9ZP7NqanX2bXhszkVa2rBaJx7PeofqX7 vhFYQZP6ZJ4Lf9hp7xxbQCCym5hzawuftM1fJzUtAjqdudHHT6+1p31z0g4ZScZYsAkmCFrmZ4IG 5PyWdfnDKRwPJGpvPFN5A/VlOyIbpP65rDIlLlJZWU7Vgw6ORzpfnxnBGSwgEyHZR7YPVECvfTUa sw/wS7tjD8j6eouA37uRwKrTtZaHJ28+/143Cyj9VGDYEkg3AwcoJQK5Xsq9LJgVi7VWFuQxcY9y LXnQ426jwaYgZWuw9zY2quPrDzjXB867/jAZjA/ZFFuWmFM3jKlAHozc5ppukUtZLZjCeqr8dlLq jPioPP3qp4Iy+SXJ+XwnyJYvSw/dkFl4om1Mp163cVaKHOUHP+6LfMWIfzqwZmHPblkIX4j09NtK VIXU1GT35pYuol5okmJDP6u2LYUvSyklcE0YvWFJafI9WoMbHlU48EMln2gH0Vf1iSwo9YdcoNoj d8zAr03wxngCV1WYYS8r5tBotrWQq4d5z5XNYhVhtHn/FKW/AJ3YDikb5CnHZAV2n28UB1HktJj8 qQO5FAy0DGOo61sr3zDOkAkm2FsHkq+tyBZI7xe2zeOEaZRNT1coDfqrsDvivzwkjDCpVf8f/5Bn WoNRGuaczf9g9nhbh6/mSXhhLxYeOVYB9VkDB9Q2X4lmIIUIcYM1yESjSHrzAtRtC8sT6Njc1N41 f9gH08Pu8aZvvIpGPeqxTLXr46Gwf/Dus4R7JJ7ztu2vxYGXidFEBmnhsMxMpV2Z4BpBNiPC+TXG DCuAjwU0nW/DmnQonU088kUD4MgPUQpsz6zjC8HYquv6JtN7DB/kissUMMbM4kpfo52XiqCgovqL HEmqrXWbWCgHKi5y4JbusAUQEB+OjqVH3d0taaYSJUfUv0wGlo+zh13GlK3in2UOIXe9g0N9vDxZ c1WC+YYYF99kLcOJ2HSlJJHE79EHTYaitajh1PPdvDmS8u8TUecH1hfHSmRI+WlIxZGsoBTWtdhv k/DqPg5qzB8KV0hc2ikZqUVXfXAyRkSC04/szA/IsRAPhYVilzbq/+u1wKq6o5c2HjGWqyE2qPbX kf/mLyQwHJOPASBbg2KpC8LHNgOkR7jGgoL0T14DsBizYOrn2eaqY/HwRl4p2kUSmDmXAML9rcj/ uzfNrRShRCJALVnemuOukjmfTT6NOHBi6Yy3GN3iMr7Xsn1PwJUbNfNEUHRGcLxlp5OTRv3PFgn5 +LmAW+BPn8UPsagDRlA8Zk9rHYLIIwltYZftAR0WUBrvZiAxEG5llaCs+aZ1kwhhOeSl5LAJtKc7 k+4HNW3OQyqg76kLdFoTGqu+futgHwu0tE08Prxl7ofmzuj37MAVAs9hrelVV3dwRZqxModmYoQV O3kgBaZDJQ3mCsF2xvneycTjF8S2ttvsM2UG3LRccdcyEeH433z9QRvvfjmAqseTRwpukD91D35x uXEWTzF1gGGEHXlnIQXuh8xRGWkIHmEzULYqAcSpmOP2yGzMzD2eQBAcBOm7TZmRdvHSivjjzXDw TO4Utsw0fWKCquC982axAQ6U7zZxeTLIV6g6YmhA4hQD1wZmg2ZMGTNLYGccrbHI7t/Lbf4YEqwH VHUlsAMeUCT0FVzrBT4vSKu174om5Ma3jwnPI/9AFiObZUYHh5APfQW1eFvBJpV40BpqRAb3S5kl mCfHDOfwcQouZvkNVqs3hfBfxHDPoUoQKzWGQ++E60ncmv0BsWcC9yLrtYAA1axVg+bMWhBJzMo2 7wkuxeaBSdezNmuC36k5koLcymiqTwWLF/eFYAqa6lzslzZoA4ewNxQp4dg9k4CqF7XgEJHYQL2z WLO2sXG5sIYfLJZUX8LkeDcDXGzNrjDMpkHAWk+kTFhWlUbYOHNhN/3PXcFn6+2bBNnn7pau/8PN FQRdNuQvl9iIL+94AOsNMXs/ysmr6yIHY93+TWioXJM55eVHeVy6G6/C7VmFGhAymIvABun3xJq/ x4THPSraJ/6UY6QHAJ2KCvAbZd2aO0n21vTiNdEtWb0cJNo97mS9fna4jX7Tw1+KW7QuIy6aNigM 4VTcQwnNvs33GHFW1ctqprRVTD8yCNcaZ8y91Zhe4R5P0yksqz+93Vfs1AQVr7Wblw5A7xG3qOjJ D6r45fwEe+zQR+BGkefbSPNaVX3z2yrqkkaZX3ytSLWtJVqoWqXg4aUXsZSkaMf9Gpi14E48CXAb nVfj8Ogn+osud5LoBN+fR/5grqtr7/chJCmjIqVjEiDq3E0PGgQJFr4y6FCbVkTOf2zV0W7YiDPL 6d7B8CwfshNoePv/cIblBZrhZckUcI420P+IijZ3UfXn6C6R2sckhedBcRLIIj6Ub0VekI2+5Y/e 7+cxIea39OdPNAK7Z7XKbtrSkI5ci9wK4Ea/eWIxEHnxqGjSnn7YIbQ/ypF8ePgBQ0s0/HN5tN/r uYzhmK3illcrSNmwXYSi577t0Bv/i4cIzI0NtwxSxXtgZCPqmQNuRe6+sP9NtLHtiwfwaDM8B+Hw 7S/Vnn2HV8rVWKtkgqD+/oH6fUmzet0ehVQkl5KSeFG6pxUs+fjpKE9gCvFTTDtaSkPim8v7GWBB OYtHDfAwfjNnD4jwvYrIKRAsunrK4Ohj4x4xCWBdgXsbmKJRjCXEublcZNHiOD8ywDOvvLYo1PDq PaVgunbTxsZyY2PczyqgBGOW212/QeJyVhOQuSfhv8QdYpOyAO/WLrZn2+Y6Lx1x+2nt1I/bUAo+ kJucTb+08uMyTtnT1Swqt+QZZGXyM5E038J7eEbsqJ6H5BzcGz4zycefWOFrMq7UongZlrgrGbwL 4haiB6ia5dWRe1rxJPRQwG5uJYBv9XpLJzIJMcLvAOzZVMt9mOMMsLKTac6UNZtxmTACLVTx+GLj pDPmEgQPfbPcby+0boqgtL9lC16zhTeCqMl4r3XGvh3U1PixLukPftAAS0f7ey+YrrAS4wPUbwbr Ine65TldAmPty3F9+acD3EpkTIo21NdX5+QRJfKcmy08zYvvaJQ3UANJQkvhMY1JtT7rWmAyABkt TO/jHWFH/ZetKFJsVJBHhwTxMZ+SP05DxXF3XpN1smpLjK/p3RyJWpzr5lS7sKGsbDX4q49Tkyky +VJxFHyueuozHIBI8xXjbG0uB0Aey1cKljoq9abfmz+sopXdmnSZlVwb8Sp2GTJOIu0SQAd/urm5 EfiPSpPH4A3NTAxaH7BPjn/Y57R1NRV/ZbjXVxY17A6eOOdSn5JKgbey2QTevwMmSnpZ0ukzpSht XWxQW9DORbNMyuAZZozhC/Ez1Ke9EBbINqjtyasD8COgWQ9EKsgxTPXxzp1kMG+ZRZdOgV7sRYVW vYgaeUgeWwqK4bZKQY6Uro1i/Ses3G3LYnzWVjfRHh+q+PYHsIrUtzTPqKK179YXkJkRPI0R1YGu TKeoEUSWNFhg86wYi7AOcP1dS51VgE5/CpKUZdFnKwBErsPJvHhUv60FbkiMlfG99a54SYooq5xV Nc25xV9aMwGVYOx7J30WlPk0vrG4204yc/djrOyhqzAPcwJpdyQssNGBB9LqUyWJNtJ7yFWlDyFT bwJLhe2655dsZ/NJXLu2s5ZOJqqmRcJmXP6fNquSJjj5yHq/SjvoVErAWWuL9v8+IXFL4UaXlwLC msnKfJeqI58m9DeKA52iXV4hEdHiuhZbS0ZseDOhrDkNiuzYy47ikhmBaoa96g9lIql8J5XbMA6Z pdHWtjYzLj81sAYmE7NXAU55i3wVPz5lDiVW4qkGD+Lz3zjmgCRK3AOSzf9BPqcjU0qjtqwyZGyf ZrvYTYrhD+kbmGHz3qYEzSIOkK/aFUcL97Gp/qysGfsukTaMciChlBGtMZgPSTq0tBCoaokg2zyg 7EIv0Dsm0BPFE0+EvqrJwOU+2Qfuq/znt1i2WOTcQa9KZlZdef3mJ6Iv0auow+Y5R7HSo8ANmle+ XDC9wWKPxMslBtl3tLQEQTy8aaEHly9YFaznuIiUpirFnIKjXK/39cgev3f3dzGytLAAaO+YPFsj ACQcncCgovP6CCbLyr1uprp956yWJFbbAynFKyWYiNX/EsCZ2Sw77RXI3NHBUR9vejtp4UvFwie7 NN7tdygnGz+AknHBeAyEBoDTMa8Jpv6EV/9Df49bhhZOtcOcwnZOqJAWLKmNEFPLm0TZG4SIzG2G 0GZoXaK7x1CrMDTyVb/r7a5QmFZTbAn3W5u75iv5SK4qfiUw1LhiOM87xWLGLGuBf+WjMNEo86K4 LD0XiFSwrAeR+/p/jUPRXWv9eM/KJTNGsyb0Xi32xmy6F2klACMFt4wF6Wl4RRHxoX7nb6WwUPMl ZftJYfmYYGr1SBz0GvZDauXYjcLmWD8w+nV2RonnuAObPyRz3nj1mhM2LdIUzO53A3LdDCABbxWL IXgYBPcXMPQRgqKOp7g6PdV43Axe4FCnzQI6944S4Pty/7X+K+8bikFWhvH3jUMG9MNX2/YceD2i 0fPlkpJE4Zil86qG76YKSBp/+x5VtF5sB8CnJdZ/wjTUcM8UFa0RJKBVdGoRuK77xyPJUSZIPBEa IM1Hm+/Hc+iq+SQYDyi2OY9Lm5b67L9Zu3IUqy6A+CN/epytRGbhjKvghXknW/3phmsrPsMUUzs6 CS1yUbOoAFkqg2F2IKAXcmB7bPcF4wwO4TAYp3nCWKf3IGGg+rJJQ39nVFniBnjXlme2z6tJttNv YR5xkUxX3EB9yhhQxFr+/kDsm9QS4EhO3UzNXDnTBllOg4VGj76bRw6/D2UgAXiuaYKd0/2PPOQv 6dLnsJzYN9Favvstiw7XAxENncl8PpoWwQnvmHFMjJtFnpEAJa9foaJJIalZtyFWwey4urpGcZFD tKjXk3Vf+bVxfu5Fe+Jvaw/ra37cYEZgwWduJ7zbrWvmAdAF30I4dYI8nqfauMr8xnGhhXFJB2Ru +YhyJiMXm+odqs+ryqUonl0vmtM6rEnuMbfi2A5jArPWsV15rjVS7WZ9leJMt3pjVMNmrwZYc5aB mCKqcPZ/AkwXhX8rkQsW4C2nWq3gTXUtGEi6a2DkTOOysRJgNkdIcyTxS8VpDX9IAizGpZveTP98 LUPDmm5laGx2HfGxJ006bWY+hnC2AmCR6FuTZOHukKx4iE73PmFn6oMQ3YdxXaQPMxFSWUuIkIfK fNdfAU0kU9muVCcmF4XTN6noBkiOCCTRe3AcB79mZlDi8W9eSVTwezjDF88RjYoPa/1DbD48bqY6 Vw8ViddXO+2gEg6f3Lg87ipmXSf1JTl+tg19NYEM0oC1xkDQ8X89AbpRj+o8wIDi1BBChjDouHbC NRSiIyySZKjW3Lex/IszqlcX3NaoFSaxh2CDJLp+g2O1gNcdiU/ttQFMm8UWV04bva5L0eniHymo Z+jWhpUCqD1Gd/6f9J/GkE3BMypE+Igi06cGaEbyFfofi8sbx1z228iGU6nouYi8ezgCVtVI/MQW zBlumY4wV1ErHsTpZ3eDa984UlG5hGiSlmbuu6fGHqVB+g7f1Qk6UEKLettHXnpqB6tVjpJYKLBa Ir9sPeQGAabWLffx1Y7CoEw11xaK0tXOA0GtQs7KzjoiM/BLIa6s/t5jHPO1/C/7iA4ZLJIDN3TG vJ/bY2XjpIBfsaZ9PnWdWlxTtuTQzrvBAKN2br378l4MZxEbRZmF2Gr1a1Hp5VYYJYuynBv5Tsdv YL2hKTzOE5Ntqy2JnVzuhpM9NZAZoGaj/I8Q0bexwwGf2VQF50y+zOJPyj5qGJWm0v20FC6YbHvP H3yMV+zjrT8NXzarBm7R7LA8jT73KV75+KyvQX6LFNBrzHZ9jIlzsOKoOgwRkx+B1Q8kKBeUyO9X LXIBm8nfB3K3XQBjQEGLgknzFSOITHYIDXcae5J6ExIVvPutjbG4oq+4ZbIze+9jnIWbaWrRzxvw EEW7gkkbgVkWMSvuPuQzQUjWx7kPN4CUda/v5wvyI7UeHzxN1hKojlddYPQQc/XVx4r9Kj/xKD4W RI1NTvWdwZDLPXIhI6oXbPdO2ES05+Btbu+QGcog04CITJ/PKKo1vdbPZh4KQUngt8S7FVv9JDtH 8Gc+TmvvDpMxBZuenNnKgXlwqz5197N+HunaWlIfwI122n3scwgndLYUiGzeXZtsHpsY1EP7nXHS 1ckGK/7xOUoRMVOoiH5z+95/7e6hLTs7Ubar2yxKjK6D5sWb3GvBtFnc6mDRt9VqFulo1B1Gdd54 OvPy5aMAs7znwu953Y1ZRao7kv+3EXNmjyS33IhYWYHf3yoBeOWzv/chtT1RrNfnVFgxhyyuvNF7 4H8eHYze38chKlkpUW+7TXWVquyY+OR3/AlDw4gUojVg8/f0whaqFYpGGRj09w7AYdrPX/3pkGQk h+VZ17/Vy7g3WocTyG+Qt6jIWKQ8vGSs1/2aHa/C9vxk3UoWZn6Fz+t+Ap28A4gVLZQNc7/dHjpa k1EmDlMA78haDxXMDq7zG0XfXUGkr6PL5vmQU/nG6CATbrujR5mf5h0y2gVwQqTK1zAnzIcXSkFG EH3mAUMGYHz9x5MsTH3srlCJlbUyq3FGosDqaTWCBdWMBuMHd1BiBn6sgpaWQVjAn5cs0RhxOVts 3d1by7nJsXzLuMaFHGf/zuwzAJxP38uFjGrL6XhC46rYH+Bigy9cm9MM4LwvjfXcjgiT2S8xWWB3 f5ewAejK5hyRFVOAzdSV2wU4EKKnZL22wSyndbz59jVTAISLoeYr1+HPirOyDoVVCXhmfxzTz6YB c3BH7r119Y6tHnQLiERS2LoeVyv61NUtSwM2BhkQ7MbVLgP6Bq4H0lLJEZI41/+PfPGZoI2Bq9dQ O3QDaAzyzNVEmfyPHn1293U2PS5hvM321GifxYuXYrssRT0Xf85Hzgt4vhMi6BSdacSrb9Tfh8FN vdspG+xR82suxaqK+0wVHjaHuCdfJ7QNHAqu7sq/L6dUbI4l1lHQ8Uz16ypT+uAmZ2Fgr3OpjSWk zHZRDG+Z/XsrL7oVSKBVX9FCBdbhYGKDBrVQ1G+9+U1jI1xw7Xsfju2AmVYZv1V8W57zImoAKjR2 GBfo105OvBkLhA/mZRDR0ZHqHKFQrdyJqyqBhMKFh/APSTNopXoWEL7mTe/Mu/JHO9l7LCtt2bmX 4CDP/fbvbTYBwo0cDyrrB2e3kmpTCl6OeAIDNX2cNFxPrmKX0gQn35l/cCs+ag1Z9FUxQvipFsAL uxutHCggstIo5lQ/SInEroY1BTyBH3dSt3tAPLbFVk54gsrLX8zYd0pee+xZ+jPIPDHDSgqCCSav 02WZGj3TO7K3i3GEOd/+Q9ZZVi+FipnA5MvPf8hhnQzr2lws+gNe8VqsLU8NjkzcrswkDT9qxLul nZo8cFl8szHxqxde7S1BXOYvCtsmwBEuWhm4tHgGt1hM57aCq0OTg1ZoyYgOabcaHPWERbxKKVh/ 1fxeVhD80avDzMEckXxMFwpmps6Sqe//EpuHhZiPdlInQfT6e4b+rdJyFoQVV9VuBs9eU43Hc6ey kroKEavOqapTjiFNTLi2lpe+nYLFviafmfGMMoj8xbZOhxA/E0qoOoi3tLWMGBE8U8/Ee+fG0d6g sEyllMAZDUIVdhX3MOuBjWt98Nb80HpL4+CTDJFUFNUEHA32BVC7/URPdsNYBk4c3+WYBn4tzubV gQBy0qp3b3yjaMMUvf2fldDXjH6usNV2PcCUsnaQmW22R07w8Aaw3QpO5RasmSw7Tm0SPjGmkqaj q7REsQF64tgpRNS2WoB3xWzEra/QVOSbweWQkYU+45ZXvFZRTGb4Ex1pSsMvzatHOJtBaj4eur2p SomfQoIZ+uun2i5PD6qH8d9Ux1pl70X6DbfLaF1XyunIBe5uW/4o6x03h2lzwIgNNQZAx+Od/ao5 WVd/5jcVNtPGvj+g7rx9lh7M8AciUH6zSpnsEeDzwWWzPY6Zhb4C0IXntVcsg9xELL+FQ0GWEKYO ICBzyCdDTnGWkgFFpbdHrVWetx0sTPIqG7dIwC4H9dJc+sVxZJYlzmDF/KkflheznRIz6SEfC/E1 fFt/I2zGF6qlkK/WyKG9hEofguAbOVIuXZRY+gf65SrvwJwxkyRJ348LCjhJDa7J8NaOLJLtBWlc w8n4p+cbXx3zHj0XpwQPZpB9rsKaX7CfrCDmr9ymKl9ZnRMcK6vvsFJINMjEfR29S0CCB0modI+j fzwdzVKBSMeInfhC//s+PmjuwcJafrj2bOlOJJAqG9GvC98+ugt878ST3uOOTSZwjNYFLhG962Hx 4lCu6Qcnj0kvqSLLp3qnMh6J/Zee+/eCWiFjKZAD6D0yqfMvExCBXigT8NT9ONagmu1lYCWM/fcd 5jZR93Q+TORYlC10y+N90ByZT49R7ieni8WgZ4HgQrybDN7kONUkm1SNx5ncC0hds9XZnLUJ9Mjr pDdk+u93ZnjlDEu5E0DwB+TNDE4lb1GLWTDWGlsvgGNAbE7Q4Rd4ouuPXHGgmAdXHUvUNqkOgKqz adGOXncHwSGd8EtwnZYHxvEVU5XTaERpQ+NEhG5sjGwa66H5mTKOyR+0NzQCdJerAoWQqfwpxHmW Yg/l1XLAOJBb3YR40UhiTq2EmSmYgYMv+LKVbYEKmi4S5IdZMXRXmGhNXqt4Kdj34iSKtRixZKGX PWpGrIsFUMdf5Ba8giRhzVYO67DFzhxFTIOZblubOccItt0udDorcfAqqDpQ96K/19WuLvbCIWwD SyiyULgNoPIji4tHr+jMuQTRLZRvUedFIqtGl73H+TrnoTUZigCKwK54tni5wSCNdVDwkSOs+H5n 999qgbGF6IboKqRi+O4J1zdOTW14PFATfUAF+MtuxijrVOA61zQ+8OK2xLUwC26l0+hsznhwk+8x SOpkEp1CxTxzKUA6PPDGAVj107htiG9xq7V6HooB5rqy36nmi+UWqQ0xoFP7lSMd3t+YiIXVkg8L YvgQDP8DyaIW12IphqV17dU+TCbrCNsJBKQ8sdf1iL/Zxr09z8yv+S95WLH2TLLmqjPParuMjDWU rB/dfHLpbxvbbiGSnFPK5OEhEUxKSS+dbtZphgnBhIIVd7URdpDjGllspVBxHmn1p/QU+saQabeH smkwMeGZhIVQU8YvqioTIb2rbaTzi41Bl/7w6eI8z18CPzD26Ix2UarfW3/Utw0ndFfnt9m8bAr7 HeiJsq4/B7p//aCeYrSXiiyhfT5lAoUT2l742b8alrlnioLfTaUDAkk5o9I4SeCWskvu9N7jNnSp b8/YGyXvp4xpbld+D7FZUgaoIDrQPdVqxN9eM/yq/hTonOp30oLcRsl4gDCcTRiujU7rBkN2EmmM CV9yRD543GG613wRODI3qXyjctXIh7J2b20rb2Jh+Uk42oq3wt23ljvBSGWks+5nFpMVVGGbrZgE KjJzU6MLsxZY6s+zS0eo1Yu9C4U1d0lkqxnimgrM7xOl9ZCCCP8xQJKqRUKqLHInSyNycFazGTM1 vyEnKvbdfAvoB+3x++boui9aX1t63xcmx+8U4SuoG3y1M6SdtS7ooYe9Y/vEH0qU8Lm60PclDKTi CLJ1HbvrCQCZiceWWQ8SrprmwjRvVK3m0jeSO95y19iSxyeb9TqcndCGgmM//AxWzFUZBIa5zc0I S9bw9bYU0qYR27hCGvwCdDZOwGQcO6fmv28RJaANKM+/La+8CtSDUYroK41tUiV+OjiaQbgBwE9T w0jb0ZkW9+mMdeWa5I5XskRLZ/wTV6FR3rUbODQ6mhgSmacZZbiEfjHRA/PhhLxZmRcPMYkjjDw0 a6+TJ1kwF14WM1NILbDvUcmT48XlLEsD0m97JsfUwPdz7xuPz0m+Gx0Ew+b8A/9szh/Rrc4VVnAK mb0H36E26Q9oBtgdpnLvyqhkVLGlLE648NxC4X1pn5sFX2rf7YTn0U3LeE93ywtCbw9CxHRgNRQV IJ2Fnq6aYlb0ewPN4fcwzmpaeRZhjv9ecpe0qX5wuj8jmX2MW+Nsn6uWnnMejs6iGOCMRGR6gy6P b9mbdxCzriHDXi4vIlb+Ioto8kIbg6RnpxmleQswJvlO6nhiArCsTycsG2wOWR0liRn9DNM5BzK0 IxxM4XM/UBVugz0ck/BOWdklJAa7E20ZS/tfUn+n7teDJDFOwHVssbEJPf2Q9GPtfCsINbyVCMLA V3RwvKP3cyWMd94xvPboLDFqMSwTJ2qEBbRF7sNz2SxF7h1FlRMrmlcub7j6PNZAGDODrkQT758d xGBHlJEiAbHcKx+Ks6RwaRsb3dQPckZQ4n8acpLMocKK26DpKH9esgAUlSw+3r97BIEqaNTfqOCz x5lMhisJZu7EQYiidmAvnKac09XNJo1M86ZuXi7y6tPEbQKPGdaWzmMfQ3KFAJA3vCLOjjjdXzGd DURn2H4hoqj29t6C0K2LWTlb3zLSPk2mwyGG+M9POFlil9IjM3CZkmCwanThDq1unsk2Rwkc6ouk lxOlfsQIOBMKFkiWPtO5tEb6r8JfS1RBz8by7v8xeU/JjuAk4QyKqdoD5i+hG9YW8Na/8Qkhrmvw quy7cCw95HdPI1HobPdnW/vUp6biC3/19jGyaa1trA8iK66ikJhsF5eGDlgGXURJQBkVriXzTDI/ ljKLmzbKo3WcsG21p5+ofDQJlncyd6/Iz4gcQ1WlwHHmdEY1K+2qe/B7dXrMvQEX2FqNIefavrg5 VMp8CUl3nwBnae40+uIbuDqt+0kZ0Hl1BIF6mown7WNCVcgr6YG/RVEeYx2q+R+4KipOYNuPUFDr 8iGlmcNn4hPndXb+/dC7Q890Va8zoEUjf/axB8XDyrmjBhCODASOxLj4gJl6InvJXOL3bj9dHGmI jiE8Hz9gQYjwKTXlvIApXzKg+eXzMe9lX75FJMRfQqmOdXPIhryVkQUVNz1FEIgbGEFaHbiqWsYE couRMqlXrEe45kMZHsrnl5DBbM0SaixYOQvx35W1pMOYTdvOm3lSIZ5bujg0c9CPNX3eNFEGUBsH iBQ1XyHVkYUA0OsHlnC5eFgrObCmy4znGqzaY+aawrNM8F9o27q8v3rNyAqUNWsw6Jz1Fb3gjW/J LnUICAPCHWxoXHQ+uvj/c53jHktI2iLlBhMeb3ArzR5CtIE7cs0Ei097QH/RdPeKWYMazera25wN dc+1Riju/o71MLZwQ0FuB+XHTL5L8rlvqycHIFV9orB43yYb2rntqPF8DLn+6NnRE4VFEWRFWwHJ IttlnLH1EzT6DUObxeViCxpwdeSiZWwfYswCTG72knqJgbxWtD6Z+HK5WHThmyhGjYjhsxDxzwHD nU2klz3e4fFSuCVlmwt7x2Ff+zl2IgoHAJaodq0StWxSCUzdhaN6HfXqbWU1TIPgtiGv3c059S5W LFeeXPZmz/2kYSUSQRQTYo3rzvofMoBhBiWz9NP4fILMPoNzr1+faI/KtRHIRGld81rKyDqqNl71 4Yd10WjfX0vJQ2CRe6g1e7yVcfTEye6hI7cbh7LpArfVWNLV/9tT6nL9OGqO5ad2aapSsSwrE0nR Sw898TH14P6UBG3v3iqHlauQQqG9IyLYbpcvU07sPbK9xEUK1oysaNW9c4Z80OJ93sUmm6O5NM+w hGdKOAJ4Tz2Izsp6HD5yUkzbo2KeUVIuvKuAqZkabAB5jCObCQTGkjOH4DzAf0qB1QIO9M8xZwX7 E9mHadAJ96X7PcuegkYGBgecDV0cmr1Oghwa2ft6VETJPnyImCdMwYbe6WnmCfZkMMUEGtXwcNoy lk5SwwkSpQs1p2ciOERu4iZuhWnDIhW5WEZ9XMscFIbh4gD72c6ZS2gY2pb/q+pqe6pETSyQC6Hu a2IEBK+r8WvPrFe0m8AF6hPOZn1VKJzQE7aBaCD8YigpjVkuP8CUnGHk6wYBAHPkdN40wvbhR4lh frTKv5v90sTAyPeqgJhtHP9R3LlIwhVd/C+e6Lp4r6w1ANyukX5i30uihMTP5j4BlCeAwNpm4FD1 7K5jUFd/gB1q76VlJLBw2f8lXSW6zNm/ytsquI5E4SVXeaX1deD4nYUJOVbogGSAeOTTsDH0+GtY IWYVXavAUIQlcK/CxqNDnlj6T/9yuVf3lsvKXZC4GzAcq+sYGjj3WFtjwKRVPsK8yD7YuFTtNYJ8 f5vpgiOrJDsm2qjhFD7Ekr9RlwMwuUR2hx/Xb4I07fgeLsTpm3gNQxKGukZBTkg9qndkzTCobiEw MdFFpwU4bnNGH744Gxlf3D7ipgYHroy8yELgw/KczbTyCAGrsKrdpNTO7Xb8AP8aBMwECIljPo0+ 2nslUgXfqSbLkvRgLv+lZnIO2U48bQ7zvHcsbuZRutTjYg8qs1epVu4YHao0r0ckOFnpDwS8BKOC 5kh3LoPJt09WQsu/SAVJF8dELMjkqgm/E/nVNF0bHOf1BgaCLulnqZNDkdZqIVs0px+TAk6a/U68 MhKeuagMXFagWX2jGBC+cbkmTcak2uvdasYOQn8wejxNNRn/e2Rl79n17+HSqmIKDxezyx566z58 OsuyWVtdJXS33pR0Oj7O8x2TxCEnULA9F0h5gufEH2Ua5D+CndIe5bn2GtifLCORxsKVHF4FwiOW AtjUBfPENotd/ESnSZ2On7AyD8kAY3GFwZuT93E6XWHxANAIft0E5sGeXoScyb48Watfl6FoxIx3 yTQ+qK2F7n92hFugT5s7umiwYSK02DZo7Evof9mVr2Ya1UFi65aD7JezdzdYoo0cv/rDG7LV+8+c mzydQkJd0Q+c0qQE/enGk7qO67n/UnrIceWD/tKapo0lDAKApgSTz4OIDpAooA9DGTVcKN1jj9b5 5NJCGmxrwJ6MKqb2Bdx/Uu6zz6M561FYnEE6buP+LP2uSHdjuxibeBO7I9e3u9sWtZKprP+AoMty hPiDs+LQIg0px8QGU76PxImD2+qNGzOhvxXFeznSehEwW09iiKl7ymRkRd013nub4+ZLMWO2zhA9 FQ4p/S1EUVIKoQp6ozRq3fYRuT6P6jY8cYzivCUx2fZ3kk88hDipnZ3NHHpEww1SHO8dBFEuAmUV z1I5bKDO5NqLiAAbN5u0ZabhXvbrOUqazk9kxJ23XgSOUrALMWiuKxEg5ljJIIgFiMowd7xnnBE/ xng8SQJcPGeOoFP6Y7FDqy0ASuwAwC2Votec+XXQxrD4ZxpzKQWk3h74atXnBkPSNCf2XAG1mph0 91yW7SEL+KaodHDBLIG+H5KhMoMJoAs+SMsUpzXhgYkRAxQq169CyO9Dgdd0lLuhdnXfwIAspKSM SQG5j5ww2LB93hTbJNFa9WEsEooJHLy6FC4wBeUCRkJzdVcn/Q+OBeit2tVP+6nFlpEbNCl7/P/m AGHMGY85woK2cJHaNJqGxKqywI2KpikkgfeCoBz/GQ8yntgszTWt8Ms4PihjytfYPpSNnp8HDzPt XFE/2KZYnu955CYo3S0lJhyW85OzPe7RXSQwrYHz+ToyoHt0ygi1FvX6PlvshIY5r7CWswQP6Bk7 XU4KKdoi5RE9olJDt6LpkgalskHwuUdfa0tEOmvozOMCcyOx0pJqGkxLoLw3dD9i+72ova7xNWl5 zFHGcucjMbzuGBtEf81P5hSkOt82Y01/66m2hB/yEk5etQebinixyvoRCRmspnc1Xn+8881EnUyJ Zxk52Zxhy1hqycQY2sdaF+e7DrgEN9tyyeEWTKvLKBGwJkNy6KfQBCvEGO1qvB65PhW931DEB077 6wxNyx+hQGLLJVW7ieRRZqSXnQiGfZ4t6hLLjdoesIufVnT2MdIwJ3zTEzy8bixGL0YO1rFYYuvL vgiC+TnCRgPK2eLCAjIyYHEjE4raB/IUZBX0gMT+UdTzFUP5gzopFo1IDLECcDrX1iQAuRgfelcN VAU9cQ++iX1/azhwIpYO3XaVG45UsIJ0pjYo5wuLeMMFLgd+Dce7B4f5QdXWcWR7m4xBkA7bkhYQ ntjzufrlDfKcDfq3M94ivxwQtmkRBuEWcqYUxfFftE9blrN8GcgCrOyC6uyf8OO90gXzK+Kh+UaX OPOo7DqKYLOLqmhnfzvrH0yLhPBTA3sQTuJ8EXB24RGHObIm3YZo2K8JxO72QRC+xi109hFRGDU3 vNkuXAn2OP4/spLXlbOq1qDBRLV++gK5qk4fI6L1UAdpjhndXVQfi6q4D5vZOMmpgolATM1NYf6a fTUM0RS2oCxp2CmM7Xr/leFZ4/SRPAwU3NyUQz4gg7eTmuYtbhl2evgORnKMCVlJEGe1nwACKPn4 qgUh+vh3IIrtoam9mCu/qHhI7zx+CSHHS4Pu9eZff+xsTrkxFqzBkycb90TyeEVQjkqhYAySyFw5 LwWxdeepNP4mQhaxv9kUHBOUHT+0BTpELL5aPjBx2kAqrk4b11p6Z3/yiIyS/IljS01hGGIA7mDB ecmlx7E0VWE0+RwVhiNw421HFrSOeHcy5vWit54fptqjiGYxi9NHzpawtTAzU29co3vfcTiVMpkS vaDNc+dTjy1XFEr+INGetLzPMU3G051/uXyitw/zbjOl9Er6s//IsemQ1kOqi1fdqwnogEa0xCEI mHGcfzIYCcpp2+iLUZw/JCz9SYBJvmkm2F17+Rm7gHrUozPHIBW4+g4U5ImEIVO3rAOGaFtbZ1ms 7EzPxvQMoY5AD4jMWaeTOUugShijhBcCwsuaKyx+fCTaNrtEtW/o0vxACSa2/OQozvanuPszmtRM 8d5cBqELo9oaQCW5DOwjjI+zSDpJogtJ9G71qQzcIIkAMmNwZDUeHmD/+DkOm4u8LvPnvRaN5as8 eOAzKIo3dSJ96eVEiYIpSVezkRgE8jUxqiR50T2jGaKM8HVY4elCv0uyYcm1W6lXhAG3G2nxI8MC S35XmnMiFwcKuPDEJxpEaxlN+iRo/gi8QJ8cVjLqDNdoF/IjUYphG8j7674Z4a9kpaGj/RYBl6TY QPGa2wI1uar1vPrsjHe/isSOZmMTRFUTyxRrAIIG8p/g10EAJq1E8KKR7bNupWjsWmX5z5/eDOPi HFZb+CdLx3Qoakl8WW2qiG0kmWERiwa0ILT0r0UjK90rAy1yQMmMDdpDyomlrv3uzecEUcLNE+Jf bWoa6t9O0P6QnyHguaSe6akQAf+JcsRskopEYtt7DvLT/n/DilKOfDr43wLw2CfamLwEzBy5H1DC LIP/C5zlrl4ZTgVSssYpJMWFXTfShiEiSYh/O9arT+oFDECgTuBzjZuOabT1hUAx1rZwadaRoAFd BqWdIOuvCfgr8FHszLXQznl1/dGiQj45TlEfWbL8ECCZkHrj9pRiNH6CUqsiRyehEaYluRNuXUpd SU1U9KuxANuOLOC6BvoqEdWakwvX1ixNrqH600IxaLrWKweoUv6K6Nvlk2dfvmmRnkgSdGBs8Knm 1agpTe1unLR21VVq/kV8FIRizq9ZkN+WacEmqIdw4EcAJY/BOUR8Y26KCSSHgfoJbbY+/pubwHa0 hpcUTUQ9Zm8zNwdJnKvXFT5XlvIhBPQ0gOnp1vtyb8BQBUwrX/ELlnm8pemv+xXRaFIjRh+j8Myd YRW9RIEI++O3tfYlj+xdpkyIcJXyl46EaSd9PFykFPj3quVZUY05V+EReBWHIiuxMFBs+qJtmHrx RY+JZsRfwTcimMNjd9J+viWILbEfxcJfRjtkhW90d48+dhFlc4cYvbwVoONG4M7ymR41pPYPOO0g Bp/jXocQ2s2H/k961kQ3aKFsgfLf5G4BnyRJk3GaluWTcoCRSh6ebVlReJIGlxFZ2xsBBu8SXqtj XwtMLuHskJJwAmZ3DnZ+1QdXfBy9cTlETntPkcewREBKsELhN/G25pof7AFmiVNhAGf+pRPMzilU F7zf23zFOkky9QS3zMn7xGAxLt8WsIKuLUk1T+ES/f46z2yZhePHoGEIZt38UtwH+xgIRDuE4g2j sOAg8AltBy8S6be0Mgo7Ym8tFoN3X6+6eLwK/V+bJ9/XI+sYLVIneRVnLyk/vneIZcM/bdL64ziv IwIhriqcbYUO8zs4uPKpxalqcuH8hq2BPyc2gpiCYhfg6Mewen2sLfMpHQQGZLD3i+/t6u0hzNE1 qk5ugpt3ugfzDZtyLeWxn5IFSs1XUjhMRWYCdirS7tLVIXazID2Qs7pgeNoAeNJN2qkex3z/noVs csqKGvXlczCY0Tcv14gKHcb6Tx2em26gqKAU6ajmyc94OI+tTvMCXXYUrGWpIiS3e9dgjw2XuVm2 NDzd/cZ0L4EPDHnky1fVVP4YVGPA2uVYjLA5KDui290LXgAWWGYFG2qum/n7wQ6I4UrdvsdMkcu6 bCb5NmMgt7WUOY2fS+YaOGjk37EVu9o99TwtPA4Z1tkcYHy1Vamke3sFg2zHUGT9dBOVX6uHeutA OXGSb6JjNowX6S5/U3pPA10LIbZk9+i0yCh6diJwsm4mtOrOIHy+r3YaxnBHvMcOk2jXBmUUYa90 Ruqgv9L/xzkVynK1AK58FpavtHd9vkpkSvKUbiS7botrfIbaq4gRmpW/tlc5rGG8LTRdVt5/8wGU AssuVPoMiE6JtGYLFJ2iYxfAcKI3WwZYW0KlqQ8SEU1bfev3TTJ3CCw4XMxOTPVVjlmTbNjMUS/8 0NvewYe96sYJOoCidbC1fDoItEt50tQtWtFnLFbGnXX4F6gU+hllqhBgfFWC9UWbqsazavTtBgfp FSskdxkpHrZQ3R3NtYcM8FqYNHuQgTquV0k9T/tBucvCVABUr3y5pvGeL1acBw1oDedgAP9U6gnN GmkHM9PsfVcnAODwLEiYxM8zRhk/JvN8tcwq7Nmvb56G4xrNDVKex40lPD54CqbpYeXxLylEmAUA KZKuhnNT7K2OlDolWXtBLdug/rwtpsyUk7tSBj3YXR0q2fYw7mUeyImL+vN8AUFuOwd7d60HcSbr RSpa7+EXoUaNMjODIB/GdRTiFvBc6zcYg2yao4hMipTnyZFdTJnBV5YtH7yG+38cpDnfjuVLkfWJ GyJZ6mlWeHlaNNjjBHbsnVXf1LBl4j1TRgW8vOw1ra+Woq2kYnO4DVS9HdVr/zhJY7v6C6B6zJXU NyJA6FXSp4OpLZNeaR1q8xDo2pryiVUFONRHdV+NxkACj96uULOnS7rgcaPLOeQgHz3TOcjxcUa5 OLbJS2iqwhJDp2P03DpDsnqSRxnbtOlqp37/lQ== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_decoder.vhd
11
24873
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JxfuObrTyqbe2hEA4QwOiYQWOPxLUXGFSYHQFiIaolfGxhZj1zvQa30JxIBd8weczinC6gIhKHYQ OiZIjcnzUg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jn06LYncZ38HeZKnzdwXlEyWtMsLkGuKphL9SfI9vQ/nin3CMF2DWW0aaKDA3K4kLYA6+0BVaYBn UL2RuFCmWsSlnT1u8CPdPkxnmiI/ymwfOFbHwDpi8C5zDfwlSxkcEmk1JF8rhnHEG0TJVtjtx0Xb DOIfecnbTgrj66wbvmM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FvWDVCvPueXxVjxE73Hg+66ukrxdvjInPIExuSYH3rtAJ+Q67KaWRMFv+yD+oLMiZWrB+9ZN3z2g HTzYMXv5EWy34j4bEpdxgtMV0THc6JUE8dSrC4UqQRGHZgY4aSlZo4H+4lAhkBWdMGAxJG1vLI8n EM2J+2s58BnuV8K9ZF+LFzoDbDZRwhW2dReFRqmiqQ2sG7WyQeyRz02eFt/5AY/hAL+T1Xn7Abfb VzoZUWM6XUQmBytIopfxBZ5q+H8MS0vngPTj9pIOgry5rmepVcRgoTrSqxAAf5bNJE2Ua0AlzVz9 2+aobB/Eth+AL66PQgnrK4wipZP8U2G2MXCZEQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ibFWWG1XdY/PW/BH7XKrn7+4Aw5Sq++k6GO9sQ+2cEkTOqBF5ivD4ad9Sy7RSJKysoQsrBE4Dl9N fdl/3MPeQybS5AvDlaewDNsg/sr0db0PfG5H49Lgcc82rMjIrbEVeWjyAMxxEf6KvuqlW0Zwv9F2 4cWwSS7GfssRkUKfjos= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TBfxv+m64ke5f6OYO9lUIbDoPu+8GznSlDm1X4Lt/JkIOWNwtkGYOZhN5WPiVhEYzEeKGX1N6cgc t425QQWopPfUn08uWQh8kMi5S78FcvcEI90+JHFJVB6NqTsw0oNCs9mo5BjDlYFNSawez/THWthD 3XXvU6FRu6JCeS6ev7Vt1NHW9XY02khpakJLLTdM6FIfjjYf+zNL9SW8h24wLw9c02alUQjuQPee K1cdLsr6VwTokl9niddPPQ8yf7wJbI++74bTlp8ITT7V/CitgT6dAbG/KE1mPbV+a/dbgvK3T7/A FV7uFV4jv/voXs6JQohvi+J78c7V81f6dbEs7g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672) `protect data_block hzTcvoZR7/RRXogvGvuPhkCeaamo4Oy+4gprRvyc7K91a2NzHJ8Wd02xnkQNHGU6hnz2pPmEDNPi Q1zFjb+yQpmouZ46NJ6foJLjuVywgEz1bNdyTmTMSJ30+ud6QksdSCg3KI4FsHCAOA1G1/VAYInR hU+Hr+OQ2K4VrD6AmVWuaTWg7taKTZH5GSM7Uk+8gh7Z2zifD0hpG+hoPOC/rznu4fE76bYzj+wM xKOr7rWnkuQ/BjemuvShDD8U33xmGPNjdeWRmz3KmVFXCWzBwB14hQTGSoWGQLK3VAxkvAElmwZX Meb4RSEqPlSFa+8//VFvcNVvR6pq470+MuLh8ZJK3J5Xu60xc+kVZJkiTNYfWN80pnQHOR3vj7pM baeLQse3ic3uTzEUobQMv2gi8PPZ8XoioL5kNv8Z+g5WTNFb/uPjBfh9gn+jf8djzxBywAKqrroY w8aTB6f1WjanUmvPH6ON3xz0eiXZiYgHBakAH97E1LXUf1VW1ILMVsVN+ksvFQQRQ4wTGL7uGNbS xOkSjuRhX0k0clKAOJhyvfHgGyz+d1slFvSvqoqfcn58Na1dkB1bO2+icvZ6vP20n4MVJfhsgq04 c2Ws+2Oy2/HZbYXwBv+AjVptbBTFCPs/IzeDfSMAmz2zpMwlbX5F5MaCnb6iooniEe+tCbWcNEYg lLjDXHRlGPXnm+I4kiNeNN24kqIbU8gkOt8AHRJcngYG85HVi1QqDB/LBMh0Nsmq/pIz9Aj8QB4Y yh03ib9GuPnWNXcUmiCbhYEAJ5tVfhdcCyE6nGTS/B9elqP9d4C4Wpcfgty/OSyiozTBHLUUM60W /pXqV2grHeg3efxRVKee0hIML0IwxVTCuMHI90aH9n54nvCJCfbruWd2GqXLqiZhU/AX17Z7iKUo SKl01i/L2RTtyX/NEWeI7DA821kcQP4DirAeCCv1Feqj6snnaqpUhRYlCOI26O5MixCcPlp+amED P3MaYrl+ONe1NosVt+swARP42sQhbVmI6qxNko4XU4rlyKJcYPQV0lgq6G7MEKbty2afFNasxOxm SHZd6TrtVelCF1i4S3OFGmkt2JmzbrgJdstRwGTVPulFxhdG3VX3GgKKwRFFsaOT66uU529HK7wy kEK+RsK6vz/dNJnhf1F1vnObNWUBrwqy6ExIzckR7NrYPPxdaR0GgVewU2O/5FXxTJZTYKWiYeoL VelN76eutw42leF4Dlee3ZKPRzdQz0esLdj+5Fri9RFoOkPBdi6d/fZLb40YUbr/QiX/iTfEjQec 1YOMmTTkMj/ETPk2BqT7H2EHEEvf/eP4tgC3ShLHO+32smBQ3r63u85lv2UD7JVo0nBaXIjIsiYm z/wXcTxZcCJLQW8kcva58HLUvsRqa1BVhtcp8v8zRcPX3RtA1MGjJ1pnu6TjU60+14Rr3OrMjRbJ R3B+/9iztcmFZukN/es7keRExMm90GpUs6TGIH+L3DYajaSEMjcjt7YHI0RJvnQeOoufugq4poMW tgyrLic3gFDC5dQwqEog7hrNaR9x+ma1IAezI+irGE4cqvtKUcIwMTeSA++JZeEk3iH3eMfM5CH3 5IhJGirvBB4uEZAQvyZZJhWhEJjU8+9tVQnadmiyf32LraWPc9MgRBftjnERP7hoCKKamHEY2Uxg 9hGuNQVgEto+M7boZfsTCFqmbmYGT9yR/g9VPak4iYqB/iBHWxNQmSn8f23QrWZxK562z1NV/1d8 0T35z+8iIGO33uGjRvN+2gOP8FPulhm9AIHdm8gjZ0N6DH7mlXCG38YXoIBitQgUXhi8kgFcGk4q ETDWT1mQdIZz8vYG261ELL7RptVob2F6txg1ncXhrd2jh/g19fyFJTtWtG1estA78mUpVP4WFAl4 gQKMa7TIpNlDFWnVfOQtLQVNC95J4Hw7K7Lr99v8wDLitDrP9rxqsjfGrq1fxZFgv/gSTeNGCWI1 UQDlIs0+Z0CF28JHn45VHqgS5MHk0ZRrPxImyupdGQjtNHXRqDto4rzKIJab40ZCj27I93MF91KG nP3GZVwTUSrtDxzjFdrGIPbnW5F+ozQAIDRof7lSjbJSETFb3QKK0Exw0X8VH82pPRhH7Cmzw/rJ 2wdRXX82ETNeJmG4Aqt/cVokXlNkcBUsr2VY7NWklLE16U1dq8glESaIR4BvIqsdCJ10skJVH6LV XxLvkjkV90KPBPDFmFWhni5rnub7kxtSMaT2/XjbyMyh9RdkDcW+HkGYK5Gr602iGvivkRg476rE PpQ5+bpuJR+KU72LHK3ksG9Gpmrsf4Ykz81XZ68Xb3P45sK6CwH5UB2IPkG+zqRfoXUdVSIN5we2 ypX99vJGKOrKO/aoxgvzNIFA0tDndjeogtPAcqbHD5+qK4ayGf5KN6DCKV2VSq9ZUhdj9EeW/tsC u86Kz8FatsjTvCCYRsnfRzahGWqGp4I6NkwTfJXni3zKRQl7++gbuD/Zhhs3ZZbwwhkcmF4K7+wu EWuDzQ00flqezPmIYdzskiZVF6n9Ig2E4uiESeXL6WZiDpA4+jzRNhdbKKD+ZW8f7KlvtdPkV7Vq TwQrxFOjhaeWIie3ZEW68rsrhcvcLMJrS4hiLqqQmTJSMS+t2HTzLvi/fNzMsODiQ4nwM+1A8qwN LSa2Ota/EgRRJrWtZnI15fp3thgesi0dHBpE1/5LQaQFcoRZ1KUPBx5oZbB8qpAB+mVZjsX/GK5g 5sgcrq679CBpKlqgQ+1sXpEplafArGNpNm5CXlcA7dCjAWX17rgXyOn5pvb3aBVuHl7KDkxCXL5O f2Q8WoOBeEFF9k8L3j4Dyw333PFqKtt5j92MCsED4TspFdXxx88VARojiwp3o97lXm3aFeWsER7L sOGrK7YbNy2uwomR+V9PXiWEeh35xbEryz8QLGKxZZwLpI3jdf/ixNr9iyBFLud9RQXrg/cXhxye OmF9EKGTRaBkmY+NML3wDTILRujMAnV1GNJ4Vz5fbni+AXl/7YMQuATuziD5n3Kk0UHPI4c24kP3 bmWejdvPrBYQNC1zj2aZ76dGNOUlwXXd3GUZUjGTeNdE46yUqUBPy/+JJfXkAgJiCB8MgennxNei OjYvWWVJYG7xqXgTM1pHWJU6zypULdNgUj0HSjif9KXTSTYSJZkFcUaEe9vg7xhquKWI0+twvsv3 2LPy2zybOMCbjni200AhFHJGR45Jvf3rgsu8/uUy4So3KH/t2E8i2KdpCjOyv/gyTNnl+wWvftk9 blDBwDUCZ+FE3zEfXVSz1I9/+auAJhawXJ25GF5yjLZtUF328VifinukkT0JnzA+l5iJ2rREriQG 081N2mhGAZcTvrxRIWxJQAES7SiAcn5RHtvZqDjf+c/Kq9VeZj0ocqpiEXEbePtATybm1FBCA9Vp uP9uagGFb5F4WrMKqNZg38C4yFW/u3Bcklao34ZBVF39OQvhfmyZUQpdWFmflXytca2A7ot41IH1 ZWdmP1ViX45h+a74lnlSA4konctqaaXldSDE2UIPKq/PPsq2FPuEh9aJfaWFWsPRa+tW5cVF2uJA GN1QVOvrXBQgo36fOwKEYCT7nEMomDYKrl7OB9eJM83ERkcDeYp9GtXdiBQ2lORdvlg7uOY2DkeX jaXtTqG0EiFfZ1fDJ47tGcD4j1839XBhhQDg7vzs4W3cjsUupAdsuHXWVpTmCeVecboeCZsf658Q 62JuJ3dIAOWX10EZ3/3hZeIC3hSm6N5fa8fOooPNuqC6xVOK36nhyOn1han1rpF1so64AIilRS0q a9M7G9Fg69c1gmoQ8ugAoEhSxAez/enT+2qa3GjdtBSQeXOKmpP+ihtvTwJGBrBg7s2VPFGZonHR p9aObMYr7qdBf1IpN2cHWt2JoB0caJ2YiQE+QSyQ05i8zSzfEOts2Qkw8r/9p1KeFqzgQYFH0R+B nyo4OVL0/lzU9m74vqR0tcRYVw5Wof4RcRyyJXVxcPbsoHQ0T6E+orQk5NXQA3zB63vTZUM4WX2b f+a1rO276zP16tS1d5U4J532TFIwk+PU/IzW09OlOLeCMCCep6Z20U27F8k5gMl5zNKru4XSiiGk RNmHSqsQSChiNycXOTzc/O4jqnubXD6QXWD1VivQitDsTBJ8j8CXvrxBEsGsXeWOZE20q6eRAvS/ rLuaXkef4CBofedI1aJaRZu50OHCMZjGzPnVDcm1Ox1Jx+FXwq+0cRGwrUEQ1k8kFxM7eVkGotyE X38OCJCGnyphZa+2vKkhe20VGIU/xwuD2+Gh4/aOTs452WzIGlQ/YhvcKPqVAEX17TDxthKQKKMI m1eXggiW2YMO+enK7HfYskZP7ddGHVkOhNxFyr3kslfR3NTG1n8st54k0a0j2+Kfaw6Pnc5PKQD3 HAM9/C8/mN29t2PuSgwwWahuPZUjsWFlHJQq3CHLvZHSIgdYt0l1CIkFlxMuTxPe1kPIB2KaLknj l5rhHlNxNLaON4eKCvlWH3OxDHGbl0zPmcWbil4/MqgK3OQmtom5u3UBihrFfYsXG3nN4eCF/N7S W2KptFkM1OEvbP22RiYlGQbMsbG09GwvP51qfORzt1CAib9MAVYLpyRmg+M/OxW02Jd4pzuT6Z2y Y9gxXwfIRG+ScLFetTVUSnLF8khfM0kCEr8o4Ab7R4jqS/AIAOKh+5AxESwyaxHpqejr4lj30WS7 3EegPNmWHRztSApskdoskkkx17gZKuCEhvSVPF1n4Chi69HSbFHXiDs0gUxTLR4KtstL8A75ioNW A6kIGxpU+0h95JZyzbhRJyQp5fRyaGJkdIkpw3n8KTJ+qfB4hdaXY3RFRIBweI7ywyxrn7zgxZyu KFaEX3KYHc+ODHzL4A5jjJ47RbSJF81aQH7/UJIYXXsnlRypMyz5keUQkSy/I0/nUq/cTIKSTUs0 sw3dKaxqNUrcHwt8C7aGiHY7vreJUyeyYH40LvajunEN9ZP7NqanX2bXhszkVa2rBaJx7PeofqX7 vhFYQZP6ZJ4Lf9hp7xxbQCCym5hzawuftM1fJzUtAjqdudHHT6+1p31z0g4ZScZYsAkmCFrmZ4IG 5PyWdfnDKRwPJGpvPFN5A/VlOyIbpP65rDIlLlJZWU7Vgw6ORzpfnxnBGSwgEyHZR7YPVECvfTUa sw/wS7tjD8j6eouA37uRwKrTtZaHJ28+/143Cyj9VGDYEkg3AwcoJQK5Xsq9LJgVi7VWFuQxcY9y LXnQ426jwaYgZWuw9zY2quPrDzjXB867/jAZjA/ZFFuWmFM3jKlAHozc5ppukUtZLZjCeqr8dlLq jPioPP3qp4Iy+SXJ+XwnyJYvSw/dkFl4om1Mp163cVaKHOUHP+6LfMWIfzqwZmHPblkIX4j09NtK VIXU1GT35pYuol5okmJDP6u2LYUvSyklcE0YvWFJafI9WoMbHlU48EMln2gH0Vf1iSwo9YdcoNoj d8zAr03wxngCV1WYYS8r5tBotrWQq4d5z5XNYhVhtHn/FKW/AJ3YDikb5CnHZAV2n28UB1HktJj8 qQO5FAy0DGOo61sr3zDOkAkm2FsHkq+tyBZI7xe2zeOEaZRNT1coDfqrsDvivzwkjDCpVf8f/5Bn WoNRGuaczf9g9nhbh6/mSXhhLxYeOVYB9VkDB9Q2X4lmIIUIcYM1yESjSHrzAtRtC8sT6Njc1N41 f9gH08Pu8aZvvIpGPeqxTLXr46Gwf/Dus4R7JJ7ztu2vxYGXidFEBmnhsMxMpV2Z4BpBNiPC+TXG DCuAjwU0nW/DmnQonU088kUD4MgPUQpsz6zjC8HYquv6JtN7DB/kissUMMbM4kpfo52XiqCgovqL HEmqrXWbWCgHKi5y4JbusAUQEB+OjqVH3d0taaYSJUfUv0wGlo+zh13GlK3in2UOIXe9g0N9vDxZ c1WC+YYYF99kLcOJ2HSlJJHE79EHTYaitajh1PPdvDmS8u8TUecH1hfHSmRI+WlIxZGsoBTWtdhv k/DqPg5qzB8KV0hc2ikZqUVXfXAyRkSC04/szA/IsRAPhYVilzbq/+u1wKq6o5c2HjGWqyE2qPbX kf/mLyQwHJOPASBbg2KpC8LHNgOkR7jGgoL0T14DsBizYOrn2eaqY/HwRl4p2kUSmDmXAML9rcj/ uzfNrRShRCJALVnemuOukjmfTT6NOHBi6Yy3GN3iMr7Xsn1PwJUbNfNEUHRGcLxlp5OTRv3PFgn5 +LmAW+BPn8UPsagDRlA8Zk9rHYLIIwltYZftAR0WUBrvZiAxEG5llaCs+aZ1kwhhOeSl5LAJtKc7 k+4HNW3OQyqg76kLdFoTGqu+futgHwu0tE08Prxl7ofmzuj37MAVAs9hrelVV3dwRZqxModmYoQV O3kgBaZDJQ3mCsF2xvneycTjF8S2ttvsM2UG3LRccdcyEeH433z9QRvvfjmAqseTRwpukD91D35x uXEWTzF1gGGEHXlnIQXuh8xRGWkIHmEzULYqAcSpmOP2yGzMzD2eQBAcBOm7TZmRdvHSivjjzXDw TO4Utsw0fWKCquC982axAQ6U7zZxeTLIV6g6YmhA4hQD1wZmg2ZMGTNLYGccrbHI7t/Lbf4YEqwH VHUlsAMeUCT0FVzrBT4vSKu174om5Ma3jwnPI/9AFiObZUYHh5APfQW1eFvBJpV40BpqRAb3S5kl mCfHDOfwcQouZvkNVqs3hfBfxHDPoUoQKzWGQ++E60ncmv0BsWcC9yLrtYAA1axVg+bMWhBJzMo2 7wkuxeaBSdezNmuC36k5koLcymiqTwWLF/eFYAqa6lzslzZoA4ewNxQp4dg9k4CqF7XgEJHYQL2z WLO2sXG5sIYfLJZUX8LkeDcDXGzNrjDMpkHAWk+kTFhWlUbYOHNhN/3PXcFn6+2bBNnn7pau/8PN FQRdNuQvl9iIL+94AOsNMXs/ysmr6yIHY93+TWioXJM55eVHeVy6G6/C7VmFGhAymIvABun3xJq/ x4THPSraJ/6UY6QHAJ2KCvAbZd2aO0n21vTiNdEtWb0cJNo97mS9fna4jX7Tw1+KW7QuIy6aNigM 4VTcQwnNvs33GHFW1ctqprRVTD8yCNcaZ8y91Zhe4R5P0yksqz+93Vfs1AQVr7Wblw5A7xG3qOjJ D6r45fwEe+zQR+BGkefbSPNaVX3z2yrqkkaZX3ytSLWtJVqoWqXg4aUXsZSkaMf9Gpi14E48CXAb nVfj8Ogn+osud5LoBN+fR/5grqtr7/chJCmjIqVjEiDq3E0PGgQJFr4y6FCbVkTOf2zV0W7YiDPL 6d7B8CwfshNoePv/cIblBZrhZckUcI420P+IijZ3UfXn6C6R2sckhedBcRLIIj6Ub0VekI2+5Y/e 7+cxIea39OdPNAK7Z7XKbtrSkI5ci9wK4Ea/eWIxEHnxqGjSnn7YIbQ/ypF8ePgBQ0s0/HN5tN/r uYzhmK3illcrSNmwXYSi577t0Bv/i4cIzI0NtwxSxXtgZCPqmQNuRe6+sP9NtLHtiwfwaDM8B+Hw 7S/Vnn2HV8rVWKtkgqD+/oH6fUmzet0ehVQkl5KSeFG6pxUs+fjpKE9gCvFTTDtaSkPim8v7GWBB OYtHDfAwfjNnD4jwvYrIKRAsunrK4Ohj4x4xCWBdgXsbmKJRjCXEublcZNHiOD8ywDOvvLYo1PDq PaVgunbTxsZyY2PczyqgBGOW212/QeJyVhOQuSfhv8QdYpOyAO/WLrZn2+Y6Lx1x+2nt1I/bUAo+ kJucTb+08uMyTtnT1Swqt+QZZGXyM5E038J7eEbsqJ6H5BzcGz4zycefWOFrMq7UongZlrgrGbwL 4haiB6ia5dWRe1rxJPRQwG5uJYBv9XpLJzIJMcLvAOzZVMt9mOMMsLKTac6UNZtxmTACLVTx+GLj pDPmEgQPfbPcby+0boqgtL9lC16zhTeCqMl4r3XGvh3U1PixLukPftAAS0f7ey+YrrAS4wPUbwbr Ine65TldAmPty3F9+acD3EpkTIo21NdX5+QRJfKcmy08zYvvaJQ3UANJQkvhMY1JtT7rWmAyABkt TO/jHWFH/ZetKFJsVJBHhwTxMZ+SP05DxXF3XpN1smpLjK/p3RyJWpzr5lS7sKGsbDX4q49Tkyky +VJxFHyueuozHIBI8xXjbG0uB0Aey1cKljoq9abfmz+sopXdmnSZlVwb8Sp2GTJOIu0SQAd/urm5 EfiPSpPH4A3NTAxaH7BPjn/Y57R1NRV/ZbjXVxY17A6eOOdSn5JKgbey2QTevwMmSnpZ0ukzpSht XWxQW9DORbNMyuAZZozhC/Ez1Ke9EBbINqjtyasD8COgWQ9EKsgxTPXxzp1kMG+ZRZdOgV7sRYVW vYgaeUgeWwqK4bZKQY6Uro1i/Ses3G3LYnzWVjfRHh+q+PYHsIrUtzTPqKK179YXkJkRPI0R1YGu TKeoEUSWNFhg86wYi7AOcP1dS51VgE5/CpKUZdFnKwBErsPJvHhUv60FbkiMlfG99a54SYooq5xV Nc25xV9aMwGVYOx7J30WlPk0vrG4204yc/djrOyhqzAPcwJpdyQssNGBB9LqUyWJNtJ7yFWlDyFT bwJLhe2655dsZ/NJXLu2s5ZOJqqmRcJmXP6fNquSJjj5yHq/SjvoVErAWWuL9v8+IXFL4UaXlwLC msnKfJeqI58m9DeKA52iXV4hEdHiuhZbS0ZseDOhrDkNiuzYy47ikhmBaoa96g9lIql8J5XbMA6Z pdHWtjYzLj81sAYmE7NXAU55i3wVPz5lDiVW4qkGD+Lz3zjmgCRK3AOSzf9BPqcjU0qjtqwyZGyf ZrvYTYrhD+kbmGHz3qYEzSIOkK/aFUcL97Gp/qysGfsukTaMciChlBGtMZgPSTq0tBCoaokg2zyg 7EIv0Dsm0BPFE0+EvqrJwOU+2Qfuq/znt1i2WOTcQa9KZlZdef3mJ6Iv0auow+Y5R7HSo8ANmle+ XDC9wWKPxMslBtl3tLQEQTy8aaEHly9YFaznuIiUpirFnIKjXK/39cgev3f3dzGytLAAaO+YPFsj ACQcncCgovP6CCbLyr1uprp956yWJFbbAynFKyWYiNX/EsCZ2Sw77RXI3NHBUR9vejtp4UvFwie7 NN7tdygnGz+AknHBeAyEBoDTMa8Jpv6EV/9Df49bhhZOtcOcwnZOqJAWLKmNEFPLm0TZG4SIzG2G 0GZoXaK7x1CrMDTyVb/r7a5QmFZTbAn3W5u75iv5SK4qfiUw1LhiOM87xWLGLGuBf+WjMNEo86K4 LD0XiFSwrAeR+/p/jUPRXWv9eM/KJTNGsyb0Xi32xmy6F2klACMFt4wF6Wl4RRHxoX7nb6WwUPMl ZftJYfmYYGr1SBz0GvZDauXYjcLmWD8w+nV2RonnuAObPyRz3nj1mhM2LdIUzO53A3LdDCABbxWL IXgYBPcXMPQRgqKOp7g6PdV43Axe4FCnzQI6944S4Pty/7X+K+8bikFWhvH3jUMG9MNX2/YceD2i 0fPlkpJE4Zil86qG76YKSBp/+x5VtF5sB8CnJdZ/wjTUcM8UFa0RJKBVdGoRuK77xyPJUSZIPBEa IM1Hm+/Hc+iq+SQYDyi2OY9Lm5b67L9Zu3IUqy6A+CN/epytRGbhjKvghXknW/3phmsrPsMUUzs6 CS1yUbOoAFkqg2F2IKAXcmB7bPcF4wwO4TAYp3nCWKf3IGGg+rJJQ39nVFniBnjXlme2z6tJttNv YR5xkUxX3EB9yhhQxFr+/kDsm9QS4EhO3UzNXDnTBllOg4VGj76bRw6/D2UgAXiuaYKd0/2PPOQv 6dLnsJzYN9Favvstiw7XAxENncl8PpoWwQnvmHFMjJtFnpEAJa9foaJJIalZtyFWwey4urpGcZFD tKjXk3Vf+bVxfu5Fe+Jvaw/ra37cYEZgwWduJ7zbrWvmAdAF30I4dYI8nqfauMr8xnGhhXFJB2Ru +YhyJiMXm+odqs+ryqUonl0vmtM6rEnuMbfi2A5jArPWsV15rjVS7WZ9leJMt3pjVMNmrwZYc5aB mCKqcPZ/AkwXhX8rkQsW4C2nWq3gTXUtGEi6a2DkTOOysRJgNkdIcyTxS8VpDX9IAizGpZveTP98 LUPDmm5laGx2HfGxJ006bWY+hnC2AmCR6FuTZOHukKx4iE73PmFn6oMQ3YdxXaQPMxFSWUuIkIfK fNdfAU0kU9muVCcmF4XTN6noBkiOCCTRe3AcB79mZlDi8W9eSVTwezjDF88RjYoPa/1DbD48bqY6 Vw8ViddXO+2gEg6f3Lg87ipmXSf1JTl+tg19NYEM0oC1xkDQ8X89AbpRj+o8wIDi1BBChjDouHbC NRSiIyySZKjW3Lex/IszqlcX3NaoFSaxh2CDJLp+g2O1gNcdiU/ttQFMm8UWV04bva5L0eniHymo Z+jWhpUCqD1Gd/6f9J/GkE3BMypE+Igi06cGaEbyFfofi8sbx1z228iGU6nouYi8ezgCVtVI/MQW zBlumY4wV1ErHsTpZ3eDa984UlG5hGiSlmbuu6fGHqVB+g7f1Qk6UEKLettHXnpqB6tVjpJYKLBa Ir9sPeQGAabWLffx1Y7CoEw11xaK0tXOA0GtQs7KzjoiM/BLIa6s/t5jHPO1/C/7iA4ZLJIDN3TG vJ/bY2XjpIBfsaZ9PnWdWlxTtuTQzrvBAKN2br378l4MZxEbRZmF2Gr1a1Hp5VYYJYuynBv5Tsdv YL2hKTzOE5Ntqy2JnVzuhpM9NZAZoGaj/I8Q0bexwwGf2VQF50y+zOJPyj5qGJWm0v20FC6YbHvP H3yMV+zjrT8NXzarBm7R7LA8jT73KV75+KyvQX6LFNBrzHZ9jIlzsOKoOgwRkx+B1Q8kKBeUyO9X LXIBm8nfB3K3XQBjQEGLgknzFSOITHYIDXcae5J6ExIVvPutjbG4oq+4ZbIze+9jnIWbaWrRzxvw EEW7gkkbgVkWMSvuPuQzQUjWx7kPN4CUda/v5wvyI7UeHzxN1hKojlddYPQQc/XVx4r9Kj/xKD4W RI1NTvWdwZDLPXIhI6oXbPdO2ES05+Btbu+QGcog04CITJ/PKKo1vdbPZh4KQUngt8S7FVv9JDtH 8Gc+TmvvDpMxBZuenNnKgXlwqz5197N+HunaWlIfwI122n3scwgndLYUiGzeXZtsHpsY1EP7nXHS 1ckGK/7xOUoRMVOoiH5z+95/7e6hLTs7Ubar2yxKjK6D5sWb3GvBtFnc6mDRt9VqFulo1B1Gdd54 OvPy5aMAs7znwu953Y1ZRao7kv+3EXNmjyS33IhYWYHf3yoBeOWzv/chtT1RrNfnVFgxhyyuvNF7 4H8eHYze38chKlkpUW+7TXWVquyY+OR3/AlDw4gUojVg8/f0whaqFYpGGRj09w7AYdrPX/3pkGQk h+VZ17/Vy7g3WocTyG+Qt6jIWKQ8vGSs1/2aHa/C9vxk3UoWZn6Fz+t+Ap28A4gVLZQNc7/dHjpa k1EmDlMA78haDxXMDq7zG0XfXUGkr6PL5vmQU/nG6CATbrujR5mf5h0y2gVwQqTK1zAnzIcXSkFG EH3mAUMGYHz9x5MsTH3srlCJlbUyq3FGosDqaTWCBdWMBuMHd1BiBn6sgpaWQVjAn5cs0RhxOVts 3d1by7nJsXzLuMaFHGf/zuwzAJxP38uFjGrL6XhC46rYH+Bigy9cm9MM4LwvjfXcjgiT2S8xWWB3 f5ewAejK5hyRFVOAzdSV2wU4EKKnZL22wSyndbz59jVTAISLoeYr1+HPirOyDoVVCXhmfxzTz6YB c3BH7r119Y6tHnQLiERS2LoeVyv61NUtSwM2BhkQ7MbVLgP6Bq4H0lLJEZI41/+PfPGZoI2Bq9dQ O3QDaAzyzNVEmfyPHn1293U2PS5hvM321GifxYuXYrssRT0Xf85Hzgt4vhMi6BSdacSrb9Tfh8FN vdspG+xR82suxaqK+0wVHjaHuCdfJ7QNHAqu7sq/L6dUbI4l1lHQ8Uz16ypT+uAmZ2Fgr3OpjSWk zHZRDG+Z/XsrL7oVSKBVX9FCBdbhYGKDBrVQ1G+9+U1jI1xw7Xsfju2AmVYZv1V8W57zImoAKjR2 GBfo105OvBkLhA/mZRDR0ZHqHKFQrdyJqyqBhMKFh/APSTNopXoWEL7mTe/Mu/JHO9l7LCtt2bmX 4CDP/fbvbTYBwo0cDyrrB2e3kmpTCl6OeAIDNX2cNFxPrmKX0gQn35l/cCs+ag1Z9FUxQvipFsAL uxutHCggstIo5lQ/SInEroY1BTyBH3dSt3tAPLbFVk54gsrLX8zYd0pee+xZ+jPIPDHDSgqCCSav 02WZGj3TO7K3i3GEOd/+Q9ZZVi+FipnA5MvPf8hhnQzr2lws+gNe8VqsLU8NjkzcrswkDT9qxLul nZo8cFl8szHxqxde7S1BXOYvCtsmwBEuWhm4tHgGt1hM57aCq0OTg1ZoyYgOabcaHPWERbxKKVh/ 1fxeVhD80avDzMEckXxMFwpmps6Sqe//EpuHhZiPdlInQfT6e4b+rdJyFoQVV9VuBs9eU43Hc6ey kroKEavOqapTjiFNTLi2lpe+nYLFviafmfGMMoj8xbZOhxA/E0qoOoi3tLWMGBE8U8/Ee+fG0d6g sEyllMAZDUIVdhX3MOuBjWt98Nb80HpL4+CTDJFUFNUEHA32BVC7/URPdsNYBk4c3+WYBn4tzubV gQBy0qp3b3yjaMMUvf2fldDXjH6usNV2PcCUsnaQmW22R07w8Aaw3QpO5RasmSw7Tm0SPjGmkqaj q7REsQF64tgpRNS2WoB3xWzEra/QVOSbweWQkYU+45ZXvFZRTGb4Ex1pSsMvzatHOJtBaj4eur2p SomfQoIZ+uun2i5PD6qH8d9Ux1pl70X6DbfLaF1XyunIBe5uW/4o6x03h2lzwIgNNQZAx+Od/ao5 WVd/5jcVNtPGvj+g7rx9lh7M8AciUH6zSpnsEeDzwWWzPY6Zhb4C0IXntVcsg9xELL+FQ0GWEKYO ICBzyCdDTnGWkgFFpbdHrVWetx0sTPIqG7dIwC4H9dJc+sVxZJYlzmDF/KkflheznRIz6SEfC/E1 fFt/I2zGF6qlkK/WyKG9hEofguAbOVIuXZRY+gf65SrvwJwxkyRJ348LCjhJDa7J8NaOLJLtBWlc w8n4p+cbXx3zHj0XpwQPZpB9rsKaX7CfrCDmr9ymKl9ZnRMcK6vvsFJINMjEfR29S0CCB0modI+j fzwdzVKBSMeInfhC//s+PmjuwcJafrj2bOlOJJAqG9GvC98+ugt878ST3uOOTSZwjNYFLhG962Hx 4lCu6Qcnj0kvqSLLp3qnMh6J/Zee+/eCWiFjKZAD6D0yqfMvExCBXigT8NT9ONagmu1lYCWM/fcd 5jZR93Q+TORYlC10y+N90ByZT49R7ieni8WgZ4HgQrybDN7kONUkm1SNx5ncC0hds9XZnLUJ9Mjr pDdk+u93ZnjlDEu5E0DwB+TNDE4lb1GLWTDWGlsvgGNAbE7Q4Rd4ouuPXHGgmAdXHUvUNqkOgKqz adGOXncHwSGd8EtwnZYHxvEVU5XTaERpQ+NEhG5sjGwa66H5mTKOyR+0NzQCdJerAoWQqfwpxHmW Yg/l1XLAOJBb3YR40UhiTq2EmSmYgYMv+LKVbYEKmi4S5IdZMXRXmGhNXqt4Kdj34iSKtRixZKGX PWpGrIsFUMdf5Ba8giRhzVYO67DFzhxFTIOZblubOccItt0udDorcfAqqDpQ96K/19WuLvbCIWwD SyiyULgNoPIji4tHr+jMuQTRLZRvUedFIqtGl73H+TrnoTUZigCKwK54tni5wSCNdVDwkSOs+H5n 999qgbGF6IboKqRi+O4J1zdOTW14PFATfUAF+MtuxijrVOA61zQ+8OK2xLUwC26l0+hsznhwk+8x SOpkEp1CxTxzKUA6PPDGAVj107htiG9xq7V6HooB5rqy36nmi+UWqQ0xoFP7lSMd3t+YiIXVkg8L YvgQDP8DyaIW12IphqV17dU+TCbrCNsJBKQ8sdf1iL/Zxr09z8yv+S95WLH2TLLmqjPParuMjDWU rB/dfHLpbxvbbiGSnFPK5OEhEUxKSS+dbtZphgnBhIIVd7URdpDjGllspVBxHmn1p/QU+saQabeH smkwMeGZhIVQU8YvqioTIb2rbaTzi41Bl/7w6eI8z18CPzD26Ix2UarfW3/Utw0ndFfnt9m8bAr7 HeiJsq4/B7p//aCeYrSXiiyhfT5lAoUT2l742b8alrlnioLfTaUDAkk5o9I4SeCWskvu9N7jNnSp b8/YGyXvp4xpbld+D7FZUgaoIDrQPdVqxN9eM/yq/hTonOp30oLcRsl4gDCcTRiujU7rBkN2EmmM CV9yRD543GG613wRODI3qXyjctXIh7J2b20rb2Jh+Uk42oq3wt23ljvBSGWks+5nFpMVVGGbrZgE KjJzU6MLsxZY6s+zS0eo1Yu9C4U1d0lkqxnimgrM7xOl9ZCCCP8xQJKqRUKqLHInSyNycFazGTM1 vyEnKvbdfAvoB+3x++boui9aX1t63xcmx+8U4SuoG3y1M6SdtS7ooYe9Y/vEH0qU8Lm60PclDKTi CLJ1HbvrCQCZiceWWQ8SrprmwjRvVK3m0jeSO95y19iSxyeb9TqcndCGgmM//AxWzFUZBIa5zc0I S9bw9bYU0qYR27hCGvwCdDZOwGQcO6fmv28RJaANKM+/La+8CtSDUYroK41tUiV+OjiaQbgBwE9T w0jb0ZkW9+mMdeWa5I5XskRLZ/wTV6FR3rUbODQ6mhgSmacZZbiEfjHRA/PhhLxZmRcPMYkjjDw0 a6+TJ1kwF14WM1NILbDvUcmT48XlLEsD0m97JsfUwPdz7xuPz0m+Gx0Ew+b8A/9szh/Rrc4VVnAK mb0H36E26Q9oBtgdpnLvyqhkVLGlLE648NxC4X1pn5sFX2rf7YTn0U3LeE93ywtCbw9CxHRgNRQV IJ2Fnq6aYlb0ewPN4fcwzmpaeRZhjv9ecpe0qX5wuj8jmX2MW+Nsn6uWnnMejs6iGOCMRGR6gy6P b9mbdxCzriHDXi4vIlb+Ioto8kIbg6RnpxmleQswJvlO6nhiArCsTycsG2wOWR0liRn9DNM5BzK0 IxxM4XM/UBVugz0ck/BOWdklJAa7E20ZS/tfUn+n7teDJDFOwHVssbEJPf2Q9GPtfCsINbyVCMLA V3RwvKP3cyWMd94xvPboLDFqMSwTJ2qEBbRF7sNz2SxF7h1FlRMrmlcub7j6PNZAGDODrkQT758d xGBHlJEiAbHcKx+Ks6RwaRsb3dQPckZQ4n8acpLMocKK26DpKH9esgAUlSw+3r97BIEqaNTfqOCz x5lMhisJZu7EQYiidmAvnKac09XNJo1M86ZuXi7y6tPEbQKPGdaWzmMfQ3KFAJA3vCLOjjjdXzGd DURn2H4hoqj29t6C0K2LWTlb3zLSPk2mwyGG+M9POFlil9IjM3CZkmCwanThDq1unsk2Rwkc6ouk lxOlfsQIOBMKFkiWPtO5tEb6r8JfS1RBz8by7v8xeU/JjuAk4QyKqdoD5i+hG9YW8Na/8Qkhrmvw quy7cCw95HdPI1HobPdnW/vUp6biC3/19jGyaa1trA8iK66ikJhsF5eGDlgGXURJQBkVriXzTDI/ ljKLmzbKo3WcsG21p5+ofDQJlncyd6/Iz4gcQ1WlwHHmdEY1K+2qe/B7dXrMvQEX2FqNIefavrg5 VMp8CUl3nwBnae40+uIbuDqt+0kZ0Hl1BIF6mown7WNCVcgr6YG/RVEeYx2q+R+4KipOYNuPUFDr 8iGlmcNn4hPndXb+/dC7Q890Va8zoEUjf/axB8XDyrmjBhCODASOxLj4gJl6InvJXOL3bj9dHGmI jiE8Hz9gQYjwKTXlvIApXzKg+eXzMe9lX75FJMRfQqmOdXPIhryVkQUVNz1FEIgbGEFaHbiqWsYE couRMqlXrEe45kMZHsrnl5DBbM0SaixYOQvx35W1pMOYTdvOm3lSIZ5bujg0c9CPNX3eNFEGUBsH iBQ1XyHVkYUA0OsHlnC5eFgrObCmy4znGqzaY+aawrNM8F9o27q8v3rNyAqUNWsw6Jz1Fb3gjW/J LnUICAPCHWxoXHQ+uvj/c53jHktI2iLlBhMeb3ArzR5CtIE7cs0Ei097QH/RdPeKWYMazera25wN dc+1Riju/o71MLZwQ0FuB+XHTL5L8rlvqycHIFV9orB43yYb2rntqPF8DLn+6NnRE4VFEWRFWwHJ IttlnLH1EzT6DUObxeViCxpwdeSiZWwfYswCTG72knqJgbxWtD6Z+HK5WHThmyhGjYjhsxDxzwHD nU2klz3e4fFSuCVlmwt7x2Ff+zl2IgoHAJaodq0StWxSCUzdhaN6HfXqbWU1TIPgtiGv3c059S5W LFeeXPZmz/2kYSUSQRQTYo3rzvofMoBhBiWz9NP4fILMPoNzr1+faI/KtRHIRGld81rKyDqqNl71 4Yd10WjfX0vJQ2CRe6g1e7yVcfTEye6hI7cbh7LpArfVWNLV/9tT6nL9OGqO5ad2aapSsSwrE0nR Sw898TH14P6UBG3v3iqHlauQQqG9IyLYbpcvU07sPbK9xEUK1oysaNW9c4Z80OJ93sUmm6O5NM+w hGdKOAJ4Tz2Izsp6HD5yUkzbo2KeUVIuvKuAqZkabAB5jCObCQTGkjOH4DzAf0qB1QIO9M8xZwX7 E9mHadAJ96X7PcuegkYGBgecDV0cmr1Oghwa2ft6VETJPnyImCdMwYbe6WnmCfZkMMUEGtXwcNoy lk5SwwkSpQs1p2ciOERu4iZuhWnDIhW5WEZ9XMscFIbh4gD72c6ZS2gY2pb/q+pqe6pETSyQC6Hu a2IEBK+r8WvPrFe0m8AF6hPOZn1VKJzQE7aBaCD8YigpjVkuP8CUnGHk6wYBAHPkdN40wvbhR4lh frTKv5v90sTAyPeqgJhtHP9R3LlIwhVd/C+e6Lp4r6w1ANyukX5i30uihMTP5j4BlCeAwNpm4FD1 7K5jUFd/gB1q76VlJLBw2f8lXSW6zNm/ytsquI5E4SVXeaX1deD4nYUJOVbogGSAeOTTsDH0+GtY IWYVXavAUIQlcK/CxqNDnlj6T/9yuVf3lsvKXZC4GzAcq+sYGjj3WFtjwKRVPsK8yD7YuFTtNYJ8 f5vpgiOrJDsm2qjhFD7Ekr9RlwMwuUR2hx/Xb4I07fgeLsTpm3gNQxKGukZBTkg9qndkzTCobiEw MdFFpwU4bnNGH744Gxlf3D7ipgYHroy8yELgw/KczbTyCAGrsKrdpNTO7Xb8AP8aBMwECIljPo0+ 2nslUgXfqSbLkvRgLv+lZnIO2U48bQ7zvHcsbuZRutTjYg8qs1epVu4YHao0r0ckOFnpDwS8BKOC 5kh3LoPJt09WQsu/SAVJF8dELMjkqgm/E/nVNF0bHOf1BgaCLulnqZNDkdZqIVs0px+TAk6a/U68 MhKeuagMXFagWX2jGBC+cbkmTcak2uvdasYOQn8wejxNNRn/e2Rl79n17+HSqmIKDxezyx566z58 OsuyWVtdJXS33pR0Oj7O8x2TxCEnULA9F0h5gufEH2Ua5D+CndIe5bn2GtifLCORxsKVHF4FwiOW AtjUBfPENotd/ESnSZ2On7AyD8kAY3GFwZuT93E6XWHxANAIft0E5sGeXoScyb48Watfl6FoxIx3 yTQ+qK2F7n92hFugT5s7umiwYSK02DZo7Evof9mVr2Ya1UFi65aD7JezdzdYoo0cv/rDG7LV+8+c mzydQkJd0Q+c0qQE/enGk7qO67n/UnrIceWD/tKapo0lDAKApgSTz4OIDpAooA9DGTVcKN1jj9b5 5NJCGmxrwJ6MKqb2Bdx/Uu6zz6M561FYnEE6buP+LP2uSHdjuxibeBO7I9e3u9sWtZKprP+AoMty hPiDs+LQIg0px8QGU76PxImD2+qNGzOhvxXFeznSehEwW09iiKl7ymRkRd013nub4+ZLMWO2zhA9 FQ4p/S1EUVIKoQp6ozRq3fYRuT6P6jY8cYzivCUx2fZ3kk88hDipnZ3NHHpEww1SHO8dBFEuAmUV z1I5bKDO5NqLiAAbN5u0ZabhXvbrOUqazk9kxJ23XgSOUrALMWiuKxEg5ljJIIgFiMowd7xnnBE/ xng8SQJcPGeOoFP6Y7FDqy0ASuwAwC2Votec+XXQxrD4ZxpzKQWk3h74atXnBkPSNCf2XAG1mph0 91yW7SEL+KaodHDBLIG+H5KhMoMJoAs+SMsUpzXhgYkRAxQq169CyO9Dgdd0lLuhdnXfwIAspKSM SQG5j5ww2LB93hTbJNFa9WEsEooJHLy6FC4wBeUCRkJzdVcn/Q+OBeit2tVP+6nFlpEbNCl7/P/m AGHMGY85woK2cJHaNJqGxKqywI2KpikkgfeCoBz/GQ8yntgszTWt8Ms4PihjytfYPpSNnp8HDzPt XFE/2KZYnu955CYo3S0lJhyW85OzPe7RXSQwrYHz+ToyoHt0ygi1FvX6PlvshIY5r7CWswQP6Bk7 XU4KKdoi5RE9olJDt6LpkgalskHwuUdfa0tEOmvozOMCcyOx0pJqGkxLoLw3dD9i+72ova7xNWl5 zFHGcucjMbzuGBtEf81P5hSkOt82Y01/66m2hB/yEk5etQebinixyvoRCRmspnc1Xn+8881EnUyJ Zxk52Zxhy1hqycQY2sdaF+e7DrgEN9tyyeEWTKvLKBGwJkNy6KfQBCvEGO1qvB65PhW931DEB077 6wxNyx+hQGLLJVW7ieRRZqSXnQiGfZ4t6hLLjdoesIufVnT2MdIwJ3zTEzy8bixGL0YO1rFYYuvL vgiC+TnCRgPK2eLCAjIyYHEjE4raB/IUZBX0gMT+UdTzFUP5gzopFo1IDLECcDrX1iQAuRgfelcN VAU9cQ++iX1/azhwIpYO3XaVG45UsIJ0pjYo5wuLeMMFLgd+Dce7B4f5QdXWcWR7m4xBkA7bkhYQ ntjzufrlDfKcDfq3M94ivxwQtmkRBuEWcqYUxfFftE9blrN8GcgCrOyC6uyf8OO90gXzK+Kh+UaX OPOo7DqKYLOLqmhnfzvrH0yLhPBTA3sQTuJ8EXB24RGHObIm3YZo2K8JxO72QRC+xi109hFRGDU3 vNkuXAn2OP4/spLXlbOq1qDBRLV++gK5qk4fI6L1UAdpjhndXVQfi6q4D5vZOMmpgolATM1NYf6a fTUM0RS2oCxp2CmM7Xr/leFZ4/SRPAwU3NyUQz4gg7eTmuYtbhl2evgORnKMCVlJEGe1nwACKPn4 qgUh+vh3IIrtoam9mCu/qHhI7zx+CSHHS4Pu9eZff+xsTrkxFqzBkycb90TyeEVQjkqhYAySyFw5 LwWxdeepNP4mQhaxv9kUHBOUHT+0BTpELL5aPjBx2kAqrk4b11p6Z3/yiIyS/IljS01hGGIA7mDB ecmlx7E0VWE0+RwVhiNw421HFrSOeHcy5vWit54fptqjiGYxi9NHzpawtTAzU29co3vfcTiVMpkS vaDNc+dTjy1XFEr+INGetLzPMU3G051/uXyitw/zbjOl9Er6s//IsemQ1kOqi1fdqwnogEa0xCEI mHGcfzIYCcpp2+iLUZw/JCz9SYBJvmkm2F17+Rm7gHrUozPHIBW4+g4U5ImEIVO3rAOGaFtbZ1ms 7EzPxvQMoY5AD4jMWaeTOUugShijhBcCwsuaKyx+fCTaNrtEtW/o0vxACSa2/OQozvanuPszmtRM 8d5cBqELo9oaQCW5DOwjjI+zSDpJogtJ9G71qQzcIIkAMmNwZDUeHmD/+DkOm4u8LvPnvRaN5as8 eOAzKIo3dSJ96eVEiYIpSVezkRgE8jUxqiR50T2jGaKM8HVY4elCv0uyYcm1W6lXhAG3G2nxI8MC S35XmnMiFwcKuPDEJxpEaxlN+iRo/gi8QJ8cVjLqDNdoF/IjUYphG8j7674Z4a9kpaGj/RYBl6TY QPGa2wI1uar1vPrsjHe/isSOZmMTRFUTyxRrAIIG8p/g10EAJq1E8KKR7bNupWjsWmX5z5/eDOPi HFZb+CdLx3Qoakl8WW2qiG0kmWERiwa0ILT0r0UjK90rAy1yQMmMDdpDyomlrv3uzecEUcLNE+Jf bWoa6t9O0P6QnyHguaSe6akQAf+JcsRskopEYtt7DvLT/n/DilKOfDr43wLw2CfamLwEzBy5H1DC LIP/C5zlrl4ZTgVSssYpJMWFXTfShiEiSYh/O9arT+oFDECgTuBzjZuOabT1hUAx1rZwadaRoAFd BqWdIOuvCfgr8FHszLXQznl1/dGiQj45TlEfWbL8ECCZkHrj9pRiNH6CUqsiRyehEaYluRNuXUpd SU1U9KuxANuOLOC6BvoqEdWakwvX1ixNrqH600IxaLrWKweoUv6K6Nvlk2dfvmmRnkgSdGBs8Knm 1agpTe1unLR21VVq/kV8FIRizq9ZkN+WacEmqIdw4EcAJY/BOUR8Y26KCSSHgfoJbbY+/pubwHa0 hpcUTUQ9Zm8zNwdJnKvXFT5XlvIhBPQ0gOnp1vtyb8BQBUwrX/ELlnm8pemv+xXRaFIjRh+j8Myd YRW9RIEI++O3tfYlj+xdpkyIcJXyl46EaSd9PFykFPj3quVZUY05V+EReBWHIiuxMFBs+qJtmHrx RY+JZsRfwTcimMNjd9J+viWILbEfxcJfRjtkhW90d48+dhFlc4cYvbwVoONG4M7ymR41pPYPOO0g Bp/jXocQ2s2H/k961kQ3aKFsgfLf5G4BnyRJk3GaluWTcoCRSh6ebVlReJIGlxFZ2xsBBu8SXqtj XwtMLuHskJJwAmZ3DnZ+1QdXfBy9cTlETntPkcewREBKsELhN/G25pof7AFmiVNhAGf+pRPMzilU F7zf23zFOkky9QS3zMn7xGAxLt8WsIKuLUk1T+ES/f46z2yZhePHoGEIZt38UtwH+xgIRDuE4g2j sOAg8AltBy8S6be0Mgo7Ym8tFoN3X6+6eLwK/V+bJ9/XI+sYLVIneRVnLyk/vneIZcM/bdL64ziv IwIhriqcbYUO8zs4uPKpxalqcuH8hq2BPyc2gpiCYhfg6Mewen2sLfMpHQQGZLD3i+/t6u0hzNE1 qk5ugpt3ugfzDZtyLeWxn5IFSs1XUjhMRWYCdirS7tLVIXazID2Qs7pgeNoAeNJN2qkex3z/noVs csqKGvXlczCY0Tcv14gKHcb6Tx2em26gqKAU6ajmyc94OI+tTvMCXXYUrGWpIiS3e9dgjw2XuVm2 NDzd/cZ0L4EPDHnky1fVVP4YVGPA2uVYjLA5KDui290LXgAWWGYFG2qum/n7wQ6I4UrdvsdMkcu6 bCb5NmMgt7WUOY2fS+YaOGjk37EVu9o99TwtPA4Z1tkcYHy1Vamke3sFg2zHUGT9dBOVX6uHeutA OXGSb6JjNowX6S5/U3pPA10LIbZk9+i0yCh6diJwsm4mtOrOIHy+r3YaxnBHvMcOk2jXBmUUYa90 Ruqgv9L/xzkVynK1AK58FpavtHd9vkpkSvKUbiS7botrfIbaq4gRmpW/tlc5rGG8LTRdVt5/8wGU AssuVPoMiE6JtGYLFJ2iYxfAcKI3WwZYW0KlqQ8SEU1bfev3TTJ3CCw4XMxOTPVVjlmTbNjMUS/8 0NvewYe96sYJOoCidbC1fDoItEt50tQtWtFnLFbGnXX4F6gU+hllqhBgfFWC9UWbqsazavTtBgfp FSskdxkpHrZQ3R3NtYcM8FqYNHuQgTquV0k9T/tBucvCVABUr3y5pvGeL1acBw1oDedgAP9U6gnN GmkHM9PsfVcnAODwLEiYxM8zRhk/JvN8tcwq7Nmvb56G4xrNDVKex40lPD54CqbpYeXxLylEmAUA KZKuhnNT7K2OlDolWXtBLdug/rwtpsyUk7tSBj3YXR0q2fYw7mUeyImL+vN8AUFuOwd7d60HcSbr RSpa7+EXoUaNMjODIB/GdRTiFvBc6zcYg2yao4hMipTnyZFdTJnBV5YtH7yG+38cpDnfjuVLkfWJ GyJZ6mlWeHlaNNjjBHbsnVXf1LBl4j1TRgW8vOw1ra+Woq2kYnO4DVS9HdVr/zhJY7v6C6B6zJXU NyJA6FXSp4OpLZNeaR1q8xDo2pryiVUFONRHdV+NxkACj96uULOnS7rgcaPLOeQgHz3TOcjxcUa5 OLbJS2iqwhJDp2P03DpDsnqSRxnbtOlqp37/lQ== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/ramfifo/wr_logic.vhd
5
41428
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Zv2Hd4g9AlOUWNT0BfhzHBMEWHoqPF2fnLmeI6LnbAHXElIF5FlcuuWoA+A5ku715GjIsik+aFg1 18/R6u212A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lh0Kpqb55zsN+U0q46rGqvJJvdX8NRcCVyfRm2Y8gB9JM5COpuiYl/t5cy0ckyBBUbM+InUn5i9u aysPsWpEH/a6TCFLLot5JOQ42xwdQhK2YpSvHF+Jud1RnJRXF2uuBQPuz/wsbJRinAA5MO1O+MK6 kKyjgHRTykoZa4fWjoo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YV1jz/FUblAxpL6DA0Nu68JH/UQobISC1N7gTb8V1JuwEhk2ID5nESpHZgtkocebrR/Ibk14TefX FTiToLip43yq8pYmIR1RBJRKQ8TkNCFM67HB+R7j0oINTK4d4tJlsJBYFYM5WKILhzid/npd5cfZ e14YTmANKL4IU2TaaytqO2jL3G++8gPBWFXH6wwl0rEJgz678oqtVodWUpj02Fqui5bLpVJt9vty gq+Z2eRqWNVClhi1Qp5mrRFJPpdEOdaOO2iqWZPxaL05Ctg6ITtYWEEb511TryT7ApPEk80ij6Zo DmBn2t1kVK2RGHWZeCL9rSu/YDepcfhKC8fkmA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fnNzo5b3rCZfiK7RWo0OHmDS7fgv0LGfWYMnkT4/wqu01vEeUaqSFYirsBrEUTeIW8xz75l99fG1 gPQc2fbGAXBEMFgUTjapsC3Ayli9XZ2gNThgZcuYnd4qCpV1eFddPZPMskkd6oBHQVGpYox3mwlm X+7eml9aXdb9dTFWmxA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SPIIGBg6z+n+uSLCPFmxHCsS5hoTQBwvF0gEvvuGPs5MwN4PoUBbktxix5ABXiI9w2Ipjk6OQOCP 3XXf6uaW7OEaimjbE+J36xtjqhVW3P6TNS/DoSzqfxwQhMznZSm7mxZsKYLJ+jwo3/1WKud737PU shOmPHhrJlbkdmiavUsuvZ0Gfb9XZTt79DgmjNYiLD41l0moM9OJ0cxILvRMHzVgNKykBEuI+7gB pyuO1KnZeuPz9tGwNygC0TqqFCg9Ql9K7a/nHf3ZhotV4sNdy8+Ta4TWkVafvsqbmqtOXeBI6pRf pXv8NS3vp4Dwh4qfVLWUVXdgACUP2SbEBgOUZA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 28928) `protect data_block KUCJWKWAEVLyYbov6wvxhM9DuDgHPGWxWK4VxaP7BluJEfbjycKgXKIVDLDWotb39rxlWNTa0221 80qBQ2Lqyqi95ibWclxRY0XyjZBXklyfrXH3CQbzpQVX6M+8h2OrB+TS/bIzuT76gm9M0ZvXfoGh iVX+Oopgyuq6YYjZTfsVSDJPYujXG+cS4fa5NEwGTxHFstTCkujDdvahsgVYZYTvwfGYHttfpe9/ dtkRpFW3y94rBoi/lQgSh5EfSW0bIl18lsGXZ9CRk7/vmFLNpnbgAeYdRsbMYyLPUMbdtE/mkBt0 oQybztcs8j0BU8+3y2fddbILgAeAPAp70mUfSjUyv0x7m2gJ/eEVflRNDDi5MYY2oE3dCg6F1TJu +0miLZMpUX5BsJZFspeQBwrBnMGzjQnoMQ96TIoHe2mWNkHL8l0BYu15nNtDDEA6gLt+YIHNcpuJ KmKuoJV9Ely9r6vTk33OET2Sf6mFihQ5bq1kM528Fe8PC+5II80DySHqm7q/+WBWN6azQ/Rdm6d0 zjJxleVzI8npnzHVAPNBfWc9D3m7dDw90WHC5hrAyEHafn0bLwOvYzrpxQegMx9cNXaM5qLEgGMV 424BIo6vbpquY2EOFvJbX+8Xgx5MnYwx1drHjpc+eHmhzSfEVuBFD1jmwG6R9AnIOzaShviW7BDU NGR7AFp06xYt1sIwIIgRzqP+ExpDFSJBh3PVQ7CYoLTX8nOkWw6wcEM2V/UmrAlH3t2AG2K5jW13 LH5pFrv62Vhl2MZ5XSHi+Mp6JMoj7GyJgXSrVoNjqMN8D40Q0C0Mto9kjXDzz0lCz6HhKGn5D3iS Uuu2RDB7c/IUl+bFiOxBe3FSkm2IoIhkuqfJyDGzaCb8np+5dPo8Z3unXmyRFvsT0FJ03EgzWI/n SiZUyt1P46VPRM4FvEtMexUw2K+Dq/2MRT3q+NE8L9YhPY2tf1QI3GzbdoFuWTzECIiagrZtECMj oS+TY8uXcsNnM8Lcoq+I2Evw53t7B158k+bO4eSs0HKPE6KCoKbpQAEx3T8toP4bra3fSMJQMQhF H0T7V4Izz00PC8oZP4yf4b7XlKVQxSvbl40uMDYFLz0bZegtFL/kl3XQByRftuio9aqC222M0u0g mVbmcjIHAv0lt8OUGYae3SnCt7Znz+6vLGf5kVDFxlFcWoln/lJHBSNavlam8sqxkjkam6bPZXdt zX+fPPhmq9c6ChdkWDx4cmrWmFOtn147W1/9tt8PxbHSenUzxd54fWTH6YgnAq8D6kiJoQULUgy2 /c72BPcR7ipqRsm0huDrOgz85D4aTEpmwdQAN5qR7Sstr1ws+ooqSEikIxtynvWptPfnGB6iHy5H MyihygP5AHm5Vv29N3ctCQsOnjvw6B0NJETNlwueqcRoXN9Qw0a/73VnUiAwZBpi4cL0l28n3Wso 0/kCicQTS4FDuiTREDAQlpDLrttin4/4fhMwJt28XpJCAUs0qNuZCj0a5MHD7G5iVOcCCuon3FFt prBkwTs7LexiNBM+qADK6AsQqRMJSzt8X9YnSoOFFrGGoH6VTFPoWUnlFhexdUX/AFEU00QuUYoT 7qmAEip8O115XwhqgHB8j+2LHbY5zLBP749AK5Gvzd6SMtVatb8c0nTyF0ign7P1Y5ou8xnm8Fft tZabFQ2uzmJY5efnqi+Old3/hYK9kfoxkAnraN/KNPrJi/tEEvMCg5J6yE10k6dE7BO8j4/8Rclp eKFGRf2AHwbkR0a2XK3poSA7OPAvFNqHMZBBN2qZOH+0Hr5GoIa5v8SzkWRUEqZlerWytuqqz5Az kffEf96A4PjJKlE6JeS29yhkEGzeimWexp7Oy5gKj+wDOfRNWtOc3agMBAG6+Vk5PTjiPyTE9hYd iV7D1fEM6aKWsIKkZPzX5HERU9VZ1REfKaNLiybKdg9HiwZMs1N+SJG1bauixfO6+oBoT7oAcAdS MjBeB7Jfcp3ok8sFC1UYLxZWG+KAmQAxhb31n6ksPVG1Gi7vwzreAT4mMX9DHslabJyojEoaHEpX AsKFE7BdefcFgUCCBCF39maqBsRGATPTkhscSjSwccQ2joTDcv4MB6SaILdvgiTfreMOTdpomCOD 8dVwMDkHmJjTfhgBbWrQJBywjXHg98XHKdAFntT1TcNsTVra1mGt0GC/7mes/qAGZDX+YhLXMRXj 7+EPubRStLGFwT9Pmf9jgqXFEBV2v9QM0im0V1oJ9NoV/7Lh9unNXjMpMKLZgnSeQ7GA2VK/H/B3 uigurNjq1/f0XNIUjl5RYRzaKqT5m+D1bRewGQXkzdZlM9yu/ykdyqn/N3Ur0XeKXAphGnrmHj/S lFuwiImHGe0vgeKzIdAyAJXlHx3AYvrmU2L7mamFnEg0DU1b5gFSW8Ov0XAGk9OnWmQMWoEwEXSy IwjMYznnvvLK/9Pdxyn039mokhZD50E3q2XGY222CoZi7ii0TzJcHRPjqecseIzFdy02JGqCQ19+ hCOzi2uqwNMYba42Q6g6zZbAKHxwJr8KQBDcY1dJitY5t1x5aXV4+jxjkCw37y3oEIz8DP/nXjEm UziW+j+4jer9mP0UDwme2GhdvvNpeWgBlMU5TZZSAMBgyHsqFbsm9zZ5kPZ7yqgx5zZ71rY3YK4R ZL+2oHgxoMDQDtWxS6Okihemgxv+3zjcKg9y/qooF/JVRRTKIBbR9+N5twNUvAZZxZx+hCBrDVQn Q67pRTLxQ+o0G9X+CcYPncgVPZHxOGNWPfuXXD3KnbleLU0qUwdB67z6pm9wzZd21PkuQIw84Exb kSR1LoUzHTK+2FH9DI5i/2r16R1TYct3epp/pJHlTf6lAyYxyBSMDBScMLyPQxEzjtftvSTjf9eF Vs3dWqpghl32kEopRyWddPOEd0Zo6Ad5+rHJWIu9rC1NOTfRsuS2qzjfswFpihYV1ZHZNC2VfkyZ jAnfGRW5kctT33O5lh0JRESG3EbGEGO60HafHVRJvPYMzAva2+3wIV2jpm1IYZFqF/67JB9wup/Q R+mGUok5NQZCzVf28fr6GXloFnFSTMzGANeEHAa7vcPhp46bBtwruIz+0Li1yS2+HOlLF85BwiJo g9KmNRx+7MJib++O4de7VRd+NVdSkTvYJEnSSHKheZN376pfqB4v5G1hiR/RfqozKGRDGTHXQMDt GM6IgJSrQflWjAEAMaNwuX/eZ7nk1m3qimbi3ixwJu8UFwDD3rghMteRKOIDej8w+mvCJDOvL5l2 vwLOqaK4hQfRlm2QMrVrhIgxnjhtcGovmk8WRceIZBSmOCXJUJ8FkIOdmX0/1AHya4L6hv4rLRnj O9l01CTxGqB8Dj3wqOuY8N7GtVQi8waVt/qrT62beaqO7bKGxvO77wMI1qub0cPT9LwCgNzil4ur O51DBQe9pw/EuGBKEpVfUs/UPMs1ZYHuWYXY6t6I+M+XVcc5Z6YJ1ZS+DFh0eXKMwe2ZnS+I0E1j PFOTnOSvE6eo6EMulk/yEGRUtVuiB14hHWVQZgjWOF4Ja8Q58iLh5YwQ9TDOzyACEixpuq4tTS2c bzvA7bD1XXx6MVM3E2qXr/ATUJ3NZDWnpdwr/+bCImyi97Win+uagGaYI9bAo8XJzEKKi2ISTm0v HcAJM1RjZ6ArKXe6zdEUPt9z6hFLuv+EjAjWixH2qaLzM+BSPhwIiGQ9cDSLULFudT0BQgn7NxrL lKC/5W85YUloZoYWVQSEivknI0Jh7178PfMHP6N8pvU/pW6RZn9AcxH4Zt2ANJuf6eBjzJx/dkug Du2zZXzo1im38wmtGT9sHOH3WiKujTbrmrSIGu/CeRlSJjtVbuomdOVvCoSX0om0ta0YYlQVQbS7 SyLHzCWzR8kmX3yhT4wetpycvlS3AIpl9m+rSOYbnW+KNC1hKLyf9sdHiuMz+ggM7GGR13Kf225m GIzthc9ShW4bf1a7hQAgxL++kpUodYC7O9XG/VFYaO1pcco+pkIxDFa7HRm1kxi2canOoIg/Zjin Olfh5b8H/nfuMcMWEW6iFmzA36z6RlWyTNqEzbZBooTxqxkfdzZYCMNaGV0EtVzuaxVqHDcVSJ91 GjsIE3febR/rmRP/y06xlJclpXeeYoEFusej3ULJ0WoHTVJpKwaiYfAiy3KCjtsOribe7XevaItJ CU/H3Qlm/JbNVYMy2LtNdsqjfFj6ItJNkTFt0iEy7VhsHKPsYvtYeSShALkLDpTjb8rxjSvij/22 UtSloyCn7he2A04Iut54X2M10eDs+vvbekOZEg05XoWalRiIhxeaK68qQ18apOj1Xn7aWGjEQTWr z62NG0KPFLHOFfM7NeGN/RP6FqgYJInmmayxZ8dGTbCTppHpv6S4O5e9OuWtVQhdvPu1Rj1gcz7i UvCIGXfm4Mg2tul79m06aTNkgYCOT6yi1WWhKIKgISdUO6BH738Uyd9xvBZjp6s++wmNVPzbaxNE ludQDUBCRd0pszYiQS1K2mcc2/+ARBQQizKysQvwVHY78N+ZcwqnwIca6spmLe1he75u7nb8IxTp PwFlB42DH33ouUGTW0yzSYbdKi8HabZuq/47aHVsGxc+U526iwR4qQSPDF1NKqqD2PdfEjf/Oe3u wFKtuIz8fqZ3D+QaGI9SqJx8oWK/Ecyuy862lOjqIYEy31GkSCCfMsj4g5lEeJdIFQ4idAiHY4Im J5qHJZns9NkzC0DMaJITGZiF6LK4Ep8BH7yjBLTcy1DMzxyCpqogin2d7aejIAtFoRMx5WLkGijU dN6+RBLOE6XbgNnNOUnU2JkEKGBehooch1/nNao29mQyz1psrfLmjf0dtnntWuptbMcmhRioP9O9 htzTqNNQzNW+YFTiJjPfMSpsOcnCcAWM83fYaavlaVmMmTPjOHnuJedCmUvvhIw6zyc2YS98DXz4 eGKmBSTOmVLmTcMbvS5hBTEKlJmHBGqyrr74GQgyyf/s6SOQQHhZ34VEqqVoJCMrqockGYFARoes 06ylddBAujcpZzHq/CBxa20nxcj86qxpVVKT7A9GulAtqq3sERtc0mi/WW6r0aYM2SFxeR1cyAFf nNK5mNGieGxY5AkP60W7d4qFo7jyIMhmbKh6sObYNIoQ4NxaDt+5aKKR83Sd/Aget6uJGVA3AydZ dLSiX2AWI/DECjIQHPixNeS9z2X/93TQOLJeKZwpH5/tZMDabhUGJ1qqxVwfizwJ0xr14Su7WvIp w1Xe/+p/ZUPdtfyUTFhknNhAsRhvolOrrrLrqdOD5xEVzyVJMHn4uTpM6daAIdGlsD4C1Yxs33UA OXGcblimOTISihgCiUCnQu+bsNe20u3X+NbtwyPU+XdzHdu/95tnslbShbBT4Z0LPPzxfQkVBm/N ijIGJiQEXfdlEZLIwGzvo96iR4oJ3dFzzcYJAmulBS+WXSgjgfYYTUBEPG3OywRVuf62OlgBhlww Due6kZAHyIFY3d67RaZ6LTCZGLSmhjATZNR8UAiqEQgdibEnwc214NXM0KYHDDi/E+9B1jT8H9jL EDdLuJvoYdegjDPl1UDiI77jZ5ywntZIECjavId1872lpgC4hGSE7YmaGas9WgKeUW4DTYfIflD0 5HWHGfr75yVQOBieOxoC6PgsMKIJrwd84AQNgvFqA/6WmXNzkqKB5QqimWfDUnT3AAJVuHTv4ziM SfuV6UdgkzcQ2TPdnbuzNJoYeLV6M0iwaU9UT2oG3WlPXRUxIQhGgVy8PoCBnKXCf5Y9ON6rf1h8 JOb0HU6YEcu+FNqyHRPmsNeSE/unlDOcWtNfxkdwmzihsT1Cj5l+qPK4VBrNbQ8Txr7TIwjqbfhL HdB4/OPB6IZDQacjyD52duNdrsv4VrTLqWcQjSHLkRADZV86q+TCFX9ou0Z1tJdweNuRWD981/Mf mndhLeTXffirc8MGRsXSbaveS+wkQkksjPF5/Go91v15Jjr7UpPClm5vHf32ZmzDcdc8Wg/5j5RY yP1IieZvkl2l69CJ5eRk+pwcDTiNZ8ohtp//wBt7cx2dvv373Z/+Mw3hR0CYCoNW2ReyhZpS2HKd kM/KF3Fhk0KeKIDK5pqCF8+Iv8qQNKZL8jq0J0DXcz+2+YJHo9TurAVblJbVGQYGq2zPuV/az7pw p8NtRB7jgukxciSaVV5p/lYxbKUEZ+g3+WBCSawl9SCdY69H/1p+N+g4Uvm8eMgkCZln0AJSWAxi F4B47LiJXoDhrG0YzJPOC1TZNUHQI7O3Nz5Kg1kmiOSsboQuFGkerJy8f91NDo7BYTO0tBxJGfiO gsMKU7RkYE9ZW/bDHMlzPadEL+PRqcIzhYRxZ6RKJGy9oSNIddUh72b2DbhuxtQRPJ/4vv2oH4jh 2jlK2vlykN95l3bm6aq6cnmvne06fn+xuI7We0/LkRwbPC13ORHp9xJOdKKqPpWU37N7DcBAVrjB xfI8iuaDENgWrRA4bnZ1HFvjcY7IkDRuAGcoEc5bbPy2mHAk+t52NRd7VCCIzNH1aeeprwF+rgzz Lt38bldn2VsfxJ/cyOMx3qO6gbu331osojTJzudCD+kHE+UY2pKuGnyl4Ibk30KdENlsinFzxnvH rB4Fy/l77iM4A+Qh5MGS7hSJqLAWswWvwUvSdmwfjWRaHSz7a8mqXe//tDIn+NCF7l+mymYqSsS8 f0kiV1CQ/WQkz27s0R1GA3RiEOjkuhjEtk2bb663E89abZS9zboDVD4sJUDTv5QDbPSgpBQz8qag C3svu/uwt4MttUaN2vZbqK5Eqk3vUwZzuLV14U+Q6zFDoZzRJY1iUrrDfebruq/GWS4CjJhv3bqv 6+uA+l5hRZcnyq7Yfi3Ej8Ii7CaxEcU62BX/uMGdDH7tQqRI74Ca04zsvi2nZd38v+5+g6pGJ5Jd F1aJvXrStRfpalgElPn57T1ZkX6stFdfqonBDjnurOd66RBMHO6dJOnYNfchZl4QfzAB9o39fVPG jdBWXWi9+fHZnU4rCreMV0X30VEr+RM6DxvRXxqBWCG5gtjOnhSKE6ujKGlwdmrlkji//sGPCGtH FIyWbh6bfN9KyswuUDuC0acvGUrQoq9RCeS1MFPPteCwnK6WbYkGG5KqHJ4bAgIjtU1gE9XBoTav TFexDFojYL3/D+S/JDiCcO32lvgVh00OBJSB8OnFUN5Mgx9b1FYy3kJN8Qy3zqpzml1j3pbC+F0X hleQ8iBh/rI4bJfimFmt144l32JYuB2hW3YuGVt6TzYQ8wTGFP9X57j84NWNCQ4XnMRkOP2mOyJM LLESn1gjrY1rtIT06xts65PY50qyCYoS0kfvGlMTEHlcYFFrDTO4rIfPsonrJ6w6LyH2EobEpfGx 3b/IsM7KEs0W4m9pnGwfz1NUZyUwlBxuQPVD+BJA2Qmn9eWOiX8OZ8IVLJAzNTddrD4Wx83vguei l39IRWHoBZPn2Ba+HtUloXtvmgFFcSRBL+ExljTA8Gmxxvl6XShViXA0pvHVz/JdvSP9xpXoXJGp 9YEdmfoBYvfhuIc0gDQ7z2IIdKpPohxGl6POKCApveYjIYqCly096GfYKzxBBuj59YoSXPNAbWN7 fl4Pbmd6CaCZosZ7OX0ecTL3sQq+PR/Gu0ZvKuF/XqUomX9yvO21I4G6vzdU2yNAibOthxpx9V5S fyeNBIfFVPi92e+2KtbkEL/R9H4AdE8LSbAuFRqcl7gFRTfm+Jftb0fTQThC0YbbWr6PSZQkWsai TbUOsicW7vxFtYC97h1XN7aht0t/W0C7c+TXq6o4/dvfZ3SoB3iZQIaDa8k0Dxfy6CW6EjveSxxi pJ/Pz5iwgACF1WFj1RW23bpApYkPnAMHdhETRimMcePPo46CJDg5UJcFpReQi3OSg9p7VW/1K1SI hHVmqUCIh/C+p/FbqxG+X3tGkFiW5deD6tPKu4XXY/shAJDF8lRf1gv+gI/60MIO/obPyzzpFge/ LZUyPjNAAkXRRq+Z/H028EPIWxexKtwwMKigUzGIlZz3nDayIFH3Rd0Jfx6HosJtXup5uiHzls5U g+MtSkjahdbV6b4AxwAWqvJ49w0R9ZLCmu3YQJ+BgQXmzy9RTmLrmrj8cfme863L8YmvbQwafnMG GuPxjO+gYe4jvnqAdB3A5XPwHrIqTcJdNZdVwcg5GP8/lcsiRAYFbzE97gfP7nbWQRRScFu+zpRk 4i99Dt9sAHVb+b0Iv6YiWNilDxgo+oLioQ92GYqBUvX8P2upAzYH6lJyfbPadNox/ZFpguDlsZ49 JYeC5bU5CWiNoh7NOggZmRHj4Tt1SmCtLdO7n7kKcGrSSYhM5rMXGDOnjxYDxOk3vn2+v7e4zjZ8 wlarbrNhrMNbxoYZ7UY+5TX7PQoqPm8tp8a7SYbnPdbX1tiTC2lqizC8Ol1swA4Ld/BzSySSX8Bc LKilL7YRc9OZnQ+HyEsdGP4PzW6NWyFoa2+xn6fw7d1fia/WduVm6z7JJnQoAWjLe9Fet2+3NNrO ejGgwb2S3WJwetTrnFwYncOxrQsqBqLhEByG4qjApdvNhtlEUG6UTwyky2KaNfk1G+MmR7VZ7Yqz EQAhd4SROzfi7ZC+0dd11SThUonJDYy6Ur1xflC/xnT/PhU2D1+Q1fPUZZW27Juw8ZrD/s9OU58M a1Ku0bFf52HNbkltyvwvG+j62w/IDkyHJuCSNADwD2I3THoJlSpy+Vi1tyAjeXvJt+hhK0Sdj5SJ +NXAWzegYJMd48DLAhVA33bVtLtjZOn+bKficw8smr+Nj5MrD1RgDVVCbRn4uOos/9G1LYzAHi7/ wKj0+zg08NpuVK0Wfqy7H8L2oj8UDZE20ZH2Uko1yNNMmA1e9plIl/lX53lwR+4BCdHAljQF7sHG Z0hfti//az3A4s4NhQDTkJ2Uy3Nju7QzGVja0l/YKTlP727EYVsY7Vy6e55E9/Df2Ns3m7IQ3oAI Pv7a07MQ7Gb1a3g+6b77LUAkZf/JHU3QKo9Bk2nvCQJVFLEZaoflfE4DqtGGCpP+Cgt6Il70Jr32 Z5XbG0UyFTIUk1Ksno0I6cR4Ox1z5u107rKsngoUbGlOLyDA5w9fMw9bXow1QImLS785r4MmgmKS /4d8BxqEs/TwOlu33q45UfnvYK0po+ThEWBITTEAoMIe/CPtPIrZZa5Szt8SmnQ9ekMVlT7RJoaS +bi4ZI+PTI15p38kJORvvZ4OMtZCTjV0Kz5XGWowLtZXgSAO6oct+r4rPz2h7Z+YVkm7xGPtCA2d lInyOP2H4ZS1n6NdtjOMiormpMIQ0XO8wNKplGwUxJj/pNXjj/Sw9Z6khvocdGE9jL6JNa4UrJP9 fg5+YIe0FQ8i7Qe8TGdRo4mtSqVn9i77RdHGMYDsJQ8aen9lFu0GYQlWU6O0q6lHmZ7S2mDCJpSE kr09rNyfZbuJtlw5TwS9xcbN80N7t2Xr8lEdd5OsgboahSreqd59P5dyKlvvIoIPUIK60RpQflyD HSCo/IXUs3eiTJ6UkmeaAmR/VVCcCyYSELKcKOYWZXFrbr22jGuGGSMKlkGawUIfFm2kLMw+GKsj j/bkWz6LTz40/CurcyCwBM0ZX2QTWps0LW1fizv3or/1aRt/JkvmNdtjUxPVVtJQUDaJPZzstTg1 KI4W1eeE6VXcwi9woLhKNNMyV9tqgWdBlNHEEDzWgONGGvp4Iu4cW91wX6K2oHX7oB87ur7KiiG5 KuIG0ZP+zdafj/sRxX8qSF5qRl0953nCns1IKVaESHCb00inLDIGn2YlDjMhq1jcNt1V+oefD270 Ywgsel3Lym5rBboLP4ziDNyPsxb8f9FEt33KnGhsKEeeTzytnEN4cV1gYPyqVkLfNQN8RgvlnUHU p88aR/7T5E/NT61/WaDb27oc3GuaiML9neUyIAZlWhaQaiiqepUP3KPosZfRTzd3SI8SjRR25hUi xbTmZD/g0y179fRlpJcgjmzCGTEz4RxzILJbLVPAptwPiS81kggdRNAPwuvcbVJ+qhGWg70iIai4 O5/3OjpGp9mFgVfRl9ObekiKMXiYcY9Uhhv9nIbmD87Kk7IjFqbZQqh4GtXX5sGtZFTXj2VhRyew /umHnsuZMTSNUyjethO090KMH1ZRdGYShZ5ZEuAmd1ES0dhtE3UHbinZ2Az3o/KTs2ocPVOflVA/ sIppnI//zLWmCgv9RuZt/ocGIS6r3lFwLtUDnCoUan1IvIiWd+ZQFaoRgos69paR3MGJeEVNaO1j HbU4vH9EMgANtuQx0ax84X6F1/MfNp864zIabtDMNkwh7iivagnLcsxkV+IZtD4pewoM5hP/MVzq bGiUkDmZanZfg/6290w6554TJthJ3s33rfE9QPc5ktF7wqKNrAJfr1dV23bBJnG6NWxopv8i7Y9t XE2NQuM1clFIyb2GiZY3q7tlYXnsq9HO9XncRcoOvdvpDedR7pgdjyR73FcBDlMNMeYp6aEe1zjz 7xpu8x5eSsuRHWdgqHOwm7m63n/c84t238nV+CyQU/AaNN0/pLuapfZ/lZoxF0rWPOKl6zlI1tlA ZmjT/l6sKdYPe5+PfC+we33f4Nc9TylU2AMlvyR7SaWLQhNz/0Qxwunpi622pHldHaGCbXjfMzNN LnEVaVTWoUmgjU+pMXlzJAGkRXl31WAxbKnnkLpQElzBmDIDaIgseOrshY5oXK+rCqryMwrVsNpK y8qxhLJZ3Klo9ixBWpvjdoPj6rSGwAdFBoRHMIm5Bl2yk5efKjHDZ3Rr31QBPtTCMSwh3T7Rh8Qf iALHzwmh2PT8XwzMj3oAZkawlcDHNHchG445oQ0Bdmim+OiyGBKL/zj1le2jOqBflENaVxmRZlLm LQ2jjFNv2RbIbD12REDpn5/tzW9OtiLxRdQbGYkZIsNc5XPBJ5iSMSRXdyZ+WBPI7VDy/4piNXhF pO9Ui08OmfPqFxe2r+bn3LrKiL7TuDrFxSy6TEWOWZJZTGqs3ukzR9MmjkomiQMZQsuK8CriPIy2 HGXE7tM4YZzQ4y1lW7y1U8HYj4b+2jU89u2VYxQkxeEL/0RrHHyWyg5NQmHxb9ikC9pz5rX7Z1+C OSAQkYOvBeKlHhUyTJQxh+U+BAG9WpccUHnLLPygBlO8TVej+54WmIRguMrG9Vmk9Un8fu900ks2 dln/eQI4lH35LkHIUv4R5/zBRFw3fYBBGKIZZijskY7l3ZX79DlAzPS7xCqwzbZn+z6Y/YFaRIOS bxzObI0sv6fQocJaxJDXtdPcKZ+LdmfAhthRMqrIy4u1B4UBXBJKGfKyvonYjAGE+nu5sYpKWguX KMA0J0tSxoDZq0xbwfC6u2ZftS75sAIkYsqbVh2iPvOv3ELHoTkVBlA5MzjIzEHlGm52/ZAf30HA W6syOBOL1s0wXLIJkwQFJkqAwZ2pgNsm+mgfoJhyB6SCJxNw6oPsuvMAFgevc/eyNEHxCFp6abrl DcwAKsZbaxTARQkdhkdy39l1lRsRuLU5EHiEi7OUSsmYmv/0AQ3DcQIjBGuhfo9BN9J6LcmoHksH lbG2R7kO0Vswzmmb9MVpc7vg4T80dKzlMb/CcjkRZQzynEQdreUfPKbtksdOudqONY9N3FQmDGZa AynepChK+hLYQZmDDrXL0uFtqXcxI+I6TMZtaLca9fvLXZPkCty+rCoybD8nAYkoF7ir9Uor2gny iRoBdhB+OYXx77fX+NJc2LxTH/upZtRnSwvfqfL7tpul3rbzbx1Tu2oM3MklMwV04PHdb5p7brzU ZnW6E8pdT0mRPvYUGPHMpEe/51yCF+Wm64y40b3MyOB6MtK4ka/U/ySmdjdvMzxqQB0d9m92hV7E EmLeM4fJ7UgKSG7xcDx0q6/Ws/7AcnvjmFIxIlW5Om578wfHPfGMTeIE6tOpSKtzw3iRCjFgEGjB kibrC1H/W3bk0voEkj3YpmWYMyV3ATWnjcoAbL3t66CGEtzaxfyLSq77FlT6sN2HiI/r5quSb3FM 2YyGBmIcnks6jKyN/aE+UT7shzxIYcZyrMtlPE1hlIAsru09eGXOEAfwHb7jjCBPTwGydGT28dPt m9zDSixlxAdaWkD5zoS4QDn9Dgn9XnNsZDUZwd9T/OPJUMOmyQ1U2mVt/cSiwUkJn3aG7D2VBdE5 hMwrGLpNDRuOva1l+L1vcLGdrczgszbqawVMcm8uHIF6rHz6iPRUVUJNhBpuCyFCdB0NPKFs2wga VaEoKMqgrjuNPXKt2lu1kyhxJq739snDfQNWHb+wnNXdvu16vEDz81wNghZlRzeVttRxBgIFfDzk J79VgxzWRZm8cVyQmSvyTT+v20TNlAZn1gz2jr/1zoMjzSmGIiszG0Yewz+TZBmTxyqWTyafuUvx WKuE9uyTrA1xkH6iXZGPMYIVUhMPfHPh8B5tIJHd7bvUSF4KXziH5w2dvAdAvmD/EKt3scABjG3m LCTnUshhLcwLG8NGecGdlBDCXccw38Qd6IASKK1LBnssBapXDAc4jQK6chDitKrTYeBRVw1J/Yje ns94TM5Mcf+Ld5gjpzYls3K71vBzwYtaV4Jk1tNrX7pEioW+a+lvJk4aeTfnbCDPsPOQGkMQRzBq CRDE5wMNg6c8MRzMJ7eOrXL/kuw7RIv/T9ksQHFWg+5TdpdMtlxLjaYpBWIxIHJJpml+EIKLGcYX uklNwzMqmb/QsU0x6nCjPYhfU5QslFmYRr3+kirYnwuP6UYq4UATC8WuFlPAoWunm+Emm6c8G659 BW+LZ/ksudD6Fpt19LwM1+4lhwUWY+KlwwROHOB0TGmjxSe33nt39VtmGpOtcAr9VeWlUo2FntCZ bD5D3Zw3sC8Y46fmrNDjH7vvmopLj8mSDuQ4DNzfTHLHk4jqMFHMHmprsKTFMOL6B9wzRp6O4cat ktFzNWZzGDn+fbfkMMrizAt/n5iKJj3CnhQ4fIjPIrTwfEm/7hBhPDVlsZQFSFaRv40K0UA2XgHe PV63p++NpLri27IJFZxMlwLyacyvWFbikXnbkQkTalmnSJFNCYANfp8ucmmWgIsrrRo3PfFb6ob6 9hmqjriEOi6fxFnD8wln56D7vbq1tdIK9IN3Vz7snVMaADqW6UVtZ08kR8VxZGcRODEeHqtGesXx QcI7cd5+8UXSW0e7eS3NYOJStt2R9j29Gv+Svq0lhFNjDQtDvCYatA4zKSr5kRnz4G0CGDRObIP6 v5l9kvD7pXWSQZ3ZIafglFuKfpD1zYNsSHMqmMfYAqS9A75af1gGF2eFWO0rkgxTmcwD22W2C1/T O9/vCBe4zjgNqFad0u9hkUK90jC1Zmrn2qnGw3i6jnYMNu3ToA1XQVofGlLE/hsxosRcMKk0Y3Ps CF6u9d48nRwctaSgJWi/mhpVqJh4bZQ/Fnnq6rod6idmV1uYA97dVWs2mS78cRHUJ1S9XXcGavFM 5GaDSRRkKCGJP/wsuoWmrUMg+RJl1c70ZuCB0GtWOAT6EAN1F6lx+kBWlyCnVV4uExmopLVSZ5x/ bmDgF8ooIM+8hIz9EJK28HGEl95E0THy6TxvSwrgDm5mbsxJqVZUhIKsJSCQA53DbbtIBh7/7iam y7cLz0EGxPukiidJLAUgfZ8L5lNL+hkSHBrxMhDy3uSga73xtCanesurRf/4QxkIpcQclMnhFbju xssuHVh4NeenLWf8VFzvjXF6TUHrWye54JhkuKkfAfU1MX4VkwrInxRB+8VMyfvWgwIcBwox6mB6 LHwOF7D/Iwb6FfYcv6mkeQDjYr1dX9Sq3bW3iBZNoJFt7T90dbH8ilzJXOsdFw3AeSpTWhKBBrOq NCrKKHNIpDTlaVzQzP+CHgBkf+UMRK3tJRu963dvpLLnc0C5kt2+A4lniAWignj/Xr+89V1XwWDi Erqu1z6nyhEkVeF5h/oHF1ACQw/b6V5hCqSTTDoEKHFDar5fNTlSOuYX/qd4NQQ1Qv74xGAZqg3k VZqLGgt+bNgZijzvHgtW1X1XCfCRYgZyZOqHNkErrFWRsytST6HukimulVczmecCYrNI2LW1cO0V XP3BEw5jQ7IwHT1DnyhnoOSN4kHq1cnSujD6oh+wtlhYj7elqLOM00tMa2ApQxZJArDvvI8eQvfl yW5W2wfzL5hv5hQda3Zec8vkusrkPCgX1ZApf4MkwcE69ybyQt7u/2CO0JuMtiNai7pY4d2/7R4N M7NeJ+gXk7XEfo/emtX1/uq10AfFysBIeF81CdYKWxTvsNcvMxkNNZS/jJqdGCcAJP8EHjrxy8SY HCYxn3NCCKXks1f1Sd1rcqt56EX2vSoly1LNMA5V2PjMlkM6KQGGyPAcKJiMeU0yWn8FY7uIokTF 4Re5QF65r+CSVtOV7VmzTUcxvPuE2t1xZz6uEfaa1/qIzvPydL9pGcUsFWAhIGFF3bg6x8fViA4f WXQ2kn8wgdxxldPPdzuFotvwC1vtjRw2NXrLx8rtQ8cmLzqC4bO8cSOhwaAkf8X4OPqd2BxtKEIH Vf8NZR7QwMddgRK+AezbMvZeKKgZEEFZKVhjlSLdHf3Q48EwSO9/206oJsFYqNptbBLOMlzZa7nC Uy9rz3hEpjZNk9tbA0hBWj3G/lJ8VYnKpzkGYQzlfZ6aiTI0EPMRrtU95M2n78wOks0jItpmFc9i CAM93D6YwXNai1Ly2FbuhtQuuWMhBodvhX5ZCfNESFghybaC8Sh/nVv3ymZz9Bd3TgD+W2hPYIKK 4SJkXbHGGOGcF3q5gxhAw9dck5EopQp6im04WkUB4T0+9D8vGCwC63K2SZENCQElgBwizl383eeI /t0e+hRY8I/tE1fCZ7qoytAQtwxGCozhu4y3LjkhpzsEbVB5ug68/PQAD1zhz10o10PVWMpUR2W+ tFIB1VL6WXy3CwD+QEwc8F60r7HMMG/jZrN9wEC+2xR7axqX6feCbSBoaAnxVpxKhbpt9e/bdUyG fzupHZed+zMTIK23QNmg7f4S9JEvBkXk4wmkbxRdttmyG8NwYnaNmCJhDIEAbuY9vT/tO3BISW3F QssTImDLggsAkRoIzhYM0JHD0lUeoWr1Dh2YMSPm6k5/IWVLfEupNCXzrxrdk/udH0Qrqg6kEcjs GU0PEym7LWH3D+PX4Hu3VAe0gP04J16rpOxjLwLeyUTmNcjMx40KZNHYhcoiiVuxehQISTDUkkqe v8WkrhIiqt1rtdvZsLX5Zti5oPO+GYckivf43+dxhMxEwK2igQ7RAL9xDfwD+1cs9vcfXXQ/W7N0 5o53sNfKMoa4Nx0sNFA5R1Ak1hXY6/YIkvhotOj6RAqFtfOC+9VbK/09+7EloKKIhLxMgMTQQXcZ XJ4YZc8ExTO9LfYrifAHloCSRlYZe10e1yb5Kcz157BUJuPWMbYOYpbK5amGrQ6H2jfXfR+5XHxn ZAP9qt3bHOMlBP/Wad8sRmgln3nXqwzuHUu2JcsvTAHnfaF2eHaoZfMuS2fx9LKqnFuIou2qCi0i E24gFcOdKKNabuzq5OWGg215qX3SGWH/TN3zO9/VudFJp8X/Q0+ncr+1DN5BTjmTPU+xgyH8PWkr UJ+lc5C1sn6q82PRK18F2wFBLfNtqJwux9lJkkmoECjfb4olYkHUlcciOePy4ozFJOZn1rLAT/ho WIoags74SHqJr26zgmj3wcDJI59s2Z7YlRY8ltYgpvP9wFd+avsvlRPmO8UboPxx86nPBPNrsuJq /IJhg8SqpPY6prqK2j1GLDQ647BNJgreVQdZTV+lFhwhtzvkdcb95XKeIDLNZANm+9t81tBbMLqz kNWzYwbnMmnQTyLpHb4vrL0hMBiblbTvcubfVGTGSIrg1b+Roe/+fJh2cfzOx74N8Gx1aFKnJSp/ zz14abC4Y7ZUDmMTyNG+9AmWyj2xgCVecomDE6ypSpD7gZMtbiZVshXPtX5Yo2syEW4YlYofZrTO gC4hdVLh4aVasEMJejY3rt2lvwTK+BougMIe2JeBGQWkx/6iE2iPfW8XZp+MQHUM4Fqg7AsJ1R5G SoAdS9oIPegLlOJNT2TphQd3jN23r2ObuPXBDnLkupyt/OYJqn5rxDk6GfvC/XofC7/3qBV0UA4U gsI3bRVvPYIaZ4ofjoH+SnK9g3mpLv9ExdfyRtSm7srTaE75tRuX8JyxHFUe3KEyp8yf8YQY1iGK ixSm19UvioZ8Afl2ccBvGYi40yTJVtLSKASG5WdnEfBSgMSTh39511jLszl6dPsKvxfUdIGRHxwy Elq+Pea69n+WytUL3zEQUhV3dKFNxQkPQThgl1IMECBgwSn9jDqP1baiqavUxkHSpL6DCCRPJU8l bUT+2hOu8TyyJjCZbZHxwv7pN/K2rXFF6UUGOGfpYjJ1p8sn+jGC5kBTITcr/5A2sQe8RXnL364+ J1JkAOLgBRK83REkaRJnm5KSOk3ZPk/m5QsSyUQzVJC1QhKHfEuhQIqDl16x5BU4Vh/BWytXCQXa Bit+93wN3q8WiDglspjDnYoluGGbBebdmEtG72bfwjCG8BYm4xDrz/k/mo/aXOTRoDoOg7FtNMyc P/Szx/tu01UZ6y+feew12QXbrexXJ8A+GC/CHjTpQ+N/RZiVbGZdrcDcep09gSiDekleH4SB62iK fTROaF5YTWZdFZtMZpLHm1cRE2/JagRGPUGjAujTTjeZRtTm3l2OgCVilYOV+DuwS3H4Plh5Wyjh bL7u3SrTemqa6o5yBsJpBHsZSdSDsbIxj76X+cpUUqrZyKb4Uq6lsjE/rCP/e1utLLiHPS53ajeC LmufXSrt+kGVv/fJQb6PudlpjpTEkZnr8VI3xc4ZKTXC/O+MO0UEHBEfoGZsCqWUKsSSEk1veJS+ YCgzLiyEh1eE8Asz4yj3Ff3ek0WlI8qfjymMWKqkQKx3iUyTU3xC410XRZ7OQk0Z0wOTP57BKoYO oOqe5FhRBT4wDxj346T0Coq9UJjS7Bg5K6G3cA+qEgEF3IDhmUOORp8wVvLgPhw1QMQ+WXIhn2zg Z0edUm58SBWe2uNmJwKb0p0DhKfS66z9ix3qly39zOVuSMjsDwNO4/InGUpGqACaBIiPE/po8iG4 nKOJJjUql9KfP2d3LoC0a1M84fVsucylgMDbz7WIIlEb7//fVs2k7gubTpkokvUrwopQRNP+SW8/ tjRZW22Fp5hRwp1KiLauetqLH3kITHjE/tvZcoZk0ZzvyTrhcaEXDipOsV1Pa5Z2wIywtc+GkhHC TkcxKSWqi0rfEoDqTg9Jy55gtEYtV7/qDVk9KojKkrXRSndZzdXt96N4zbuO2yS2DI8+OvtPiA4/ VY/TUf1mA/EXGxrjQtKD1YBL9z6xwm2uoGV+cwpnjTT1oySJuA49vAZCuw8PxPQAptFzuGFlnq7A 8652+5pVnxtdgA1HYQ7bTRRXA3FcN5sw2G6CcLTcSFVHSKDfF34+FLAQtnEvwaFwxR+ySY/5dco/ 8bySG/RdUm2QM+QwQBC4Zcncz27Af/bzUAIN/KkGb87LGpGqJu7iN/f5C2HM3buzC/ZauL/MaNYw 8O1MPGGdLKG/ZPJmeolzbV3Ca9AJac2NSJ6odP6sjFN5+tJbxYfWVekCJmu35gwmO1EGI7NcybKv 7rpHout1Ps4ZSuV5A1E9dTb2KV7Dv5Z1Q2lU4Oo7C0VJteM5oBmpyhjopAhpXgrL+wFfmV4UQLbe w3cAqkrZa6g7FIyPfUOcuYd05KZ+c5JoRfPF+eprjFxCXjgFjrgjt+Uvc0qKvyA7A9So+I2IAY9L 2AY6SLN1z0hD7Y/DhqxqB7O9Cyp3mBrLpwSFZ9Ax2pGj2wOIK4vuPkOO/SCbVadOvc3W+1btHgj0 IXjIBMuF/YUpLHxa8SvNOPGLbBKjnml3KVCegy+ld/3re2sUdk2NkD8cVOi/e5vR/jL8tHby09xC iCqpRwlItQjPB9Zfm/G4b1PsydxgiYmOduH+NN/VJP56U/U6eY7N9cSQZrJuHFfIvHnxD2OEv7hm vcrm80IA2geVK+wNkG4amr+HXx56eF/aIvx3QVHzwy+IH5YJ1aH0R4IkRehi/2fY0Ks1ZgSOdGDV T26WIUs2XWN4I3lAbgljlT/jSZ3QBTr/afiBTAorpflkTKpzhbkOJHZuirYHLcV8FbA5slM2FRry vCebkOnIMq7MJrXx/eYdYyAkCvEHE2kpcHND+w8f6nVwxwZ7P4QkwaFhIJPuEWi5Bp5C+iOMhJ05 qwzKa+soP+4E6svn+im9eSTgWGuoZ3jTuhNpEiModAmWTo7TG1SJT7u5tuMMDPdFBIH2NHVTEVgs Ag2h0feOIsOyIkF2OQwk2khK0z1kEL0VLVxAguc+dk6BDy8AqQkJd8Vcjli2Gh+Q0XPuzQE428u4 DGHi5yfzfYfRj2o40al2c5SaPufE/uyqFm6+n+cwNhnNvUOZ7px6gFkae/hhVdwqSnbPMgOQSTRH cMIK6TvAM5LSWGqm05FhQKIhHKLSHVjNXIOrZb5q2Gx5r8o+9iYKkt0gdtNZ3ejH4Xb+onwbMIir NNKMeuXiOhJ0argfIUFVpCEHMF4dTl1+scdGdQ07M+m74lNh9qoVtWrzmWpk3jkNaAMtsmfR5wUA QYjy2iln7RXnYmUd1xOLjjFJjAjjtM1bJgtzivoRuu5GyXJgK8TkcLym0EAarzgJCSRm9TAh4JJs BvbQ+gU4dAjSsN6yEt/xqVkMto1E4JQcxwTGGa3oQ7sQ985KktZh4iPEBKPpJtSVXyfX+edMkmjO DtIQub4pBhxy2Etfxn0Cxmc/4fT0tN5ryNH9b0f4O+hqvLNQLKg205/vZq4/VIPQ9Wxb0X6+rXoI Hufc/MDjIW3gfNkzGY9aE58amP12WXdTOcl59TUHlXSG0GbxBU+xZ21chnD6Y9pgDvlagvGqP1Pd tSsz8CY8OIkI+iXd71oIQ43A1ND1zGsd5uDZ92PC4zj38GzQP4jtu7pbzfI9UWiMQEQKSQkJilGJ ARcvusZCdvOze0zRODbc6/qbaRPynjBVrCHjNWv3cENe9v999Ha50HdWLIbF7DIEiAMW8nah+OBO O6ODMkVIwWU5AWJMk4fhRV1ASG264aGdtBXgBdOFss4s7kEc3wgYIOpFy7kh5jTfMoZHK+iYYAFE fncKr4f3lmCysNboeDpqacytxQNo+KMStfwO2roJvMbMJS3anAw06G3zL3s04WDCJUNv0Zoi44Yk SOIKCYFh9SFMHIegq2P+1bCaaRfKIzph/0SZtIH1BedzzFrWnaTIGk62Ep+dZugE6ZLeTceBwyo/ TS40oQ2OogvNpN8et52T7krJD7UsZS3q2+U2XV/FcITa64Kjtw+dZ/RIgEutPKv2Lqg4Y1mPvV1e oE5sxnQC66QG/q5fBtyA+wjj6anxbT4Elw/MwAgZpgXcDqLlScT2FA99dRQ8o8PDE5vb1SmmywjL AZJm2+67M4Nef9u8cevduHT/uKNxZBb1uck8yXDj0T4JX6O23VIUFLifKVb/z0c/VBMVB0/2s4fD VlbYh5XkdZNufpfoCAIH+2MzdmAQSMjb0w2JlG5cqnzPNbkuuOUFESvl0th6Hf6uKt2Dgimw/KTz kg6YKX7u46PmwcCYk9izmfysDL6z75+HPr6w72GeIZ0Jcx2oYQkq+yHkUL0pncGoXGUEl9GEwkFC oSm1FGHIJ5rfx/FAU7kS5azp0Jrn3E1wiRU3GFp4Zb9ibK/ll24Ws9xXj57RG31Tik2tIuBMW2WL 3HWerqTLa5owjmPsdvnxKJWAN1kI0Yvx1pdpcZXlZfq77JBo3vBB4bzA8B28TYOLf8ALlX+XTXoW eNHPt6jboFalTeg4CTcMo9xqINgCs53VEyXRVakUIQ/Sj+rBxYF2WGfZGvINj5686lRjwHKuvRDe XK7qmReEhpZas9S8xWSwbhjKlyUjATiMMowO1j73ANbXqEQ27/pSvYsbqxSKRKhkAXkRGoTDCZsJ C8kyXe9jL3UN0h578/+0mlIwnYqhLz1UVkdy6xlPW4x/Lhz7ldiMzjjReJr6kB+X37Zw1EBUhEXS 34zuOtPKLSwJhKD0XebATlnzosfrjCrFIAI2g9hrrB3xGeC4xYzeacmKbZGqiL04qVtxtNRcJqwr FWrWB9bNlOzYmkcL7jBj5ZKjPviHJmx/CJzhFVbRuayWK+xnJjgF8VojvbEKIDLEJotA883m9oR7 Ltq2oRB5MLz166ewYzYXFugxUDIPSG7/639oOeZWZOoJ7JaUUeQtaIqyKoOXRgpp1vLZ1x2o2jDW GdUL18djw8CHIso8KhSVQsC5D7BzwN22B4Ihni66yhJJ16ir7bnbUGwEmEFZ6LKrJ1FQn7PhK0ES +tuGbhR9VUxvPxChkiv333ffBnEbN2avb/cHRhL0nuKKemM7wzB5LCRaRFogREH190fKlxiGEGOL UKJnltbRgbq9EgYNXf4ZAV7oQldxOZ0KhEa5OjIqO6zUN1S6b4N7d+VU3o2IGGmkz243o2a8jy2Q kAIGYtNd/V72jVbAJaHSjrhZUUowRlToKT31LXOfqVHVPHRMXImRg/ZgbSfzWBf/R2aNLRcJw2yt SRuDcZq4dSJ8wPRpFAyBvzfJeCbTbykUjIknoe0V0erAfvcVj3kwLGqlPU9li6qisRnpjB2deXeq BLfu4/4LAd/44dOIqnriICUgdhe1BCfh6CPTX7H7X5YSwk3JAGm1/GH6yLlJRHGIYxM154VV9j5c DYHMSxPYXmmbMtD6U+6hJDR4SXoQ6LIYqAEPO1fTcHX4zphIIjLUMPVr3cTh+DXfzxiiDpjzP3su s1bYEbFjyEao6pLil3w5TQB6feFdqcxDS4MFxsdH1WaNRPSXlAY7TQmcsJFjczFWrWYf7TvN+SrE Ha9ipmOBZDK3knom7ctf4XkQ1oPGhhHJ4g6EIovNXqXPSNICp4rnjWLaIpdVRwJWOT0fZr+rUUhI ZVFhe3uRguV+rVtFlkOhed4lusLzA6G5kCbZVUaYx6/OkLMUndyU4752+7lbHMq0q65pq8DBIVV1 2pqiNLiN8f7wGWAaBKwkDXK/u92DI0XWshwhrqSpSsO7TkLElKfarTmLEejwqNkCI38H8SEHOBU6 d/Au0BZW4+Olne4l8NhEN1kWu0TMZwk2OWJvGMMe+DXF9K0Vz4UuuXbHbH1lKbUgR9XXIB5OgC9w L/znvsoIGJptI9yuX5JH77RQgQRStQMFLoUn7uJEeF65zHxGJV74vDHEzNqRotXVUg6z4u/p/9Mq p9G35q34Vre1+v0C5yUuPhBlSuKG+3iX2hl98FMaUrQZplL7mL3GoWINC6DFJT0qiyIzMuSL3KKm l1Uv8z3RcmNVIdnMNWWrDofcPbknzEsyi6KKOWRWv7CpTW5a5DAeiTBon8JKy1JGAdB8oKK4vkym GhTjF5XmCmpbEotjym3OxhjBUUBhIr6UwynJ9Akg+He33H8xDsqUQKB92mERizcKTMHZvFBGc9Gy A+Mtn0lp2HlEABdLpJcxGi4A489VCyCtCqNh/i6y0+61wXOwPczUzjJhutPrv7tES1jElGOWaU3y dGO5yvfq85ebTLH6fUomNYSjeIisJet72FjcNAEY3okY4IanLugfOaXLe3aMey+Sfj97r/zH9/oa DiTJAdACTQAaVUJ0AvAxGHuR8Jbzcy1anB4IvvWEfMfizMOUl/HEXJPHRgXLTudRX8/C0LpBLumB Xq/dyxl7TC/nEpKLcKfNIdQ9bjxqtcV2qCRpyw+VFVaixo1jhtEMs6etor3wx/gdAk/5U6nGeCha qtQt94gZrOtrmAU7kqCv4lDoIcrhasqNm2p6yu18z8lnw5fiiY8rG8n0PyJROtf/XYWba3u/IDYi rakovvaSfZFvZUCt3h0kbNmvT4nd1TNzilXrC8NvsnM9sUVmMyykjM+QJOFWynNdFs45Sqy1ChGq Ojl+7kaj2J06xSkcCkZme0wcTajd04vz+RaNwVNPjIFxIzEa3Lfk/EeeVv36MDkG6OilZhkEXsAK k120juK7y5ypvnBwi1ACrsJ/8CUjWY0xz58LxhKgNBXpoYci0pDomslCWpavYZDY6Rnw5oK5aL+d hxIRvg1QSv1o8yfU4s4JFhpBXAaBU5ZOPVm9daF1JhUB/skXqOinjX3GUtFesFTqylcRAG3Uu7Pa QRugAEWUHuc1jIygUva7ePqbVTWMpD59W72e4Bcf9Ai6ZqmAhJ8aJwSuqK9Ci6MuWCPIOu7mFH9x xVTO+4NctLX7BlsCNYayWgCkszPvhNOb0cKaa0gfRgKjrE9adiuzbpIE0HB56+VUVxbhU2um1Xkv EhITVjtGyK5Lw+45+fuNH+RkmWKuwbQUddJjNNXojdDRHXdQQYvr+e83d4kfqZT+j+/kNLf+rGjQ /N6yiZJUQkOMoSUlUrnHesS659q2YpYpRU36TwTAnadsunIlj1vra5Ud6gzixH4pulRLs+fQYwk3 DmkobsHfWveJA0n0sqNoxv0WBRn6NJoyo5Pk5SfBgXxPYSfiFwlc4NdRa4eHuyWORARtsyFO+zK2 EFj8x2EVR7PSA4r8LOIUTwUbxV5Cn9ahRRCwImetXy3b7RD8MEE754pEp8tNHI0b4KlZnXp3f9xX 7Wn67SPHExomth7hsxG2o54uVqNjgGLx7TGtYs5o0eSke1chNWP3JRys7eXVs3EGat7OHW7F1/ST yFTfsNALG9qjfTapufXnbX9Y5HmmEN8qe38SSRpX81LxmRBuYuXETuI1t4+y2cfWl2zci3TFZKDL KNwNgiYfLusrxDSda80J0KPkYobxzYE06kFaPfvIdgQioheFnn2y6Xh30WWjSsb9HhH2g/xgoBpu MtE1XDomU0620n1JGTwO7Y/zEA9z/eFwyzzMfZpFzBHw0UAc006pjWY+S8q8f+F1zs4OB1XZK5hJ ZDtKnaDyNIWB67luRgQ/jcrv8VAdta6PGtfZSkwb5vBkg51NvKgehUSX+tPcvIjW4BRKiHXXtIcK AkPUSxdqmZaNuku/uPDYSoytlg/JcW3cZ5lJrIaHNj9iV9TlS12fZryb2ubBuEcyc4ckKe2K+p2z VqMujSb8kFgnn0OjJRimU1JSokuB6xO9WdJxiQb7r5zQuVzZF80/T66ZH5Ca1cGW0UL+GjI1I82P iwmnwpqc5UNGOfl3eezHgHKZ7HD9wvnsFV0e+OKZ1nmUqwHVvNo/UxFTddcD8zhEPNRWWFIuR6Sh fhREMjyHjKt4SCxdi4oGOF8hKXmHP16WtRbd1IFZT4ME7S4AeTBT1b7qGPoFbdWMIVoMsTN82p9R Cq+XONl4UM17+t4jgZ1wCGlTbO/DoQJ2VonRuDJYQR/A9UsNd1FnLt3Yf9Ugqvzq98p7Za/s0bRE QM8yaJcq05I+eix5oAwRNNAFV60xwmrVm96iAkwdS0VSpc5njOlIiI5X/PU3Gt0+y/3n8jPXJifO eciOHJjaUj+cDs9eo5KcUSha2Hkafzqk6WflAg1ADtI5BkhBwkIt+IjqAaWJctuKfV0AnWKoEnHq K1TCm72yONTa2kiV9CnpJQxJmP9H2LOAsjN9k24mdcDJLcg1fsHepvtDXgSYJ1T9Teih58SuKaqN zHZPiFQZWJsKtr3ZYQVUDka1dseMIy9gefXlE7Aulx2XCFsSnoePXP6t5jqRE3Ln5wjNQetbRA4a rIQcTnArbh+f9C1ZCxEuCQT+btQ27kADjQih3GLE8oL4xX5K8N8K0Bau0Kl21inveEnsmddxq+3/ MteMTOrQaFEvODYrYW53wq2cVXgoeShAxmKB0baVVunY6YSnfDO0NM3riqMoDnvpM2bA6akbvccD hmwLuN8KCJPoKIqcWp7bH6OZwJ7V4hhRpF25CwdJWkPXnCdbKfh51b/c+okVJeTG88gygjE/9CtC la77W71l5ic7annI/znwFsVaaE042nnEuiQX4LsMRxD1/zenyvN54PZ7mb2K3l0vXNVsKsTXnwGZ JD7CROkpY8463I5jKBvU+D35+AIcT3BsdsMNdL+wL+jt5LyppA/XDRExMys5OLe1NCMgkrckmoii KE/l1rN2oJKgqiwPTLc3ABHzKGUoWV6nNHyxBeFML8JuinbwgW3yMZXwFR+HPyD58Rd/PdP+0wgj ZKzBkdVBko1PA5qKzo+ADRqZZdw2utDlAFqUxBW77kEzq8IEmTpFJIc32EH8H5pfXDcPJcYKFq5e USVI32/Xu0O46CFrs+UBcbp1+Xw+M7ItH9tGaztAgjqAKpJV/nyr8KHPkBm6ihQRKdBesi5nbHuE CRM6sLVek2ZeI0UjHl1rESps+Jk1sT4mPGziw+3CklxI0uB2HNdbRXjSqNWHkL1gn3kCRbSPLP6k fH2AlzebK+0C3jQ4EBu/TKgF3t9n5He066jgbDLyFEy3Q0ufcoEUqsfHnsnxNugaiN5YIzL0UYQ4 fnW/WeKWY1rcAg+jOwxoUnYOcWT+1S8jHDb6SxTa8rinzqh0nxo4UUJPSQLIDniGZ0uAEieaL4+z UfaHKHY4td8/Wn0UDTzFnumzAoYEosDn0DkDzg2WhCgN/Kgz/ZfibueL2X+Rna1FyNFAKKygxNsq xLmD/Rrr/NGIBN9LqIQfAAijS5jGi3JOJubkRKaA8z3do7SkFwc2Tn6k9ZvuOh13b8PyAp+E0VoH UaNqcuY918lFA+9LFG26ZND2PvbQRj80UfpOvbfn8jax6if0EJ/qaUnO/s5ahhmMCeWEQF+TmQ3v kv7Al3Yo7uQNXBotgxVgj9jdb8Tfn/zAcFtk12Mr7H4IpxCkesQn25L14TQuR8RC2QRPE8SBZTTN OtXGXXixwp5Q1aLA3fn24GcrftY2I/lEcW0L4A4WMh4BqdJSTDySIPyTflWBo0BvqSvQBFapYfGn n11XMxnTqa1RycZtlXbDQf+sF2Z7sWFxYUvW7NNiWdrrvknHzoK4mkQ9Z2/gxiC0N0CEDQwFt37V rMs/AmRsp3Ewn8vcrvk6s4tDpLIAIzMLTA8/11sBsXt36zmhwbO0vObtLazOl41u0VBPabDeM+QS b6tq5Ny+gKcHeE7+dZC0GJoToV7TDbYtnYjmN4hXGYfOJcAn5d0VNxbQWG27OvaoHkUVFee/8Vit S3vden5eE8smxIM/wxuddhLTkteDb5YVzyN+QktwFMoFYcsHh7xQKSG3j95uN3zTkwHT1O1NxT+q mVibX930a1ljn/tYDkqcMLyj6zIPoNbHrECOYTezlsaRrQIiaTEr+Sy2eVJicC+C+pslit7VrNwU fjTmjXDcW+vSX1gZn7vSLJtjPMrz7UBq5VQe5OxZ4xMtezbwWaYZQX5Sy7ZWswWUSbr2BNfI4M49 DTGZB8fN6q5lH469CRgmSAG/kBLpXcnG8ZUDc/U5UJ2UJLzyEZCiWRQNE5c2sX7QFso5qPbBq6r+ mZelz+PsiGPS+QnnNrodQ/A10l/MAjqyy2KM9NqPIeCd6pcwXQgiWVCE7IcnTqdJh6NFoI26B9Bt 5Nuzy5E/+Fccatb6rc5cmMAfFVvfLAE44FJTrKSNDFLvCBrxiuW20kuZpGuQZH4PVPK6GmfM4+yW gaJYHhGLzJXl09pf2ZNAgWUYeH4+TCoqX+lQmC0n8PerHkELL+50nQkau5wBvmcl5Zm16uw/sq3A bd9oYhZeiLX8Z5A6M2xlJrFSgTVrTnEvFAjZdQUb+W68PiiXQXdlVxKQTLU+hlfOjIF5kssJ+Inp HXNsB6iwoNbHHA/8ga3kAn86bNZgna/47XJZKy9LsnjF0O7oEo74PrqJoHmNqkBm0gxf/8OcecpW vRre/21PARreTxG2A8+po1NXP7463V5SYYn3s8FDiuwpB/nJAnFXoIXAWG83RNlPZvXYF8KwpcBS Ww5XkSrmYOXlzSpBVG3Dle13vcTRFdLTp+t8phxg+6fHPDw+77/1UBMC29r4hKSErTCVED5qsCvf tDwoTUk1Lo6vGNwPJ+wSsuZIEjFCb9QMSEq0H65k7BjxVpoy88U15BH5C4GuBWyNcHU4Cf64dtVp mpiQFNjyAHvuXo+2N4hy31tO9o4de5X36xdwkZrPBkxkzmz3Q9A2VDJh6t+k8G9cqqLvMEsDYC5o hhFb6DlsN3adXtWkT1usw0RLNOFHLnlO29Fb5AdTfnF1x6ZD8CgAKWHVrwZ1OtQtUQP//z12FHrK 5YQ59ZfBnDxTwJ4PYlVq6MCBw+d8vswnx+tycBm4CUI+hf9RSYAclrzl8mDFJENbn0cPzC6oFpd0 5GRKC/TeatqkWvwSDJZ+blcxOs5rEWo8BKilNzAvYh35HmANKzkfWJ9h7rih0G503/A+/3KK8KCl UfKKIW1EoKHe+g3ZFmNun/HwNS87h0/e6jtPUmllyXBgIKAMT9ydRdemJ4VcuncOrUROkqZ10mSJ 7vb5lSp6R3PKdlwpz8nQ2ubHcwEjlzlXbBeUJvxBDySX23KKmhZexJLMkbWO3GZkqW5FumU5igza up3uxJGyGlY5Qwege9VsaI6w+uTdHkppust4aMtAUV+tw2FckQluhYWLgw1QkyPAaS/9NkhZX9BK z7NlQQ0L5e11OHceEF0s76JGyfH+Z3jljvBn0KQ5NzkhvaNHRZzUhh3bsDQh+1Xe1UqVsZDjg2O7 G1vzMlSv5JGKxuOOaGcGDyOuWDenKmmRgkRAhsr+tQMbK/qLVw1EPMdUpwW0zSMX61VibBE/ABTM K6zCXmhxLojbwZNosVrbjkbw0wLHGQ0PiFWOkkbYc4VY2lVOt859g7DwA0oD3AcCd8iW3xWRoYTh clRpWQKLivpTDNQqynIHHgJgcIN7yJnqRG/G1EgcKShOvOwRsv3kpiV5TNMrVksZX1Q+0yrerOoE 1OnWza5xlBAiDP5uLMy+7rJhP4+Pem5zNXkK7AON4TYrA2mG8E5SOmSO/y+aced5q5zPZOoGm5ZE fQS5K30ARsmXsgEpTl+uNms+TmCycQQdAEsMYYu0l9zClCII4CE+PufvNzIPEE5CR5VKxsn8aRhD EKMX4dFDZ9yII2q/KA6hWLCwD4wEH9OULsRHyMyzbDEdvr8VcoNNwRjkqrcvlsAfcZj9k6L0aqbx pOHEXDcOzP7yl6WEy/9PRB3dylhXqIkJog0968lXBjYwzKferlotLuUms74crYRYhEgtH/fPmy4V i+MUUdA7OIlzRQ71kNjySL983iJjMsfUlvywLTee9Q9E9uFFgqspiM3gMZu4p6EvLOdDzSsnWq47 eA6sSIYoOQjEHkGMR3Ybg6aFn+hKkcyv35RB5PmvU4TuvnYIWktoV6n8ch5b3fi36QlJhXVbGg5x hJmrjUJ1TnqNeteSxvZCc0T00whoN0+r1N5sGdXfomGv6NgNtRqnwXoeugB5Uyu3lw9L6+zlL6Mp mUh1aVxl1QHm27bC1QenBfyuzt5Eitv2e/bhBcAz6BHh5bex0p77ARSiYyjxO0WfRHY8s1AW6DJm V3+hNoqdgPdCjDVk8SrMmevpGFT1bU/5eE71/ZM3P3J/1+O/VgR7EPfzR35ff4cMIl6fZ0YS90Ye XoE4HhBdycC+/ko+rLSESnMGmqWcm1Fx/nw6RqM2uVGUxpFEAuUDjN8raj+mKAoRlnYB9HeZuFEt KJJth6Xfdc7eD5hK2BeB/wTW4t3eWh8kp09Jfa/3gGePmPz78JyjalWUdgwew4R7ktiT2m8l7XIF ezxC3bbGvGayC/1ELoAp+OAt+qy6HZc3w9QGrX8b6Ohm/YcFYSDC4FuY7WzcvSCX2mxNwz2rZFhK wBCnjqYwQWy3ZwcrR6FEeYvWy7tJXZpIIsMclcUmMbNHu/lTnne7Hhlliic/gUzgwtzlqFrglmdE 5lFsRl0xShTzJ9EbQW/c1aRWihzHUjXMHdSxx7vK8+we0QGWMzcRsW5qXHV2qEQ3aZ0tiQB2qqq2 QGi0P66taKQWBRrXrXtPreqD0HELlXSJlneekU+pZ283/25aVwyE+ojyqg0ZPgwl2GRbxlKn17RY JYdvfWAxjrSUD+rRB+K3C8mWBozO7BE6u9ZHYvOqRgahzwOyWS4naMT0/jg6YFjPaPtr0VCGlzOj wf8flJP5rKpYpfxxSoQVu1wyhwO4CEwGdoUXqzqZSpO9nJtzRrPMajyfGbBEaxLFdoEvrKhVwU4O rTeCN9OiRUWfTfQOxTKXsxh1tS987J8zCDW0mJyaZhkj/AelDT7imzWaAF/DYf/ugute1BGNn3Oo bagVISxF335BuRfO/GaKdViDoVNHzJkggUONuJwXQBge5IGq283NNmbGQUkcjVFOTxivlGIkm6wx LOvBAWAv9Z/6BVg5bTL4rp2+ZvvtQ0aSEI3+QYABW/z8ba/Q+gzI3TubzSpsvZgJFNWoj1soF3xY 6x5+diCM0FhnaRl+L/dksSaRvGwWfgDmqmoZ6fYSzV3f7K3FXmT/9AUOC1k0kWuCREJJ781ToRK2 JYlyQC1Xj4ZzpeRNSQh//CNBUCnFA3uAlKxvlCQqzOQSHdCDiyEuWEB2xMVP1MPrmmXjeCIMDWZD 4FsLLmaWKhKGi/lfoxF9awP1xnHO0NCoZ81xOu0Y6YWtjt8VDdN5Qi75//yIkwwRFyPaUXtVuhbS NmsxFIKZbT2BB22xqp9JzJWqq3KexMDxe0GKgbpKVYvf0T+LXnl2gi9lVxkvW+AgiGrkb/Bos/Km GKhZ+i5LLEqc5nk0JaS6BB0nBJ3YkXfTzRiYnmSA6aqolpu/bMRg++YhrSaBNJ3jiOjdAUPQkMMf ZT7RirC3IeMkEu1/CCIx49JXtCChXDvwcjW1pLlPYzMMPSbI+npLF3hknBPxbOVhf769shs+tRZ3 bUeI4wARJYEKcfeC+YwQXPGMzG8c2BNjVyuI5/1wMweKF4wMoIpW5ft93Sjt9Jy1Pk7mlx/eqnHj eGQE3JLyfTkJ6tbtQ3ySCEsW+qKyDNETjSUJOeSwVjlk2UIoJa/QmZ40T1FKQTvwNYSG4jLwIVnE eFIjtigaZXjbZPgX3FR+Mu/zzCz1IPNQ4mVuC4XnhOLqly6v+rtSBKE0tDRzwn1ge1SmlM4zYkV1 geQxuytpBu3gf99I+gSu1yV765qb8egeIYp7Kop2hGD2pViHDLDd6xBd8zc3Giu1GWljlRey0SWz sf0Ci6DzkAbE3LNsjCNqgGN0m9etMHTaO7pyr3HxVhvq+QpaXijdTYSy3dPIqpe8hpdO3mX1bjMR gEulTi6eqfW93cEYpEaxEJXrTCnBadu8vD06k6Vk+2a3DDdH94PaUIWoVBic7OrDB4wps74Qpi6T LGPny0dpmnUz5pjM12ePXpxawiD9anaEc1vsS+Sy40aNvRgsl0umVYXp6ZJqjOGzc8bnNc3px+2q AGmv2ccRwkOX4dTLC/HTAfVN9EcXWmNOTHkaAFWQ01WyoYQUYQAXA7mMCt4I3q7SNw/T67T+NVsF Ksl1ukSUTbPn5ED9NiYxLIxgSE468BRuKivV09Ay+CFggxaQQoQdTrCU4zPz1QEAFu/035ZQjovC MwrRzxChuP9IJyif5tvbQnSSkUvlG1e+kWadb4V1+IjDy/ucjSSDWN4BnqQusm9zXe4zQL1E4n3i 0f8yP7TP9QWrv2j5XMQW69SF1stI0DOHIhEvuTmMlAqILXqKMXL8FHqs3Hi+Ug/xzcXGqlZ7u+K/ 9KCTo4bK1gklflbE3/Y+mkSHIFCOow6lbwVOs0C0BY58Gk1VNXMt9SOZV82Do8ooIvcG+awHEJCW D5RJ93fy4jL4BxQ3+E4+4cwWftfJnmRwuVXSFFZF86zp9sHsff4L4S0/Jj+q1EjCGMicuwhhH4cS X38HM78R9nPeLKXSvEemhBZqJSS9rqYxi2jiVJ7RF0w/KaJlaJyH8Rnchg40qnGIpPGjy66II9ts ZcNVA8c6JdZMP/8XOqB6YcleGW5TlJO5tVbGAF5ovkOwPTE3+j9XJCPGIOdyyyWSUDe6352j2e+R MhMcmpEP5H74wwDt7vnGwPmjrBa28NLOSxaQOR6boL1UZ8g9TNnGLM9MYu/niavDdlNKuN5pYBpk ApIYT0L4jXACYuRlYoct7AapPMhzSmEPKksOH8iNZCWyooBID/sXlMydOSZq2OcXMtgT56vR8RbG ZIA92BJiwOSp4Oynio6EHV/AINsJrVgFKdNVaGxZqX48VI8VFIMiG1KTlyoHTu8nRRuLy4ocmiKC BA9NakuFnzJ5u0Ey15xZzIsmW/36fMkiiAtwVi23vpPkRsAXKGyp+om/Fo3qbLH6I0861C6/qIkj rEDpNVUu9RNP/fPsSaXeMfcx1W8XWydPl1dtN7Sm1GS7ozHNza4k9J2nE2/77lk42bJH1mZavv7k N7pCyAOam4y66BDe4DZisB0nH7Yg1Fn0VQxe9Xtq6G/MeHiVYDK3xeM62ilWccpRcuHkhKCiZvry MuPQP+KhglAEJZYG/8/kuV+HBoevJUqfP9elFaZn2QdLi6nbEbkk3OCN4JqIg9ete7dcf2A5j3vV JXHnmlloFpTNgVU6ZdPh5odobehDvKW5EwowKeRwhvWfnC/PF1bNksEd11i5aekIWFEZr9lsmaWX 1YO956FeWIvzOz7UAW/FvjNgQQccumh6fAAXXeyxN23l3gH/8pFX6tmjLWfl282OGyIqWtAJ4oBE buA4V6cljIOz8lt3Z1JQy7rtvhxK2p2ngeNQF/hjnPSF6vLt3OjQ700VNworz7YsMhzGgpkcKtZ6 AwACJiHDp42cTYpwW2eE13BfcdvzIWTg0ieZO0qI/Dy2mTR8fChJwgcmzVydV516jiqLyl1Wtmra oPme2SVRS8m/TypwbIqIQEYxT9yYMkIcmni9kTEcc/m8BLmBZucUdBuf2OAuHS/sqGQB8c2P8KsC AluYmLDtffA1Y7I9UC4AAhQpJWDN7DWcksixpnoGqXW2SCXdMy5T287e0qyW/7etiIr8huA1yR26 xA/UdGoxdYiP0MIFX6ywPaTDkiCXX4oHw45McennIAq0jAZa28uetki0L6zqZ99+XPxyySHeQPRk ovUo9C6LdBog8iCC0nEsfGf2M5tT7qOM2D45EeTGQwXqBA5loksmy4E8Zq0pfP1xNS3AVh+B5t+m n7CdFrPa7aBpZ1zFSVnuqo2EEM5dNnwD3YkeNshih3DZUog3zO2EEGqUpCMX+kb/82olNyXnF37l 5skwTjsq87D/2Qm7yFtspVXZ/dwW26B5cLqWBe9+eET7COeU2eb2RcpXFsKtKWiaEPLpTb+p17P8 aCd7S3YVbHruaIuipmUHGEDOi0mXbGZcwpM8EIGl866oe3vGAX+qxSysrWazRLX/NXMW/B6p2BpG UBBDgTBAa56tNIt1c9Xb3p542L9sXUxsMV1FywUUrq0HN94Hyqw+rewMJq0WQ7qHXDgPmdASOLaG jrd8aLAyPPc9SHKUwfzHoG4xOD5WbG6fdr1YBnmzr5WQkQ3CjWHg53YKfFohpox3n4tDrmyenX9g 1qKRv6XY0BsGKSsdmes/zu21fH3+opWH7m+WYBb61nEvNT4qTkFHtN9zE0CMBAaXPuhcAfTsxocl mtYamboSvpd6zaf+M6elgdlxLmZLFUUtwO/f5M88BLlKaf7R20Qf8gmAYcHc4BvO7Wu5TNWg17QZ W+uZMafvv5JHwFgnN4eOPq8Vv2vvA6inP5iKxym2WlWaLbUS5VyfELUClM5TsoRhBG8/Q6RZpCa9 K+XSZHibix8GxNdjUm4HJ6noTXpvThLafmQeg1rVIMXgN5AxiV5jCaVhu3XAfyUKHRpHsaxbHed7 /zxMlnb7gsdwtv+//XfN1K3+ziSgbDK1jqBxOuWZEhYvANj2Q8jFXabJ9Ib9axIdEXZfUoS2dTxu jlj6uiq4aXJfNdh2C/A+upj9hFEquIRBpfrDjnqQvCT2WGU10oYt/QeJByNVswtlnlu8fs/K6BmL 3Cu389qqEKxYv88jNGbmEkF0pO2grP3SDpKo4JLA0MisXG9Ys09ip1SyyOZgK2r76cb+ynQ0dOdJ vbn0Q7fqeuEVzhCy4pvvdwvqYSlwWV95Ae9I1kq1GNks8GfOTwL6G8GZ0CT0mz0tBsxKSEnmOwzE 6iX+5/+gjsU3sYpAuRBdbnhJwCE2rMqwH+V/v+mzbRAj5ybYayo3nv592LOIy2E0ac7YdwHYjgiI Om4W69ZyybaS9t0Y0XzHABLfW9q2Eec3EkGmZ3pF8VB1SIxbnKUvB7/B8MqJWFaaiIMdyfrBBCiQ M7JEnXD4GHJaptvqljEYhizdWgyUmfaNqY5RLL5/MXZ+HzrFQ4K29pMXot/vwTAAJzMyNNTD7e4/ EBEGZ040Qik2C4aISRlHKANd7Tjov5Ge6v4enjczvmX5CvEdfyQdV7q/5BKmyDsDVid2nGYhtjWJ Pnz1zfGoB1EzGkUf5hSdhNOqmpF/+EZgmGhmJ+SauM+qrLHW/PBrrKBHNVY1OX0S+WU1rfwjGFAN YWuTZU5JrM1LIGvDlrLpOHCRH0zSEWrNQuluqhyh/q0XJ6wX59eu2aBYZ7DccG9krKRdQ9ZNJCjk tQUZ4BVdCBavYOVsYCUUP88Mhv31//I2IM10mnfhZVPd3UbY8G7HrQHFLeU5+MSAV+tjhSenw8iX 4ntKXINu45cWz6iiLCDTNoqCQgDXncgx1y11PxXN3Lsf4p/xgMxaeNKu/lDzM6X45w4YI3foHvzu A9bGsu1aFDQx4ihkoPi39rOASD5JSQMVcWKTsNBwznHGR2ay3sGGsQn6788JNR/sz1IWsPqeQi8j bKqQeD0Sljdp7pOsWeHR9JqhRoS7fqySL4veC1zBLv83InYr+boLlWWi5FuoUXREWo1FW8AWtE5a 43Pr63Cq521fkFpENcjkhzJii3H8Jz10t+HNnWaFtdG2fwkLP4hdtZlGUvDpC94dWEg1bKRXEOSj BId2gnAIE+HoA4OBaKUOfiuRdPQpDzRF0BT63vx5YK1RErLUt9L9Ac+N9/Horigmr5XD5omhE1bm 37KvbCg0MqNrQWxkt+/ge79NHYvxFRriHSTdzA64i8dCHseEZvuQhCfLPPUSqnDTuNGYDIEkDpbr Fs5zqe5EqdRJVT2d6tyKU+LWjXdVEkuu4xUqmLAP/PSBpg94GQ+PIvNZJyxoI2yl0rhtsqXERlwm pjhKvhb7js39tqU6IlDMWlIS9PfmCgtmIEuCskzjkHgupCPn4cuxF/NcsyXobDbS8appdGaXmwfu gHqd5lQHvMXQ232CDoh2pfjk31XNfvqqpG2LV9HRpZnjLvyFYKVxW3CB56E7zZVe4RL/19t7xajZ M5/3PC4zoi10pN1biIcYmm97F/3XJCayMZ6GSzdK2wf3yIJfMrxLCTdBjZevVtpiwI+ec1rJN9zB fxSfyDwX0/GnjwhnZV100WCLRco3zPg+87TjaoCekHCNTUpqPE2MvBEiUXrOo4vahdH5kn+BYyIM ubXeump0r6dBlf4orOAtSYv7XI553EqWs+wQpZykO2HvzlcDkya/bwayxmc7p5H/w+iYNxPQT8JR YYIeL4VCzdx8UmbINhBD7u7M7XqgIk4z1sRek22PjLJ4mNqdXuStb/kXlZysVgikETBdiautiNNy 2V7+cdhTVgAQzsW5Xrd1UyAkcCa6KNDr8SAflQKekWDd0Qn5gH2bHCD4LIuby1etPdJD5Pe/PgM8 1v76TjpMJPBLQi6l3ciOkiisfkJk5OoW/Q+tZ40vzudVOruf3dRjpiO+nfCwGlSNEuT+ewdrgw0n HjFR8OC2O+SHz4GrP7JFmCc/gl2IDRP8aKPW245Vp4SrmknRf6hi9AM9uD9Re0iYHmtUnl9kUaUf GZVzqEVGmw6DJqaXPuF4P7K/UG7o56e5WK/zh3nLQ3P01wJNU/7lvreN5EH1Qh27x1lO575jcKOl +pIb6OGEEavCYq15e0A5D6u/SExK0X37rxVKp6UoBHPe2u+ytlapFfrKx7sOWdZ/a0000ssL9lUG hWgIh5Q1bVPk3xWctTM+BN3kh3pHfnrOAvSh6eTUzrQNBj5PO0WHuFbk3VY913+ggON0oUbGKBiZ eeyGxU1I95HSaIGrKsr3RNHYB8dNLeXHlDrhZXA1Edtjk1w8aBqFp2tv5n91DI5WHkLQLtT7Q1Y1 PpJgNwPwtz16nCWYZhrcwo7K6SUy6sN4DHjxRSL+HmMmUqm48GY3EmMUDRXFBr2kX5GFlxjaVTlo Mx7rMrqunFJrpBidyPhGDSLNiMiEvH0dcL4CeLoxEV+ZszZcCd+kwxBFQ1r4fRp0GUXlNuyyR6ue jkx85iKcOEhZR7WRZoWvKn8UQdAQH7R0/gwQKRNaOpr7bwO0QRZJukqNFCd1UGt0T2vzhAQ4MYUh 8f1jfekpGHyUtNbVpGB8ZHOCygXUutaVZVBB/nAj+u9rcbWqgUoiEz64s9fdcrS5v0tEg8gbBUCY hv6NRsPiQmspQZrCHzmiOZtcC78UkF5ENQqDywc+4Jue/S3nSN92+S39p39CRKQ9KfjqCCujbESE YQ/uzonwDipNE7rmQyZlp8Q9c7nKd8bo40YCqtZC8ufq8p91MNU2iMiDaBT9E8HN7khk36qV+LSy Xbky9YTrTFQjv+JVu5oDnl5HpD8YeBDBcEj/4RVdJPqVyuHZccufFG6bl6mS5FUWNEilDmTrezba B4qW1Mue2v8RZz0GSjNiAqGRtFh/Z5usBdWII5HCmrQpjLehCz4J34d9+Xuo02bYXTEZAiGEoE37 R1X0bSpj4U1zb3fLswuU0unP+zw4VnDzO15VWObj2ZFYqQn4esLIsSduyPqRII9Q3H7BvGlEGAbP ko/jvpaf3EMirvdEDmM5yqjJieGrRMqU+NWt5neObcxx1UXBfwt/qlX3ICUWgCJZnpW9fZTvAx6W OQvZwUJB14CQIlTdjzF1Ejo2BpevI7bE6bEw4jFQKboG1Y7/hxuaau48DNpG801SSWLSxBGvieB+ LLcvV3vsjIC/ePAWUmIO8gyxFFsa28RkL3pHR7BGO3QLaAFCLQ2gUbRJy4Rk32lujPinBT4mHVHW LNxQqRfAuvT+82ZPt4eHyBwGrGYMO5CETn/cThJRSErMQ9WANPylY5CmGEdZaecM9QgLW40THbOY seDloCrFgFDubUtaR90mtDfy4H1hK9aDojs5Y0ADB79kaJuTV1F9XWhYjakh89uPD0lv8NVhutU1 /RHHT10kXmLx15WOJIJGBMN1UkbP+X1w+8bhFF8HyrKo3TdB4tr8CYRWmZScEoPcPBxfympPk696 1cpFrFfutyqCQZgTTL8QwOndt3dRfkV9F3m4ps0lFQNtaXz/sG71Tp56YPrNoeZe/sdqqWGnONht 0QaZezfH8Oc5jA6JvR9QUl4FlE65o5t3hv6g96mQ1/GFTqySjZ7hCrZRlEhblOanMZNI79AW9vw9 yJbAugJCTgNgPDbVJabjmj1Snt9ODjNMytP3/PGvQc2cAXu/PiNLUFMr/tMBXNe2+Ixpn+UeXJJs zQkqe+VlQtX+j+dUAADSiG+O5dyW1fC79nzUuGezmtGeyckQC0ArDOvB75xNMGBOivZrIZf83Qqg axcnj3k/mr5tqo7i1yiy7Be625AYuNNXsA9IL5nLE1oi2QCVQJ95jTXul1cFkGPOJwO7rUwpWB1G Bf0YsyFI+L7v1VoxeWVbz1xmeWsMwkJUKemHVmp/W9+g6v80PukmQCwQP4AMYW9bdLNNb1RAGn6K nHL//b3HIwbpiWlVd6bxKPI2/DJh7T5a6GHumpMzu9k4/p8gJON/WFAenMlSJqviZ8y3QD0WduIf s5BhmxlVDqqM+kYYgWbepClTmnNvh680y49RLlHXHfxCjf+0qLeJXsMghPHlkKWu83Eji/2NDFex sv7VGwlAZuJWBnqCbhncJwkYLaSxWQv37pD8TfKRyHaP6uFVmNBbrLOxCF3lfj7Do0ly+1ZJ/md4 Old3OEL8EZ9aAlgO9BuGee3oQ5pkoFcINN169A9xV4vgQlNA1leB8ZbGp/oFsUfa5jFGFFm9vihP xzOladkxrKjQEANCAsDnw17Gb+ZU4QJsnNYqxw+FS22ubu3zW6457dCUewxN8O8nwK1e2HP95KXZ l77HlcLieX8sB3rvUd8BGSzDPHPE8UiNj0wQVmG3+Q2kukIZ8s8d2Szif3zBeSTixAiHh6UDBGgc 9sPFs6J1Xcu9s9wMoHiHr0N5Hh2XPmtrMlFE1Kq9ho8In3FtvSOywujrM8KcENOTzjFJv7obMzzU QyvlHZri4alJm2RCWikOD7q38DfuV6ifvIAys0OE6uUaU6VzgIigBNTek7B5M3kvHD/PG6fbFmOK VOLRtvhLsX1Fp75RXOeCSTFdABZ6r1D1QKpY8tOOhAN88Tc91AgXH/sgRcilWu3BVPX/zILOj1Cq O384kzuAvNGfl5v6+oKaIkxu+ZftuTKmXU/72zzcJXQlNVaisJ7AeD8iszkS+IZECcXZpL1UPYQG D60UT92A98WaJpTWbXgYGR1px++A/GyXQihubPiycGheGKNSQifeQOfBcKeaAwzef70J1R19SSmE zAhBdcOmEGMQiZeCWe/MYCYlE8JIQV6oRXHh+huUHjFNpChttXmPaXoU5ZhgmKekpHzsOgJD8R6v ir8Bu+42uF30COpj0DULBXy6+9tYBCnbeufxhxk9xcNmC1iWV5tcjgpatNUHhtjk8gP5S1BN0HFD TW785s9nyacfveUG1jZ3OqOS8+hXw0YhaFA7K3CEeYoRHlAoXeP6w1xWhxWFq5oVSNtYgm25/nCs T4ltLfHoHouCqzrx8A1YMPK02Ik4tBWkLoAL4SY/q6c823TDOvbDU2K/bwU2DXdWFfeg0NQekLaf CC8cKIherbZZ+kkALKSKopjAfZP5wi61QqsH0PsrrpNdOeo8M5mPnYmPi8qfPwlEIagCVs4MX/Ha 9tNpWZZUeATw8FWst/wNZAJRpC+/DFctrVFkX2sAvqJSA98s4ZExVNusXredMF99WJYQfqek3Osn aUwZKAAMrWRjw02ZeazeCUcQLS1jtXy87jHhZNqNurgt65NutS4tFVguXQuVmhUapnK3Ql0BjNmS bI+9p+e0U9TjNAGhXCSF9rF8jse5fYAY5T9T4mAfMsm5enzkGxpe3ZUhSIVP+0skTXi+thbuzx/r inCxnTnWVLIVlzOG5fMCqequJgZGgUOudewecaHRJEz0RKCGjq+ETFv2MD70cV2zmLNMbjsS9zpl myRv1uErBXZd6bLqqSb65fRXG0EwHGzz++V1L6GiWsZpqY2V4BNbQlYw3BDmA5Dry3WkaTHmquaE EmZCW5It7MfEcQSLBe/Pys9cLzPwP5OZTtwiGUvvvqEK3LkJJrxM3Em3Ypsd7Bl9eos7EGrN88LI IbwAF0kXki5qu+x2R+FU66idOKZw0OouolgPeiFwz03a4oen6KpW+6TPvQozMIp3FtWS6gqH3zG4 svIleH0Qh0S+7mukjonQ/I/HH1MDWDSYqH3oDD+B+to8d/l4ph1m7+fDBsM0I77XsuuMdr6jR9RP 8hkcrON1/yUODfdgsGHXaSZmdLx/JRKUpwZr7zix5DZLMdQ9z+C64WjtuDhlyBg+IawTSOgRbLhw 4Td/zVyv61w0cSg79WSRqIH60/FMmR/PnqYjWCE+oA16VgmTQGf0VsHDRkir4AlQnIZrfm+aN7bg vKnrWean1koQ2rAD9QPv0Ih16gyTcL5kTktO4IOTTf+eAZNidcm73/Ya/DYZVgBBJA81wjWomFEv lyudGpDOX4z3saRJIl/xSlE+grchltYKoCK8L7k9CoO9wzDkB4emZKeGfCW/fJHcPJ2eDUhlwsng VWJC9ijDz7hRq/EDC4Xa7QOSyF8UNokoXxtna/LwYqcQkJQ/16L5ir9LQhyO8F6SlyAxepHHTSa0 PSHcpkXsxs1/z57AGTkb/5jrOjcRM+kh/tu/Uv2OjmKDzQHCZNHN7t8khNK2rejsDddjDxW6UNcv IuILJfF0LX8p9qYzrhC1Q4XrJUvMIZPM1sy84soBfUSeVIsTADKMV7fb5YXqJ08c4q6LISlTGosg VUNqrWGIyAQkUh0tFbqOgJaIAJUi/hDcQdCF/KflCA+4y7m+mC8zAOW/l2FdMuvvm+2EdwsvruWS bg93nUwNYEwrvlZtzhFTSepI6YKOm/SAimhHsyGEXYfVA06CxNVxrOI3omeLcw0rz6ht/agA5z2U rLNmq0a7BwEy7H8RKvzTuRLku8QrPSx/RvKYBNp821gNcjSAykwAYVE1P4EBsFUC/qiljfFqq/kG eGNLfWm2E2a66XH22GRO209PEEc/IsNa1qJelabDOrYa2lcOwGyDz2zYqZ4wmetsM2RwRS2298vG GM3ucekKjuYy7duL5A7UxkQaPiVm/bkraR1GenD78WyRnOFEVlWEGLsMtOlnUP5+TQ1gJSGBpnVo 0BVlKcJYWmba+LNx6StuSwZBqXYvxrYUgAuarM0= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_v8_2/hdl/blk_mem_gen_prim_width.vhd
11
71859
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jrgcvB6NpgzNJdRVHlSeDwQMVl7aDQyabgapGh7rqmEjznKI1NCLrR9ImJTcdoeLOH3xBtfWWaGw yILZZ+w5TQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ag4YkSmodLksNyI//vguhKIb+29r48eSSBnSekONPTiY5KDvwEVk7FPHt2IwDIgp/+lHIEVNuvl9 mSG5KZ9jPHSPZPyN/4vfwOqsa1suwTYwLnCPSwuQv7t2wLyPCu/QCBXqrtpbIj5KiydhleAx1/yo 23YHJk0egLp0iDQ3TsM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RVCy0uaMTpqXTvUIzq3E49Qh5tjtPCjUtR5Z0GJzxDQt4fBZLtMlbWao8zrxVXXuPptlCW0nZPN7 Pb1wZL2OJBvZdpUOT8g8t8cHZrubY/a17tJAMTFLjFUd2Cu36GIseWCHNz+iflFRrkSn4RfZu/Qj 2DFLLhHmK6zPRYo48n6QUALR7JLe2Ls3gt6U+JhzuK+AMijToKTiejQK2QXcgoz7swaa3FZ0FeLH ykamoUQWtwHWo2XRnvRfbr44YvPS67/sOTlSxJ9wISSVlWulUVdLnbgt+BQkbR8iZL7Gkgh7Jf+o F5J74x3vJ7lb1i1WkuSsGrJZl+WjFODRBuW1hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2CNH0zDSx/qy/S4Wzv0Ghu9L67oywnGBNit8FqDzTy7nb++SXXwHCNc2D/9N5LhjapQZrwlrbfgn OcbLtgGWd0I5hDexG92TQhNUS6RBfFrIGcZtHla78CUuV2C+p07h+9XVeCTNAHcy0msrkbxXSlkn rB8273SulEPyiFiT658= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R0RGo4b09yf7xrNRZdxn1IK5E0Ta+4gdve/4VDSCQ68Y+u8TBciR3+qCAWIdzXJezD5JvN6Y6ecv zOfXJsdxyQb+mcGNqbN/OMeAHTzNiEwwhjfobMrn4SBSEIcg2Tw6Om0FRF+Ibu4a7C/UUE7zsL7j TcT+QCn4Aw0JXsglEuMq3U0nyE1ZYHK5dym33Zmvlwt1mm0pWZlexHoVJxybfH3/gDwfuvSbKxOy lHJcet/xY7TUyuFHD1IceBoktuKyRQ24koj8AatheSSbLCOOGQsiQNqvE2CEQN/oHsPg8Fy8Sfs0 1gpTuG4liLXH7SYtNDO3iPLq91fmFtMZeSH0Lw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51456) `protect data_block H5QoqV0rheFNVhteN6HdgpOoaA4XLf8h5t8/Iu+b67XYyZdggzkTCFvHPzNb7XEywV+qt320bxGf QHdw8OK8ZgW1yvOGBOZwTjniMPQfElt5Uq7vkxXfnsvAegNURH4aoVwekr1gNgZM2r0PApxRzlhD JDVn5avxh25Dw3Ukv8NxNR45eAS0r0AxqFuRn91q5nNFNOAl2najooWcdpq/uHnRplDaI3vzvKRP py4wrn6NVmwaTjaPzg7frt1YMnROPDguU/Quuy+wH8ji6jOo+C0S7jFV2wqNgLu51j8JSam1Mdyj BbUpz4f031eJ0PpWhcZS8SkmHXXfAdgTrStlXE1yjokV4L1Tx3jhIHkCQcB/zAx/EIe0ORU8O4kS oIVnwSgaIZULRyuJhJ7FLLD7Uo+a/vvEIPWR/FW3ZD9sG4upMv/bTRpnuymOJ25PDJf983F/0Dnj uCqaqilr0knZPXgG5Er1aLNfRbw5KVuORVbFx1eALve5+6GpxDFt//I5MLpoRfrUv6O4FQ/EqPsP qrr7UcQqONcD8nPDN1CqCaEukCmpXEIfijltoukEjLgm0bkTcL75PhDxMFdr28+8a2qWCfgN7IL2 K9QuMF1ggD7FZJkdOOYN7SukMACAi+Be6bJ/uQv+4mSfDUL7cLupQu6EcvnnbVOFhmOcG+S1EwZf 4roSeUxFrz0qjfNoE6sfdJL9RHkq1Z8vwI3gdHJy0IkJyEFszvUks5mTZjDbhYbdZoyIONRBYXTL 33KySOcyg62Y4gpmEz8xjqBjJwfsZu4gmo8lcwW3eTSUdSjk06IzQS8ef9rjhCm6DkAg/gY8tTNR dPy/c4V5zi/MmTw2O+4NNSY5wJe5H+kSJDG1Gh0oIHm7S/l2o5HQvFhV9itPUUN54K+VJs6Jfg4f zGoWjbtKe8ksQ4uD8qi1yJDw1PuUZb5OuhwW+nM3Bo73efynlATAbL2Un5pEjTRxrbST89mjmeTD HLxIEp9M6oQhtPXnvSpU7yuNaknvSs4lmQoOhHzFfGdDYqE7exY2J+5454icVTOH48H6OMeRwKyb FJrzmEEqwojMNothHMJY3VqoklZleUgVKDcI8mhZ3MdCZDNg90GyUGL99sA1AILLMxNN9zNKXfde aU72GZHAHve/IDGhkmPbVGvRPDMtT15PcG4eLjqj4j/5kL2bnbIv+oNOFNpHcjaFNb7lNnqxbaZK fj9uZoHUK1DHVGrnefSWSs9eqOLa8ACSD2Uh6FBqcbiSma2NEwUiOnZrkivr/wHRsY7GreYDZFbK qvXw6IIFpymy0EWZ9P4v7NssCC5TEIv10dOKKHcatmN/P3gE3mDaPsupg8oZQeO+pF6WoL+LEOPP P4iJ4OsZofmL01nB24c2nEJZtarS+iz2puEEfjZwEdkKhsITbt0XbROVNegXT5E6m0woXWaaQ6RT C4Ev8YX7+mGCyMi7gsjfzE54C/qkW6XfdESSdurF7sHoMxsIIXg0MfYmFBZIhZfzkHTSpoLXzqiW QZiNo69vT7vEynrxT+9GjTjUcjOSEn6XubV23scdBW3+GFHpG4fEvV8eMsvs7gNobV00j+C5bgjH hkxKd9kmt1DnLWxgQyZkAiSlpbiBkGczix//vFF4TwCN8y68X31N6ms+7Qz0LbHLSpc5hbLePUUD s1C06iGfXqMvRT1HpmQnV8hS6fteiCkKG0ThYRKIpJ7COFXqTRj1hyF2/19yYvwnXCvw5Yn6o4IG t3I1VXWdnxg4QejStjyXCjTqRiJJFwolaHSx9YDpZPCswKCJC7N8tou/MXTW/B10mkQ1Xip2b/8V xe3blbxhhl8AjfTQ46EAjSJNG5ZZ4KY0lbKUfhiAoL9WRonE0tiyz4R7gedVsT71TkUnVpYjoNRu ObiiWw06HitRCR8MfA47cChFDP2slBPzFJV4GqgLjsQI3Cjs7+TPU/tC05atztD7TmqdatP8oCaC Wmes35aEdSnqiZP1exJ3v3kShSx6LRiyQGfexBxpC55c6F/DpDP3Gthi201KkdFf7kvqkWoVtegb mjKXjs8TeT8X9AliSCVPai5IgLzgmGX/JB1ArTvqD+KcUhu1lDn0Qpe4fdvxz6fJ1dq78m9dCMjo ygvvTvBmicaKCqwiBvazCua+bMNiXOfl3xEwQGR+aX3TXsZKaqj6TeivfYLWkYSHKvC1WOD80vDY u2T7OKlbMTBWkflLXzcEJJzV+/nJWxiGx8IpCwBY7BxZ7WiYBYb6MFKPQ+pTu9jhG2vG9+mZmTK4 OfF3YWKVethRaitI/2BLUB+ARGK1BpILHxafYa53UcZsvhaZ/RIwlgjLqbp4AV47g+vowqqTk6hj nih/jwSy4GAHwOHu1EvSjY8NokA6U1YiMz+1HnKD9or55+0ZYWxD511bqBZoku8o4IhEg4IE/Bbr r56aZ830N7GE9m7wOyJ8joSpZFHCed0U3q9ZAG7ivqrBf7G16YjZa1BzLXBUTEaaRbytP2eGPzbR zfwB+nqgop1uSiQi9LzThUxZaJuOJaUOo41gVv6x1jh88GGspPIy0fvt33sh5hqmBwHKd2FLROOM 5cywRhtK8/6IBipJmYLup26Nb77D7WFW7omVQlZMsrx4HUJhn9lmhexr10jgrm52Lkw9Biq04HhF MKU6oNzIEpxcSHw+SRAjfKAEUcaeaAiJkWxz+A9zPFGZn1UKrTy4YtQMgKzLStw6yisVBXW1X41Q hTsowtUKjii0/pmP9BLWECjos8qIFEq6c4ZsGbAOZ80vuysC59p39HFLA4WY6eI81U3/exVMPJYI u5sqIDmu86AABOj3WJs4jyh9GPJf/R3Wf+7IWj01Vk1BfFZ/z2OHZ4ZX+QM2i8Z0THee4bM5xaWv iVUD5YJHtZxxVorVL8PnHvGqA4h5T2VJoF5tVKE82kHBgjhUb0iLG4cweI8sqNq11/0uvq1dcWsD agvtDF/1oKq136iRqS5zbxOfCRiP86tISM03KK499EYB3w5/OB4mbXQ5jHPjKNR9NFYhDUOllqzm vTBr/7oi7qNP7sOi5rCj9JMol12uAX3wl/W+qhsGAZzcw1gteyX8rX2IbIE5AW+B5kf4+lyu2pQ/ WsoJd/Dc1pz9sbjILAmHwcFUaCXumID11Z13/i+IQY9Z1JhfUyh7ievjw2oeTNqTxAGKc9XKg5KY b7iT3KlutAssvCrttdj3kiZ2Dgbilz98kRdiNzYn/B/ClDHFAK0EJHz8QJarJ/rE4iDa4dgEmga4 /lj2Ki8DvoppnrBstL/5vCFne+B/mObj37NfQbJtVZ04pPupjL5drK+uUiWt4MnCB9R4bzr/6e39 SQcsGOd/qjWR7VC3N5/nMQoB4vknQHyD0HU9d+sEB4gFduU4jC75gPBOXFpEAltMTmTBIartHopW fQGRb9k0pXvWwOuMk86+yJRN4G/3+ORZGrrMfEn5J//HatD3ROSzYX5LiYmx2Z3jdSJsOD4ZrpCq J5cMQwuSTv77Sd77zxjDt/YmIBqjawouprI52B8Lws93DlSftJJzPZJPreeo0K7NTKIkj7RHHhSS nsbN4o9O6xtA4QcF/6+snyvPqCuOerCdVZZ3AWWGV7B+u7r8zXbN6IxT4MQ2WVNS5QKjTPVYql9F PHjGpyqwfB6MVRrlsGisspYs0uv/RjxmpUHuVnNuZntsfdiNGkRhUisdIwxXdnofEplej/cJnGdZ Oo/6Afk3Kl8Ju3nB3UZT+O03cqG0nmKRsCKOspHAftvqlPE7qgL73qonW3G3oZOppO6m95AjJCK7 Ss4nbwpgam5SfXtj95uvlslm/cVJe5IOWcmIT/IDp+7SCx7xiQaMg9GhBxikrDopji8tlNZ5bJMj n/492BLSwxeQvaSXoU4deUa0Ek8hbACZ3ouCPFAsetsFsu7djtp9OIW0nsuYOx1IrxEgoJnRGd5V 4shr4Q2l6iYK/C/bxZGbnHELtFQZThaKqkCH07QERe9K2Ryoa5FY0mrXP9TGJqCCSw7P7ZsmSsi4 gewFBzdP95rbSb9Cqcd2w6jmmaYnw5uZcwiJc7EtXILrnznuaxnOuBSXMySCNmv35FA0W2ifny6K q8OPGFDBqWJTBTQVr9fd4AN1h28JAq7zWL3CtMMxdl3bF6d2qjcNWkBc94iJuk7+MPU8DCSnnlGl EmzkfI9S6SUsIJAwiGW5wmHu/XCmedgZFOBUH8YCwuncqW+n00CT4FRtWL25ulYvC56zkjvLDUeo lsVj65Odzi8tGyQMDVR0zJh/8DvKyAynK0jhegIvMLD2B2wLjtIduEJg8r3oFvMnPlwI+e7CSVv3 Q3rYD/xs7kMaN/b2JD2CqaeM5wwsN5UdZMmSNvzRhny/M2nxTeF2B/I+bYYh3d0NWccx8vPMCH9A dyugHOyXnOkeoZpsq51eMUyVI3AnjvUiNR9mccRfmOvTKl369Fa95GLGVErGRvun6fIZe5s7sqGL ausGiwwEqzEuzZUys0/lSiaVSoqENf2K8bXo/L9cNpbS2g/Cp0//LqgdNFDPn/CafHTcEto86AJj S335VPX7kK3kuLjGwTB8gzOtpa/+r4uHxZP5DuZYO3ebkJcFxzu0oacMUH66fUvB/+PMMdfC4bBI O+jUmU5lcKyR/kajSZxAK1iPwvHW5ZSTOmJ6GjAImJx2qMdECOHQQ49gidLLzcewAjhOtzoURu++ QnfM4Gnm+i7vhIaCCUm4YO1zAtCjgc8ufzBtpIEN1v0mo0nWbpx6tcSEjbxVKwACFsm0kHykrEby U5UqxBSs10dk2p9FVV/aw1+K6dgb0/2Kgpfw67iWuHVTcz01bD2YPg6IbwpKaLBFplL0y7N2OveU 63cW1zYNTnW9SAJUXSgJHgjKIwK5qgyD+QlDqBJ7HTjb2aTJ2479/ZpFjbOQFe4MI3cSCNEBQToA dORo0mpAXXXiErr+Zc233h++vc9ZKu/OAwHe2z66CgevK/hJemGYrq8ns+xlL0boC+gvpIJ97g6B GSHuatjZHVoiYSzoV1TBn2yPa1g1yw8tx+N1lMHVigTS2GjqvA3yyvVbwOHqXnudX0mrYKDssRWC O56iJt9NEQ5dW2DPbvEoCDaMAsX15Ak+y4tQ1FAlxTJjjqv/FHljhDheTogz2DdOX5e355yzQi1C 9sP90qSD/aeJ5GHruq5IQpuemR+Dbsk2Uyj5exGDCRKMfCgKZvBmp6uX0523JGZeBZYKWqzGJ/qV HE1du7sxUxJnG5RK7pKUm44K4V3r3X2DIRqmwy7Bt+Cfoyk57ssq6iTWS9H+rPTC6KvWF1tno0ye /PoYLP/2BCIxCGENmT1L56eel2YTMcrZorhGJ45tHdAJiPjeJk6aM1dp+6HhMxFXpD44X4ysjnce 87EvCW1f3ZJ+QStykJLnDFi2eWk5pOO4kV0zTHFWaF3gMQiu7lZQbBb+PyAdXA4twjMSQl7bRzN0 oOg+VS2q0Up5uWG2blmCiveWzeRXkQSQ54o7wiZgfAIsCiyuF7Udt8wAmHP/9G9FvUTilRimMexU Hvnu3YTZHsFlZJgKo6O2b4wLV87gc6OnH7pDPgHV5U463ctFM4DIOqawa9a+q9m5V6RzFEf9Ygi+ /BLwsUe7fh6JJWlg7PIUDG8Z5ECas/w1bVWf7ULzj5u/wBVSvqDV08shcP1jARPXDk+Qgj++L6iS 2lPacG5ldbdk1RD+fB71HuFkGhDOrueh5DVbnPstX9SX0Hf5B2MPmVF9iD1LYBwH+6RzP7oMitcH r9i5ojRQiDiE4gV3d+YF+dpZX2hq9PqbOdgiGHRof70lhAgvMLIOYBD0574nkRHHhNle8IdfdHzX BsG0JH0HxT17V7cOkDhgynkxl4GL4wONw2+DDrfmDDpF808iUMMXhK28MwSvW/1LVgJSfYsZMwMV fRTHVXh58f0jSe5o1k/vfpHaE5Aq0fJ/MrJ2WMwIF2G9gtUbQjNN0y0sPpIRnktL68ksNMK3dW+R 00jAT5i6LPbf8mWLM7z4uARaaffACAMI4DDHUs2DkSEq3YHaV3MborfOdNXwhheUlmEFHhZC5041 kX8j5teaGPU8rpQV7/1C/WB5zuIz4+tXGcPnSgcWo2JFI3PrhqG2fyFp6vf/1Qb7BVcSraOfLHXK 7yxIY2Aax6JWQ6K79sq+KjyxPf7u1OB5RPQ61iaWPbEF2B1I+JubkaljrAGVm+KRD1cJM5hA5Aor xlE8wLc/YcKO2MubXtkJHXxcBxI+qmzZNjTVGIjqSl03iARQrg8HkLyPWLxwPggMSNdKifzX8lKe t0K5hhjGn2xlv3T2wckP3f2GDLo8gtABfm0Ol+tGdBQYxpLeY5gOezP1CtOCUi5EanoskoyKp/SI YKE3d+aNjVk5Y+pNl//U2t4KnpZI6SZUSJ9hQoMgpOXo+E1fU7Ba75AIhZPVL3430Vxgy1w3JJfb kUetSUxwYf9MaOUlUjnb8nOTj0N/AgijBdU68TEjIyibCWzs3Ok69VtsaOgjBRQ+M/nL8GHPzerI wAS1A9HtUqRgGFqz7Ms0Me2iBNfeDH+u/VipDD1Uwq4AzU/seLxrrYVffdff2tSRXaUdQv+OULjz 2rMioy6xD3PlBwu3j96UqtIwCQPhqdgVk0mk3yBadzCnJNFYET3z3t6ipdu1kEG6YIwvOm4Rc85S A7mVoIDJ6wO6rkfYRUID4aVLJPfWgjyIiDGUQcmhKPp7Xy5tmwhLrOGL3VB+yc2pdmZ1kq5+3JRV PPauFZsSk5380aU2uDjO9pjtsHP+pyHhAhkzGNvN/Yu7Z0939XPtp2Bor1NtxPrcj9tGC5OgRFOL na+yxoInuE+ssHJ4BNASsvWfxWMkOr+9cbgPYVc01uhtLiay8/EW/+32Vww7n5ndkwftA0B7kWJV ZBZu/HTrS0JyiomDlR61ZKut/I0AfRYnN4f0uHQAv4zDFVIYlqVuQL7cbaAB/DZm8pdnrEq8KtSi nLGZsfO3ItyN34SJspjsDPypNn+H0IwWSmthOLCGtEWMMpYT1wUBfx+m3raf+wrM/S2IqlVjuGW0 dsdGytmHaY7i2z0/HgLaL/O+Td/ZWaPtm+9jnT2gKAzxhKil2FUTCDR5nHdm+VttDD0c518SaI3o SkeQMBHpGTCew3Kwl3QM7ku55fDy0LrhkwkjGWMwgoK9y5meQdShDCPBeCjEWfF457JtU85grocJ vUvbdjP+Ou7qRu2LMxj1m3xdmNOSjifY8oBa0fU6xSkuN1mLOIooQ+fkpaur6aAG3onRSuE6BrKY NV9wSELGHznGd4SH13KvXeLK80iuMXJA11g+RVtDs9RSiuu1eIb3QJmV2y9zOcBMWUVIsJVR7Qqy gZB5fqUEb5QMJWFmk/zTOJGibgLp5ojP7nH2XkyRyxacON9fYrZR27hn/XOpkbe1nKDLiQ7f+QSs QoegshGKuEiz0Jcq/CUyrHwMuIcr96PBr6t9jZaM3KT3lev2sNIR+RR+DxGPRYHNqOmz3+/R+xE8 PnJJx6r4htdWc8Ba7U3O8taWA8SskYp9IeiTnGzRfyO3ggP/vnnpKarjZf1kDKgdj4aRBTr1qjol 00OO9VdnpqJJsLMv9oKVfiO8xlM+dLAC6RsBwFwchY24y4Ly5AA+tVfMI+f4KKKeJjIPpu6KVcMZ LaA2rpBYzNdZ0iO59jpr/woxfgN6DR8BSqHQZhs8h5Byc1tEzzlw0NaTm7NU1TVu+uDxMopfBvdT w8Qsjcz7/gV5JoJnzdjhdoI3Fiu/qK/INEnGkk6SU9zkZJzCyL5zm8G+Dd3Y6MsceTmamu3Grcmp HoUnSnymDTh1ivirH4x7lsY0S/f6ZYgdNDAq3cvpecehl7Ke9iGyAXfsyttOYHfNAUo8juROzLFk HyVoIgazQTSAm3qib+DBNbAx8wgNYaOdrx9gYYD3giC7I0n5ipfxdZmCVcK/Bjsq+rNY/IxLwjyz Fj0pBAdGOmfBTwfNRCatW9+Wtq1fhG/F4Cgwx+htiYpubDv7IAfc78pp3uOQCp7NoxMOJZA/tfLM hC3IHJIOH87OM8TMNjVAjjsItkfJ80QlOgjwr7KUgDtl9FoDL2iJd/fv4/LazIUstWSO0rgQcQC5 +LDGa57F2rSgwcZ9auuYYlVPgzMU1RQGXVAM5YKmFW0OzhItlGCLbKYUB0tjTb4vJizXx0BGX8sw GjzfOFWB1LmKRRg6Gz9AmF/8W2JCXRP3T0VmcJ8ke8254msVmqOpIVaIkAn9TzshaBktNavNt0xa yc8CzcWxWC1SFKr+xD3LXgaQCyLjCp4+mB/VTPkf/8iHhgViMPXzizXMPz+9dJZKJpjhSjTY1mQM owUsvhSAEL/eugwP5M14KCHUvin1F7ij9HDQp2hKt3UU8qt1El2RiB1z1pO3qm4GAFiE/8SJ2H4a ucGWWMW6U0pMQWebmTxAjl7m9F7hu6GarUza7nxxtJifUnTf8Blj4+O3Sm+LxXRPuasGoTwhIeq9 M6iCXDwoA+vzT8LU46L5a8sonOEOjYOBGRHnkUyqF+zDsYQTo3PdlVVwK+GfxIzx8HjGPJffLU/D AFg0hYgqzJwlERtp05jUqDEo5U6H/OP7ffaMqDQ/CaFMLxtwLaAG88aG8OXtKtQoRwtmY975t4Lf DMJdzv0uDs9+xeIyPNWdeF/hP5Nr1xfIGcJlaUA022HNrfKt7TA2EDKn1wtyp+DJ9MjOOcRBgox7 NMfIZlafEm0qIt9rKDnReULnCTzKQqa7ItjOhoo3OD1+A6UzT4fbAZTKW5az6ByEowbKov219o05 6qwbpCuYEEEVVuhGppUqGL/H9Lvz9NT1wF1hFj4OaqL3Ugu2hO01khGGn1X3O1omGcvJx25pnaaZ VG4mA1rGiH9AfDfPbK7bn8K157qiXvkzytbyTOwZpcNeZ+bqJ9TXOhWA+Mx4+aTvOmbOYwL/Nhf9 WnoOZfbVQ4G7dIhL5z9TPdrA9edkARO/Im7Jxb99eqLg3Cb6yR3g0UUcUdeGrFkLRcLT6kg0nRv0 VfhU5t1M1I6VXlujn5EM9Lu7s9dI2t1Az8ZAmq4PbYsqc/5DRel7BY1VA214oQPkaVyTEdlKpQCC mzMrYGOO3MhGtnhNKJ03BUG9xe0Sdk1I4A6odW9o4Q2FlisF3ViWS3PDZXIKAB7Flkln58vkn9Lp l55UJNVF/MPojiXlL36f6O8a0KaAw39TTeYCCpov/DSam/ZlpPbfLk4cd7o0pVwAGWRNOzw0lq/2 34B05PcOXfe8rdV584QdyFzaVqq+bDOnGE537sBlcXJFxeMXqQeSNEd16/D+jvIna2gw4UayFihK CaibJUawnVFeqhej6F7H0qbyIT8FITtezdO8FB4LcwL1rJh+tI5ynlfDntHwCjej3AhIuJ2Nf8bZ al36Cx2mUt/p0POuFsajt32Q+ifd85qXRV7vybw4oGWCX+3SF7mOZ1pPzmGAEXEKYLZvgKE4a+ON XcCjTXcywTflBM44vlBOHYvUjKBHBgBzOeXR/Ut+yXCGLUBy3CiFghaGGGFtHAbq0qZXmetmR0TK FVA34WMSbV0mIA89EIwgBrbq9pgefpVZVuyAsERe8LWoLETcjcr7KLr3mvE+LJ8WV8wiqq3lc20n jGREYVdGPkONNPm5b/VirVnA2P8YdkZ6/W/OporJuuh4V6SOM2Sgw1mMcBnJ/Qa4jdRMBAabHOrq ZCZfMMWp3j0xVrE7Hm+sOXtHN6NCNORV4nnAf8vUepfmXe29IOKEpXDnKtFdcOsUpob5Sx/d/l+a AJQXgxI37oPNMACn5XuylOmVSTNciiJjPRT2sFGk7mj4HcLh2xvY1R/NDX2317FqJX3BY0zINZ0V YC15zfpOeHkaVK5nXWYvyQ/nGVBWaKzHaa2X7lDbG4TCBReWjOkE2ZdsuwZp8C/CDJyXlDfbk/jU aaZRUbNh9Nt9Voa9SH90UOZJErkIaLpjZBhuNwyhSTl1rkTbEdz9yUF6UFg6XgGwYZwbqtddlrOS ZQ+ti4VE5F8RGvP71GgdwOdMrxltHZGLdmUebjn1V92i7cI+N26L+hzfcKQv4ZESahJ0diQskreo FLUxRwyxGdnwFWI8XCpenh7vn1XKk0lY5bndZwvCBDGS0xR/7TDr7IPFSdDJOMksecFTPqzO2j/0 7woSiz/i69VpOO0u13yzuZRcFnEn+fS2yfkmtRl67o/0R1GiLYmpVcPlC1LIZ3Chm1q97/b8N0vc 84S00N1m4C5dhMdZXvNx66BLEHvBDT+I6Bq7nSlbA8mocaH57cPGhjw7eCOSWrnIRi7vvfjIDWjt SrTupLd7bgJ0mNdwatEmzRjm0OKNAxFq9tP3o0o0zKf6CNJGRjk9WtKrP4Efw2LcUvbfEczji6eW zCv2wCkxCli8tPI22LBrpR5X+0Mv9Tm+rdMuUhJIu/t1Bt12fEx8fuydcqAgNC+9cG8YD5mbYKSO b6AON4nLg9ZIXLeP37ON3Qvx6byzXLHmLgfSZZili7DhqEFJiINlBbunMCmG/2CI8ZRtdrG7LdFh kBmlYdqcc/gMQZcJKnBue4zFBBeQnlN3xeyRapkAgEqntVfksBzWMqdkPpukucLKfCvPag90TfVO Jofy9NoXav0Cwk8JdHKz1m6W5qoWaJY/ruhz+tHGzLPrUu+1w7hj0RFUI0hl1fV7tOnrwdMOquRX Jnzxw8JPzKex0+f15vk0Ce9agJTl4AYXT7ihZYdJGsiAauhF9gBLhkIcUhCXEfWHW5Tp3VbElG6r bktkLwoAWjqiYqo6j21zW70VKR1v6my543bOLTtLOONdzejsrdeVOAwQyPhoMyq+XY1jMiFUzlhR Q0LTqVi+bx2IGgeRg98GYRiE2ZqNOQTNgj3pPdcC5T7eOKfm4Z0FbtdO76dXSBxGopZmaFu28NMc z3CjknVBHueWU4A/goKaBE1BOF3fAFYg4Qz9pFd/jkeUa0LWBW8hHZzGObPU+35WyICxdVcd4Y6p cStZAK8lrcXZGW7nNSq5EV/Ef5BwManpRkZK8J3x5Y2iBAA8KMvSjIKjhRg8TjKjZGaOJnKAlQKk ZodEyEMNFFUsZRjBZW2OhCrNX7o5m+cxwpwuuMi1c4bV2nVddzdiGbve2fzZ6p/4Ab9enkSwDh9Y ux/je8Fes2s6P5+6nBGu2Iw2mxUQ+QP5z7Ll58VNJVbe2jPkOCQrVDVH4CB9d4DCJdeEr+ZHw/kg x1mH3zffCavwqpNo1sUBnOedCkIhvuDUzP+m1heoy8qyGO0EQ3Sv1xBR+680OVHTwXeKgt03RA6+ OTvM9QcKczsdp7leb96yYqWk4tF1HCt1jbjGMAdIK9dD/sKp1rWMlfdZ0+VusUieNfKk3jFyhBpo h4nxvrwl2ByQHq6S83xJZjANNlkW2ntTugvBVQhIdbj7RYUc3O6Y7U+t/ulr5TFDMiB7UBK/C69I kjJ8a3gP5cAxE/OoUttu1WJX9rsDlGk6Ty3KOscQK6ct41PkKzfNNY3XLdkHi8cVlv8znGoO5B1U lAAFVhjac8II1/c0Dce0WMFr0sH/GY6YcDmieDXlRehLAVPaSqG/pjvPQdMaxcbdEAGMdYE07hEi s/0NrURuyIbgwA8n3ZzZeT6McfrKdTeut6UtGu8X0pBQMzfuVqy5Ql+bya507d+P7kz3B/YnNM9b jWNNDWk21DTDYzhfXEtjNOPbeLhjHHwqj+afdwTihb5PdhCZDNbF1NTtdqg6saa7+M+fWhpFLo2Y V/j/5OCQ1LaS8hY+cXDYDUeeorzbpfs/utYiUUNWLXIrkpVGerfMsbWqyOUpODaWJAewHtgcbyUF S79zeZaOjEAm/Xh4Cl+5ntD4r0jqQObLLP86t/6KpZuHGQ1RBJGYy0DFo1W6BU/DyvDoShDEmNjg 1EfnEuAk+m6aad536xhEE3n69MAElorKt6jmRJe0ggePofSqAYlXJ6fRpA9c5Kuj7kXp5WxfDZpj zVpreNZBgK6lyulaOgtl0hWqxtEb8dGwyr0/ieNtnYCWOTlxhSZVC+DxasNM2aadKmLWz2ArhNy3 b5wZBEIKpBjL7o9EFptJvo/j34292p1B+dY4yy9qsOWsEP1e1kWUvW3VCEKYCgaN9wAogcOOi989 bpU39uKB2T0f85gWr7UIKIWWG8NR0GoTvaIg0Qd1YHk97CY/G8Q6Fe1RXFF+nRKDhXJiH+l39urL MzEyp+S6C4bhrSX3dvgtrhxcsV0f7yerGUDofeyU3Z4V2xa0DFKH11JIN4wX5Jtrv5ZIMNpYTGQp BVhpL+AbwGaqb2JkmD0E5LfRAJV55PkywUrNn2Vcc5/G7nOJ5/op5eodRgdGs3tRunOMsugJ2k15 tUMRYpnrlm0ThtuzT0+IH9zCItmz7Yytg9tPiMhsY3LDOzhkhrroylsdySGC/1qvdUq2DRXqPAxO KpD5AyQbYrFJy4aF2ykXPow2wRf3aB763GMzQ/4JkgwSWspQoALmfcIKs/xjWMPp77f/yMwGb5f5 egYSVOKNSuRcdfaXN5hB6rymqL0Sfu9zMJj3hfPhNPwdUOVXU1UxENIOx2ISl4uTPr0rXanLTAvE 8fVAT4LdPJIFTUheS5TzyJSWkNX2x43P+An2hEqrRwazj4gV73/2Q24MyJCCoZbT0exBOdm+zLg+ JZDwYQKO5BiXDujpGXFlidAPh17wqWD3G+DVJ3yDc1Z97d/4mIYhE1+5JoPWrA2S4xEaZKs3kd8B yeuglTHB/FmZDa9Wc+f9e39VhW1OVzL8/oqofu85og9XKMUBTWclkE+J9x183vrN7rnKwD8USg3g uKGAKVZSOq8qpAy5mR02cVBaTOU28EJu95b19fZKru7WcNUz0oDd6mFW3l8HBs/AUH//s3+j2OfL KpmGWq/a1q6rjdzVIueb08r+uDj2KazEXqGxs8Z8jff72MEjN9CtpqKU/NXrs5uSPf799BFeMxGL Fy9nS65f13FvZuhjnfE8bRY5QJ23ewG8ezQHg1ngLiU7UmiJqPhTTYVhknU0tQhftZX8vhn3xQqQ 0CsKebjYcko7EUw/ZgaaiMglNZtZDqkRZ2Yoc9/DAzZLDeMp02LEJLkInMnPBJC8q5BHk2KGp57y 61M1OKG/PujZl54cGgA47DLpXDH/RgHfQDmAF2ZXztkKuRcHchb0rxHScpxzPxQCgSD9PP+yvRS6 yjngbGLqiZeJJv0JwABwu4JleqWCrH0OA3L0zLuooOCOF8IlKCLe2fePsI/Hah47eys9D9B6g1g7 ZnSZCi8IY+eWX7IZlKwdF1x7Ku/xPaDZ54p9FBXBYes7oOjcQH3h/icht5otjBM0JB1ASPXYXalN drKpHPqmNeTl0FTByOhFOuPH9uMYBGeqdW8JUyUPhIrHTfG+47/dg6bZwEH/gZ0QmvMbv6Pt/J/q hqCMDGKgNm6hdElAMRpI3HfVZPybG3+J/kQUq8D3mQrLTS73TVxQr7TmRabuEKlYZUARkq4H/a4W UwzCXd3h3/Ho5cKrVIOLG4sluEpxgnftld5DfQcm9ZZf7OlvI/U/Wpf+Pe9zB7kuiGe0IwGal926 TVD/r0uIOsMMlybON9mn5DlgVXkrby4GhB3EhWQUutAGEivpojf8iCZfEpOtOsCjP1l+EuljcPVo /sa/zNp04dr2cqCuF7ZgPLGNg+hZI5EbOPZdU36sWUc+iCzouwS0ARlWCBqii/krga4wPnYRmfpC fOPrWj9Zx0lgVSypRrVsdcHxEvCXVbfViEe9C7cui+RFQwPlKkXSZ5/PqZ/HaSjw2tJvEMnH2q9e l2FfrMN0i2Dc47ZKLH/YfQdCrCoBFnHl1sk8oZMC6M8DQFHUmiY+rve4MT/nlaOsx2orK5oDwMVY 6mpBB+B8sXQbLzNJuelNHTXD/P0HglVGwGxsztA8DrmKGIuzCazbuyBNS2aTyfB6XrFbTGa49nPw kJqB/nNoQQjWg+n81yszvT6Ayshq0xchKCaZZZ1UlOzOmArrUMYY0Loi9qRWVJgsCzgwF1wzLqKl +9mFOiflRKeuwwMlraHYL79c+e2rWGAwtpm6bBfaFJ0dXI8JmUtGe8PqrIA7jRkqGp2i5ZEyDdRg I6TjjACeKetdF2oze/hW2LlqMYibrrS0qWMaONjv5qtq02+2+B8axcWYUulJLw4YRiW0wUt9frpX gRBj+1gfXdh+Txw+n9a+X2PWAN2j0JUNWLwenOj/NT/k4EwoYoMcIHwH87zUANadDV9udrMTyZUr pNpwIB2xg47wOgBr4fpHwi5LFEhzEvZ5aAaWqZhORK543wdWU4GG6iS7B1Vb4IV3gf33knYf2+YM 08sl5aCAIGhY9eOmAjXKsZJnt1xomYlXTvjaHWytIDOcllcOh2Yq2Etw+eVnpFo4xDFi8/xLrb19 WwuaPFSyHrp0r7KF7BfzXtM8etiBoh8vP8CcjBvtaMv12U4BAvhUQ/izelVPb7CtmG+XImNKPhtO Me8BZAYWIkFPRCejQKm5s3ruam9ERaY2RMlNuqqdp3C9rge5XbZalLmxkUAIo96DJByoTDKL1fkJ Rg4SgO8L3xVyrGmiM2BBBmfGVBkLdDKXGOG0meTxBHZKKrbyD+VbSTtQ4GhDDZAmCgL/HKk3nRBO L9+dVWioeUMh/PzixgJTzo5JuYjXCXzmApnDtOkC4ZaApP6jMWiScittCXYDkKZyqH8/GRtmp9n6 ahmZ3SQBOplaq6k78ytN4feNavgis1lWglbE8pskSjZYfHnx2koXQk5buNxxWDTw5/3U+kIDaIn/ 8PxkL5vZlzUAFJrJ7oo/6saME3jFuvd7LcL/unyuC0GExK2sfSQHrQtnlnz5OV9WZxoUkZo2aQka zUDR5jrvzEJJn6ejU17rHNtqpqj5jWZ3sNTgrWG3fAFxs+uypezwZ4qYEbOaQKdf2oVy0zEN4z5e x7+lGu0SZRQH40IUbuDZKnuIuy3wkKEGJUUlOWOHyBozpwnCddzBkZDKecWmqzd46ZLOQWyZec9+ 6DKeCV7NfwGMa58bHBmrA40f2Dup8N+xK6kmE2/RgFpVJug04zbw/IywqqP17dKP/4r3CgbRKC1h 1XR5qMcWOTazCkfix8wVtyWoXjSk/MsLS9KPOSEolY8SGxcPNKHhXnbYzAzCTyZqC4YsjccQJgn0 RT8XmcS0gPFKZDq1iLbR+JgzHL5ehtuX/u5PQ89/d2DAq8iPtB/hj4spIVxNuBugzKljKCyZex3t AfPIO7kqEBbCMqwt3u7zJZWP1tX3yArPcWKGnem0tYYor2kWzOT+meShTpEL8jtdcy0ZACaj0f4a RGfADhnmUUmMa0Jema/4QS+oYRP2rB4Vjq9I5uGmftg0Ap+rABgMLHQuGLop5OY0NUPago5c+sLD hjz2gr/ZhiFzgUMMsb/0+mK7tcNtt3B5dqbCBgTNwEhNMCWu8FqR+LnwmjkODU1YgtzbA92twVB7 7m7yVl5dRbKeXtH6au8DLN9LiSRFBJ2shLVdS4svH05bCwW8+vbejMwhypYojH3Ne2yRDKFq1mk8 4Yfn0rbdldYgiEdWoEzclq8EkvKnwh0cqFLY2EEpyBxo2uSTOYbsUpUQYTfzX2Cmn891pkYrAVh4 lCRQExd6wATzZ/nVYCI0xJWh2upaaAEthmvuUAsjmrL3Wd9bnj+hikXf4fSxqeh+cmIW8lF7lhnt 7ADwcc3P5xykKsJZvZXGkhm3uaON/UvQN1dJuiT+qTx/Xgw62SI/zwzGTVp2Ps5xOJZ2G5gLstLW 4mRmAJheynfAnWnTlHcoYckhdHZ2qFsvgFaeHP6c7HvfzWAqg8Rbym0MzLhFebMhQHYI8Xl01gV/ 6Cz7Yj+Ym2g03OurgGB5NOy5WqmEFbeRLPJMxI9NPCqTkRJe6vHsIm9Vy+01THTSpRBqQTS3KXOf UGqk9j5K15pBCdQlsxuOYyIfbVyNty8rW/5GctSXwEk7JKcFgEwjtOLv6eZ3Zv937T9tJqTQEzCU 84+GVNQJAHAFu8FQajpk6ANmotvFQv+wDD0Cdbl24nWenl28GF2nucxon6suhvSREWuE6rzgIQA+ OpABAqU//xaJGZMRZ2nVGQW/n7XxD5HcJs1Q9dUlpkmMYozxU3aE1WDM06B3w/ItT5n0D2Y+mFrJ aOuXMFkt+A/rT+qEBbcSOEjTlK6/AGY08XL91fE4inVH3rH+PAX7ZJkCrxy41jfzqH+aofskhGZU DTEYWSDeg9o1LO70ga1X7CMWJEVu8e73CQg1RcTtO2CRBW+q4yorcgXrXv5mwx7sHd627c9xbb9s IeE1gl9zjHYj/MNCpwVwsWfARdgrNbQs7a8mV2L6CvDl9rdgiwqTU3JMbrGqNUpsvePa17450eMB dGeLf3O8uEoE+vQeN5LYTqMpDx1jNaJVTeppXU+wNuzAnm0V75Idrs3EuDSfQjGBZ/St5yQW2IcB hbxrrBN1AqO62rwebs2KaVH+eQdAUolDod+AQMGhfgYFrPtIvoU6JzfzPcYY6uXOaaB6dHZCU2gg ZQl6uH7eYt2k0023JS5ITirdvDDWmZ3Bnw1Z/54QUGX5vbJTkLjVGqflVNa69QPkf5Dumq1nYJ3c /L3ihvzD4ifIhqZUeAm3+w775Yo3tTd02WkmT69OTXEpsvqwiTnoTM2IoGhe2Tg8sCVHPUFiz9EA fIsKDvpv8MRY72SxD27bXDpzLUoO1a8LoAId4smao9VCz6IBCEcf0/tRdrL8DI2YPTxH/8oXTKf7 SrU4l0qei//+dGLzALy3SOGFnyaHacPQsmK1HdR+n9BoaRZwgB5xgkzn4s7qLHmnPRbpcsuz1hMm WNdyne5jDC18WuXi4rRyT40IIn9rH25w4JuIB8tVpTuQudUEnkzUoWAXUd4RCRA8iqtCJ66pL6bK Dc6ag+sRd0P8rmBlo3P7IvFqKCuijiHQjLOodfxoJnkYkBaWR4x5W7AEnLM9udF9P7t8k6TI9yh+ f2yIbMf+38Dkbb4XciLiT2Xbc3N/hyHWZLctyHDL6i8hcR8opHae6WHjAb5WUUzAUBRVBKUdqyFc xW5hIMg31NpCoCQRKVzKsJBUZz/z+XuWWPG8nujantbkti1qe/hqrosAHQshVB7w5FCxNeJReUHr DF+HVmY0JeUm9E0jnng6w2lX747Eim4S/DxEbWAuOFpm2eN71Ax2asfvNZtAJoAaGgWKnmVUy+UH FmQnboDH5tyMj/0BR7gbIMG2sdY5y7ye68fqhjkJCvr/ahrnA7xBGFCrWE5x7PuwqqCMk1j4nfv9 u2FEsnSKrENVvq6Sm4sfUv8L9KwXOl0E0iyzwsAvnQivd9H5uHbiUeLMRv8ryUP41kHLEqOXzP4d VIVZHVf1UB7kW6PuTGLfSwQMS63vcdTqvaX3SaMty0EX5pMTZ+ILdDnHNYEoYnDP+2TLHEUK7KwP QWBE4Qq9e64dgITdLLe8iuxKLUFVorSG/xxpyiYMzE591GEQJW9cOwEFal0hYUpzPOw4j8qMMRr/ itA1XKABb9AM5OTDTJcJiiK203WVHQcO3OHf7edW2p//CNO7hX2XT0IYTbm9u0lo/oNZyobMyhlq vTtNEblMsk/OPBjG7UQnlxuJzJWpAQptMKpQMRrqs9ipCHXoWHiVjyMLXENRwWrsRCG2XfHrlQZS dCcKmlhh/MmSmJxVm8EibQMkzBptjuvzdc3OMOTQrdDKnLSoh7xh5GDmCBr0c6Y3cXHmp9447UkW NN1+5NrP631zRU0VhIB2B0xOTPm8UoABKk2pCtQgcERtMjkBkmtA/XaWFkf6uUlhlcqipTwGyw09 YGfkSuj0J2yiZxODm9hSVe+nOdi8HAwqYRzTzkUr2Wu/I6y8VKyACpUXNFi//aMXvW8IdCZHs8q2 FAg2evASFa8bU3fnQAE2HibhQNLiDKegEQ6xu6yAdmxDo0MPxn593ZCzGekPQKbsLfBlr6DL1xQn D1NTTCXGqWkXqANCSsLts54MWI+ilSfL99sLcH1MmxMklQBwifcc04V6GPEqIGdy2X5YS/AEnFFA KU4Hs63i4mk8YUKf8CYH6D2Tol9zm4685rLAp84piE6RACVS34vqAYKgVt3SACsFz0SeX748X0yp XHzJ9wCaluZ8+XUAnV2G1p99FoLfVqOt37NNE9ZFTYJkReLsAONJ+u8DUfjd6eWJCFpIeqE+AEkU II8bJ6ecZQWhh4rZNBseWJo5vw/DuESsF+y3DHvwIHHvHc+VeXUbOSa1yPYZ4j6P8c3C2Plx/VRE 8QFNNlRAC59B5vdHubFWMH9w6e3BliCbPKUTPADLTZlSa432gMrC6mkBpY6h9+PQlTCInulOkkz9 0WPNPNEbDrk+9Zme9obhp78wM8I3rkFB2TEwCwTxJ0cDw2PVG/aq1DHRF1Ez6d0mPMSAQ11mmovP 78I1XEkQiT8ehd+PBEnsKw0xHrUIvsMZqRQgo/p5VZIqMsUYZyDy+lXBx/yXrjbJsDw3Yj/S+HFJ WnAXIfObgQYrNv4TKWes9fI8rfOg7yOtsVEx1s5r5neIyAI/Twd/SjdKPo42OT8hRBDrYR+Q/LRb QJiFTlb385UerzJnyTShZjh7apqwzj1sBtxk/LA1HgLG9/08z2f56yuNmJ9i9Ugj4Gd7TfD34v5f Nky0VL5I/wM3wPcUloN9RfsoSmKfp2xSG5QAKSe5mxn0Ek7Ewi7up80m/GvIPtGHk33AmXYkvoX0 jBtEHDx0PwzT598sY5sUmnUY2E0OQH6BHQZlfEwHGmoh4gr5n2mYq21gAvPiZidWOjCm7vzwNFkQ Zid4Jhs++TmhBpRrAWLkche+t9xm5trFxqGdJzzvhUsZCYAGpTyFge+r1eebwIJysyK5qFybGt+L 2aZsb7qViGbmgsZ/k3o+peeX9wu0m5L1fyvv4HDNZs2eqpLu53ArrrgkNsKC3wfOjvHGPUlb4hlQ 451RxG5Oi08pk/krzvPY8271ZsDs2bOIU+VC/nToJDJdPyo6bCq9GTifW3T9vOuygzb6bApALWhO Bw+pLSG5zF+eDIcQ07xUg45PNu/I1Irue6wDx95HRxv6W3Moo8tpm9kGQuYAfOnevkG7Jx2Va2Tq lb+N8FWawyuRESIR5xr+g3gqmefYDiThVtvOxRlH9x7unhSoKubTiH0BYdN9zoAHjWswPzKQXVLf uSWlxv1BfsIpEQ8Qtiahn7hkXjiBp3MeFIMq4yKdMNdn+iT10rl0feajQVpaeIQQ01GedYRwyITx dX15rN83vSIqX/rabF3Lp8tcIiNWtpXpjDFU9mo3XHGBFybmd5hxZTEP+xZUMDtLs7g7eJu5a6HP qUluXn3FNLvFU60jARRrz9zW1opMImhqvgiI4uik2EpuqbnkSLW8JAaWjyLS4GF7fpGQiO9Lf451 z+yvVQ7Ws5iJq1hIVVu9virqf1nXkfuHHEdGfcqyWWn3LmyDP6DtnZNf7pB/8f18u9F5AAom0s1+ n5MirmHHB4L1gJuJeTso0y0TquUVyLmNS6SOci2mwaRCsTobqfnS5T1q6cIwV8ERuH8oK/1AnoPt Bk4BgYZut37oY7kptX83nCPz2oag1fxTE/NXyAGtQdElwNFjr0RE0F6e/eKXFWr+4jGDny78/VA6 4w6FNFg37DjG7kJtajpLP/p8aKCoJGJ4Bdp5TmB36hFf1I/dI+2xEU9uTwSVmailEn59fbPOy2dc QLT2cxNMj1ZblrmXD4l81r5WuHEiPXXGjg9RU43ASw72SOnMWfAheZvoq4+7GJGMNoIEah8r3CCY EhqH5z6GUS3XHgc48uzBHcHtoMgP/SmFlqs1YRw1cAjDZvJeFJ0M2nl/KDt6eIn282cWzDnF2Ly+ X0LDtidWp6col8dSkPbMasJTS1rZmlXO4OggZNRGZ6aFhrkSoHQdUGvcv96gugCY9l1kOyEUCtdw 3OX4nyvElySwWzixCHmUG3OF6LVYPMGjSrbX4fZsoKctJIgjcEdrJPmAXeNatbvOJH8iFXg3nQEt 6gPJbQY2sG991ibcGdnVIYEbT4qy7pdnPREe5m9GVZyyZx0Y8Lmp3qMlib0aHOZkVkjRAooN2tB1 M3ZVUsaZCsq/mPvk4WLx36CnP1slB8eeqLJWZpLjfIBlSnU6qs1ea/1w+tayCiR8d3sv7o7UeCoe 0FyY2RP0HzeSNnETZdl5yb/ChETcH7gbmC+UGR9d3bXAs8fhQ1VIta2NCDXdmFQcIDbhCSVCuPmS iayV+EWOIv4vzt3FsfAp3rJsgqDltgejMMZYbqyuGtcI7l/EHXAI5dc2Zwl2wAZ1sJLjXRPKWKBy NdR7DDedODaVcYVNFvDRibJt2BpD3giHdKk19bEXII4Z63s/E0otgtnio99hqNUR5ZFrUvfUIasD Ww93G4RooeTGjPfNeSrhV68et80BisII6FcYJaax6IR+ZE/51AQIoZhNoEGk7jOlBbqrAUlwyO9y nrWMpTI+01YHPaTsDENxMywhicCsyE9zt0JE1e11zNtML1cxKrUW8oZgIf4Yso99ZPs2bUJ8b1Tx 1kfzJkudiNBGgGkm42BVYvbba8enbRL2TtFDndpz4tE3wx9UiI0IXZLbfQ2fmq+5JNJ8SqTCx3iK rY2IDalqjucrOp0bJi8TzSmas7gl0YR4ApX3ioLsdDpwbxifv7f9JTPVD8dCW+IDJmmtq6oSatSy wjBgmX0eyoVKTriAYvV4Kp6dI3QX/WpnY6Pal/THx5sssS2lC+eHHQltego3gUT6HVBBT+GukEj3 xtgZkTnYNVB/37SedBU+dnjqagD1jtuRS2SLTEDo0zD3PICl98eQoqxQxxGeI+0qDoEhCflF1lzH mb3q6opucd8Z7oaplXL3qQqFu3cqVB9tvge17sTHroX6+bh6DZp/cWOpwm5QhvHV+ZtRHnDfGxD1 0cd++MSJyPidLecRk2616Wa23FOuJF/a7xLIW0T7snGDew6thZM8IcB4aQ7nOTTiSVQZ/NtvJ+fQ 1970/xeMpY1IVT4rslfDF+RBlA2XZrOLsfHgt1Os0KcSOfrEyobaf37HkN7eIR2YoOhukfyMH0pm 1WtQsq+R6fNa8+AcC2Cuo1ABJq3zNQLkk30txCvS38t2EPvlkUEsptkcG+4uKkzKmsoTGdgYe3+K TEzkFu901SVsUdprntMxdNwLdFFz0XVvm7NP45OVoKULEj06rB+ve0BFdNhFz7KmNNT5QuLttIg1 xRtTzGivsruioEAb5JassamPLKR10MFiP4iJUiksxXP1VdOJ/nSksVkfO779TLJ3KJXdYtInSEhC 0HsrU6iqYePdMno+AJv/zc8eTypkFS7jICuGN+td77mESaPY6HFARZ3/BEVlZuR1Z+pVRgrLREbF Wze17CLlTtJAmDrvjsxb3OS/GW4/9hIuLt3WgtEgJQRJWT2Zf/2EXe9yeGvxpF26APDtWXPogoST p8zAE1MFiX2gEYM1uxPSNu/Z5IpjGmZbmj0jZfOnKVtJQ16mWdP4HDg2sIPMVfzDwo4ubzB6iLqP NFQc8FrA7aONuelRJOZxV3wYpF99iZjA/meORkWymDR78JUHSbnFz49F3e0QwW1qP4ZK3EZZ1IlQ Lxk2UlIiuPsztu85bkSVEV4Jwn2BqDqWBOiSSPdCiB9vxoMcEIX8+AU254LrOLKAxSBfOCtmmBHi Ea1gwbm94nwtG+d36dbLpgf0uhKBlGROz/QzxltW8z+Lo8K4u492I/aTET7xHk7I7b9wWIR/7nVn WKZJVlOwVPjg+IjtNFd380A6n9zu7QBr4dozrG5lLvDI2BXm/nkmQ2hUj20yhVz/fb8vu5vxEzMq RuqfnCbSBa602Eu91WQS/TSkSOuIasu2JNGq3o4HkMvpwWfsSpmz12lSCamTmyUEfzxtmvWszajx IkXsA0zFlT8llL32owbqT9Z2c9zhaCii5nLghDqCf0GstGTIFPteE8+cE6o1v4Wd1iV3tHnU1HaA LMyL0STXpjK4vsybDBFz58B62ISDvAgRadNkGYRtZz1RkNIMw+wFsKzuDmaKDEjiePcYLHau3sDj 9sgEpI6NH0Ghbdd+C9C/hho51HvL5F2sxXnZEpsdYyR0Lnnwkz6Odu6e5NB0j1/9NDWkRik9B+3E KsFJb8I7wNOO/zlYV1y8xYXEaOZ6MPjkciuo1Ti/pgNSphcMP6LlXyoHGI6D9p4c452uuoTdpkMt C+qqQmDFYbtOfTkXpAQh4ltL0PLnyq+tjKJPPqOit6GL317dV90V3sXSmzxKZChUa7njlraqKu/V qKz0GoTRach4i4R7qwbGxWhB+pqcU0An2PFeq71hivhb2F4tDo3WdIgMgYGVopbZ0bbezCZd//DY 1l5JJyUu53/kRTIbK3KzGtjE1bxBcLVHuQeEPLlCX8pBByiqabFo7oqIHA13+zbPGNwvDDIGjN3u UUyI/8MOwWJ3NFzWSRlqXd9OxmdftvfGKCCEMD3u+S+EBtMYpnFQiNUjVvlk7s2sU1ttWhxFFE/x 9XEOyVbBMZrSWHUkdT6MY2f2VMXqwnWdPB+ZJndUK8WklxA+fqpAGI7B127hn00h1i4gzO/hH9MC tQC8LcoJtgXCO4ehrOKWfu2gEH97yoYdEYqoDPxZwV1KceXt8cltwnM/Yl9/eop655+kMp59Plvo oFqW9F24bTH3mMN9bG71uIS1wsftTLry/mIZnbGa2GdmsXAVQCjm5xm0LCiICqc4Udr/oX+RM6Jl 0r1bfvU6+Gc7HOz/1QXX815z1qdyipaUqZ2G7dsBryZnfHgqu65mrkHG/Uu0lT/a9Q5nSLx9BULQ Erye0Os+Gjc99rOFUlHsY1/BYad/GjpsfzOgBTk6sTqmW8rMu5ZlUh1/JHd9APiHAqbkCKUzayhc hoAXvMpRL/B8khJl6Bf9KLi4Y8gMSMrtXxWI4BWVxt3M5GB4OkRdbmfkJspkmie67whIpPR5J1/m Wwh5vQm5g6+LIKavfv1+3nFBr3SmKpToQxo4A69yMZ9ccz2HW3PKsP4kUKoConIVx3veOhvl3hzk ghytQc3ILG/hQWTkPOUWmpbgzw8cwQRx0+z/5Z6zJrNKuxb+2GGDkQN+u7Y+k3JktUDPVnmJfWvP mvz+qNnzQFxO6SC/7HjOkt0AresUIt8V2If9vvDGVZh1hhVlkytQJreQ9mH89GlVm3171W0U7VaA lgSQ4erOMrw5MXVOSQNTggB20x6vYBAx3Rn/8RFK2hNCoWjmeT9pw83YvwefJI7PpbzD/PrpbAyD 0T4/boVk2EF846DWEuRo30BW+hPicEtEyfNKIGUkIXPOl7JZ69gyJwdla33gyGcXnTII9T7Us1py e0b3NZRVewqG8g61NX+hCOXRwXTCE1bDRulc0FdVcoe/PIUGenwQjbSJ+f2xfPJt1OMPPqsAA7n6 om1+8uUufGJTU+9S/0tIME6NNZUCy0HZ7BG3uNF9f4UmHm3GP5oT55kkweGYB1GLPTsBsXP1d6qp OC1fpuWLomiYtiDiXdxRbkhOepfLqKspCBzkAVyOdrCmJh2Lnb4kAwnzMRKtH7dekSD6fprOt4y0 xRcCV+tQ5lZZws5MgTlyPfgo7bVwO0J1blndrGtGo1VIlg+Z2XFtbG5ExHQKMkr/aB7CtvnUt8ar WwlO1bs0hvZ+hv42BRYFDrpwVYhGjiB8Hhu48tCqZp8mxeOWfa9F3Fikr4L7LMDbimy8+R7kWvjP a92lL5A6QmuW6rgl4dyxOyrZgddkIn51+lS1UCkAZ5XT7yctuo65H0kmORXD7Z3sH2sH478lA1sn ssjS2O4qc70rsF+7kiehyyabvM42wQXoiKn1rPNf7g0ESOgWERLFbmp2XRUl+sKuTeHk4Kb9doZr 7vsX2mEY6fpU1V0Tm4jI9zFq5ImIqo7SicRAxMAuBKOBBBGTb9bW4W7cgQJsEP2zfNsDeSLQYVW1 u70+zNf6ymn+3qbGVDddoUGDkDwYpoG4KwOShBJ+gM2MoQMh51Sh+oJyOtOPLtbJs15i8vjQ7G0J afHvwMRUxo36o3KrrzKd5q/J4x6pSzWZmDZZbOockyscJe7k39XFMCjgK4u3qEq/CRMNY45drcy7 G8HwqoYDZk/7YZtUlY56ZtKE9gljhcAto213n6oVHaHa+jr9qcE/cKD6T2v7LJoXcTbZXASUioj0 l3oTHWQ3KOTyxnkczgzqYJCzvCTTMvh19jdTYWTdPmrlKT5qQn/7Xt2xk12BB4CZbFpeC8K71cL6 k57IKeitvTBVwC1/Cpl2h5cnQpwbOeVyu03WZaABC5oTXHkaAw4Iq2KQaOu9HHxJYRCliVOHIsLK vEmhure0sV/SQ3oG3MX2Ly9Q1Ju5ilgZrduM8EcKSDKu9/cfCJHAr9aZ+4z5hxKc4aMi+ebdfSZ4 MqcQKJw4nalfk2zKm6IxhLKwJbOisWOH14D4BU7ijb4Ud/go4Cs6ao+icO7p/beMELmmFCgIh2GI WS0jXesvgwI+P4cw8294wXuILzbsCqwcFFA2IK1cginu0rz6dc/gjqV7HbYDaKFAtRnixXu9FccJ UiIc69iZA28unWeMq504oBo1oe7+pvixbfiYWljAb/loAalLCNdYQrOxog8UaCoRDt74pxsg0RZe qTTxtRBe8IknGKScV5669CCMKA9KYc26tW3a51dn+PB08zgmTaYrzc3GLK6hMeJyimQfLmmPAg4j nFamyLD3lO8WnMoZ0KHpiAcLF7V1jRwM8tIZivWpla9N5K2FJhW4O21XVcEUl8pUASFIeYD6zuiJ kuPgN3IMrwq1MrgVd39nrt84NGClbpJp0y7SMikvOZ/bh4e9UytaJKConOo1uGAoew0MpKLiM725 6GNs0KrRzqQrHuWFTbOc7kvV1zTnx+aiDEGQkcYlPBx7RKyNo+hUatJvmG+5sADJD8MRPe/OALhi FBSLwV+Dg1IHz6eaBMy7S+ERlJkFVghcnu3Qm89Yua567bfURajgnckDiIGEw0ba0EzFQaA7UXXB dXbwoWryPJcU1VazSnyCznfAKOfj1PgKizz8O8q0dRaqgsu5HQD0tScNT1UYr9mzBG4kPyeIEMam buk8VLk5vh5uEXDHxcFlu9s1CBr9dOxdaHxuuMzHwIOwfZE6RXiW0osFTNppKcCKj+qEdr/wVF+q jpM0dXVGh8bN3FGeeqiE6lDuAchjDykQcm/u5/pyRxYwAzWCOHxZT7XsH648pHjEq4NbCKm4x4L+ JK4uXB9B1gQI1K7UxPKWVIU5CbllUQ4qjnFLHkszvHHoyCdchEoFtohlEchmpdm0RD16JD3HFnMP 1Ywwu6oacLy4+CqLIEaGN0Iyk8fJWoEuMWp1zUqc2vTYCg+Bv1ULfvVzyrl6oaRG7p91PzWjgm04 Ab+y/UaNd16noYH7sOrNzHVffzxpO/umg/4DO2WGldoVzbi/Zrv0GOib1A/KTyn0vIJCGOn0bA3J 4Omw8T3gDx6GY2tgzbEHr8RkRMFYO2SMoULHzePDFdZybK6xiK0RfNtC0XDr3g2o4UO+8HD1GsWn sVHd+UkTpn2ibohaVCN1opG6+VOc+YuE2es/xAz044WCHjiq9pOfpLBgWw1Q0b6xzOFkdUxe1vqn TRiB0xX/c0BrkPpSl7m+Y4xDYxTOSG/mgWnkpshC4szLbFubgUOL/YkE4qEo/JlbGKZOXrG00JiO bdhodbrNVB/2k1yzA8is4ZoVk/oq1svfXUHl35fO2kCf3QW5jiFUGq76wnnuhY37yQvCDeJZ8bSn 8QmYcWAQMr9A/Ay2mxwugVbV+wjJnjpa1xFtaRbGTBQ4+VjPSAqmaq3GaW5jgU0bM3M1Wf4I2wS6 Sg02K5vuKTBCjD8mO/kk9CCPNw19xB3mrhKMYaSfvm8oih+3T6nTkz51LXvHNNB2N3Vtxi/b2UkO EdLmZWaErRYi3h8rPCmBmzlbfeEC6IMsWzfNqqs74A2E0Mz/orD0shGt6Mwer5VjNPjiMThfdr1G 2lw3xdXDmkcyooaLN6BXdGhTLTdlIJLhUB5qwNJ4FLKdOSkfvZlQmsLgVVpoQbcg15+kDszLLjyT OuzMjk6k/oej8A8pK9uaWqT04EPqg3QdePxEFf0+OeGcPI1rKyNcipuHRmk2mPeUTnp3t20NbCyY nS6GR5P/omg24r6dreRFWmAWiylXK8Dws+NYyycb2dw/He6usg6P5SJc5GOdI3SRAtJ2tKosLtwv HEgAmo/QZG8q0qjxcZ6v86ACre+aenL5cTiXI8/rJJ9QcDDbb1+ZFJ+oz5BkG0mwuyL80w3XwEYM AJpLuSNMvTpKYGQ+Faqn4SWJHZFLR+c4lrdao2aLuHzFMF6I/u/efOS6mRz2EpNEgFw6uraZLEOp eBitPJJSBz1JKZMXcnqz5VX+9Xd2KYSQbMZv7p86MI2SceDuQfEcfNjQaoPf47AiN9J9AWRoNQ5u g1IalM8PiK5qLCeLnLMuYo0rAl5Qe5ygOKd4eJSLEHrcS6Z1jMopCFHxiVTftOYQA2VVYXARa7Yv Jc4wkdF5qDQXDlqSzTUBz+rZMRw8rj+3uEND/GSyPbEKVk4Y0XcRB/Rc0vIkNINcgX+pdWDSudNN XeYMyKKSDhAVYahtq3dLQ6z01S48lE8If3b2vLVF42qaI3d3hUp5lsTF/obMbdj8SDXe8HXFfXB7 SdeuiGHiUMUREpDjaLvbfl3cR8dNd2NVtL7uYZdn5BRt1VExA+qCyvo6Ju7McdQbQwofY9aPRn/Z h9iqtlzf0SxomWTRwfN4znkj5EH4ybBP+o/V2111Z7d2AN7Il4H0XxmbXrDEViygpP2cgboNYn0Y XmLliVW8k6uzkQ35n8KdP5T2PsHH6up3IshsEUSu/Ieica4eVVu8FhKL33YJYqNOdLO8AWKd7Cis rKdUJMf3dM/UxKULPy3LcW17I5IEKck2ZFpqfjG9DIBQJWwYuBq1pvKo0KE8EKrB8Cvpgqji0S0I gcRI6/c9h8BNAT0RCUip4rurxrgI72SO3UEyhBwEJSX4vobg6usTrPOOssq3jXt8WdiVrj4az3bT a2M8WZwsLBa7imYhuOU7L+qmZfqTs2J3jvKkAIl4xUfvBb1G5VFJoJe5R113bF4kPTYM8C/+zQPN olr7xqe1/egvZ90yMATiAYMnGiTYa3JtZNPhCwbB0EPa2fsSSQRe2H2HC1nuiYXB1dNSIJuihPNt 6XP9GbDvkWJZ9tas2L032qrw4hrJKNnejOKFuFS2eQoRySXJW/637iPvBV7t7cuGiWzaM1bYjdwE 8AD3kqY6YjIO7coRH+r/FX2Zn9riXqMwAZBKfHIYWyPWsAmoKVS4DL65Way1dzsaD1tJiR4ckrX+ cuP2sVllOQy//bjcgNAXexADNhrGiieRzLU6j6btp9juCtaMbgdXk3fdOQLMhrQHNKicj3hIKPPC D1n7huY/Jrg49PGP8snTrPFZugkQL7kFrXFq8wPKWssFVBpXI9vE5qXZvMaF84QEFtk7dwNBs13o PA9M7M8b+V7lz5VgMWDUJvDU+dHZFvwXKIPH9f6dP56bxqlQUkTA5wMldEXRAJY39pYtSjqowFTm BzV1Qr6jjwzk2G/AX+a95NcHaoc1cEKJf6enQ2YeLWueHknC2/lR0zIS40bkZomlsxDGc6s1T7Yx s67pRcnsJ8WNvXEqpbA3mJGOig9WH3pPDY1IECf++5VsAg1VPMQFfdQKExmvBzfhlw/nh0cY6TGi ZUsOx5dgZJWT/Nue6tUYs40CV1LUbvyl81WkecNbHi69ludoqzr7AuRhnE2wBY1v+rBeewurfsjg hFqO/+3nKsv7D/I0qNuxNXIU9Bso0lkP3cuPm2QMeRPvd5/ygSVZdyE0f5NccexdSMaUP9FAA48N BjV+++sVEpFeAHWCrMj4J0zZBN0dWOjjy47pR5dic0U1DgAoDbe0HUnCP6cqzNcbceqCFNpMOtWw NHqD9EriqyA0Tsd6UWHppu47XnLHp7lGuadX7J2UnKO3qcfz4vMbwX9LBH5vGmiPfU0PdrKY+LLP HEfVgtXJHQ5Y0Ne8kJU4B3sFg1BFHz4/ddcztP8XqnDSlpMUoc8FwIlXXdUpmHQRzSPDNIaL2s76 BHG/Dgj+JQckLWGam9dbf3Aiw8uZ/uBGQU9hTDF2djzIhJxxyf/BwlBMUHTUJt668exC9iBNK/wc s36kvPXJhCZZxsBPv1IZQw0L4n9CfPFG2hsZRWLnlauhUYLyEmbXkQESZ/Qr7c0lRQltwAAjdtDp +ep6Yuwr7nZ7MStbHRMrnidaVODZClid3tF7kctpWuiiPEIEvBvIGrDztUQwlfFiROXaax26dwIJ jBJ8chyeDzXtZHrL+262qhTg5+le0AMbI6G4SxV4Bd9uOl7qpFMwDZ9tXlaHzbMSSmzr6lztX5qT SlMAwyincbwlzwUwgeGfx7GiQQ8zP0mcKXscgLcKO8eufVGTYwQ4SjlMxkeSbTSt14o/kAVDbyZn tC18teLZ/X99Cgi0PNEf1hVkzIxiI1NakmRt8LI6GLBcIX9dsCpLnbFx/jHyeFdDmV/PedCFV6Qj wea6IML4K8IlKWmxueXXSOvGAuCUb6005utr5ozxxikcLESKs1+lYqXH8MqY7tObvI0FfYeIcyoW 43Ch33YNitKVHYQDxoMsqAF7REb3/goTLKv2pUnORn32V+L+KTVCcGvNlBIavyjDtjS98AqXeUTk kc1Fo2eNIm7nBj3sljbYrp9mhZlxLQaZitqkSB++Fg7iqxU0Afh1zXHv0U04UoLlpnDBJx+Ny04k MNl6HUvG52oLVtE0i2EDMeOU/pt9FRUkv328wYQWCYgAWv93fhX0DNw0011vIADqzpitfpdWEl2K +GDYIyYzmaa89+Zdc+lY+3duDojPiWfHWnxFKCfXJ9Tx/wNAN44vVRzFScbWlMKuHO3pofMkhNqB NMkXXa3hmPx8WnB9Rci5HH7uJWpwxHh2Q9UKN2M2CHjkynhhTVozsUMFhHim3rtCYQenW/lj14lH qW9bH1HbxKQxB88mi6iz00wdTqYhyft29k9uhi6zRhoy9M4OUyhZ5n0qJmDVwenJZPEStQ/LpP3X rD/2uUdlbDTOORtxhYCERZrgfiblaUOX9GAWlaCISMOrsqDaSXNuK9pUBs/71/Da9SF1JZ1/GYwP uv3SAEnvlYaQTSEO+jrtdnhBS1bkEBmY+JoSg/6obbHH48faHGcooX87Gi/QbZASLjq1/kc3n/ie 1qcX8hdxPu9A/VXr6U28wa8wmiZBdDdpdTM3rKOSAo6ePkZqNOUKd0gtM5JTZTMz4VanAdGRqz9u 7N+nDG3SOSK4r6yr4QLs4SutRA/gOYhqisUQIMPf+T+lzpLTSb/q1F8VRk3bsyr4Ft/GWf1Rl3/b K6DYoST3ucXKSCyHkrHCjNWxWCiLqAh3JFUKWs3X+gFvJgdIp1MzltehUDi1dYtJtMpL2KUyw7ox hbEkZNaR9dOLSqAjO2JSp+wkhAL9dzEQ7xUAJb3cMrsUZ+HL9GXSoruujeXa2d1T3j2elBWm1xfW NLl7QejE3k7whfoPXgfIEhPHD5bf3iutJgKmSick2fA46YID9FbcLqknp7hf1NgKYX8qdBFGfCRM ocoJNvriNWNbGiMt06a+vsi3LTTqVElgbWwKaOYwWcCIppRWz+qs2D3F85k+m2jsFv9cMDi5I83H 6LX65/lqNr6VBXnGC8rD68w2YLYml+Zb1Br+B4Q8kWOxmFLRtGK77yVN+KbYq9zvS842KmHT2uFF G1kBIJaKEuiJ6mOQFv1XjAl0JZkCqG+viiZ8DY7zd8kt2/C4D7Hg/XaApVYmgYjw1bJDFUN7tdny wqfDBG7rhBCjcRMobHO+UOVP0nJOHfE3ja0Uo+8tZFwyBvkKRnAfaIIUpikirOF3o/ft4g2nuSyv 5A6ClpfbzNpIjt1tjQeqKgdAYmiW4cqgKTfGAPodZYIqft8YJdvVYyQgMqjdb4Qm+LQ+1j4huQw4 bXMxuRZ0AYv5EGArCNlbYPp8xpMVsB9olyn8zgigMN6LLu1RgBWY7ZsbaDt8PJDosNVDQGlyqHW+ d+YPOOQs/khQU6J4MczAZDxGZzo8Gmnlhg6b4OlYTGud/vqIiwAhXjGFCAGa3Ymohqqk6lFMBGOb bcY9BbK/gDYqhORsaIGZk1I30UzI7uHg4kg6+7G0ICba4FMZwKfuPjI8gkfK3suzRlGyoTUt8Ere 3P4tIpzWmDzfyiUjV1y71L7K8fSG8MSWR9KwByydb2wZIeRI2FYYL09QHy3d+s2GJPqePXQAoCr/ nwUyJx+Fukj7UAu6k0/IlI6fLkCMzlX15ElF5Nfo6bXu0bSzr7A0Q8CLFL3heFEXGJg7ALdtCvV7 hnGo5ruu2l8q15Mq0TgYXNBJKi+UNktdxtciR50jzXIrcOuqnOGkAnfwQDvOsV9qBEUs4n3CNMqL NTSHMNqNENf1cqun+BKSbhziTshev8LIMHNX8Hw+wNTX7IqUfHoP4M2lb3u3//oH0iSZsjvO+vqS fhLcVrXCLE03g9L/0Wcyygd3wgi+UjMhKixaihsD78DuzYWlc0Mo6Lplqq82VTF+3XZeJHPnaNCH p4ekKbFbjNXmEcRq3bbMZk1x1ta9U8CwtaXryhONK1oknn84Wb3PFc5XVJUAqfXQXmmHEn3VXQMr 6DWqXW3gF70XREv8atCvvtCfzfsmG6RR5R1oUlHbR2l7Boht43kQepqcA7YQNQOszyhz4o/A/2eV V9nrm/DeFX7O2tLkdmsR9WfzeDZEm3pOVmX4S4T13r5CnFlHTix6RyjxBme7rY98diSAJS8SpRop te4+vk+95EW+F0OqIbdm0Ql58wBbF4e3vmx/Xw9OROVjDvqW9MvOpNE/Itb+ukOj21DiLIxX8KIZ 99mDcBKhGir1hoLsTSwiZ1p0wtk4m3do4hSPwxJnbcm4V4yXA+im1sv18YFLNUBfJA780ecbvXoV LSakcbGLvn2PAUkkjmi0EkefRL3FEHTn3BueWzyiQ30QIYmPvP54KWkfI8HslOXiDKYYpdhKXVhR UrLY+j2PrEZk8qvEtd+8+nDWwafBT1ZUB/ILtaqgn+uwM531S0zQ1t6Q8H6FkeY1es3KT9rR8RVL PkDwnWTbhYVh1IeHa1XvLmX0Qsq1EYgnHwbBXv44+mhcoOlHs0l+Oz0i89rs6UZIq+32uSbt1mxh DQXicEnWAnsMjW66PxlkKTP095XLmwC1v5QXvw/bqQY/6CaXv7RsZyh0UTBwYIi94Y6AdXYHDy5a 3OUQ/m56mAYXFeDU2t1WSO+ZG04DLXHXLgu9W1w2xhiCoKcxhtaVF7kQlkSFqfF0oyT+XdjOmEyu CYKkoTuO8P5C10uS8w6/hWAWmGfa5C6KTO6pfgfRbuHqPHKYUNHdgf7m5ZgSZxW76XRctsG49FJr a8sVz/R/RKzSRclGEmcK4Qi9VJtLNe1x2LkSxImK6XGZ+IKEhIh8iO1N0m4CnOBiUi5nYpuFhGbo mtf5chFVtoiIXX7oLbpkMfm8KK824RV8t68ieuz0moPMy9rkudPcNIJTLw87Qe/xKQjKNgU+CAHn TWbMLDWNR+8GJFod5tWTdrTVBjgnclzMYvQnywptSO63xZtza5qBnrAH1vzEBv8CmaTjX6N4c80/ YCzFZpzVxJ4qSNjEWVa4P2dfZ15EYfMB7MX38WmXV9pMKvgwlBPTyE8lVpVmhyAib/Iz9r7Rfw69 4UPjCelLrM557QB7N3f+hWDXm+VmB+xZZnJd0+XjsJUVskw4eSq++LEkEj96ll29Whf0OScwhlrr FBbOv/osBFtz8lf6w+Y0Z0yBrPRgcU/vQtDTF0NhYMTw/EfITI+z8uzZ8stc9MkkNY1GUwilktCc 5o5kNItPwZ8jdiTcZzT6LHZlum0St0SYv5b8jbe2PjvRG2Ttw93qWOrN5nnFqWalSgJZ+0v6kpo3 uo4nZ3jLIlsMFU3AoLKUYntlaxQv5YfkEjYoFvb29Nh9ONaVsOMGJI9CEQcYcNqPrP0QiRwLjmgP kZCwjqeOdvvX0b2Tr93qPynS9EzcaMO0k0EGmBwz5zLITukD9Nu88hy72B7p+WrY5E7cKss1kr3U wTNLeitBjgt9ktppDT2R3kygJKoUxRksMVbo95HQNq8jYJ+tuSlujrRltXfKkHsFcCi8+C2oM6rF xD1sf4FcYHybi4FJGs6ZLO/Oc2Av5OZW8hbitbAUwoH7ZQdwo029LvBXqrHOB/YPTISpnGk/IVlp tUKK6m/e6cM18fhVrowbUu6Usiaq8PfsYUIeVhaLQvrhvNkwQpBD1H1JdqpzC6EmGb5zN/1w9Ugq unmsZ6hU6U4BdHOkpkAkH5govaNW76qLsXxCokquPwFUpyi74jL0MoAfgWiC/wjbD0px5WBsY47J 6cFQihcuuHORHtQBywxnH5xEIkagnmRfIcA+SSOhUlPBOEkP+poUWoDEpIyVmekP2JfTk4MQ7wVu qhA/UVony9NzwVRRs7mr5R3ih2EQUJ69Y5GcKOviyuORnlT7itHDuWZMhdBKeHwuJnImnC1POgit 6HYE6VP/l4Hh/aJ4DyDEQGxOMg/KLiIcIj6Tavu8XhRmNxzvvC8odlltY30K8zTnDMDXOfeIyu8Q M2cX8/iLmHjNRNKeByJTwSWApFifWxezNIFUuUtwiIu/zbSubn0ZNyo7uYD/01q6snyd8LjF2wWW B9Ls4QV3OhLRzrc0WcFyNysTqkUWDm4orL0zjIsGsCjGH52gtMkQrkSLq0vdRpP5smKFjEc8I3pt 0pW6H7F0qFypXAQrNs/lxiu3o+RUZnqb6L+Ox1gS047aqAK5HR42UiCxKGS83Fd3/Poz5nNc+aMu DwqMdRg/YzSDPKszuRa+8IasEfFq0IsaGjh1nVn2USxoUasC3brTNwI0Xe9pzw3R5zbSAw37KS/p 82Qm6Bpz3xNp6VAsaDGqOajGSvqnpzVWy6rtu7xyTA2poKBgFyT8HYDyyeeMqYe6IAdWxsbq3o18 97m3eBRt4iTDKc1Yb6elCvWWo4rAOp6b8j4Joi2Zr1vkacvFih9iGLk5v3QgWwknTiW+CjIqBwYP ul2KXPsMywchHsrbyCVMhOMcmwLquNYq+y2c1cLPBiSyqIFL3te6U+ZNXmmi02PFvlXtYNGNXcXR EhOPUR127So9HbeHIAeh/3yzWemtbShgeLjcx+sAHjc6+3VjV01Jg66EiBhi18oOXRtLUztI9ZWI xdP3Cq7qvFOG6wRF+/Q6JSJjnamJy5xHBt+o7sXwZCspoAlbUexXjg6kIpCax1isROxQr/i6vqzf qERGGm3pP4obEUgr+IyMyhCsqFdq0IFxA1zew7j6v6SUt+rAQ+hUuIYQ3wd9P1TRf7KYVyQrTLkr 4RWc7xVppyowGZKs4R8pN2SVTg0O7zg+BUnlN0f0gOVjGQLCJ3vHmb2uEOY7pJ/vjal4UpYQCUii lK+pFvy3/s3ykdAfSRd+qWp5D9enAss8M2ytw/vt/x+nrQ4rsK+ZBIr5XV1vx1qcarJdHNp78exZ REIKEPTe0/h7kezQGFF7r46P74kzppGC9VdsCdDaUnz7dJwBFt7H8NnpcBVb+Ccb22pixr3mRUjM 6M3j2cQpIpRWd9JB8h4VgJZ7hi2+duFef7j/HgUSBw1x47vcj9E+xkXZlUgKLmex/6sYGfpWK3v3 Jzq9Ri0QcB1zpQJrbyDI61yQIVUPcfKQcoAQU+kK/Ue6CkjfZsHw03UjNOBbJWSSMjmyHqAFE+Dk Rz/DgJjpgakWGpnJe6AgYYHkhuOL6/F0UCUzVYpD92PjTu7lXi4a6xdL8T2z+M5+KXz0uANdXaR4 9ujT6lyNlnYDxPk9WX805eTb+Vi3wOO020xwJK9ivyIyuXNTu4FZdPJcjFA+EZPpmxwlAV0DcTF9 mIfAB4Dbmns2Xcsl9PAdMjO888OugPNkMlOpVa6TCRxV9uuZY1UcrVFg2Xov4B/xf1XGi24GaIkt jlBoe+DzBldhRsONkkecAZUlqDGVWqrqMptjHxhiUv8gwUHcOGGPeNlrXjTijCuhhqEhBBuj3CH0 CXwn0hSIK4JBmRWzGo+i10syq+Nf9H6sxD8H+E/asKKQr/EceO5OwPnC+vdSMcyTsvcXH3KpKSj6 AzxMF9RCRdXIG/TDn9e0TMIW1HI3o9FvQnytQsRUKbZhY0LKEyS/Fxnb75re//Aw4mxC/RjPRszP Se5hG6KmfAP3l+xPwIsDF8NyS+ubb1xvHwyxQV0ffErsfnse18PU90EYyXgMtDxIWnRtl6VOpHpV GfQ0r7MQkBhXceVzeygsUSyi5N7bPfJfAUnLQUGdGLdtVYMLN3CmzX71rAx5Tw1ijallG0etlFAS wppD2dWHMHO8vXfx3F6jq23c27oQt7RM/V/V5nCd7Y/5+QirDlccevUKcXJmztFuTaASsaCBt3H5 xtdtcp23+RWMV7Kh6NTKOCFlELKS+5795CFDJt5+kz8Q1eL9it+ewYlJhtgoazW4Ue5CUZMRqMg6 /ud0+jBKb0J7rOmEuknTDWUmg9FMCXXo+cpirHjahCeudk2CN/HsHl2mYztlHoV2ghLsNeH4kAhW 35j5HLrzYM7x7bYJD4QwFDrv8cjiGgGL+WcHjy5Mo9MJ3/OXd2W+Ln925+T3bBAA5OWXZympQpqY cCQrgM86INJs6b4p1n39ltN80NkoSIiWPqN9hx4o4JK7HXbqoGz4fUqV9tO0wQPeNFVA2cO8p+0Y 3AiqsBo7YNVCfGRqjz3BoQRDhuu9j2GJ1AgikMs9XdPx4VOjDOYJ2iwngvvLf0JGIkcIBngLnT16 4RElqFTj4mwDNmh1OqFACNETZp5HtKr/Esvqvtj5aKCBd+v8ZZyIDX0oXzq+oJdZp6PntRZv9RQa B/EppK1tv8WraARmb/prTTIDRpEtoq9+xDgyWn2L3KoCZ9Y6VLmZrNiCGLKNoAD08fhwy0YYRHm7 D03CKx+kMI0tiAtPlb7e52Ql16FXmiKQr5ByB+vfjLA0wuS7LZjjbTaMLJtD8Uvloj6bYJNp+wJJ XOchwaldoZluHfLZH84MPtooF9h2Xlxs8aP5v8KOu1fT9jX0r2NlVLKsCnZWiDz6GYiV+HtPzEag S/ISArHmNwwBXGhQtW2XJ2tmixb4k2JWEgII2uQrMlA3vQPsHqRdlnnAKhNS8cbPyE+xKx3qxiQ4 djMzuH3O6mRRsWOccGjVFr8sx5QyRSe45Eyy/++FUUZtsuIj+42Wm1hyqcxgEBizd4P/7o2dE2ea gJtoyU4Iktu75DyPy8JpPZgUSRn+dtaoAdmNuw+0IxhvWAKED4UA6zFebCbNBh8D0mHaJcatJnF4 s5RqwHNHW45d90HoluO2mbkef0DlkSJI5TvfJOxYmI1UzrDfSfv7XV5pbncp2wPipoomBdOB0uiD ElWuEMXzZh0ExKXcIzZH1tvwAgOT45KNvLpJ5/l2CisrXoVOOMuKKAmLcPA2WFkHl2CK6dHX1iZC FPA0lZXi/AMyHrgIM/jk4fyYbvT6QaM7KJdrTFi3b2Brojb86rjM8Z4yt96OV6EfALnTSh5++Wqy wIyD+5OyZ1rKxuWQQ8GMQT1UuF0D+sXKvx0hUJuKQVDXLffAXlHcrBvLaxHD9MOYUvTRQGNYXQ9A TmeWoXA9veQg2+vL6UnzrfCLwUt1u5PaudCPzR/SpTrYJnRsd2pH40tbsCCDNhFo3CVRkF8joySx /TZAg7fgFELbaG6Cv2jj2tzX3yNQDiOvHPOmLBuW+VVa88hzswGCTvgoYtMS1Gu3GO+nfnRLhqKX +LefDrX3C7pSn+4cD2LFtOaC/OVf/QDF2c8+TZSE2XEFfNIf09kDXrWYLeB8l7V/tO1l1iSEFlvH NMfJ/TkxBwibTDwgbP3T5U6oJRpPdNGw0SNtH6U1taVBXbwn4Eo+CBHwsLll6cIr/G8z3VebLMKv gXsGtC/FppDguP8iMfx/eTwGa/8bi/sOR/pTLmGO8JQ8wDD3XuQWHJF/HBToYTdfc88ykb6pLauW L+lvibtFZ1oGE9YcWPRtyQh439o8WWVoiK9ZtFu0AOjBiUETc6yEsZ51AroBjFOKSNCaAzo2xWlb PslRtiPhatS2dQtdcN2UIZWSQLzgfcz/C3qRQEmveqA/l7Hgx4PbaOGZCc5ORMl6gP166uN5oZrP a/VqmL1TpsefbYoU8jRotZ2kwmNgRhGBGfLzcaNdnNU2gBzb55dX/dMBMPhOrApwOVPtx6y60exo hSTkHge9bgG68y/16+eYw5UBncRcI50nCXX/qSdEQirVEW61AbQ8CswtTwBIDLM5OIMizklIvf/9 3hkkRpsAKLPyKtFSuhgCgitm7G+dRyp5BKSyQa4hlxIq6ynLPinQnFaMAC5cpU6jjEVdZvKa0d7G Kpbkxiace+RK1rU0+2G4YbQIjNCxlq2vjZFJ9GHgQEb3W0SyD+6/vIPJjNxpQU4Nyvnw3gnpGnoe H3YioX/X3bzH+81YVdZhLcpCNGmTaFA0mkrFuX8cQarNztMORSsP8x5DA2lcDjAU34OpU1wVp7G+ +pd1w3P5UQeTCFECIoEoR3ZyFh9NUk3jKtE9iGRutTiNTpShRrNE8wgJeRsfn5JyXKfFku237MgV 6HRASQBsi1vMtXg1wy8c/v25ioNLKp1EdSGyYLzu0R0QO4tZZkdXL18A6qgZGZJE0o6zOBYHHC1X zk+a221wEuiLas5W7a1YEMpKtoY3yrrTf+7TI2sGjGdoiFwet0sldaVbfl//aGG68SI35ETmN93M i+6Vlhz76vB7mQxhH276Rfzjt9J0CV1MsMpC03cokq9pYdFB037NQ1JuIIlzu6iNx2psQCdEpYcs xlOduhp4+euwSLKd86U/H6uo5RUUHno58Xx5OaLa9SJgsJ0BA1st/nnoQpIFcN/feUjZ6Z/RfOwk MLk8ZEbLnc08XLXVeeoOuJgCbRyH1RQHrM4Q75QeedETXRtaTUZjPgWcyjt0cA8Ua0ihmm9b5/S5 Umboo5WCdg/Xvhp2qzlVHy5OUjBfoxaowDAY9Swnb4r6Zt0vXodSJayLgoEFfjDDmHT3doCWtULy 16jvY1c4MOlm834HzcSumWNNNwXElSAm1UCHoZOCz4F4PH9cpto3B8vfEfqgt0twOQc5IMGpD44t bDrJLjJiAhYOlUhItbQcmDkSDqzQJ/tdO92gBeibNeopLO2MktLmb5DKfDb/dKWKjQ+0yCX1rxIK pV4LenPIJzf5aVY+YMpfUg84vTcO2iguXnLuzSUhCKERMsIg57L7pYbMetNyey3iSddGpeiEacMX We8V9t1XVsEqwfy+TNwxCkJ2G9ulppIE4YyS0vpZmke0VpaFHtLBA+6DVFMXUd6HrnAo6UfGHGDb h5nqFOE7yhGtBvYJS05yjBC5wp18C5DfTXMbgKwAlvaDku61dZUQNDvhHBWWERFDS+9gwgZTFrnV T5+97zrG+msuVqzMyN8vyQeSe3p0no+4BC8iMXNdFG6vPuA9wbtys1k51MyEsUNhDOvED23MD3fF 6acjX4zfQwQ65M40CnGKmw+ono1F0GtsIc3bCIVXVhWHHEchzod9zXK/EleD4gEgckqFLHP0vrjB Y6XiELCTT+C4u/5qMQZ01NWSso0BFz76T61nTNxTCIbQjcHLvdcZuLyhx7w5izr7Hqr8LPAfaA6r cN+qRkom/SwK4TP61zgfC1/11Aa58QSz5ky6AJ2oYM/kxdu6eTsU6eZfYlzopXHOhtZGlj8zPWEM YyeL2ljd1SxACKreFjP35LhI/ilup3510mSUMfQzXuvEhpwxfDrukb0tZDkG5VM0ask0qXhmQ/rC YlAqAI8DxMl0oNIY9GCeKFq2p6fNxQVnYA3nwB4kW5dhKooKCz0GBeErPV3YXc1tXXtaQ/SU/nnU Mc+/TMPxiD6XmN++neJdVo+Zexqg7kqm82Djcg9lPEFWzp3uqcDjDAD/OqaO5Oxeu4IowuzK+kNt IocZ12rRpXwYsHLeLgDKKYsdX55s+VDk+dhERAllXsX7pOX2LsHcRvZcbgCPSfszMpy6uRAFW1+H E+DNGOtXeIl/siaAmJqaPgKxRo7PHDCcXLKuFEqkG8pAKCNLh/5jaeIV9yLBZHtBS6Y5Iy0c085u 1dhu2Al8B20HksDudlQrANfHwgNs+Z22v8Gt+gaHzBXX2vScuap54IVX/91Z3SBQyvBKFH/l5rrD dnRLPXGcN9um5uMX7pDwu6NTDfDkcYlPb+milQXd6oNpm2pWtJzO4atZQ1Re9fDuKhfYkVwR0Nu/ GdJu671bBOoePbaebxPBo2ApZrnMAI87Df+C56PzXJOJ1qP79n1UJWVlF3IU7i90Zc2S8aR4DSk0 jWk+y4ysWtMSu+DXL+EyphDtBOI3U45Er579WP0lHB6Lp31p/mP4wgizEkNhuq/vr+whFdbqTBut dMtrYxZ4hoEF9XxFVfUWnW41f/jUFEg41spmZJTA4+zttPoz4Tziovsb2B77Bru/p8UFqyi+e/ye l0r+KCGr9vNxbxpytdOJnL1sGNxnJsq81GXVem7uC8wCOgRTzg1CRNmXbc7RsX1AIhB9UzqdEseN b4QNtG7Pi/uTk+XY9S1tJ0NM7J8AsiP5cJT5TQs/5ak8GBfv6n23IDuT7h57HcE2o7OzXbpGT9CZ dKo1EL67lwExWNY5TqHgl/LageUrj+qCuZd8/tRnN5dhuRaiD14f4BgloJIxazbLyLr4lHh1W5bT 4ur0G847vQDkfOhw63w2Q+jdIQTttYg8LEMTANRzh4Qod8BqylEysSyS7LDZpbcXBF/Fs8wExrJ0 GCLlFPmYK+r0G45TWMVZqdEB4TcbPdVLAml7qo+DialyCnzGKTQvcqMS/EanLu2g0DkmWawwSZGF WIjbyTZMdjRMNfHuVoYgJCB58aaHA9w14EN2ntRdyDaLR/0p9+LclzYdqvRM3Cd+ZtHQIBJ9/wyo kfV/TRcn6kJaMXip+Wc5/wDYYjFS5/yYWI0sCsmxW9ljgBhiEDTELPdBoVF2K5/deOTcmFW5fqK6 QdurmPusJ6iW0LqvmIAHTs5x1+vyNv0iKyBYv11j2CLE+WzdNnMlIT5qsp2FYLbZMDuCnNdBtFeW AJcmu2GrdbHkLx8vfV9v+DOv9ALbJWDafSXi/lWujwSS1L4JHlaz+wDLlo+zrO0r8kt0gfBHjL5G kjggSYRMXHDo8yBeoNmLD4jolwvO4DHfDsFnL+C4TLqQpN5VTWnUmggJ/M69qDp/fHGniqRxuZYp 6PGs2Rx8EKoueRowIjX0+CRxFoe8w1GeTFHBFN/yOGnB58KYnrTRJXWvSglPTcmBjbfB6b+et11/ 8PKJILhUxewjVToyJq8av54slS2XVwJeJRLFjOI/aAJLWoc93ibfYEofTo1mCC4tYYl/Q3FvkKBh uXpduT9i9KpnLgZGQC7AoPKAGNqBYTRn0XXGi6jUfG1sUt3MkS2+GKPUWr7eZK45YdGDwLTdhdKk zcwLDEvnPgAZ3ABAvIPCyjhA+dKtmVoYF8dgZpzSTiHEDyDMWVPpZSDiwQpC0ON+ktFyVqb5Bef/ oA/nzlw/UbrUnc7YyPpjIp2Nx9QyZ5dxjyxoQCER4Wuzdpm7iEu+BKSrIn56zmhFqiEpZAih7wS8 MqgJfHZCrNa/5/kmh2S5vuOU8CbExoeDLISIRnfSLrStW9PRx1zUcpgFU4OC2HK1EOlB7cTVnpuk GlNGKuxdpGCzRxfE35Dp/JyxzU+fwnOPIJgjlTI/8OiQIHtsXTR57SwASkOrUfe/SOIBrj3WQjWM 0kzw5hoTB8+5SQByXOFVYvP/9xSzudr5pJ4WbVlXV8rMHh4Uj3pqcO0fytV1+Jt+k8CywxBehfum d9527ni90FljgyVf9RF2bTVZzlZCLRPAYrUA37C0moKvJF8wCHZ+rc8cPf7jznbg0RR2Khtl+eCN 0Vv54TcfKwyMdnjl+YYmjfNIsdMgQ1VdUKhtemjX4twHUSbSxepXAN51AdKB1f0Obo8io180eT1/ fEugty7pkDFL5sOR9MJUZG995kYe7JV4abZqeQfVLDLytNKsW4H83hwLZrkPlMbaKPkQpW2vwzFp DRFuMhNoSZ0acZ3fFvYwmfM/vZdIUQNUcereKWq5o1fgxPr5+Iqq8w5WDKHAq5tDJl+2vO4/m4wV CNLm+oF9OuuCktdsAOJhlQ2d1IOYzlZWZPHUjsQud8259/V8iyeMUNR/p9wxMAEqTvUvbNMApbeC qzp1KKFSmBSooHbvv3lEhKevwZ/vOSzT8UTn8L2we+mAeRsAi0XosqpJekLj+HPmj1V47CJL7s+4 2DCRnnLhC6UKK6YD2cTwm6nSrVB0rgaH9NBcni8HaLcglDJq9bvJmshO6fU7Gwj3fTRohBPDQbq0 T5kEMS/HZevRhf//WFzUVceYvXvp/o7KRaSFvw5DzvsxEJIl2Y35Tnqen1f8og/auXOHWux53RPr LjxSbgb42/ScfZBW7Nd10Y3ilmqNOGpael/eFSYtcPnz0jyPerdGVUp8i3BRgzisQyy96V3r91tA agtMBY7oiypby+qZUQ9SNPdg7kQJ/e3GqUnhf123lYl/9jA2JhxEOJJZvrA2dUz/7PaG037zZAFC WEJs7GCPtcCUimM27LICQv1VuTKQPE6DYpYx6caX7oKd8khh4Nu340EO8tL2lPtbP8cp60pnGyai 4BzzS4Niv1Cc6EQPYR3XwbPYFv9VpDnSTbc79nUGst3oObQe8pDmJEn1dgzcVdzO2G01L0GUWis1 WFIkYvGIrhANN8mhkIS8oLtRefSgPrM0IWt6vDi2iDkpL/C7T4wKlM++2BliYljf0uVM5CqP7R+C 8cf5t1l6/0uZPtlcyJuGEDfmgSMObaOozwGamK4fiotdqAnsRydc2hATd4laIcTTxxjhMZIPcM+f Hwre7p3DOB5BVXBIem/iYeyn0C1HIKMl0TJveWsKy3mPcBIohULMBKW8+X6PPyFFNj0TcnGRXQ4k 6oFqT9WTuXe0MplRNC5or1yyQnOtzWT5uRdOIv1tS/j6dQH5sA0PyOk++uAyqINQ5HECY+1bvyii vuLckho2Xe9ZK9b0zuVFRZUHtMF+X65ioMFYpxqG2XBlfM90NMD2VE+MXr0YY5GNEMFeJGrQT5ft 37hL5ywvFWFgzfvnD1dosc7sPvXKHi6tvUuIc45zskiexSGbpVT/JCeDt+FkG7E7ajr0pxo2vSls /j6gW31pzAm/eAoKII2ETYvfIEkNF3pyilRsbElHEviOOFIOxozrwJ4RSo6KR3LBaiuopgGy77IX wlMn8TT2/wHcKV1wGq+QxxeRhVMhPK3092WixVBZn0yyDecS6F93XMwqXfSSyLNwxvMY9W8dK1nT vqh6qWUB5bJlHEurf5/SFEQKkEQ09dybV6tF9tkK0m6Xv7ahBmczzMPRfPonyT6/TV671YhSWWzQ Ks/ioE776eD4WWWdiHDGcSn/SQPT3SjQyrVnnIayRgAeFjXE5ANZWzLOHnWAma/RkoXMgpeYxlrp NTRw9fBvT72QofM5vTqKzKmvulrR7a1x89dx6JP4OyDm+UyqTV0FfHpHaTwGGZOPfA/e9M+jPe3d O7mnXr62UfvdOuW5t8vm3Xuvqz0kGdHwsho1odr/OjxJPIT+Mn5hHXJooRIWgJahfWpj+b57/Qpf avEScwRPr2Mw6p9smSZMWPOKcTr88heYeiOM4N0RfVz3Ev5rIFaeiS7g1PiMUKBPsSbWjy+rvE9u lkudjwxbB6Dp+qWfo642aRk6UyzkSrP3OTxHY3CQzENfbV+GnZKKSu3ZGVZ/YIfmD+zaWJZfL+E2 Jq3PgofxeVzDXTOGlwzmXjiMza4Pw8vr39O68GGBufhOer0P/UArjT2xw+eNfWPSNT4oT8ccv6xH 7JA+ffMy35W7IY2i9VBVtB3LN71GKKr1WjAD7RBhwXH4D+FJAWJhBzOE/jLVFq2+GnnC4XrBCOkn tTt6GtT5oaoim26msa5B3kuwM7Lyg9WNpm1DzS1fMZvVumtSrkV2egByNjjbHt/uxQ64v4HghfKc izToqQiO5tZDMh0zc5slcqpkPSdA9/C2YrGhmpz9BVyu1eyQLkx7wkxcKW95QI9MW4liJ4hyDMDX WooIUjm/Kk5179d+9e7huyDw59OUV14zWT2fFy3kSQvhYCXK5zrhcWuOTxarF4cnZCYbTs99uDRB 7CsE04OhZ1rErxV+st7hngLqbx9xz72Q2Gj+6zRZ4ES19FxYFfBN8/dtAAuYIvH5CWwVmQeQRYyF 7udlyxi6rmGABjanZSI3FFBXDDkkkMuN1GbHaQkMY9iUOsoSnDIbNuLIL+kIzjGMQjjn1b1VuhzC Ok/sBDOccVRgOEkWOJ8nEXkbh1tfT5/N6ZWUhUjJu4ZSMRYCWE5cQGWCeBy/HrmUhkVu2mJokcek vK9tFALqYDwPBfs9wDv8EC9HsZHCocJoCPUIzqOHvqiZ2O+d2ivsWVNm5SnYPfSDu1Z2IUHB2NJu LqRC4UOLoDv8y7bTdybuw11Vet452HkWjWBO9hM3V2y1qrboz90vgMHMXSYMTIwxgo7cYyRGewMC 2Xqq324YfhrnAuCc6AD1EWLznOiFIsbu2AgxVICFIxUOkYejFu+bx6l9FeM/M4yAgfAazNCwJqjn 2frlag33rgkwCOzANBbYONkKaMtNq7HltbMMxEqfwCQ3FlOon5P3LLEnV0FFpaKcdjcQZ7ZqWKwf GIVArdpvlR0aaK+OMq0a5Zxr1PdkS8pT8fhzZ67loDBnFnVUsIiWDIe0lVrKQvaO77RrEMa1rgOt bG8MuLQXCw8ESIuVl4g6EZTFiJK1nki511Iu2zhkz/HbMIecgm2mun6ykvY0UkMSLa1ArWllXpod pCGBJqqkG2B4MhaVYeEhd4x62oMKjaX7zKD4tvWgLFF4VDq4IlJu9BZrYQTnRWtpHMq/m9w2fymZ lZOrPDL6DRtewSwnoi6J0DTCaFIMD6OKiRWWtfZzQSbpc8DiAs+9Ry5rPswJqYOFyOKwDCw44qNN 8ABZQbInAGNlRMGJ0obu2C6A4sVnYys8B+uFShLNcNCCNaChOTHvFq0zHbIFob7ub12JQRll8OjL ldF/Cya0wrdfpPIu31qKp6MYhU4nQUZ/Jsbq5hUJmQZbDA0NTSnnlpa7oK1vRGxoztyDxKpUfoNs oQ8mmdqdA0lp9FZ2OLmHBL+V9NV3DzqrwDtWHcvY0NutE8t2XhbNaqtrlNiOY4Ezo7YTZaGPYDUY sluyfbppMQLXBBguKduLMl6uR6YsiDDyLPgl6HIpNqOf7Peox9hIVpVLO4SOrjmWRLziTZTJb5gG xm+2ZGduPpPEPcRZkX/FRqPTcrAkM1hmzMdOIub8Yvbpvg2GMULo34hECEYjC8FzLJnZj2bxz98k 50kTfzY1FTKPMCZre62iCNSdeYEw2PZsdZjX8nhhMAfb1m2th1GQKgAysxQiu5Wt93h6L3t9nm12 D5q9h9yef1FVWmUetf+z7kznCEPEAsd1EtzMqqDyGeeLFaV05tNTbVpFtgFJ2p93yCLhpl0Zy6vO hfgVDgQfXsKlHfa2kqiwlXtDJNsh5Z8IdyQo9Tqq5xlYGJbjJZgnrOFLH+mMJ6Ec6/TU6CWNTwj6 L5MQoexrfRLAK+djugisMAK3vvxkDGwHKUSLBPNlOeMZIBy7UuVMh4D1K7r7wSwpdXngIoW9ErVp eCyNkuhhbbLSwh5MQ1Y3opJ8EqWP7HVVegfcCEAzVS2i9aTpiH5y2jbF0ZIY57eM7U7zete4e51d nsJwXTQVTXLJOHZfU8xdQD43O3oioJN84R0mkmlH+WZuJ1gmL/OU/MJW/jtRfnXx3OcD939ijnH1 kGoTkoo5MDiEC5XJLZ28n7DD0SzMK8VE6fVGEf1CwzzXpUtvxhUDAlFhmaHPX2wcakfI02BQIeSq dUx/5M20lug4ChtKNZy3KuvlHhtbc2hgmQm0rurD1D8x0muIViK2Fy7/zxYSan0YNd6ceJN9xMIc W2Ikd0aPTszV61Rkh2Ulc7/E0YHgj93WzJ7mZIlbcbZd+5WtL5yWWlMvl/W1hPX+k/gFGyc5h7Lp n7clNThmEkrQwX225ypT37fmIZbxfWeQjV/8Pzrn9mIQktBq/t1NvCJBWuYuAQ2VWu+C5Uq4EFNL R6M/78nHMg9JuArpbnxbgVvd8fyLCSjWT1H3Sg0gdmoSTT6Kn8mwTVVRk2pIwszzSbNB4HFMCxQ9 p+cENST20AlDKIxs8OVRwZMHoyCAE0K787wOeT+7YkCoD9tiTLqQZ0WtUfL1HhGwjAlZ6dXP3xpa xcVImrlO4XKa0YOCgYOvdcvhc2KT9mZTLUpk3kGzqV/XiskdvQBOjnhGJlE66R2UxY++yZBj54Lq uG3W7hH+PpwQFNGB7sXTkBrkVHKYe6n2+Actnvtt89+gbStJATASZhyzBvseW216M28CY5lDAnLp RhXZPF3JSwIn/vdn0MAu6+Tz/VU+KOw3Z/GPiFh9E8k/wb7h5O0xRueU7D8BgbqtqSoYwB6GCM0/ NJ1KyIfazkxZGfXN+y8E93IoPM3uCWk6ClcXtuRwwY7x8abGs2gmTbysMChFYYZHo3hNYfulFvCf qm+iRA2ovfPAFAFsHsqVTmNRHxzV2msThmO3MkZrccbuxK+B3jWpbNp5jYaKBPqVVGad37IOQ6UR w+tdq89paKizLkY6qhNDVKfcaWom4VfFnm9W3Jf5ayxG1i8DdU7IdbpEaUbYrign9xorVJz8D/9S n8DK3rUGhBfVsht3WXkspukLwnNdFwSfGE766T+M+pbF+pp9IP9KzLN3HEgslh5LEM/ZKKoIFtyg gwvLHPQAtnHItWxoctWDCurjNfaF/5AxmaQdB7sclaqZ+N4DKZJgG26K3YoFWIlREcvtPgGvLhTF Hy5ewicP+hVm8ChtEV7Hpf/jkFSYHlahloFj0nHBo7nKlljFsGmHSMKYfPGuTRQFHr4BlwNpAj4Z /0IHH4ISCVour/bSJtQTmWv74tfMyrxtKfbPW6uwWTSgK6UL4o0zb8M0+f1AY/RC4g4/Pso6vR49 EDbJ7jK8ZQTefGIQUqFFiua0k+yH8iyZPAUjX+w7yGKLFSP6yEZDLJ5XRwPTDa8vNhhj0RCwEuKI UlN6v87xasFHvageCRLPRN3cQMkik4fya3JZ/tB2MHNbYF6YYPbRyXiky3TE8nCKe5B7cCFSAjIy kzG6k54rrf7PLAUCOFNvMOjnHlmzgj+gm//q/AvvJoEtOSVmxJJwZypsMehGRu9yqLaEXcL1+oC5 GsYRXvGnP2f1tB18bVem+D6bMG+RIcUTTaATbWJkRwQPbLSF1AORIXvpekrlJrZI11khNHDvsr0m vxd4oaEbXwd8zxlQdTgXw6J82ZrF4fInGgBUsEDmKnTdoIXmKpTbdeBQnC5JLbJuxzzleZc4mCcz nU5H6+xgOpkRqWqhCNxQoHJsjevhh7aEcIaa+T6TErjnZ9M4p0KCr7N3SAivCyHF7mfX3l66ZCmT PV4nwmuN0dRguXAa3qspfWX1gJbav2oedwRSWgBjDt2Ou+2flmzWHBEE3a8rVpsNlABAyCyXFXk6 IWG0UPr5qEiggp+IDQS/LDfmW7/xFV42y8gQQuqzORdSjxjQwrR7eygmIBfvFDus5jdNwwoPUyC7 OGGMZs4bIfTS+GOuAKbIrFhboyTkyXUAu8baBedeZ6gwBiaKLZlfQanrkX0TLB0myK/DXONGsQN4 imB3qr6hM1WbETMnuSo4r7s0FL3Ato67GcT0Ud4oLKtfMmPKc/kay8JoFoRIA+4ca8HycZfghDFR wISfaDiTEzqDvoOUc8I/GTca4S7SkKZtN0K5/4aWz4P/U1a3ogAiaml9QISJisUzeYRYeSXMg1qk nG4+FyObkTN2joXP5WkPSrL85uDSKbd1GwObRlbI97Maoj6/MRPGeHKXnDlCxllBk91X0fFBgwez IjiXkLH4bZ2by+57iIc+iTRZQbYuKLIWibeQmGxYxXQVZtk9SSCur5tBAMA72jsSAtsILBSr6uyT 0tSZ+k2lVTeZEDG5loTYePQB0tDTJy3SX3TZcI6EaIvQDQh22eMbzbuY41kZBeT7QpHlmFOrmLQ7 6l8Etp2ViFXlm3NHtH8nbAR+YhB+cQ9/6aDQtLUriRa5qdEtiJ0a6yUi0Kk0Q8icaIcpGcdgM7Gu kgcKpPHrtM8zDQTFbB+3D+TVnVHjoemN+qmJay+4d18DS/ohFp/fzsYgCDc+zWrTqwAbrpn6ZdSW iAzTP5bfam6RdYBZODv366n/W0/sYV6niFSK6PA9v40UH2xUrEzvZWqQhkGYbwneaCZRQs5NNrqD vCHZa6JRP9rd/RyPGpVQrJYSKdD0K1wAygfiycUKd4FYngr0rbiihR8g2a26UR/gqVB4/txS0ZQ4 /zTIn1AOlPEhfXwYuJgCHqFAwGOVUQ5ioSUzCprNboh9/EBu3jnTEAyiZuwhBXMjSXDT/R7+lO6b mvHEjKQjDZTApipaMnQU6sBEDKXsMfTzlt6QjMDSUe98+2jGNx0kPn0U15tkmhw9eRIyGHyNzRCD J5QQfySUShy16CPDFsMEiC4CoFeWuPf5n68I7GjznIrezfvwd3Y28jBgJD8jEo3yNBkm/2a8QRMO M+6Sjf82FI8e8blb5DUg0IMv3zjPxea+KjcnwophxcXWuiwm2tXB9sQUvPeq7jOBOBUNbn+htlgi Ode9PaobWEnStspsZLSvYEsO1rZE427uniHL70Y1P2ORyjfrLZFZWqMWiDm5Ha4HmES2ud6FDqxa JVlMjvXdQSKpkAZVAAg+uugCXpqQjx5M/UWYRSTiq8PqYDyJ4ymH8ho9NVIqAqkOto5vyCTy8DvT VD4bsNSakYa8BRKl2/qENQYlCqwnV8Z5KS4UNLnQCJorjXwovucGPbnWzSDuP77OvHfArUuUfB/o h8b2tQkNRYPMWC5GrWELDA2rfEyfBMlXfjx9hklCL2mqcAWZ2gRhuTF22i3JsjLPuAgJ39QpY6NX sRthgC1xNLqoRsXJzp3EmRhdY13rTSJTeBatNUChglQOxZ/oNAiWtQBtuOhKYZHzgz6q1lry9v5q 7leDwr8AY16D8IAfFYHpdfyVJIkg65oSckUyP7BFm2Lnsj4JZ/tjYttvjJwlgdiclQvT/TGsjAVB mBzmu3gOD1xrS5JdUksu5J4ObUdtrW8BbMPOFRQk+IR2Eh59MXUAvoGYAZW+ER5bfF4/DZ1iLJVT K5Tiv6bmjm94VXs32RXTZOcFErfOKTka6O+Z+7brNSW14Kt1QjD8hjspkCdrkbXUuGxToOdAfDji VzlJZn+oiTSddUOCek1rmI+ZIS2GP6H49iGO8x9r4mHDxrqt05Z+E3vj99H3MXSj9efBmO2mZYBS ZfAyoJhUWILAlPG+N1gzg0ohLhnwyv77yrlv8lBeEVvbLwKXieCwINjEg0LuqpjUhhUwLwarCZqO VG9iBMjXflC+wOCPQrdP5tyFqXDoW+wRl9BU8V4ZjdxtjnTdB1RBFjs2RNag4CGXfmchLD0k94k0 47wvHTad8y7AoHQSysYTlUmTt7iBGSsLlHniMNZylnKDZwkyiSwE1kfOVKC/g9efR27aWqq2QkXp DB0w8VmfH1db1J0hLd3Zr7tScjoDUTyn+fsugyvt7+vE73sQOMpGAPVAnOY7rz8kdhTZDqkRm424 SKK5xo8cVIWeMNP8gaUI00Tdt7pVp0g+7zXWbq2DaRiNj3Elct8a/5JNoRZheYNSeMvUwr8f6A6j Uk1nJlFA8Okt/Ww6nyJL8WCdWWCCDqSPYiSFS+pAO1Erk7srFPbWolbc6B/9eFCMBfPv+TvQJOku 4Auhxh0dF8HFakuWfTNJNGpwSjmhpIW9f8NkbRo+mCFPu4V9TpTa4YMkvAo9nv4sKbToN42X2R+i skTCIOj45nfMsG3SkzgWCS7/E+jgf4ZAPEOBKqFoQpDKxA9RUsnsS3ntGzdPlPgw0F8kIsxaPjwd wvXIZ4uprjuR7WZX5JB3sb4EFI14bEpm6uSzKUoghMLT5esURTc4lZ0Tekhrm+xX9ka6uRvBmKS0 gB4gVteJwCsqEooafdV2Bni6HMDRWj2IgXgQYC8gmbt13/20viM9qr8S0yjzHfp4RqDeWq1DC+wa yl1GtN/XhMOdLVwtIYmlS0bwKC6XnZFHXijPOA9YD4l7JDd93khZBDmtiRErv30zuPrE6J1g4Vsy vNnjFsRp7LY3GiYw8jZFNxk+nCsXEoIqDNEpx3GFpzeY//kyYRvIf4fo9hm0MMxjYQtwOTd93dRu N2mRiu+eaEfBuJM+O4Up3wF9QcNtu7b+o8htsZszxBHe7tIWqeK7wTBzySpbgFd7sRmRpQI1iLFq xZr6kebZW1CBPlWd6wZLF5EpzgjBOIZuKvZANT5Yt6DW2NQ43o193Iuvs4UQg7kmI59c6YfEPGjz kH7MBd4zrKmDP9SHNvFJfE95pNji0nMrUEGFmSnUXBIOwgBL2r03aoqx9b1P6MVH0UXI7l6Hkhcg 0Gb9xwkDrlFY7ScMk3yGT4qMPVxmnOxsVglaN+Ha79qw6dEBorZIaXRayknIOObi0UTwVFx7M98f X89dz4LkHSAn0JEvuX5rPsHZ9dMEbwgytFOTueG7I85KqXinwERPvmLFgtr409YdiqnwDTn6+BmE jXPYoNTQ0ryO4rPeFT54qAh7a6Y3zdSm5xORb2wrMvRaxo3NIZJU6aG/dF6Cn/DMyaFJU+E1MngC Vu5cfFKdD1WzQGniDXMuXf5wtI1rO0vMjBivlOv5XT41L8QSyJeEJpqwpzXmdB6BPa6c58JxUc0T /rmWEQpavZVEtes6SW0ExUbnyvrQOCQOLMuhpFU4J37FZT00478Ir7mr7E3W6pBcG9hlnQpE2RCw Iny1GXu6G0JGiVXWj9tbCAcVZbPonR53L9ptuANI8eLtysIFZmxgTALByYhUVfGjRCyOHbxaTWrQ gTN8KPy+WqtOVu/UKOP57PiKGTVZmUmgcbTnVMVTttTeooJFkWyfTAauUyHfXl4FAueDK2Xjxj+A 8MhkOQE4pyy7BclL0sCXAVHGrzGP39D/TXnqrfumpeISwB69xLmW+7VH2WSYeGbWYLrhPSTm8PQs xUaAzRVRljKzYBZ+TUmukiZ+o/WCOJ1LIVj6sp1EmzEHg0rBpL9fY90JtkCySNJUCyvAuOSGdw6E 51EqvIv580Atm2zIShaNHsXlhcSKFFepThXcLuew+RUdBEXVbsAbHOy51mt+FK+waeAcKt8TJoGo ht3cm9CVhO28OLprmIBMStjPVQDUyBdv0TyK24XXWHaFb9G0L91KePM/PXgZXxRPPlkOjpzut7UK v7tU8lW7GwUHtOrtvbbhzAfizFLpDf2CO1tgLIWTTtX+iWU9sKolp1gyb8eXdyHPjOuk2uLzuEaj B6ngtVgCVQtWChrOvAgSksQSbWdH/q9JrourZuBdGIbmNvqVNqTdM8fdH3CTlWmxh59Qp6hdC9Q2 yCUGhQIU6GiAEE4Hk4F3TMtHwX1ERPU50v9qbPWC2A9tleE5F3I6Z+3lLjzMstqUJpu6SiuhCuoB 5oNt1YpVRtCLXYZwpXMOoOTZYpC8hXMH4ophKli9jm3pGnUA4rM0C5cE9WPWCgAPIFQD3HdalUik eQxJqakPSoJMJ1JppakHEm2sYMSfjC1tkgm6w+qnc5u4KIodoRhKjaQQsI7b2qfztPCu6mdJ1sqH OtaHo6wWeaCIaNtxBky696ndmPPaIZoQaopAT5jHf0UizrzrsOPnc2noVSXxzxP+JbzoVem2OAlJ Peqz74yW85jS5oL0+qb0+FfQ9f19fmWxM9rK/B9E1eVAYmAmorMP5KdOzbks8WzCDaX3koXVa/Hn /cYG2OOWmvIa9hC0CyHibXDC2q1TiEQmmTt0a6/Z0vPSKs3rMpbzqccbLHL3zjYUNzArUslo6x1D qALvPhqu2ApuzkthtLltiRgJHzeCSj4NdegQ6RP2J+Dd2ExDom7N41QeGLCEtJwHjeFjfGuVQh9K 1gIZC/TMIunCoKkjV54zx585ihXSE3zzLYMBgXBvXfnDOmXXHOnvHQTlQ1Q43I8LQoGvlkPdYPqG yMy0fDZMd9saG+sNHFJci4FAF4LmV9cCKDOuS8SZa2yquZjo1ZAPgq4OWKqf/1Mz0Mo0uHx1EMOH s8Cf+biOCwh601Y+tTNbNDE2/i2VKxOlEiPtmjZgNYz2P13FdS28/trLj8QDQko4dxErPzlEHosx yQ8ZmCsP9bsPrnyDHrmhwl0UCLe+Wvkbs3W1UorWll91FmcIBaBH/un1Fpfsf3gpXSs7y76oCPlM 9vM5Eb784vMgIm+dRTQI13yq28FjD9dei1XArk1R2bLcgKiID4snK7sK4vyKEYKh/Ch+ryN80Lxw UyWs0CTOc3GpPrb+fzOMwkB6+/ZXI2f1iIRfJ+iLa+M6pC5WKwv+7Jf+4Fz8DlOc1V2jiPkNueU9 K2i0tvWPv6DPPZEvdoqkB5R/WxEvjovIVEB5JKCY6CG5Dlg7fAw0YGKEixXRdHtT55Yzmch37Ugy C+WXEeqJKsASYzPmljwiQlokboeT8Gy0yTjxR03JwNBSCCP7G920hoftoOyS6vQEwJ1njYKQBJCc dD1gjoYg5dCmz3NsygGGRjnfBqdJmmTUiii0wE9P7b04CuGCsgyB1aamYyodiXy/2l5pKSxO6CN0 d/MvG4DX7esIpm/9kA+MOdMqRsDjrBt/lS+0w7Rnb5EOe6iUR2qt3YWCtO4DsAlb6s8dv8ryA4f6 jQ7yNXd7DicXrQbwJjG7jFXAhWz5hU+rtfutI+BgLuzc4n+ncbetPBuzBbbnd9SsGB+AuH/ypbjE 8YniDOyvJNHRZFXJWOWU2UhuW0EXGNg3ygDbLPYEgA+yvECIHf/YGV8yo/SNmAqWbu+bwgEhgaNF NIxUs/fba0JWR/cNVt58a9rQBdinpYglst+ar/+FOzRzkkWJT5bQbjpZ+eQiRbsDEKFYrUJrB9lb QdFtBktqVxPn5OvZSOTqPmNsInwbY7luKcrRpIBAOB700e7eHZpX0sMi8QcHDCUTMTNiXV3HsY/7 sWx+nKS0n7Pj+RC9kuUd+/57P8RWoJnNQvxTRkP/oKpzlnFmo4OjkK9odUfnOr5EgnV7SuHzPG5G YHKHUI/6MATR8t8SAtu9YFxSHT3kHshMK7q7FA2aa+uZYysDMyNW2AAX0sM6iKiM4SHrTAZamnh3 6J35PIfMyNLaMDinH6dGoz+b7Hwbqwxzids4yR6z3i7bXJ/a4mrV6dK10v6eDNmHYhptt6m4fNta Lu8OqvOdYh8suRKVBlJnYm+jGcrQzozVDfGMZQrxrPYB4u4+OwbUB9BneYzllDZ6jkX3hfGRSMQG 5qOXNf9hlAF3Yhsszq5bHEyFyZ6z6tdIU5k+VrgLNPmJn48M3+Cm+RR9AycwbEQP5ZneAoGwS0Fq V4/hs7hAmEAZjNsYvW3z75cDm9Y86N14lDKN3XvQzkaOLAeI6NZtsGLGXfYBCUKW+eB7HlovC8kZ QY7BkSVeALRl40MboQtddFn4bRKwVMhuITVuOpewTuVX5u/oiqzfqERIC298kVmPXLWIH7pT7wIt tRMeYCWtu8QgY45wtru0PRgEZPYmeQiWRfVZzxjEsbfe1HybUSr5laJpmtduQoX1xM+QDbgs/ovA bZU55GJfbuKU+d2uv1kyB/F2hLoeCJnub6oWryez7if/TRRYOoSy8A0pggtYQZWeM6simQxmRU7J hy6xqYwB6MZP/YEkmDU4gqUn1nhpjzYapThupLj6ibAk3pUyu7QjanqsiWem3lfg3k9Xx13F708E eSK2+J3FQERXkwxaBria/WA4dwTtcDb55tjiDC1X5V7ylW+ULjX0tZ1FbxjP8vFm5nJvzFJoYCed Dn1tWr/tjsuLhcdSg7Llt0jKCe3D8KQfIvJtV6iGzZK6ODYNzrUpgC2gzCV7U+srWRuxiPkSWtxx MSCVxASrjek97EluhDQ0L5s0EPfonBpult1ZnnhUX3TpoGa++CuV6e/DdmuWI2pbpVunrHgy/yz7 0lJ9LJWYDk9jZFrB29cyxKSt77OdtEg2yJ9pZIuZju3ZFezHxSEbUZJIRmRWpeztCDNv+kAg2w7U NJolMCmL27YJTxuJfTTkT/o8yQRXc4ufBlwmrg9q/xr7+CcTFkDWZK+OPp/92yfOog5Gtg6Xw7Ru qY0+/uOJFe3VTN+Wpv9xH9tLaU/b80zHJDaEyr6ZXE/pKHqwKVTprShUHJw16qLAu5lztngH8N8j 4jp3VRaOlZX64e/R4jUKkfkz3A+3aIoXmM4TcFtg3GnWC0XZ4yJeRo1Zz8ucknnAVJA2920fUlWx qa3+SDxwXidqNQQlCwBYGaMh/6ZEOg5yiBCyvboG/hUJTgJr78019OgU8TFc/WoKL1rFoErAX0Op XISepzFy8mkQHlKxUI9mnTADYungTuLRi9Hak87y5Zkog/1kGVYMWJJJrvNisMBWW4WocLDQinGL sIQcsW3nYfQz0TIgYN4CgpCulI9GZEFozA8bpO1VsXxpm4tP+gZstytiaTSzt49dLXfxnpCVScsz Sj3bN5VpsEuewT+2fPsbUDjDl0IV0ku7HYuigiu61kWiZyU4i9gdzFjuR3mXSYiMdrj8XUVHd5y6 Pe+SWm+XXK78We7zZtjhwV6VbjVEZBIF1ePywmYVpNcqB4Txn8Z2voZ6J+M8CmCt0XGfvVRG5KFL UamLIF/HMEPf+aBoNwux754946DWGJZLp/HK1kVkQYe7PsVvVjwXJ7m6ZFm3ElbU6wlTuttMtgb0 6OIbiI1iss/JzTo7I9fF/m8C1ILlRP1h1avnxxaS2mMpgU/LNNwl9DCqZSACtLUPTO3GdgAnPPne 6UrhX0JYVachi1u3a6zcBGmoRNlpJZnQ09U1drpyK/oRnBsYXC4joADz2vxlPT058t85GrX3kcIT iu4QvnCsf8qcCYH9LssJTX2jBBySvUEXo3O2ujr0VuYsVVeV3pahOm4K3eKTpT+vR6KxTS+JnHl9 Xd5c7wGnUYSFxutO/DktraJggYtAfEMaVrpBwQxFHeb0GNrnBiLTiACvUhdCvpm+svmnQ7iFwRjT tD+aKV5iVNeDOlukuLM9t9itVPPaeex2zt5MtKb3Ga0tIzZmscYs72ooS9Cg200RNsY3JSA46ZJX HiJYpWM8fQtsBEFhZjeo1ynsIGJxovx/0ffv/p4IiolUzPdO17ogwx6lvWlplbm+z+WFCFGVjlOr K+YFUv22quIEW9K97UJatL2Juh53jMZfVJT6kxIIZvyjL++bigWb0EUJFe5P2E8BdqIJdL3YWTMK 2S1gO+rQEmerqH2zRcTNr7OsKUp1wDIlcUuW8nfwpl7vktns170/po/U4k6PAWujURVF+GKefupY mrUUEY4JVFk8y8xqzNYXkfuHLfusVM8fDpt8XGBEgjv8x7O9scqDbhjlryBWnH74nDuzvVF3dctk V9E9jNF1XlbNdgFcQOPrUBmsx0f3cY3Upqh7f+B9SAf+AjQfwSdKe/olMzVO2wuyASY2nQjCsyjz Bym6qfruxlQSEq3TM1gSuhSmm69c9i7zSGHtIOaFWXJLRjOgiiOgjhQKNx9SfppgX/G4GnK/wIES LAmgjMSPyU2uj6obH1zWkaYuPFqe7/0UraaX3IfdxJrRN2vDvsgxV/xdIHqgYUEPzmFd49o4Vl5F 0mLYCvTFA0MA+65BoRxhEEKRhBk/p8n+oNOknB/u4a2GOuxH6u6OzKb04TxRaSqBjCYajR0wRXCs 9KgLsZ+N5/shr3WvEllTuuW7arwBSYAWLV0r3Bd2QmyF4tiw8voBwoT6tdkV+WCM9VXOHrejJCBI z8uyeZgYB0GpcnOQZPgli+EB1mNVWMPqLJHsGPBrqKufIGc21/34rZbnhmplfVMA8Ch7JduSqzu3 i9GU+e6o01BPuJqsvwQZkEUgC67heDvE2IDwyXhHWJrjIFWfBuVg1nZdKOy/hzicOzlPBaxqWapY YjuCPaAKrO34RmL3EoXM4EPvvjtcbef5Ok8bjkUW3PscVLd3+sAk2yVGJzmfl3kALJZkOiA+cu4k U1OFohCBhMKDqkD1ds8UI3E21GHH3LXLas9Tt01oXgwrvdhEwREgdq1vVV64/dAFOgDa0fMw0EK5 hy59/IEgHGpKT457NolNBcmYHlgVQNYrw/AMqoldVnhJZnUpozXRbGbNaK4Q4Sf/jEiBMoRIXeJm 31DTYwOYS6o6FZJ70wX3kErkC9h2qnF3PD7iBIL3T0wmgsu5IVWCTOJ2TdyXXqrHt47eWULxKNct zrkpOSmHv2d6zzO3LOseuqt8d66NA9X7lhtB1m1OW212SdIFLR3GZSJBJn08TUVFZacxO1cy5VKF Y4Ip82MplPs4UZZ94XcoUrUepcSUhFv8nZbAEWuYAvCNvx4GjaAU4/U59rOdRPfZT7dFysbXzhA4 ZDMa89a89lug38AnDrbDc96ednuRapn6DZGdfcTsUOa/DWPxvzVxafGqx+sPg6Valf5Krr44lK7R 9glisa4USnY5wodcYisZ+uU5Q3m4a207183FPlwGqU3ekWQMq1nlG5mS7B8Yq0wbSjkRDfSs42zB Zo3AMwP4Ket2UYnwLteQxSY3KWEWbdbPY7Vu9Wt6m2LtGw2OpsF1Opq+yXybcWWrdBfSiLl4F3IB wiYeHDmuLpbX5bjJkGULQ2qz3V+0nwdrXax3puuzCySjTH+pXafNGWB2A/o3oHvovlqAtE9m98jC kG88zRPYH4jN9LP5djvZj36GoHxgcXzPgE1TRpOTQXsduNhrQIm0W6cB/mvisGqGxdWPb8r5xVGK GYEQok9CvwTus6+mpWcnGxFD1TsbtBbAJtsKkTkQdhjqdWMiUR4dwDYUqJ3f+jqVyw7KohqQPIPX qJ9le5IE39QRVhVXAb33uFuGBu+WWtrzi6FbrBQNyLiyGPZbN9diRlrGNuy/b9/CWslh1bPmiLqv FSjiYu4KHG5HwO1kSfEkAj8zeeADoHIdVWcen+qUVRGx6ufAGVdenvcFPtb6ORr5Z60JVKgS6Tre De7C6zhpQQhvUs1Pba42Vmd93XmorRqrLjewts8slZ6U0maVuxSTdaSzMIwjMV1MF9uNM/ryMmJL uSfv0tvjMhsuynpgZ8cfw9QsKw9v2PafQsnF6OCjKK61BCDpXcs2hGW4AQoKfybshnB8H0q0/LmY UkQnbmKPHMGJJSaw3QUfjkCTV/fzBs+w0r5LX9Nj+kP9tg7H7jQEnUH79nCg1HdY6uiOAPrjqjva O/oCAXZtE5a2BFIIBUFHR8AkolVMk6DK4Q89kXRF5rzTekXoTirZI7V+LON+wpcBG3eGWc0bg9xU 11cvq7hFN5BeRxLFxQEcELut7/sP1BBLB9mNi9Sqk3mvcT3VEZmywQWZPYfVh0r/vYij5IH1NXeX TONiqaG1sggI9t8zEbYxcYoThFYB3kHPFu5U/8O5D/TfCaEb1puufJeTM/gSh2igGk40lJCZHrZC nX86f4NispwQurrn4trq6clNC1gHAvF+behOVnsT4ccfFWdLIz0EPxBUWCKqko7LsTabu3kEOe9r woGEzrwmaOq3Nwo6vmDvGOoWZvt4JfG/A7g5ZdxPxNtFRtY2nyrWTQbrmbUwdYE8OAJ/F8Yy0HOP yfzRU7P2aB+kAn/F86AyPnL07FjwDnTMIMyWcJz9H179w7VeHElJfnMSID0BWxD0bSSo3QjB/zm2 u3DZ/bC/DEwMC8OOCceVBG44NPY+1j6fNejAfGVHsGZyjSGrVkYwwIk5YzyvRl2a3BapKTPeqqUx X9iHLBE0qt+jaRn/VZ977pSZ1c1atLNcVJNptD3LLr+GSzk0+W2n2mwRShcDEzf2aR3TiVFvC3rE nAbXfMNdA+iquW4kGsn7UmXKy4YUsjC9h7gpyP8P5V4V5hTT0CgurMUG7cGoHsNgcd3LOWnFZ3Vs QJtrz7B4vEpraeTQrG+gaEg4qV/5guVWOht/BiaWfcMX2Y7BJfvQXJrfuv8zdjkzzxURVF6JO9uY YiiIdRMNuQcE89W8ZmoxylBVODilFWtHIqNbrolwF2NgFjp1oV4tCPKgKwIqKXk0qgRf2+LI7uHS IJRyhFnY3f27zEdM5XV0R8BYmf21e957db+kEJKfOo3WgK1YWhFX8V0j/7r/2xF7zXU8NrXWscLk CbkL6ECaVgCMkO1rIO2yWlahHEbD9uufdNZBCwrLbJRvOfMx9NMc/trmpLXd+5iDsKRwIAvtsWi1 w3CWGo12LbDq54XLRJFdLwl1+jMYt4ptILMPcH3V30gp1S4kyMyVm8DTFF5MzQwJfxOlMblQgxpF qbLiXlTthn+aVBxxzulONecKt7HoOnIcov86e9XkgY6x9qEazbS0gdc0+SAGOUH+hdHyvRUu7qrT 495aeb5LMaEHN7H0SqhaPTwyGJuLmZMWc343MCxpUvR659/4vOTDnboYdvDU55w6fH1vUyhzqzJQ YtTxhP4+yEs1lIijJp8QQ+pqVc+3lRSnEoEusJ5cte1669T+H1fB3vFVopzVPMwY5B0GUTnpaa69 fS8glvt24g1rA3TH8eDke6EAw+CEoejahVy8b3xavjx5GRcidI0T5VQwVjxNceSGfn575t6BdUaR KnACmMKYvEBd4U91eUQvlpfZXCEUEmW7g9DNHjWllLrhwq5nvxq4iUpKT8N1uOq/QrCB1U4NmdH0 E4r874ogeIK0+h/t5PZDsywr4fvIkehx68Z5uhcN+7hgqu+jOSBU/5hZgq78/MBgF1ThUZx34MlO azZRwbAbx80b1Gu0CmtalG4BEgil6P7CKh343VXyiVO+4VcJzL6LGw/Gk/oxmzjMgPQr6PxUldhv heSk1vXjVIOTUnDalsyzNt58MCRoYJVNcuc+fmqLdXClqPzDaqtTkEqsZa53vOxs5eQ8S3oWE4iI or36Gb2kksIAqNawkAbbcu5IM19AohaByy2P1X19Icfz9JwllPzovtNiRxw3oTR26K8fYrr8DquY 7nhPlg3UgyWJLyniqAzR3k/7XAfLp9mEzA66s+KzRqYCLyIGizDsQ/CktWpsEzaWkrppbrGCN6jf wzqZg7xdFHKKRSldDMViO8nLhT3xHAM2z9Lsnwnvik327ZlJTwMOlEPiSWQIP+el7DXJP+5arnpK /HbqYVvKHvhgFGaOgaoEQmKaxG/zPl37iYLpJcj5gpUSzDw91BSgjUnLz+g90RFHEcRJnqjANoRv P+9xdF7axEfjpnnadwLqGOQ0O8jKKEfqUycIe6VmzS98zZ7YTAlbf/CHFd8kR6RzySMKRYmcLwOf LlbrrbWyU1RkbMeSTMQLsoEFi9/SI4ZFC4rgglAaCy2xBOEJaNPq9WIouSeLjaQ0DK8RWxZF9kRv 9ZoV49KPauVi1knpFZCRd6ZJUNSOk1Z+Ss5dJZll+uqFiDPC8cgT0eT7CHb94kwQnZOT6pAL/Dpe dj9d3ZCBcN4v8/tSOQ1lbcZyvn+r7jg2XbOGxFqkVmbJL75isFJmi/yV9SvWK+2kWyRX5xJmnxHt L4l6QHNwQ/Gvvk2x/dTiZYcF24SZ8/vsuOIvSgeEPOsZaOeuRlWAnOq3qDs+y/R3ue0V5SvkA4JD VzYzJcY3yw49/pSYqB1FZbNHYA1xmRJPLu+0WNFoaSVYvnsHcVSXX8kF1bk3eGxrUW3u/b7A4Oqq j3HZwOwLRVuPAsTrubyK29fjh1JlzR2iNY84dG2SVpUCj6/1ASfoKB/YF5fBw62om82cdVF3masb 6sEMf1NEvsy9tDfVxRscft3rj3YhmjAMX5KxsTazlhvAbDD1rtvR3ijYJ3L1H0ZQcbcG3cAqbanp 6+qKxoODsWD7kEAX2Q+JSk7Hl/GPhn0kJDERWm6S6SI/cmbMapmky9xJEINf1nxqAim76Td2gFhk wgPTNl1A8fMKOrME2fCWfg4mAfQ1PnEL6FDR0zCXeP7lwQ4eFzKfOVujQGSgxvQS7VYT7c8ahqW3 NUeKLG+6niFirNiO2eEh6obMTisIp5yakMy0UJuwO25Getj3aWn37aIiHBccXiLuMJXE/K4T4dTJ NvePtK4GQf4+aQa/oub/qPrpViUwMjjEGd6s2eb28mcMkbtZCuj71CQMLA75WwNm+KTS+qySDTZA y6MT4cP3ZdPMCd1I5T+nSK/oBhKu11HdAyv61eScGogE1j0e+uIx15F9JiXqOK9EwlcPAZVSCh7q 3bzioRStJd4FIWY+foOFqM0v/yGgS0m+ZSDaGW08RV+kf6ubgZ8AkTaarz/Wo9/P5rfYTZKSy20T cyA2ycBodj5nPun4MhEcvJMciJ9d+oTgGJ4PE8RtB8WdeIwZEnBnLUGZCy1d093iYHq3wgviDn15 r5SGtCcsjUgwOhPau0ogRe3V5wDw1oqU2cOtfGdB58XMLIUjaUHau+k/EiPcE5R2ulxOpcCoPRq2 iogtII+qmEUhm2dBorqQcTN6+kOkO0F8lFqUo7GS0P/gCJosyHSSlr4P8iIwy4XJdVChubSwNrnc 5sV9QGJLBy0wnHi1qljc6q+Ebqsl940uxD4I0YZoCJx2HsZMG4GFn4IBngm+nZ8OJJuSV/LqiZXh zKhW2Zkg/e2DHk7KkRL+h+RIPLSwG+SfjB2sQIDaNKvqc6MTT5Yr/e2a7ztIF9vXpzh5GGzPIVpu pPfj41OCiPve/H5VLVhLnin9FyYT9dMfAR1/8mIwqTAdEj4wzlLbhLMgC6us0mExR6la9rK97muG 5e8g95x1RHqEpnNpX+K3MpUZDheKTUdUOll9o+uvdMqZpXAGqvxpqbQegSHatZWwp3MLTpQed1XS LFezL9qYtLo8qvogGioc1HOPzbZViGvKxjBB4ZXgbQgfBpixVQxRfePS5RF+79iQ8XrKg428Pzs1 IS8FpACZYqavuTHRkqoJfI30WgyknLju92GOD8LKM5hY0Qhhe/94SchaeHvWZuH9BCZrfn+pttQq mF/utjK5WNJh+R1X612rIuxANmgXipPdEJyXPF4tVPkUWAHXL2VCEQC+I/xgZqVlVGAgMtenbxRj gNeKnFVlJftM4n9boLYUaEaHLlyYwDx7/cIlyJg0cHvrO22YOLXcVvZUYuac2eWgGlme14bhM4QT O9v/YouWJPA6W8c8JBA7epe0OC06YzBvXOqRSir7tyFH+R1+ej4VhJ+2IgGfzGe1cqixowNcLwXP 8w7kde6vQzwTZ7l8Xh5CiY2scWowoCQ2infXzeeIv+FOXGlV3d8jgSf4kYKKEIDYkBhs6IgFrQ+s F1nbxTzCECfNrAO/E/t4t8dUD1b7ej+VvKn6gl0wGxQTauZbrWhjGEPv5RsHo5kmZIZN2IxhIqzG RfN0oxj+sNdmTiVV+s43nq/17DkU351LWA0FwZe8qkULXeh2IdfVLNSHy4g96Vpmzm5U4p/ClhL9 pSZNmggodowes0J5E3BunYuJ+kmFWXO+qPsoeeB+gfKuKWzavsQBX6QpWSz7FGngiIegeMT8jB7J xf524LB85jO2tmwKi5nsBh1J608GoKwKsKFrCt0ndJ9A7XUSXZN+acZ9KIpQzSdcyNQqzQl827Dv ELUTrrl7+S+ynRrRxB2AxMWjuncoxIFHmTo1BUFHBq3mO4hE0tADcx5oZv2BIerWy6nYmUHLm+v+ BqIuWUlkuASww2g0NjmJ2ZnZSuVNV55U8z3zBubtlbJRWX6vJuvLLjaTU8gqmcUL+CX8lCg3NjFb 43LUHkdBswe+Ew1unc1/yH/IpMR2OkzKpoukbdEskdxRDovS0CxrzeNL/Lw2+MS3/uRs+09SkVr+ 95yPJPcNnCZp7165gBiy4HZ2yOvuDj2gEClL8G7YxAnMxSSuzINx8A6GnCrPoSb5WGX7zDYmKd77 SAwRLy8EWuyK+sKbKEIc1gy75rpyVt/T2sBkzBukOQknRy0FQIZcIcLj2fagfXa6Q31yes05EhlY /MfIEUB8mb/GxMcOrknMdIw480nIzBXA5xHxN7wS4y8g1HqLIZXlmr+CAOKTw32AE1H1iP1mesy/ wTuHdFAx7FlQynYM+OiZY5nykMJdF8/EV63S0hFUzsnv6aIhrPeVuYwJs23ESotMWuRyPdVt476W vI+8XDhEvA65PBPMIAR8Eg2mCrBxkhP8pdh0/Ok62U3g27QMqKc6D25KUvBMHmxDYqtlRhimjpNh pLJ92HPOscJM+iz5l50xGIK6iqpnDpMwHnR4wh9lFFHruDxWhUgg03jVEqF2eGWxfK70jA+BtC/z L1TfSNgU3IHKyf2tYBv9PVjHLzcfMSY+EqkHupKBhKNpHwXx9Q0mwX1SbuN6Ig1/0Ucty0kaUsvh 4YTws40we6820s/DASyzvulWXvYa1XVdNs9E1feFkGA+6lAvriarcb6kCD+J9pTcBlCkR8bwZp4C aB17wBaJBafajAEIoEWub6i6b9OocvRWa/+DpvMjRkiTvUu8JXGFNZ1z130kSk7LDxO4Kq7xGU4M eskC5wtly1mvyb+VzfyomWE6DhxC+CpSkSUKLlwqiLsYH/ibmzR1IZKFDhlrADEPseEFO9ZvVV/X z9LFnAmuv86CnAv0BTPpLFyDg2vAgsn8tiQxqZkTOQlitsH8mXvrLaAo/MlVDtQrmwaevlQYswox ravXWfjC8DxU7JpzTCOVEMDJpDRKIZuhpHdaC1OCKMqTQWXDEu+woSMWJM4DACRlM6Fxx/E/uPWX c/GW6iz2MkZGNSKo6g2+fJqi+q6EbTFrfn39q5LvzpPAvPTq+T3RH2kobWkm4vLa0rmDrpItmJq5 fr0jBm1gIqrKzL3IJM9ul5wFuQdioAJJCBwJAESeSly5dvh7Vdh30kb78vKXXVdKEGKLw23sIfWR bE4/QBY/esFCnsFFFmJdZlZgnSQZanTtMTp5yqHdpmkvf6k2UlGiRds7wrGOI+J5NTJjo/3ReJYM sRWiY8p3N/JjjLzIH2iR9n5P3pr1+Z3nfsSM+KnfvFSqRGGjmiyvnNpt8oz+ywEhfe3dQiEDt4px I9rg6ZAPvhU2h+lFMqGF75edl+cyKxwldtUVi1P6yRfy56BiGEzh6yWb5fFMiXG4JbCvr0DcG5k+ gzIWQ8dvFP0YBKGrxb8fxQJF+mxmcyLd0i88GhT4MwfAd2qY9rw8zJxakdORDc05jj1QvKE8QRKo GgsCXgW2Yf/jRS3+UcgbkvMRh2GKK6Wjbytu6mlIz3WKLMHt460MJBMJIG9hpFS572IZjm/0+ndq ImBSAVbPG3CcgqcZ03s6sEA4cGh9xZmpuGZgB89Sy0ZN7YjKd2plMEuupC7B5s1rGjbSbNzjMSUk DW/52vQ29BHlLY59jesi0KuaVkQHE/YQjCcN8oisUNBfrIun4z870zhuajVvMg1Tjy0VdVbABrvE PE52sVx3YEjXsxFXXomwTd4K7soOTTyJpbJ+TXGSw46JAzq6xFOLo94YakP2G/H1ngxwGL0UAyxe 03wh1avVaqWlEsmYAQSj+whw2jCBNjFCe+N9TEtvIJiB7efi01upLTVqarfV+rCUo53p2DXWa7Zj xWgpJ/bb6+LkiCgmv4L28iOMmA8hCkxo4SSksFuZGgth2yMUlfnsL/DHZnaw3stFF86Qj+Ua341s x3EiFLq7MkAYjcrA95MmU85X+zr8JhWU5/emqbeRTKhW5vF6dm9VhCSA19a+T5MBEUoo9in37yBk C860rDWEFv5Ou5vJ0Ny5yqH5X/RS8OAyesLKyWLfqAgSHUoFviyQAd/khbbA1scORYm6hPKQ6/V3 5lPma1+CsMtpHprtz43/Qj05/Wxu0CIktF6Eb/cSmC9i3odQptcbjHR98VDNVN/M+W8wbp4kOUd+ xKrrK8B/MKzR2rlXDQhzVyKw/ZepFkerULT8BTPd1k8PeOnLtyugY0My3xGoKrpchBWCHOa1YhYn Rjo3tTnedg5ud7eX1Wf23sGZ8ED1ub234Zn1kk0mdS9/+ChMZAPA9/QVZCfZAzsem4I+75TuO6XM YUnn+4cnkXDROnLI6jSr424Zs/ePZKbx1ciUAMjmR4L3vFCn6HcERyMiWb9R8p0iEShJePE2UCxb 2twrFolQeFHh59m2ymhnmsxj8WE7H6bJ4IlXT6LBPgxkBChYytTFcyJ5CrRRLjw8qDeob6/efqV8 nh186mgp3himu6ChoS2opWQm5J8f/XoMjSPqcaO5RzM3sMjyjwzk6AOB1cnaS4QldyHuyXpTrNvO v/mW6c1+QChf9m71tHbj9xDf+cR7LoTjxQ/i7IxioGdId5Lr6TBJ6lU90v9Ldvdc3lCLTyYVoi+A aXmgUL5z5R8Cr5yMhEU3lt4GBmdxm7bbSFfE9FN/+cWM7RS0El7hZM8AJOHQGn2jOsPf9e09yTQ/ ETm52XtLw5nZsTVucW8mAFAU9D/Rqyp1R/a1FUqgsxsUqOo8uvLP8XrOIime/tnC4eSqNNybNeJs Fs2ldm0vs1nSxUR/DXAMNxwD1zrAK+2gvuTRK9kw48TpX0eV/WX7jPPpdCUyBQ+BehmrxnJ1R9mD IRQP+8vC584FvxbQ09pQsEJ92lhAlCH9dKLROcx2TXu5f+oLHZOdHP3UDrcJ+77V0om9EsWe5YI4 26umQFCscn95gEi52Uw2FoEQzilHpc4TbOW1JRmZ139apcrTmpZe8LoujWg/LxJfY9ApSnLglDcl /1p2UnNNiMnc1ZotoEdOUvIOMu4SugSVKRVVeSVUzQe+aaS6bcuBaAD9fmm3TWDBr5JTn4aakzrQ JNFnCr5r7SV8xltfd9rvY9Ugt+nmPk32NyWVBqRYGzssWqOiF+d38wJcAk+tuvkteOGGMO8Cjkix 5ZzATlgU0Lh0G5As8hc7UMYChJiuX9VEb9wzP44mIIYkYOdbbr9FJ+3HLfmRO7tju/WYtwKalfAw rLuxt7lJ0yV9hjYKxvUiMlRftAmSUe2N0MJhiYlgaIKna+eA/KTVrummr0hoRoTHuudz4iHGiphb EABinHwgmnBlVwryZFgJB/exvQkeRZTwidV1jGrD5uZ1dMPbHSCQRtLFtE3mUm2M0A5kENFdrnSh FVKaF8uS4oSs37a+oiWUgr17nJkxo5s8puTQmyFLgonY+6nbSMFEcEKnn50bx8liwNpowNOr8vIR OEEMAz54FFZs4Fg7PjsdkQ/lJWZN+H1lRAk723Do4uB7kry8r9XYk1EA0/2QBxVmfxMmH3kMsqL/ o96eld9VyePipPfTYa8N4n5cGQVuZBbKH9R5M2VNYpgaWLK2Vl+ia56LzI9xdmNHngBNe9bCzZef 7Yebc8LujivTva55bd75ScfDDjqHFMsMQRtEhOWGrvQ9OGSmi0lQfPrrswa3QF/fNIRniU17jqwl s6Ys0Iu/7O8bCwwKYtqRLvaTQedxqjHeSyDimLXt/gfXiWY28qikHcPagTDcfMj4D/qotVFptvgM x6KGYdYGo0ApqBeddOm5VXKRmGXTMqitoaFjdEEgS/kFmOX42wb3a7bAGX+RFepEm4im4zVzu5zX AvvcWYBEMW2MFN3nVfWC7+a9eCHsaDofMAzBKCrHhgHqdJKqrb5On5x8KIcv9tUuBw5ILyCjaM0P Ra/pQrdlcSWnI+yEujGKTY6pPgUWGjT4kJfV4eriUku/ExQDmjTbeKy5Q7vxrleqbDw2KIpMZ59I j0q7MSB8S5e2luPRBMuWEJhIR8/ghmj9/Nu6pVLYfMxuwwFgD7rEiOtv+/obzq5XrHZjeypizsUt qmDKDG7+ipWm6WvX8b0FfzLzrxZIJMw+dZmOTnvcXqZI139azbyX1ezfsNY1DQ+UnTrJ8eGRfWcT kFdn391bdzfRE2tdRT4DLuxbBlQuwKNkgCs8njsrqiN7/cCn+L5hwhx35anbDOzODTU3OKd+Tj03 WZlSGpsMB93Z0lIrBoBCMCTVlCoz1Sv/xX8yz2t9YqV3pdEP6+rU0wrMPcLjPkUjxl8+gxQnfZRL sIr0zN4evO2pJH5vuC/Tqlq0UrkYgfAv3Y8D2G07hE11NJgRoT1NMG817dn4ndC/DMVRCj/XyQSY Y9uDvJQMbJ/Mq09cSp2DNLutTh+DqdjesicEHMrwxx72aqD77OfVkgM0hmybwLZV8QqmVDJvl1nK TFXSNWu9KJPLXZpl7J7JnHhYBRhdRBd9hvboc2ox+ru3L4jBCKf8veVfc1C7PF1V9+9Kl+0yiNEO j1CtYiFV00IriWE0eNpKZTxGXv09/kIoXGrey20ZhjuDuMw+Dx6yxCQ1r4rWXFhtNiuZjejgHQE3 FBwGSYcO+eYU0IL67lQrYs19cwN5d51qe7dT3SbNxB8y3q+TnmeLWpNyP8dyfk7sdWyM4htMqSS9 BQAHFaXlom1ACby7vzLx5+3vM6UyRsWo2WrRy3pE10RwGbcN9Wacm27PqyPvLTlhtPPF3UdrsK/e XjuJROnpgUJzLOqETCbEq8i1lSxF9k12qkelejcWIpfjQrajmiC6G2qvmrSiK5E3Cli8+V6Wm9Bn 0lhtvve+lfukm5aR/c57MZ38+rMjLxup6gqHsHdiCD6EWoqP9tSEtvA3KSNdJjrQ0PbqvT/6dyAc Ofri/CpNE7qEWkGaZ11YzgxOoexanQfJFbTp4fkhpzXVyAi1brOsJkYbHjTgVTJdckuGtt46Kg2i n+ERUWcqyKflpoWJjiB/VWR5tHc7iXUSXyuXMsQtReSOLXitc+UMkq3pjiO/tj5RjCvgVaQGlk0q UhLfNX/h9vpb1hzZwHD7UYoGSEwdbrgNUc2bJwu/SNNGcK0SfTchP/7IKGj3bvssLIQ7z7bGDuEr pEx+aL7qwhEBNQb13Me2uG1o/qi3hPh4tasdfrAM+UBpsTDwVOg9hTT454QC99AfpNbAOMRxf8Zt 9keUo02YgkeaSezD1FlNNK7NlOkZ+KU6XwdgW33kbOcrINhtCPa8Te4S5+79uURoYN/iXQx6oSba 1TgWjLdviWiNV1qmGgXjtnQSX0tSfJydhytgQihLlygzAe2oHE8uNk+g5sL6N89QpvdlaPBpgJDx Wbiwm9YBnlnvHfruF4nv/toq1km+WgI52RSy7aOh9Vgp1FaZzzqyzHof1LgH/ZOD9vCGTrsuhb9O 0kyggruF0b/buumJrxvYaKaT9ozSWhisEY3gEVIXhnJdS6Ei5ZgCJ+xDkJHxevSufatvjePnOtpI mzCByEFi2lPEEbPeB4bDfKa7lNXUrUIAO3rut2UfbaGJEAURNN4BJpPpi2wgm+ztJ6Th28PO91/3 lhC7crGaqB0ycQS/x/FEk7HW0FkBnPtNmc3DSxTjXwcy2Hn3ASuvDM9N3s4Hw5t3OUU94VScXdqX VeD7sT1dEyP2oqCBEt1pHLBb6tagTdHR9xNSlCS03pIqFfT7/k3EW/Us3Pa+5JjhUIyTGAum56am /V8Fu3FQiFR4C+fRqj87YD7MkXAYPh3kSZdA+XdiQgqOdNeOaUtj0Gh8YDu3CDUp0kBJ4yg84fj7 GxBrwdYGuDBsyEoYDcUAURFl3IBNNvdmCDXlTFdMAAGAoHYJ3iKY779yUliHQGeswYlcC7nHYm8x Vr9GJNGMlBEsT7cP0iqaD/1n1wl3XHZ8EcCOGBE+Ds39Me7D4OXe3gRCDOYoMKGHzKS+Vy9HVOaP P5Rx6zzuQdlOq6oiRHjFMI/dB6tpwOEIHmrrHEuZxE3xXHbzdHHRMfJzXHCOD8TuYqcZrReGbPDX avduR75Np6fbsXezKYGy9cbVAV8nPNebmT6Zye/UPe1lNkFwUTZKgqkUMuakFsEZlYIFll/i8Xsf 9pUcvqa+GeyYIncYoNUUbs8LPSgdbx4bhuNgxwxy9LuquNEhoSwKh8moUuoXEQAE0+1dpfO4kE30 X+V+EoYE1oGqmEgprL8A8n7TAlhdaLRdU+p94pjHrtj20oOrf5OyX1nkcwiecYj6TObVEOf46V+/ fugIn6PEKFzidJI0RkfP19AX/BHWhTO/2M8KFYNOASGSUbALPB1aDHaoRtKC2/OEx4P1QOJoPPIt Ny9WuCf0H73B6/GhRcNn2apfTRSeqsIeHHDsKDtuesbqJOQwFYNVQKaYCHU5p3CoISpNT4MZ6Yrc ZDh9v8+9VpByRdwAKJ/7gRvvyE4YmZqQnf1Wug/trubkrJDCFx8VoYQC5Xs0vbA/fXeT95DDRyUz 2MR1ma/hSYF0hZmEL+gOBHvAuKnM3/A7abbB72Fxat7FEYuQKFz33ZQdAMCNftgRfsZC79slc3NJ 5Nbv+2cUeU3cgKf61y5oPvz6Q1kmdEK/ZD8NMIrMU6qLhsZNHlv1sG9QUgR4ICD2TqO2gfs/ziLO 0us8byPov0UovCbxnp7JjzjMii/mHPtKL/PIvN9bacwN+Wg5y/gTrYK/VIa533/ly/06Z2dcmYL7 kY9jbB9WOzdUMoWCa/6uEc1Sx85hT2Zru+d1shczLuXA8684wA3LBfupXot2b8tylTu0jSbNwa9M Db5fsePXf9M0+AnUzEyeae6hKPP62WAXSCbdOUH1liwUSu6jRET8c80Q4ZR/k2w8CObkUWi0GTdq TL/ruAhq7YGMHEaKGk9UXFeH7/eXPcRC72OI4Sfh17Lha2ARjt71aJaFAa2MQW9Dd3GilFa55/OL izy11BZudItxIy+ONSxOKN8CdsLToBOszefYZP61cSvtnAs0sOSQo+q4KbrEbJcJw2D6uMaghRFQ NLTXKvZRrly/RUmP1DPfil2CTaTfQca0vr8EwQ4L1N58i0JdqEuDeXi+NdZZdJ5jXy23tp9DVeqS hHfB3GtJoPkpY68toxfR84LRQvt9+sZP56CnwU2pT5kjOCNC6WRqGpGyGudMOwX+wvFo+ZKLthw7 /F7E6B+qYwNw8k3uwpM+0irqN7MesuC+PqjmNHdeo2i0wcx/9SP7TSL1v2kQozfP6jVv/j90cSWF o4ACgwt7ouTHpvuByTMonFH6pZNsH28XaNoD7xLPoQehnAFNZOA6EjbR/3ddf5Z6WLiZOn1R6awl GLYlCvs53dA5CROpjCtzV7KE74Qez5Nhp4WLhJ27n1n8shIlE15Qsojj1wrNW4Th6+yI4Yl/WOdG mKgoXHXQnoflIP6auaisRPA19EZVub3O9aI15eS873xv/1Qxn1NzuxbBV/E/xknoUZUBGs9OBQAe 8AmgYCNpEu4FWJeUfG7wY2b36wPTxFmxItnDy3vuJDoAes8A/rfm0gkLNmcGB+Gs3vYgbet4+ETm qnugcPcjlNHmzbOqgGQprOiEQoaf216+puczJzzqxqQEaoNmygIYQOlE0gs+a8zNY0KySmzZvhJ8 8iS7i7fK6Crer0PfwmHU0tm17CzQK/LPbHPZkGi85EsCER/E0p9RJUwvrg5P+BRbBaVHlt8+TJ3H TzRcQKL/fvcWBrlpKzyYDBWoYfzyEv6jEEKrQaq3s8pF4C+gEjKZmTluxfiQraT7RYeTQs5SUsTz 9D3OIID/6GCgIi6xlgp6QfMNgaa2lo+jGyhMZr7/LbIuxYtruwH7ZCPnV+PyWieIVBsTMNnV4KEV 52H8UQ/Q2ct6vRUPU+q1jr4vtF6Whzb6NXEIxTebggE8sPrwVc+BfAYb3j+VC9xW3zJDgXmAJ4Rg Lnau/4k/wc7JiVYcUP3cegqTEH63D9nZNB9jR4lKQAQwz2LFWFgkHLOmtHFVlLrT7RRZD6S1PW8b 6TZdZWRWvu744MfhYwqczb3ybP2H8Bs+4DEOljA4Gd9uihHFuUazJfh0tg3V6pgvkT3r6g05uOfE h38feKFKrs6g/T2ve86Zl3JO96hwBmr6NdHIUXz27fVxRjpnZWRYcGnLXCnmJez923FFi6W3tvJK p68Vpaqnb/ZIXtXdJDHmd/92UvnyL9Woo1DvQuRHOapsg9nZbOd5v8Sf9Hg2QjhCH7iWRkCpz8Ut 3MbhDU96KecW/weMb3Yf/hVLzRCkd6RO+ggtZeKWdszq1VQv4jAff4VXkFYT1jvyLSS6l8Vyl1Te CsXW8wkMR5B+LbeleC3bSihkLcVXlD4Kh2WSav7T6KF9tU4ZaFwWdaHD+EIZs5Efywa6S36ZDNkt gs/Yx0qmDyTJ1ZWACwdw/i6+u9ilPedRJ+CWWGji0wCfT3Ba4Qe1Hvo9P1Qf6hve+Q7ufmltlm48 QG6rE0Prn251qxdTxaURTmQl5wU1kKpQ/bczSKYHFoZaHhhheMm/PxU9 `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/blk_mem_gen_v8_2/hdl/blk_mem_gen_prim_width.vhd
11
71859
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jrgcvB6NpgzNJdRVHlSeDwQMVl7aDQyabgapGh7rqmEjznKI1NCLrR9ImJTcdoeLOH3xBtfWWaGw yILZZ+w5TQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ag4YkSmodLksNyI//vguhKIb+29r48eSSBnSekONPTiY5KDvwEVk7FPHt2IwDIgp/+lHIEVNuvl9 mSG5KZ9jPHSPZPyN/4vfwOqsa1suwTYwLnCPSwuQv7t2wLyPCu/QCBXqrtpbIj5KiydhleAx1/yo 23YHJk0egLp0iDQ3TsM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RVCy0uaMTpqXTvUIzq3E49Qh5tjtPCjUtR5Z0GJzxDQt4fBZLtMlbWao8zrxVXXuPptlCW0nZPN7 Pb1wZL2OJBvZdpUOT8g8t8cHZrubY/a17tJAMTFLjFUd2Cu36GIseWCHNz+iflFRrkSn4RfZu/Qj 2DFLLhHmK6zPRYo48n6QUALR7JLe2Ls3gt6U+JhzuK+AMijToKTiejQK2QXcgoz7swaa3FZ0FeLH ykamoUQWtwHWo2XRnvRfbr44YvPS67/sOTlSxJ9wISSVlWulUVdLnbgt+BQkbR8iZL7Gkgh7Jf+o F5J74x3vJ7lb1i1WkuSsGrJZl+WjFODRBuW1hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2CNH0zDSx/qy/S4Wzv0Ghu9L67oywnGBNit8FqDzTy7nb++SXXwHCNc2D/9N5LhjapQZrwlrbfgn OcbLtgGWd0I5hDexG92TQhNUS6RBfFrIGcZtHla78CUuV2C+p07h+9XVeCTNAHcy0msrkbxXSlkn rB8273SulEPyiFiT658= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R0RGo4b09yf7xrNRZdxn1IK5E0Ta+4gdve/4VDSCQ68Y+u8TBciR3+qCAWIdzXJezD5JvN6Y6ecv zOfXJsdxyQb+mcGNqbN/OMeAHTzNiEwwhjfobMrn4SBSEIcg2Tw6Om0FRF+Ibu4a7C/UUE7zsL7j TcT+QCn4Aw0JXsglEuMq3U0nyE1ZYHK5dym33Zmvlwt1mm0pWZlexHoVJxybfH3/gDwfuvSbKxOy lHJcet/xY7TUyuFHD1IceBoktuKyRQ24koj8AatheSSbLCOOGQsiQNqvE2CEQN/oHsPg8Fy8Sfs0 1gpTuG4liLXH7SYtNDO3iPLq91fmFtMZeSH0Lw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51456) `protect data_block H5QoqV0rheFNVhteN6HdgpOoaA4XLf8h5t8/Iu+b67XYyZdggzkTCFvHPzNb7XEywV+qt320bxGf QHdw8OK8ZgW1yvOGBOZwTjniMPQfElt5Uq7vkxXfnsvAegNURH4aoVwekr1gNgZM2r0PApxRzlhD JDVn5avxh25Dw3Ukv8NxNR45eAS0r0AxqFuRn91q5nNFNOAl2najooWcdpq/uHnRplDaI3vzvKRP py4wrn6NVmwaTjaPzg7frt1YMnROPDguU/Quuy+wH8ji6jOo+C0S7jFV2wqNgLu51j8JSam1Mdyj BbUpz4f031eJ0PpWhcZS8SkmHXXfAdgTrStlXE1yjokV4L1Tx3jhIHkCQcB/zAx/EIe0ORU8O4kS oIVnwSgaIZULRyuJhJ7FLLD7Uo+a/vvEIPWR/FW3ZD9sG4upMv/bTRpnuymOJ25PDJf983F/0Dnj uCqaqilr0knZPXgG5Er1aLNfRbw5KVuORVbFx1eALve5+6GpxDFt//I5MLpoRfrUv6O4FQ/EqPsP qrr7UcQqONcD8nPDN1CqCaEukCmpXEIfijltoukEjLgm0bkTcL75PhDxMFdr28+8a2qWCfgN7IL2 K9QuMF1ggD7FZJkdOOYN7SukMACAi+Be6bJ/uQv+4mSfDUL7cLupQu6EcvnnbVOFhmOcG+S1EwZf 4roSeUxFrz0qjfNoE6sfdJL9RHkq1Z8vwI3gdHJy0IkJyEFszvUks5mTZjDbhYbdZoyIONRBYXTL 33KySOcyg62Y4gpmEz8xjqBjJwfsZu4gmo8lcwW3eTSUdSjk06IzQS8ef9rjhCm6DkAg/gY8tTNR dPy/c4V5zi/MmTw2O+4NNSY5wJe5H+kSJDG1Gh0oIHm7S/l2o5HQvFhV9itPUUN54K+VJs6Jfg4f zGoWjbtKe8ksQ4uD8qi1yJDw1PuUZb5OuhwW+nM3Bo73efynlATAbL2Un5pEjTRxrbST89mjmeTD HLxIEp9M6oQhtPXnvSpU7yuNaknvSs4lmQoOhHzFfGdDYqE7exY2J+5454icVTOH48H6OMeRwKyb FJrzmEEqwojMNothHMJY3VqoklZleUgVKDcI8mhZ3MdCZDNg90GyUGL99sA1AILLMxNN9zNKXfde aU72GZHAHve/IDGhkmPbVGvRPDMtT15PcG4eLjqj4j/5kL2bnbIv+oNOFNpHcjaFNb7lNnqxbaZK fj9uZoHUK1DHVGrnefSWSs9eqOLa8ACSD2Uh6FBqcbiSma2NEwUiOnZrkivr/wHRsY7GreYDZFbK qvXw6IIFpymy0EWZ9P4v7NssCC5TEIv10dOKKHcatmN/P3gE3mDaPsupg8oZQeO+pF6WoL+LEOPP P4iJ4OsZofmL01nB24c2nEJZtarS+iz2puEEfjZwEdkKhsITbt0XbROVNegXT5E6m0woXWaaQ6RT C4Ev8YX7+mGCyMi7gsjfzE54C/qkW6XfdESSdurF7sHoMxsIIXg0MfYmFBZIhZfzkHTSpoLXzqiW QZiNo69vT7vEynrxT+9GjTjUcjOSEn6XubV23scdBW3+GFHpG4fEvV8eMsvs7gNobV00j+C5bgjH hkxKd9kmt1DnLWxgQyZkAiSlpbiBkGczix//vFF4TwCN8y68X31N6ms+7Qz0LbHLSpc5hbLePUUD s1C06iGfXqMvRT1HpmQnV8hS6fteiCkKG0ThYRKIpJ7COFXqTRj1hyF2/19yYvwnXCvw5Yn6o4IG t3I1VXWdnxg4QejStjyXCjTqRiJJFwolaHSx9YDpZPCswKCJC7N8tou/MXTW/B10mkQ1Xip2b/8V xe3blbxhhl8AjfTQ46EAjSJNG5ZZ4KY0lbKUfhiAoL9WRonE0tiyz4R7gedVsT71TkUnVpYjoNRu ObiiWw06HitRCR8MfA47cChFDP2slBPzFJV4GqgLjsQI3Cjs7+TPU/tC05atztD7TmqdatP8oCaC Wmes35aEdSnqiZP1exJ3v3kShSx6LRiyQGfexBxpC55c6F/DpDP3Gthi201KkdFf7kvqkWoVtegb mjKXjs8TeT8X9AliSCVPai5IgLzgmGX/JB1ArTvqD+KcUhu1lDn0Qpe4fdvxz6fJ1dq78m9dCMjo ygvvTvBmicaKCqwiBvazCua+bMNiXOfl3xEwQGR+aX3TXsZKaqj6TeivfYLWkYSHKvC1WOD80vDY u2T7OKlbMTBWkflLXzcEJJzV+/nJWxiGx8IpCwBY7BxZ7WiYBYb6MFKPQ+pTu9jhG2vG9+mZmTK4 OfF3YWKVethRaitI/2BLUB+ARGK1BpILHxafYa53UcZsvhaZ/RIwlgjLqbp4AV47g+vowqqTk6hj nih/jwSy4GAHwOHu1EvSjY8NokA6U1YiMz+1HnKD9or55+0ZYWxD511bqBZoku8o4IhEg4IE/Bbr r56aZ830N7GE9m7wOyJ8joSpZFHCed0U3q9ZAG7ivqrBf7G16YjZa1BzLXBUTEaaRbytP2eGPzbR zfwB+nqgop1uSiQi9LzThUxZaJuOJaUOo41gVv6x1jh88GGspPIy0fvt33sh5hqmBwHKd2FLROOM 5cywRhtK8/6IBipJmYLup26Nb77D7WFW7omVQlZMsrx4HUJhn9lmhexr10jgrm52Lkw9Biq04HhF MKU6oNzIEpxcSHw+SRAjfKAEUcaeaAiJkWxz+A9zPFGZn1UKrTy4YtQMgKzLStw6yisVBXW1X41Q hTsowtUKjii0/pmP9BLWECjos8qIFEq6c4ZsGbAOZ80vuysC59p39HFLA4WY6eI81U3/exVMPJYI u5sqIDmu86AABOj3WJs4jyh9GPJf/R3Wf+7IWj01Vk1BfFZ/z2OHZ4ZX+QM2i8Z0THee4bM5xaWv iVUD5YJHtZxxVorVL8PnHvGqA4h5T2VJoF5tVKE82kHBgjhUb0iLG4cweI8sqNq11/0uvq1dcWsD agvtDF/1oKq136iRqS5zbxOfCRiP86tISM03KK499EYB3w5/OB4mbXQ5jHPjKNR9NFYhDUOllqzm vTBr/7oi7qNP7sOi5rCj9JMol12uAX3wl/W+qhsGAZzcw1gteyX8rX2IbIE5AW+B5kf4+lyu2pQ/ WsoJd/Dc1pz9sbjILAmHwcFUaCXumID11Z13/i+IQY9Z1JhfUyh7ievjw2oeTNqTxAGKc9XKg5KY b7iT3KlutAssvCrttdj3kiZ2Dgbilz98kRdiNzYn/B/ClDHFAK0EJHz8QJarJ/rE4iDa4dgEmga4 /lj2Ki8DvoppnrBstL/5vCFne+B/mObj37NfQbJtVZ04pPupjL5drK+uUiWt4MnCB9R4bzr/6e39 SQcsGOd/qjWR7VC3N5/nMQoB4vknQHyD0HU9d+sEB4gFduU4jC75gPBOXFpEAltMTmTBIartHopW fQGRb9k0pXvWwOuMk86+yJRN4G/3+ORZGrrMfEn5J//HatD3ROSzYX5LiYmx2Z3jdSJsOD4ZrpCq J5cMQwuSTv77Sd77zxjDt/YmIBqjawouprI52B8Lws93DlSftJJzPZJPreeo0K7NTKIkj7RHHhSS nsbN4o9O6xtA4QcF/6+snyvPqCuOerCdVZZ3AWWGV7B+u7r8zXbN6IxT4MQ2WVNS5QKjTPVYql9F PHjGpyqwfB6MVRrlsGisspYs0uv/RjxmpUHuVnNuZntsfdiNGkRhUisdIwxXdnofEplej/cJnGdZ Oo/6Afk3Kl8Ju3nB3UZT+O03cqG0nmKRsCKOspHAftvqlPE7qgL73qonW3G3oZOppO6m95AjJCK7 Ss4nbwpgam5SfXtj95uvlslm/cVJe5IOWcmIT/IDp+7SCx7xiQaMg9GhBxikrDopji8tlNZ5bJMj n/492BLSwxeQvaSXoU4deUa0Ek8hbACZ3ouCPFAsetsFsu7djtp9OIW0nsuYOx1IrxEgoJnRGd5V 4shr4Q2l6iYK/C/bxZGbnHELtFQZThaKqkCH07QERe9K2Ryoa5FY0mrXP9TGJqCCSw7P7ZsmSsi4 gewFBzdP95rbSb9Cqcd2w6jmmaYnw5uZcwiJc7EtXILrnznuaxnOuBSXMySCNmv35FA0W2ifny6K q8OPGFDBqWJTBTQVr9fd4AN1h28JAq7zWL3CtMMxdl3bF6d2qjcNWkBc94iJuk7+MPU8DCSnnlGl EmzkfI9S6SUsIJAwiGW5wmHu/XCmedgZFOBUH8YCwuncqW+n00CT4FRtWL25ulYvC56zkjvLDUeo lsVj65Odzi8tGyQMDVR0zJh/8DvKyAynK0jhegIvMLD2B2wLjtIduEJg8r3oFvMnPlwI+e7CSVv3 Q3rYD/xs7kMaN/b2JD2CqaeM5wwsN5UdZMmSNvzRhny/M2nxTeF2B/I+bYYh3d0NWccx8vPMCH9A dyugHOyXnOkeoZpsq51eMUyVI3AnjvUiNR9mccRfmOvTKl369Fa95GLGVErGRvun6fIZe5s7sqGL ausGiwwEqzEuzZUys0/lSiaVSoqENf2K8bXo/L9cNpbS2g/Cp0//LqgdNFDPn/CafHTcEto86AJj S335VPX7kK3kuLjGwTB8gzOtpa/+r4uHxZP5DuZYO3ebkJcFxzu0oacMUH66fUvB/+PMMdfC4bBI O+jUmU5lcKyR/kajSZxAK1iPwvHW5ZSTOmJ6GjAImJx2qMdECOHQQ49gidLLzcewAjhOtzoURu++ QnfM4Gnm+i7vhIaCCUm4YO1zAtCjgc8ufzBtpIEN1v0mo0nWbpx6tcSEjbxVKwACFsm0kHykrEby U5UqxBSs10dk2p9FVV/aw1+K6dgb0/2Kgpfw67iWuHVTcz01bD2YPg6IbwpKaLBFplL0y7N2OveU 63cW1zYNTnW9SAJUXSgJHgjKIwK5qgyD+QlDqBJ7HTjb2aTJ2479/ZpFjbOQFe4MI3cSCNEBQToA dORo0mpAXXXiErr+Zc233h++vc9ZKu/OAwHe2z66CgevK/hJemGYrq8ns+xlL0boC+gvpIJ97g6B GSHuatjZHVoiYSzoV1TBn2yPa1g1yw8tx+N1lMHVigTS2GjqvA3yyvVbwOHqXnudX0mrYKDssRWC O56iJt9NEQ5dW2DPbvEoCDaMAsX15Ak+y4tQ1FAlxTJjjqv/FHljhDheTogz2DdOX5e355yzQi1C 9sP90qSD/aeJ5GHruq5IQpuemR+Dbsk2Uyj5exGDCRKMfCgKZvBmp6uX0523JGZeBZYKWqzGJ/qV HE1du7sxUxJnG5RK7pKUm44K4V3r3X2DIRqmwy7Bt+Cfoyk57ssq6iTWS9H+rPTC6KvWF1tno0ye /PoYLP/2BCIxCGENmT1L56eel2YTMcrZorhGJ45tHdAJiPjeJk6aM1dp+6HhMxFXpD44X4ysjnce 87EvCW1f3ZJ+QStykJLnDFi2eWk5pOO4kV0zTHFWaF3gMQiu7lZQbBb+PyAdXA4twjMSQl7bRzN0 oOg+VS2q0Up5uWG2blmCiveWzeRXkQSQ54o7wiZgfAIsCiyuF7Udt8wAmHP/9G9FvUTilRimMexU Hvnu3YTZHsFlZJgKo6O2b4wLV87gc6OnH7pDPgHV5U463ctFM4DIOqawa9a+q9m5V6RzFEf9Ygi+ /BLwsUe7fh6JJWlg7PIUDG8Z5ECas/w1bVWf7ULzj5u/wBVSvqDV08shcP1jARPXDk+Qgj++L6iS 2lPacG5ldbdk1RD+fB71HuFkGhDOrueh5DVbnPstX9SX0Hf5B2MPmVF9iD1LYBwH+6RzP7oMitcH r9i5ojRQiDiE4gV3d+YF+dpZX2hq9PqbOdgiGHRof70lhAgvMLIOYBD0574nkRHHhNle8IdfdHzX BsG0JH0HxT17V7cOkDhgynkxl4GL4wONw2+DDrfmDDpF808iUMMXhK28MwSvW/1LVgJSfYsZMwMV fRTHVXh58f0jSe5o1k/vfpHaE5Aq0fJ/MrJ2WMwIF2G9gtUbQjNN0y0sPpIRnktL68ksNMK3dW+R 00jAT5i6LPbf8mWLM7z4uARaaffACAMI4DDHUs2DkSEq3YHaV3MborfOdNXwhheUlmEFHhZC5041 kX8j5teaGPU8rpQV7/1C/WB5zuIz4+tXGcPnSgcWo2JFI3PrhqG2fyFp6vf/1Qb7BVcSraOfLHXK 7yxIY2Aax6JWQ6K79sq+KjyxPf7u1OB5RPQ61iaWPbEF2B1I+JubkaljrAGVm+KRD1cJM5hA5Aor xlE8wLc/YcKO2MubXtkJHXxcBxI+qmzZNjTVGIjqSl03iARQrg8HkLyPWLxwPggMSNdKifzX8lKe t0K5hhjGn2xlv3T2wckP3f2GDLo8gtABfm0Ol+tGdBQYxpLeY5gOezP1CtOCUi5EanoskoyKp/SI YKE3d+aNjVk5Y+pNl//U2t4KnpZI6SZUSJ9hQoMgpOXo+E1fU7Ba75AIhZPVL3430Vxgy1w3JJfb kUetSUxwYf9MaOUlUjnb8nOTj0N/AgijBdU68TEjIyibCWzs3Ok69VtsaOgjBRQ+M/nL8GHPzerI wAS1A9HtUqRgGFqz7Ms0Me2iBNfeDH+u/VipDD1Uwq4AzU/seLxrrYVffdff2tSRXaUdQv+OULjz 2rMioy6xD3PlBwu3j96UqtIwCQPhqdgVk0mk3yBadzCnJNFYET3z3t6ipdu1kEG6YIwvOm4Rc85S A7mVoIDJ6wO6rkfYRUID4aVLJPfWgjyIiDGUQcmhKPp7Xy5tmwhLrOGL3VB+yc2pdmZ1kq5+3JRV PPauFZsSk5380aU2uDjO9pjtsHP+pyHhAhkzGNvN/Yu7Z0939XPtp2Bor1NtxPrcj9tGC5OgRFOL na+yxoInuE+ssHJ4BNASsvWfxWMkOr+9cbgPYVc01uhtLiay8/EW/+32Vww7n5ndkwftA0B7kWJV ZBZu/HTrS0JyiomDlR61ZKut/I0AfRYnN4f0uHQAv4zDFVIYlqVuQL7cbaAB/DZm8pdnrEq8KtSi nLGZsfO3ItyN34SJspjsDPypNn+H0IwWSmthOLCGtEWMMpYT1wUBfx+m3raf+wrM/S2IqlVjuGW0 dsdGytmHaY7i2z0/HgLaL/O+Td/ZWaPtm+9jnT2gKAzxhKil2FUTCDR5nHdm+VttDD0c518SaI3o SkeQMBHpGTCew3Kwl3QM7ku55fDy0LrhkwkjGWMwgoK9y5meQdShDCPBeCjEWfF457JtU85grocJ vUvbdjP+Ou7qRu2LMxj1m3xdmNOSjifY8oBa0fU6xSkuN1mLOIooQ+fkpaur6aAG3onRSuE6BrKY NV9wSELGHznGd4SH13KvXeLK80iuMXJA11g+RVtDs9RSiuu1eIb3QJmV2y9zOcBMWUVIsJVR7Qqy gZB5fqUEb5QMJWFmk/zTOJGibgLp5ojP7nH2XkyRyxacON9fYrZR27hn/XOpkbe1nKDLiQ7f+QSs QoegshGKuEiz0Jcq/CUyrHwMuIcr96PBr6t9jZaM3KT3lev2sNIR+RR+DxGPRYHNqOmz3+/R+xE8 PnJJx6r4htdWc8Ba7U3O8taWA8SskYp9IeiTnGzRfyO3ggP/vnnpKarjZf1kDKgdj4aRBTr1qjol 00OO9VdnpqJJsLMv9oKVfiO8xlM+dLAC6RsBwFwchY24y4Ly5AA+tVfMI+f4KKKeJjIPpu6KVcMZ LaA2rpBYzNdZ0iO59jpr/woxfgN6DR8BSqHQZhs8h5Byc1tEzzlw0NaTm7NU1TVu+uDxMopfBvdT w8Qsjcz7/gV5JoJnzdjhdoI3Fiu/qK/INEnGkk6SU9zkZJzCyL5zm8G+Dd3Y6MsceTmamu3Grcmp HoUnSnymDTh1ivirH4x7lsY0S/f6ZYgdNDAq3cvpecehl7Ke9iGyAXfsyttOYHfNAUo8juROzLFk HyVoIgazQTSAm3qib+DBNbAx8wgNYaOdrx9gYYD3giC7I0n5ipfxdZmCVcK/Bjsq+rNY/IxLwjyz Fj0pBAdGOmfBTwfNRCatW9+Wtq1fhG/F4Cgwx+htiYpubDv7IAfc78pp3uOQCp7NoxMOJZA/tfLM hC3IHJIOH87OM8TMNjVAjjsItkfJ80QlOgjwr7KUgDtl9FoDL2iJd/fv4/LazIUstWSO0rgQcQC5 +LDGa57F2rSgwcZ9auuYYlVPgzMU1RQGXVAM5YKmFW0OzhItlGCLbKYUB0tjTb4vJizXx0BGX8sw GjzfOFWB1LmKRRg6Gz9AmF/8W2JCXRP3T0VmcJ8ke8254msVmqOpIVaIkAn9TzshaBktNavNt0xa yc8CzcWxWC1SFKr+xD3LXgaQCyLjCp4+mB/VTPkf/8iHhgViMPXzizXMPz+9dJZKJpjhSjTY1mQM owUsvhSAEL/eugwP5M14KCHUvin1F7ij9HDQp2hKt3UU8qt1El2RiB1z1pO3qm4GAFiE/8SJ2H4a ucGWWMW6U0pMQWebmTxAjl7m9F7hu6GarUza7nxxtJifUnTf8Blj4+O3Sm+LxXRPuasGoTwhIeq9 M6iCXDwoA+vzT8LU46L5a8sonOEOjYOBGRHnkUyqF+zDsYQTo3PdlVVwK+GfxIzx8HjGPJffLU/D AFg0hYgqzJwlERtp05jUqDEo5U6H/OP7ffaMqDQ/CaFMLxtwLaAG88aG8OXtKtQoRwtmY975t4Lf DMJdzv0uDs9+xeIyPNWdeF/hP5Nr1xfIGcJlaUA022HNrfKt7TA2EDKn1wtyp+DJ9MjOOcRBgox7 NMfIZlafEm0qIt9rKDnReULnCTzKQqa7ItjOhoo3OD1+A6UzT4fbAZTKW5az6ByEowbKov219o05 6qwbpCuYEEEVVuhGppUqGL/H9Lvz9NT1wF1hFj4OaqL3Ugu2hO01khGGn1X3O1omGcvJx25pnaaZ VG4mA1rGiH9AfDfPbK7bn8K157qiXvkzytbyTOwZpcNeZ+bqJ9TXOhWA+Mx4+aTvOmbOYwL/Nhf9 WnoOZfbVQ4G7dIhL5z9TPdrA9edkARO/Im7Jxb99eqLg3Cb6yR3g0UUcUdeGrFkLRcLT6kg0nRv0 VfhU5t1M1I6VXlujn5EM9Lu7s9dI2t1Az8ZAmq4PbYsqc/5DRel7BY1VA214oQPkaVyTEdlKpQCC mzMrYGOO3MhGtnhNKJ03BUG9xe0Sdk1I4A6odW9o4Q2FlisF3ViWS3PDZXIKAB7Flkln58vkn9Lp l55UJNVF/MPojiXlL36f6O8a0KaAw39TTeYCCpov/DSam/ZlpPbfLk4cd7o0pVwAGWRNOzw0lq/2 34B05PcOXfe8rdV584QdyFzaVqq+bDOnGE537sBlcXJFxeMXqQeSNEd16/D+jvIna2gw4UayFihK CaibJUawnVFeqhej6F7H0qbyIT8FITtezdO8FB4LcwL1rJh+tI5ynlfDntHwCjej3AhIuJ2Nf8bZ al36Cx2mUt/p0POuFsajt32Q+ifd85qXRV7vybw4oGWCX+3SF7mOZ1pPzmGAEXEKYLZvgKE4a+ON XcCjTXcywTflBM44vlBOHYvUjKBHBgBzOeXR/Ut+yXCGLUBy3CiFghaGGGFtHAbq0qZXmetmR0TK FVA34WMSbV0mIA89EIwgBrbq9pgefpVZVuyAsERe8LWoLETcjcr7KLr3mvE+LJ8WV8wiqq3lc20n jGREYVdGPkONNPm5b/VirVnA2P8YdkZ6/W/OporJuuh4V6SOM2Sgw1mMcBnJ/Qa4jdRMBAabHOrq ZCZfMMWp3j0xVrE7Hm+sOXtHN6NCNORV4nnAf8vUepfmXe29IOKEpXDnKtFdcOsUpob5Sx/d/l+a AJQXgxI37oPNMACn5XuylOmVSTNciiJjPRT2sFGk7mj4HcLh2xvY1R/NDX2317FqJX3BY0zINZ0V YC15zfpOeHkaVK5nXWYvyQ/nGVBWaKzHaa2X7lDbG4TCBReWjOkE2ZdsuwZp8C/CDJyXlDfbk/jU aaZRUbNh9Nt9Voa9SH90UOZJErkIaLpjZBhuNwyhSTl1rkTbEdz9yUF6UFg6XgGwYZwbqtddlrOS ZQ+ti4VE5F8RGvP71GgdwOdMrxltHZGLdmUebjn1V92i7cI+N26L+hzfcKQv4ZESahJ0diQskreo FLUxRwyxGdnwFWI8XCpenh7vn1XKk0lY5bndZwvCBDGS0xR/7TDr7IPFSdDJOMksecFTPqzO2j/0 7woSiz/i69VpOO0u13yzuZRcFnEn+fS2yfkmtRl67o/0R1GiLYmpVcPlC1LIZ3Chm1q97/b8N0vc 84S00N1m4C5dhMdZXvNx66BLEHvBDT+I6Bq7nSlbA8mocaH57cPGhjw7eCOSWrnIRi7vvfjIDWjt SrTupLd7bgJ0mNdwatEmzRjm0OKNAxFq9tP3o0o0zKf6CNJGRjk9WtKrP4Efw2LcUvbfEczji6eW zCv2wCkxCli8tPI22LBrpR5X+0Mv9Tm+rdMuUhJIu/t1Bt12fEx8fuydcqAgNC+9cG8YD5mbYKSO b6AON4nLg9ZIXLeP37ON3Qvx6byzXLHmLgfSZZili7DhqEFJiINlBbunMCmG/2CI8ZRtdrG7LdFh kBmlYdqcc/gMQZcJKnBue4zFBBeQnlN3xeyRapkAgEqntVfksBzWMqdkPpukucLKfCvPag90TfVO Jofy9NoXav0Cwk8JdHKz1m6W5qoWaJY/ruhz+tHGzLPrUu+1w7hj0RFUI0hl1fV7tOnrwdMOquRX Jnzxw8JPzKex0+f15vk0Ce9agJTl4AYXT7ihZYdJGsiAauhF9gBLhkIcUhCXEfWHW5Tp3VbElG6r bktkLwoAWjqiYqo6j21zW70VKR1v6my543bOLTtLOONdzejsrdeVOAwQyPhoMyq+XY1jMiFUzlhR Q0LTqVi+bx2IGgeRg98GYRiE2ZqNOQTNgj3pPdcC5T7eOKfm4Z0FbtdO76dXSBxGopZmaFu28NMc z3CjknVBHueWU4A/goKaBE1BOF3fAFYg4Qz9pFd/jkeUa0LWBW8hHZzGObPU+35WyICxdVcd4Y6p cStZAK8lrcXZGW7nNSq5EV/Ef5BwManpRkZK8J3x5Y2iBAA8KMvSjIKjhRg8TjKjZGaOJnKAlQKk ZodEyEMNFFUsZRjBZW2OhCrNX7o5m+cxwpwuuMi1c4bV2nVddzdiGbve2fzZ6p/4Ab9enkSwDh9Y ux/je8Fes2s6P5+6nBGu2Iw2mxUQ+QP5z7Ll58VNJVbe2jPkOCQrVDVH4CB9d4DCJdeEr+ZHw/kg x1mH3zffCavwqpNo1sUBnOedCkIhvuDUzP+m1heoy8qyGO0EQ3Sv1xBR+680OVHTwXeKgt03RA6+ OTvM9QcKczsdp7leb96yYqWk4tF1HCt1jbjGMAdIK9dD/sKp1rWMlfdZ0+VusUieNfKk3jFyhBpo h4nxvrwl2ByQHq6S83xJZjANNlkW2ntTugvBVQhIdbj7RYUc3O6Y7U+t/ulr5TFDMiB7UBK/C69I kjJ8a3gP5cAxE/OoUttu1WJX9rsDlGk6Ty3KOscQK6ct41PkKzfNNY3XLdkHi8cVlv8znGoO5B1U lAAFVhjac8II1/c0Dce0WMFr0sH/GY6YcDmieDXlRehLAVPaSqG/pjvPQdMaxcbdEAGMdYE07hEi s/0NrURuyIbgwA8n3ZzZeT6McfrKdTeut6UtGu8X0pBQMzfuVqy5Ql+bya507d+P7kz3B/YnNM9b jWNNDWk21DTDYzhfXEtjNOPbeLhjHHwqj+afdwTihb5PdhCZDNbF1NTtdqg6saa7+M+fWhpFLo2Y V/j/5OCQ1LaS8hY+cXDYDUeeorzbpfs/utYiUUNWLXIrkpVGerfMsbWqyOUpODaWJAewHtgcbyUF S79zeZaOjEAm/Xh4Cl+5ntD4r0jqQObLLP86t/6KpZuHGQ1RBJGYy0DFo1W6BU/DyvDoShDEmNjg 1EfnEuAk+m6aad536xhEE3n69MAElorKt6jmRJe0ggePofSqAYlXJ6fRpA9c5Kuj7kXp5WxfDZpj zVpreNZBgK6lyulaOgtl0hWqxtEb8dGwyr0/ieNtnYCWOTlxhSZVC+DxasNM2aadKmLWz2ArhNy3 b5wZBEIKpBjL7o9EFptJvo/j34292p1B+dY4yy9qsOWsEP1e1kWUvW3VCEKYCgaN9wAogcOOi989 bpU39uKB2T0f85gWr7UIKIWWG8NR0GoTvaIg0Qd1YHk97CY/G8Q6Fe1RXFF+nRKDhXJiH+l39urL MzEyp+S6C4bhrSX3dvgtrhxcsV0f7yerGUDofeyU3Z4V2xa0DFKH11JIN4wX5Jtrv5ZIMNpYTGQp BVhpL+AbwGaqb2JkmD0E5LfRAJV55PkywUrNn2Vcc5/G7nOJ5/op5eodRgdGs3tRunOMsugJ2k15 tUMRYpnrlm0ThtuzT0+IH9zCItmz7Yytg9tPiMhsY3LDOzhkhrroylsdySGC/1qvdUq2DRXqPAxO KpD5AyQbYrFJy4aF2ykXPow2wRf3aB763GMzQ/4JkgwSWspQoALmfcIKs/xjWMPp77f/yMwGb5f5 egYSVOKNSuRcdfaXN5hB6rymqL0Sfu9zMJj3hfPhNPwdUOVXU1UxENIOx2ISl4uTPr0rXanLTAvE 8fVAT4LdPJIFTUheS5TzyJSWkNX2x43P+An2hEqrRwazj4gV73/2Q24MyJCCoZbT0exBOdm+zLg+ JZDwYQKO5BiXDujpGXFlidAPh17wqWD3G+DVJ3yDc1Z97d/4mIYhE1+5JoPWrA2S4xEaZKs3kd8B yeuglTHB/FmZDa9Wc+f9e39VhW1OVzL8/oqofu85og9XKMUBTWclkE+J9x183vrN7rnKwD8USg3g uKGAKVZSOq8qpAy5mR02cVBaTOU28EJu95b19fZKru7WcNUz0oDd6mFW3l8HBs/AUH//s3+j2OfL KpmGWq/a1q6rjdzVIueb08r+uDj2KazEXqGxs8Z8jff72MEjN9CtpqKU/NXrs5uSPf799BFeMxGL Fy9nS65f13FvZuhjnfE8bRY5QJ23ewG8ezQHg1ngLiU7UmiJqPhTTYVhknU0tQhftZX8vhn3xQqQ 0CsKebjYcko7EUw/ZgaaiMglNZtZDqkRZ2Yoc9/DAzZLDeMp02LEJLkInMnPBJC8q5BHk2KGp57y 61M1OKG/PujZl54cGgA47DLpXDH/RgHfQDmAF2ZXztkKuRcHchb0rxHScpxzPxQCgSD9PP+yvRS6 yjngbGLqiZeJJv0JwABwu4JleqWCrH0OA3L0zLuooOCOF8IlKCLe2fePsI/Hah47eys9D9B6g1g7 ZnSZCi8IY+eWX7IZlKwdF1x7Ku/xPaDZ54p9FBXBYes7oOjcQH3h/icht5otjBM0JB1ASPXYXalN drKpHPqmNeTl0FTByOhFOuPH9uMYBGeqdW8JUyUPhIrHTfG+47/dg6bZwEH/gZ0QmvMbv6Pt/J/q hqCMDGKgNm6hdElAMRpI3HfVZPybG3+J/kQUq8D3mQrLTS73TVxQr7TmRabuEKlYZUARkq4H/a4W UwzCXd3h3/Ho5cKrVIOLG4sluEpxgnftld5DfQcm9ZZf7OlvI/U/Wpf+Pe9zB7kuiGe0IwGal926 TVD/r0uIOsMMlybON9mn5DlgVXkrby4GhB3EhWQUutAGEivpojf8iCZfEpOtOsCjP1l+EuljcPVo /sa/zNp04dr2cqCuF7ZgPLGNg+hZI5EbOPZdU36sWUc+iCzouwS0ARlWCBqii/krga4wPnYRmfpC fOPrWj9Zx0lgVSypRrVsdcHxEvCXVbfViEe9C7cui+RFQwPlKkXSZ5/PqZ/HaSjw2tJvEMnH2q9e l2FfrMN0i2Dc47ZKLH/YfQdCrCoBFnHl1sk8oZMC6M8DQFHUmiY+rve4MT/nlaOsx2orK5oDwMVY 6mpBB+B8sXQbLzNJuelNHTXD/P0HglVGwGxsztA8DrmKGIuzCazbuyBNS2aTyfB6XrFbTGa49nPw kJqB/nNoQQjWg+n81yszvT6Ayshq0xchKCaZZZ1UlOzOmArrUMYY0Loi9qRWVJgsCzgwF1wzLqKl +9mFOiflRKeuwwMlraHYL79c+e2rWGAwtpm6bBfaFJ0dXI8JmUtGe8PqrIA7jRkqGp2i5ZEyDdRg I6TjjACeKetdF2oze/hW2LlqMYibrrS0qWMaONjv5qtq02+2+B8axcWYUulJLw4YRiW0wUt9frpX gRBj+1gfXdh+Txw+n9a+X2PWAN2j0JUNWLwenOj/NT/k4EwoYoMcIHwH87zUANadDV9udrMTyZUr pNpwIB2xg47wOgBr4fpHwi5LFEhzEvZ5aAaWqZhORK543wdWU4GG6iS7B1Vb4IV3gf33knYf2+YM 08sl5aCAIGhY9eOmAjXKsZJnt1xomYlXTvjaHWytIDOcllcOh2Yq2Etw+eVnpFo4xDFi8/xLrb19 WwuaPFSyHrp0r7KF7BfzXtM8etiBoh8vP8CcjBvtaMv12U4BAvhUQ/izelVPb7CtmG+XImNKPhtO Me8BZAYWIkFPRCejQKm5s3ruam9ERaY2RMlNuqqdp3C9rge5XbZalLmxkUAIo96DJByoTDKL1fkJ Rg4SgO8L3xVyrGmiM2BBBmfGVBkLdDKXGOG0meTxBHZKKrbyD+VbSTtQ4GhDDZAmCgL/HKk3nRBO L9+dVWioeUMh/PzixgJTzo5JuYjXCXzmApnDtOkC4ZaApP6jMWiScittCXYDkKZyqH8/GRtmp9n6 ahmZ3SQBOplaq6k78ytN4feNavgis1lWglbE8pskSjZYfHnx2koXQk5buNxxWDTw5/3U+kIDaIn/ 8PxkL5vZlzUAFJrJ7oo/6saME3jFuvd7LcL/unyuC0GExK2sfSQHrQtnlnz5OV9WZxoUkZo2aQka zUDR5jrvzEJJn6ejU17rHNtqpqj5jWZ3sNTgrWG3fAFxs+uypezwZ4qYEbOaQKdf2oVy0zEN4z5e x7+lGu0SZRQH40IUbuDZKnuIuy3wkKEGJUUlOWOHyBozpwnCddzBkZDKecWmqzd46ZLOQWyZec9+ 6DKeCV7NfwGMa58bHBmrA40f2Dup8N+xK6kmE2/RgFpVJug04zbw/IywqqP17dKP/4r3CgbRKC1h 1XR5qMcWOTazCkfix8wVtyWoXjSk/MsLS9KPOSEolY8SGxcPNKHhXnbYzAzCTyZqC4YsjccQJgn0 RT8XmcS0gPFKZDq1iLbR+JgzHL5ehtuX/u5PQ89/d2DAq8iPtB/hj4spIVxNuBugzKljKCyZex3t AfPIO7kqEBbCMqwt3u7zJZWP1tX3yArPcWKGnem0tYYor2kWzOT+meShTpEL8jtdcy0ZACaj0f4a RGfADhnmUUmMa0Jema/4QS+oYRP2rB4Vjq9I5uGmftg0Ap+rABgMLHQuGLop5OY0NUPago5c+sLD hjz2gr/ZhiFzgUMMsb/0+mK7tcNtt3B5dqbCBgTNwEhNMCWu8FqR+LnwmjkODU1YgtzbA92twVB7 7m7yVl5dRbKeXtH6au8DLN9LiSRFBJ2shLVdS4svH05bCwW8+vbejMwhypYojH3Ne2yRDKFq1mk8 4Yfn0rbdldYgiEdWoEzclq8EkvKnwh0cqFLY2EEpyBxo2uSTOYbsUpUQYTfzX2Cmn891pkYrAVh4 lCRQExd6wATzZ/nVYCI0xJWh2upaaAEthmvuUAsjmrL3Wd9bnj+hikXf4fSxqeh+cmIW8lF7lhnt 7ADwcc3P5xykKsJZvZXGkhm3uaON/UvQN1dJuiT+qTx/Xgw62SI/zwzGTVp2Ps5xOJZ2G5gLstLW 4mRmAJheynfAnWnTlHcoYckhdHZ2qFsvgFaeHP6c7HvfzWAqg8Rbym0MzLhFebMhQHYI8Xl01gV/ 6Cz7Yj+Ym2g03OurgGB5NOy5WqmEFbeRLPJMxI9NPCqTkRJe6vHsIm9Vy+01THTSpRBqQTS3KXOf UGqk9j5K15pBCdQlsxuOYyIfbVyNty8rW/5GctSXwEk7JKcFgEwjtOLv6eZ3Zv937T9tJqTQEzCU 84+GVNQJAHAFu8FQajpk6ANmotvFQv+wDD0Cdbl24nWenl28GF2nucxon6suhvSREWuE6rzgIQA+ OpABAqU//xaJGZMRZ2nVGQW/n7XxD5HcJs1Q9dUlpkmMYozxU3aE1WDM06B3w/ItT5n0D2Y+mFrJ aOuXMFkt+A/rT+qEBbcSOEjTlK6/AGY08XL91fE4inVH3rH+PAX7ZJkCrxy41jfzqH+aofskhGZU DTEYWSDeg9o1LO70ga1X7CMWJEVu8e73CQg1RcTtO2CRBW+q4yorcgXrXv5mwx7sHd627c9xbb9s IeE1gl9zjHYj/MNCpwVwsWfARdgrNbQs7a8mV2L6CvDl9rdgiwqTU3JMbrGqNUpsvePa17450eMB dGeLf3O8uEoE+vQeN5LYTqMpDx1jNaJVTeppXU+wNuzAnm0V75Idrs3EuDSfQjGBZ/St5yQW2IcB hbxrrBN1AqO62rwebs2KaVH+eQdAUolDod+AQMGhfgYFrPtIvoU6JzfzPcYY6uXOaaB6dHZCU2gg ZQl6uH7eYt2k0023JS5ITirdvDDWmZ3Bnw1Z/54QUGX5vbJTkLjVGqflVNa69QPkf5Dumq1nYJ3c /L3ihvzD4ifIhqZUeAm3+w775Yo3tTd02WkmT69OTXEpsvqwiTnoTM2IoGhe2Tg8sCVHPUFiz9EA fIsKDvpv8MRY72SxD27bXDpzLUoO1a8LoAId4smao9VCz6IBCEcf0/tRdrL8DI2YPTxH/8oXTKf7 SrU4l0qei//+dGLzALy3SOGFnyaHacPQsmK1HdR+n9BoaRZwgB5xgkzn4s7qLHmnPRbpcsuz1hMm WNdyne5jDC18WuXi4rRyT40IIn9rH25w4JuIB8tVpTuQudUEnkzUoWAXUd4RCRA8iqtCJ66pL6bK Dc6ag+sRd0P8rmBlo3P7IvFqKCuijiHQjLOodfxoJnkYkBaWR4x5W7AEnLM9udF9P7t8k6TI9yh+ f2yIbMf+38Dkbb4XciLiT2Xbc3N/hyHWZLctyHDL6i8hcR8opHae6WHjAb5WUUzAUBRVBKUdqyFc xW5hIMg31NpCoCQRKVzKsJBUZz/z+XuWWPG8nujantbkti1qe/hqrosAHQshVB7w5FCxNeJReUHr DF+HVmY0JeUm9E0jnng6w2lX747Eim4S/DxEbWAuOFpm2eN71Ax2asfvNZtAJoAaGgWKnmVUy+UH FmQnboDH5tyMj/0BR7gbIMG2sdY5y7ye68fqhjkJCvr/ahrnA7xBGFCrWE5x7PuwqqCMk1j4nfv9 u2FEsnSKrENVvq6Sm4sfUv8L9KwXOl0E0iyzwsAvnQivd9H5uHbiUeLMRv8ryUP41kHLEqOXzP4d VIVZHVf1UB7kW6PuTGLfSwQMS63vcdTqvaX3SaMty0EX5pMTZ+ILdDnHNYEoYnDP+2TLHEUK7KwP QWBE4Qq9e64dgITdLLe8iuxKLUFVorSG/xxpyiYMzE591GEQJW9cOwEFal0hYUpzPOw4j8qMMRr/ itA1XKABb9AM5OTDTJcJiiK203WVHQcO3OHf7edW2p//CNO7hX2XT0IYTbm9u0lo/oNZyobMyhlq vTtNEblMsk/OPBjG7UQnlxuJzJWpAQptMKpQMRrqs9ipCHXoWHiVjyMLXENRwWrsRCG2XfHrlQZS dCcKmlhh/MmSmJxVm8EibQMkzBptjuvzdc3OMOTQrdDKnLSoh7xh5GDmCBr0c6Y3cXHmp9447UkW NN1+5NrP631zRU0VhIB2B0xOTPm8UoABKk2pCtQgcERtMjkBkmtA/XaWFkf6uUlhlcqipTwGyw09 YGfkSuj0J2yiZxODm9hSVe+nOdi8HAwqYRzTzkUr2Wu/I6y8VKyACpUXNFi//aMXvW8IdCZHs8q2 FAg2evASFa8bU3fnQAE2HibhQNLiDKegEQ6xu6yAdmxDo0MPxn593ZCzGekPQKbsLfBlr6DL1xQn D1NTTCXGqWkXqANCSsLts54MWI+ilSfL99sLcH1MmxMklQBwifcc04V6GPEqIGdy2X5YS/AEnFFA KU4Hs63i4mk8YUKf8CYH6D2Tol9zm4685rLAp84piE6RACVS34vqAYKgVt3SACsFz0SeX748X0yp XHzJ9wCaluZ8+XUAnV2G1p99FoLfVqOt37NNE9ZFTYJkReLsAONJ+u8DUfjd6eWJCFpIeqE+AEkU II8bJ6ecZQWhh4rZNBseWJo5vw/DuESsF+y3DHvwIHHvHc+VeXUbOSa1yPYZ4j6P8c3C2Plx/VRE 8QFNNlRAC59B5vdHubFWMH9w6e3BliCbPKUTPADLTZlSa432gMrC6mkBpY6h9+PQlTCInulOkkz9 0WPNPNEbDrk+9Zme9obhp78wM8I3rkFB2TEwCwTxJ0cDw2PVG/aq1DHRF1Ez6d0mPMSAQ11mmovP 78I1XEkQiT8ehd+PBEnsKw0xHrUIvsMZqRQgo/p5VZIqMsUYZyDy+lXBx/yXrjbJsDw3Yj/S+HFJ WnAXIfObgQYrNv4TKWes9fI8rfOg7yOtsVEx1s5r5neIyAI/Twd/SjdKPo42OT8hRBDrYR+Q/LRb QJiFTlb385UerzJnyTShZjh7apqwzj1sBtxk/LA1HgLG9/08z2f56yuNmJ9i9Ugj4Gd7TfD34v5f Nky0VL5I/wM3wPcUloN9RfsoSmKfp2xSG5QAKSe5mxn0Ek7Ewi7up80m/GvIPtGHk33AmXYkvoX0 jBtEHDx0PwzT598sY5sUmnUY2E0OQH6BHQZlfEwHGmoh4gr5n2mYq21gAvPiZidWOjCm7vzwNFkQ Zid4Jhs++TmhBpRrAWLkche+t9xm5trFxqGdJzzvhUsZCYAGpTyFge+r1eebwIJysyK5qFybGt+L 2aZsb7qViGbmgsZ/k3o+peeX9wu0m5L1fyvv4HDNZs2eqpLu53ArrrgkNsKC3wfOjvHGPUlb4hlQ 451RxG5Oi08pk/krzvPY8271ZsDs2bOIU+VC/nToJDJdPyo6bCq9GTifW3T9vOuygzb6bApALWhO Bw+pLSG5zF+eDIcQ07xUg45PNu/I1Irue6wDx95HRxv6W3Moo8tpm9kGQuYAfOnevkG7Jx2Va2Tq lb+N8FWawyuRESIR5xr+g3gqmefYDiThVtvOxRlH9x7unhSoKubTiH0BYdN9zoAHjWswPzKQXVLf uSWlxv1BfsIpEQ8Qtiahn7hkXjiBp3MeFIMq4yKdMNdn+iT10rl0feajQVpaeIQQ01GedYRwyITx dX15rN83vSIqX/rabF3Lp8tcIiNWtpXpjDFU9mo3XHGBFybmd5hxZTEP+xZUMDtLs7g7eJu5a6HP qUluXn3FNLvFU60jARRrz9zW1opMImhqvgiI4uik2EpuqbnkSLW8JAaWjyLS4GF7fpGQiO9Lf451 z+yvVQ7Ws5iJq1hIVVu9virqf1nXkfuHHEdGfcqyWWn3LmyDP6DtnZNf7pB/8f18u9F5AAom0s1+ n5MirmHHB4L1gJuJeTso0y0TquUVyLmNS6SOci2mwaRCsTobqfnS5T1q6cIwV8ERuH8oK/1AnoPt Bk4BgYZut37oY7kptX83nCPz2oag1fxTE/NXyAGtQdElwNFjr0RE0F6e/eKXFWr+4jGDny78/VA6 4w6FNFg37DjG7kJtajpLP/p8aKCoJGJ4Bdp5TmB36hFf1I/dI+2xEU9uTwSVmailEn59fbPOy2dc QLT2cxNMj1ZblrmXD4l81r5WuHEiPXXGjg9RU43ASw72SOnMWfAheZvoq4+7GJGMNoIEah8r3CCY EhqH5z6GUS3XHgc48uzBHcHtoMgP/SmFlqs1YRw1cAjDZvJeFJ0M2nl/KDt6eIn282cWzDnF2Ly+ X0LDtidWp6col8dSkPbMasJTS1rZmlXO4OggZNRGZ6aFhrkSoHQdUGvcv96gugCY9l1kOyEUCtdw 3OX4nyvElySwWzixCHmUG3OF6LVYPMGjSrbX4fZsoKctJIgjcEdrJPmAXeNatbvOJH8iFXg3nQEt 6gPJbQY2sG991ibcGdnVIYEbT4qy7pdnPREe5m9GVZyyZx0Y8Lmp3qMlib0aHOZkVkjRAooN2tB1 M3ZVUsaZCsq/mPvk4WLx36CnP1slB8eeqLJWZpLjfIBlSnU6qs1ea/1w+tayCiR8d3sv7o7UeCoe 0FyY2RP0HzeSNnETZdl5yb/ChETcH7gbmC+UGR9d3bXAs8fhQ1VIta2NCDXdmFQcIDbhCSVCuPmS iayV+EWOIv4vzt3FsfAp3rJsgqDltgejMMZYbqyuGtcI7l/EHXAI5dc2Zwl2wAZ1sJLjXRPKWKBy NdR7DDedODaVcYVNFvDRibJt2BpD3giHdKk19bEXII4Z63s/E0otgtnio99hqNUR5ZFrUvfUIasD Ww93G4RooeTGjPfNeSrhV68et80BisII6FcYJaax6IR+ZE/51AQIoZhNoEGk7jOlBbqrAUlwyO9y nrWMpTI+01YHPaTsDENxMywhicCsyE9zt0JE1e11zNtML1cxKrUW8oZgIf4Yso99ZPs2bUJ8b1Tx 1kfzJkudiNBGgGkm42BVYvbba8enbRL2TtFDndpz4tE3wx9UiI0IXZLbfQ2fmq+5JNJ8SqTCx3iK rY2IDalqjucrOp0bJi8TzSmas7gl0YR4ApX3ioLsdDpwbxifv7f9JTPVD8dCW+IDJmmtq6oSatSy wjBgmX0eyoVKTriAYvV4Kp6dI3QX/WpnY6Pal/THx5sssS2lC+eHHQltego3gUT6HVBBT+GukEj3 xtgZkTnYNVB/37SedBU+dnjqagD1jtuRS2SLTEDo0zD3PICl98eQoqxQxxGeI+0qDoEhCflF1lzH mb3q6opucd8Z7oaplXL3qQqFu3cqVB9tvge17sTHroX6+bh6DZp/cWOpwm5QhvHV+ZtRHnDfGxD1 0cd++MSJyPidLecRk2616Wa23FOuJF/a7xLIW0T7snGDew6thZM8IcB4aQ7nOTTiSVQZ/NtvJ+fQ 1970/xeMpY1IVT4rslfDF+RBlA2XZrOLsfHgt1Os0KcSOfrEyobaf37HkN7eIR2YoOhukfyMH0pm 1WtQsq+R6fNa8+AcC2Cuo1ABJq3zNQLkk30txCvS38t2EPvlkUEsptkcG+4uKkzKmsoTGdgYe3+K TEzkFu901SVsUdprntMxdNwLdFFz0XVvm7NP45OVoKULEj06rB+ve0BFdNhFz7KmNNT5QuLttIg1 xRtTzGivsruioEAb5JassamPLKR10MFiP4iJUiksxXP1VdOJ/nSksVkfO779TLJ3KJXdYtInSEhC 0HsrU6iqYePdMno+AJv/zc8eTypkFS7jICuGN+td77mESaPY6HFARZ3/BEVlZuR1Z+pVRgrLREbF Wze17CLlTtJAmDrvjsxb3OS/GW4/9hIuLt3WgtEgJQRJWT2Zf/2EXe9yeGvxpF26APDtWXPogoST p8zAE1MFiX2gEYM1uxPSNu/Z5IpjGmZbmj0jZfOnKVtJQ16mWdP4HDg2sIPMVfzDwo4ubzB6iLqP NFQc8FrA7aONuelRJOZxV3wYpF99iZjA/meORkWymDR78JUHSbnFz49F3e0QwW1qP4ZK3EZZ1IlQ Lxk2UlIiuPsztu85bkSVEV4Jwn2BqDqWBOiSSPdCiB9vxoMcEIX8+AU254LrOLKAxSBfOCtmmBHi Ea1gwbm94nwtG+d36dbLpgf0uhKBlGROz/QzxltW8z+Lo8K4u492I/aTET7xHk7I7b9wWIR/7nVn WKZJVlOwVPjg+IjtNFd380A6n9zu7QBr4dozrG5lLvDI2BXm/nkmQ2hUj20yhVz/fb8vu5vxEzMq RuqfnCbSBa602Eu91WQS/TSkSOuIasu2JNGq3o4HkMvpwWfsSpmz12lSCamTmyUEfzxtmvWszajx IkXsA0zFlT8llL32owbqT9Z2c9zhaCii5nLghDqCf0GstGTIFPteE8+cE6o1v4Wd1iV3tHnU1HaA LMyL0STXpjK4vsybDBFz58B62ISDvAgRadNkGYRtZz1RkNIMw+wFsKzuDmaKDEjiePcYLHau3sDj 9sgEpI6NH0Ghbdd+C9C/hho51HvL5F2sxXnZEpsdYyR0Lnnwkz6Odu6e5NB0j1/9NDWkRik9B+3E KsFJb8I7wNOO/zlYV1y8xYXEaOZ6MPjkciuo1Ti/pgNSphcMP6LlXyoHGI6D9p4c452uuoTdpkMt C+qqQmDFYbtOfTkXpAQh4ltL0PLnyq+tjKJPPqOit6GL317dV90V3sXSmzxKZChUa7njlraqKu/V qKz0GoTRach4i4R7qwbGxWhB+pqcU0An2PFeq71hivhb2F4tDo3WdIgMgYGVopbZ0bbezCZd//DY 1l5JJyUu53/kRTIbK3KzGtjE1bxBcLVHuQeEPLlCX8pBByiqabFo7oqIHA13+zbPGNwvDDIGjN3u UUyI/8MOwWJ3NFzWSRlqXd9OxmdftvfGKCCEMD3u+S+EBtMYpnFQiNUjVvlk7s2sU1ttWhxFFE/x 9XEOyVbBMZrSWHUkdT6MY2f2VMXqwnWdPB+ZJndUK8WklxA+fqpAGI7B127hn00h1i4gzO/hH9MC tQC8LcoJtgXCO4ehrOKWfu2gEH97yoYdEYqoDPxZwV1KceXt8cltwnM/Yl9/eop655+kMp59Plvo oFqW9F24bTH3mMN9bG71uIS1wsftTLry/mIZnbGa2GdmsXAVQCjm5xm0LCiICqc4Udr/oX+RM6Jl 0r1bfvU6+Gc7HOz/1QXX815z1qdyipaUqZ2G7dsBryZnfHgqu65mrkHG/Uu0lT/a9Q5nSLx9BULQ Erye0Os+Gjc99rOFUlHsY1/BYad/GjpsfzOgBTk6sTqmW8rMu5ZlUh1/JHd9APiHAqbkCKUzayhc hoAXvMpRL/B8khJl6Bf9KLi4Y8gMSMrtXxWI4BWVxt3M5GB4OkRdbmfkJspkmie67whIpPR5J1/m Wwh5vQm5g6+LIKavfv1+3nFBr3SmKpToQxo4A69yMZ9ccz2HW3PKsP4kUKoConIVx3veOhvl3hzk ghytQc3ILG/hQWTkPOUWmpbgzw8cwQRx0+z/5Z6zJrNKuxb+2GGDkQN+u7Y+k3JktUDPVnmJfWvP mvz+qNnzQFxO6SC/7HjOkt0AresUIt8V2If9vvDGVZh1hhVlkytQJreQ9mH89GlVm3171W0U7VaA lgSQ4erOMrw5MXVOSQNTggB20x6vYBAx3Rn/8RFK2hNCoWjmeT9pw83YvwefJI7PpbzD/PrpbAyD 0T4/boVk2EF846DWEuRo30BW+hPicEtEyfNKIGUkIXPOl7JZ69gyJwdla33gyGcXnTII9T7Us1py e0b3NZRVewqG8g61NX+hCOXRwXTCE1bDRulc0FdVcoe/PIUGenwQjbSJ+f2xfPJt1OMPPqsAA7n6 om1+8uUufGJTU+9S/0tIME6NNZUCy0HZ7BG3uNF9f4UmHm3GP5oT55kkweGYB1GLPTsBsXP1d6qp OC1fpuWLomiYtiDiXdxRbkhOepfLqKspCBzkAVyOdrCmJh2Lnb4kAwnzMRKtH7dekSD6fprOt4y0 xRcCV+tQ5lZZws5MgTlyPfgo7bVwO0J1blndrGtGo1VIlg+Z2XFtbG5ExHQKMkr/aB7CtvnUt8ar WwlO1bs0hvZ+hv42BRYFDrpwVYhGjiB8Hhu48tCqZp8mxeOWfa9F3Fikr4L7LMDbimy8+R7kWvjP a92lL5A6QmuW6rgl4dyxOyrZgddkIn51+lS1UCkAZ5XT7yctuo65H0kmORXD7Z3sH2sH478lA1sn ssjS2O4qc70rsF+7kiehyyabvM42wQXoiKn1rPNf7g0ESOgWERLFbmp2XRUl+sKuTeHk4Kb9doZr 7vsX2mEY6fpU1V0Tm4jI9zFq5ImIqo7SicRAxMAuBKOBBBGTb9bW4W7cgQJsEP2zfNsDeSLQYVW1 u70+zNf6ymn+3qbGVDddoUGDkDwYpoG4KwOShBJ+gM2MoQMh51Sh+oJyOtOPLtbJs15i8vjQ7G0J afHvwMRUxo36o3KrrzKd5q/J4x6pSzWZmDZZbOockyscJe7k39XFMCjgK4u3qEq/CRMNY45drcy7 G8HwqoYDZk/7YZtUlY56ZtKE9gljhcAto213n6oVHaHa+jr9qcE/cKD6T2v7LJoXcTbZXASUioj0 l3oTHWQ3KOTyxnkczgzqYJCzvCTTMvh19jdTYWTdPmrlKT5qQn/7Xt2xk12BB4CZbFpeC8K71cL6 k57IKeitvTBVwC1/Cpl2h5cnQpwbOeVyu03WZaABC5oTXHkaAw4Iq2KQaOu9HHxJYRCliVOHIsLK vEmhure0sV/SQ3oG3MX2Ly9Q1Ju5ilgZrduM8EcKSDKu9/cfCJHAr9aZ+4z5hxKc4aMi+ebdfSZ4 MqcQKJw4nalfk2zKm6IxhLKwJbOisWOH14D4BU7ijb4Ud/go4Cs6ao+icO7p/beMELmmFCgIh2GI WS0jXesvgwI+P4cw8294wXuILzbsCqwcFFA2IK1cginu0rz6dc/gjqV7HbYDaKFAtRnixXu9FccJ UiIc69iZA28unWeMq504oBo1oe7+pvixbfiYWljAb/loAalLCNdYQrOxog8UaCoRDt74pxsg0RZe qTTxtRBe8IknGKScV5669CCMKA9KYc26tW3a51dn+PB08zgmTaYrzc3GLK6hMeJyimQfLmmPAg4j nFamyLD3lO8WnMoZ0KHpiAcLF7V1jRwM8tIZivWpla9N5K2FJhW4O21XVcEUl8pUASFIeYD6zuiJ kuPgN3IMrwq1MrgVd39nrt84NGClbpJp0y7SMikvOZ/bh4e9UytaJKConOo1uGAoew0MpKLiM725 6GNs0KrRzqQrHuWFTbOc7kvV1zTnx+aiDEGQkcYlPBx7RKyNo+hUatJvmG+5sADJD8MRPe/OALhi FBSLwV+Dg1IHz6eaBMy7S+ERlJkFVghcnu3Qm89Yua567bfURajgnckDiIGEw0ba0EzFQaA7UXXB dXbwoWryPJcU1VazSnyCznfAKOfj1PgKizz8O8q0dRaqgsu5HQD0tScNT1UYr9mzBG4kPyeIEMam buk8VLk5vh5uEXDHxcFlu9s1CBr9dOxdaHxuuMzHwIOwfZE6RXiW0osFTNppKcCKj+qEdr/wVF+q jpM0dXVGh8bN3FGeeqiE6lDuAchjDykQcm/u5/pyRxYwAzWCOHxZT7XsH648pHjEq4NbCKm4x4L+ JK4uXB9B1gQI1K7UxPKWVIU5CbllUQ4qjnFLHkszvHHoyCdchEoFtohlEchmpdm0RD16JD3HFnMP 1Ywwu6oacLy4+CqLIEaGN0Iyk8fJWoEuMWp1zUqc2vTYCg+Bv1ULfvVzyrl6oaRG7p91PzWjgm04 Ab+y/UaNd16noYH7sOrNzHVffzxpO/umg/4DO2WGldoVzbi/Zrv0GOib1A/KTyn0vIJCGOn0bA3J 4Omw8T3gDx6GY2tgzbEHr8RkRMFYO2SMoULHzePDFdZybK6xiK0RfNtC0XDr3g2o4UO+8HD1GsWn sVHd+UkTpn2ibohaVCN1opG6+VOc+YuE2es/xAz044WCHjiq9pOfpLBgWw1Q0b6xzOFkdUxe1vqn TRiB0xX/c0BrkPpSl7m+Y4xDYxTOSG/mgWnkpshC4szLbFubgUOL/YkE4qEo/JlbGKZOXrG00JiO bdhodbrNVB/2k1yzA8is4ZoVk/oq1svfXUHl35fO2kCf3QW5jiFUGq76wnnuhY37yQvCDeJZ8bSn 8QmYcWAQMr9A/Ay2mxwugVbV+wjJnjpa1xFtaRbGTBQ4+VjPSAqmaq3GaW5jgU0bM3M1Wf4I2wS6 Sg02K5vuKTBCjD8mO/kk9CCPNw19xB3mrhKMYaSfvm8oih+3T6nTkz51LXvHNNB2N3Vtxi/b2UkO EdLmZWaErRYi3h8rPCmBmzlbfeEC6IMsWzfNqqs74A2E0Mz/orD0shGt6Mwer5VjNPjiMThfdr1G 2lw3xdXDmkcyooaLN6BXdGhTLTdlIJLhUB5qwNJ4FLKdOSkfvZlQmsLgVVpoQbcg15+kDszLLjyT OuzMjk6k/oej8A8pK9uaWqT04EPqg3QdePxEFf0+OeGcPI1rKyNcipuHRmk2mPeUTnp3t20NbCyY nS6GR5P/omg24r6dreRFWmAWiylXK8Dws+NYyycb2dw/He6usg6P5SJc5GOdI3SRAtJ2tKosLtwv HEgAmo/QZG8q0qjxcZ6v86ACre+aenL5cTiXI8/rJJ9QcDDbb1+ZFJ+oz5BkG0mwuyL80w3XwEYM AJpLuSNMvTpKYGQ+Faqn4SWJHZFLR+c4lrdao2aLuHzFMF6I/u/efOS6mRz2EpNEgFw6uraZLEOp eBitPJJSBz1JKZMXcnqz5VX+9Xd2KYSQbMZv7p86MI2SceDuQfEcfNjQaoPf47AiN9J9AWRoNQ5u g1IalM8PiK5qLCeLnLMuYo0rAl5Qe5ygOKd4eJSLEHrcS6Z1jMopCFHxiVTftOYQA2VVYXARa7Yv Jc4wkdF5qDQXDlqSzTUBz+rZMRw8rj+3uEND/GSyPbEKVk4Y0XcRB/Rc0vIkNINcgX+pdWDSudNN XeYMyKKSDhAVYahtq3dLQ6z01S48lE8If3b2vLVF42qaI3d3hUp5lsTF/obMbdj8SDXe8HXFfXB7 SdeuiGHiUMUREpDjaLvbfl3cR8dNd2NVtL7uYZdn5BRt1VExA+qCyvo6Ju7McdQbQwofY9aPRn/Z h9iqtlzf0SxomWTRwfN4znkj5EH4ybBP+o/V2111Z7d2AN7Il4H0XxmbXrDEViygpP2cgboNYn0Y XmLliVW8k6uzkQ35n8KdP5T2PsHH6up3IshsEUSu/Ieica4eVVu8FhKL33YJYqNOdLO8AWKd7Cis rKdUJMf3dM/UxKULPy3LcW17I5IEKck2ZFpqfjG9DIBQJWwYuBq1pvKo0KE8EKrB8Cvpgqji0S0I gcRI6/c9h8BNAT0RCUip4rurxrgI72SO3UEyhBwEJSX4vobg6usTrPOOssq3jXt8WdiVrj4az3bT a2M8WZwsLBa7imYhuOU7L+qmZfqTs2J3jvKkAIl4xUfvBb1G5VFJoJe5R113bF4kPTYM8C/+zQPN olr7xqe1/egvZ90yMATiAYMnGiTYa3JtZNPhCwbB0EPa2fsSSQRe2H2HC1nuiYXB1dNSIJuihPNt 6XP9GbDvkWJZ9tas2L032qrw4hrJKNnejOKFuFS2eQoRySXJW/637iPvBV7t7cuGiWzaM1bYjdwE 8AD3kqY6YjIO7coRH+r/FX2Zn9riXqMwAZBKfHIYWyPWsAmoKVS4DL65Way1dzsaD1tJiR4ckrX+ cuP2sVllOQy//bjcgNAXexADNhrGiieRzLU6j6btp9juCtaMbgdXk3fdOQLMhrQHNKicj3hIKPPC D1n7huY/Jrg49PGP8snTrPFZugkQL7kFrXFq8wPKWssFVBpXI9vE5qXZvMaF84QEFtk7dwNBs13o PA9M7M8b+V7lz5VgMWDUJvDU+dHZFvwXKIPH9f6dP56bxqlQUkTA5wMldEXRAJY39pYtSjqowFTm BzV1Qr6jjwzk2G/AX+a95NcHaoc1cEKJf6enQ2YeLWueHknC2/lR0zIS40bkZomlsxDGc6s1T7Yx s67pRcnsJ8WNvXEqpbA3mJGOig9WH3pPDY1IECf++5VsAg1VPMQFfdQKExmvBzfhlw/nh0cY6TGi ZUsOx5dgZJWT/Nue6tUYs40CV1LUbvyl81WkecNbHi69ludoqzr7AuRhnE2wBY1v+rBeewurfsjg hFqO/+3nKsv7D/I0qNuxNXIU9Bso0lkP3cuPm2QMeRPvd5/ygSVZdyE0f5NccexdSMaUP9FAA48N BjV+++sVEpFeAHWCrMj4J0zZBN0dWOjjy47pR5dic0U1DgAoDbe0HUnCP6cqzNcbceqCFNpMOtWw NHqD9EriqyA0Tsd6UWHppu47XnLHp7lGuadX7J2UnKO3qcfz4vMbwX9LBH5vGmiPfU0PdrKY+LLP HEfVgtXJHQ5Y0Ne8kJU4B3sFg1BFHz4/ddcztP8XqnDSlpMUoc8FwIlXXdUpmHQRzSPDNIaL2s76 BHG/Dgj+JQckLWGam9dbf3Aiw8uZ/uBGQU9hTDF2djzIhJxxyf/BwlBMUHTUJt668exC9iBNK/wc s36kvPXJhCZZxsBPv1IZQw0L4n9CfPFG2hsZRWLnlauhUYLyEmbXkQESZ/Qr7c0lRQltwAAjdtDp +ep6Yuwr7nZ7MStbHRMrnidaVODZClid3tF7kctpWuiiPEIEvBvIGrDztUQwlfFiROXaax26dwIJ jBJ8chyeDzXtZHrL+262qhTg5+le0AMbI6G4SxV4Bd9uOl7qpFMwDZ9tXlaHzbMSSmzr6lztX5qT SlMAwyincbwlzwUwgeGfx7GiQQ8zP0mcKXscgLcKO8eufVGTYwQ4SjlMxkeSbTSt14o/kAVDbyZn tC18teLZ/X99Cgi0PNEf1hVkzIxiI1NakmRt8LI6GLBcIX9dsCpLnbFx/jHyeFdDmV/PedCFV6Qj wea6IML4K8IlKWmxueXXSOvGAuCUb6005utr5ozxxikcLESKs1+lYqXH8MqY7tObvI0FfYeIcyoW 43Ch33YNitKVHYQDxoMsqAF7REb3/goTLKv2pUnORn32V+L+KTVCcGvNlBIavyjDtjS98AqXeUTk kc1Fo2eNIm7nBj3sljbYrp9mhZlxLQaZitqkSB++Fg7iqxU0Afh1zXHv0U04UoLlpnDBJx+Ny04k MNl6HUvG52oLVtE0i2EDMeOU/pt9FRUkv328wYQWCYgAWv93fhX0DNw0011vIADqzpitfpdWEl2K +GDYIyYzmaa89+Zdc+lY+3duDojPiWfHWnxFKCfXJ9Tx/wNAN44vVRzFScbWlMKuHO3pofMkhNqB NMkXXa3hmPx8WnB9Rci5HH7uJWpwxHh2Q9UKN2M2CHjkynhhTVozsUMFhHim3rtCYQenW/lj14lH qW9bH1HbxKQxB88mi6iz00wdTqYhyft29k9uhi6zRhoy9M4OUyhZ5n0qJmDVwenJZPEStQ/LpP3X rD/2uUdlbDTOORtxhYCERZrgfiblaUOX9GAWlaCISMOrsqDaSXNuK9pUBs/71/Da9SF1JZ1/GYwP uv3SAEnvlYaQTSEO+jrtdnhBS1bkEBmY+JoSg/6obbHH48faHGcooX87Gi/QbZASLjq1/kc3n/ie 1qcX8hdxPu9A/VXr6U28wa8wmiZBdDdpdTM3rKOSAo6ePkZqNOUKd0gtM5JTZTMz4VanAdGRqz9u 7N+nDG3SOSK4r6yr4QLs4SutRA/gOYhqisUQIMPf+T+lzpLTSb/q1F8VRk3bsyr4Ft/GWf1Rl3/b K6DYoST3ucXKSCyHkrHCjNWxWCiLqAh3JFUKWs3X+gFvJgdIp1MzltehUDi1dYtJtMpL2KUyw7ox hbEkZNaR9dOLSqAjO2JSp+wkhAL9dzEQ7xUAJb3cMrsUZ+HL9GXSoruujeXa2d1T3j2elBWm1xfW NLl7QejE3k7whfoPXgfIEhPHD5bf3iutJgKmSick2fA46YID9FbcLqknp7hf1NgKYX8qdBFGfCRM ocoJNvriNWNbGiMt06a+vsi3LTTqVElgbWwKaOYwWcCIppRWz+qs2D3F85k+m2jsFv9cMDi5I83H 6LX65/lqNr6VBXnGC8rD68w2YLYml+Zb1Br+B4Q8kWOxmFLRtGK77yVN+KbYq9zvS842KmHT2uFF G1kBIJaKEuiJ6mOQFv1XjAl0JZkCqG+viiZ8DY7zd8kt2/C4D7Hg/XaApVYmgYjw1bJDFUN7tdny wqfDBG7rhBCjcRMobHO+UOVP0nJOHfE3ja0Uo+8tZFwyBvkKRnAfaIIUpikirOF3o/ft4g2nuSyv 5A6ClpfbzNpIjt1tjQeqKgdAYmiW4cqgKTfGAPodZYIqft8YJdvVYyQgMqjdb4Qm+LQ+1j4huQw4 bXMxuRZ0AYv5EGArCNlbYPp8xpMVsB9olyn8zgigMN6LLu1RgBWY7ZsbaDt8PJDosNVDQGlyqHW+ d+YPOOQs/khQU6J4MczAZDxGZzo8Gmnlhg6b4OlYTGud/vqIiwAhXjGFCAGa3Ymohqqk6lFMBGOb bcY9BbK/gDYqhORsaIGZk1I30UzI7uHg4kg6+7G0ICba4FMZwKfuPjI8gkfK3suzRlGyoTUt8Ere 3P4tIpzWmDzfyiUjV1y71L7K8fSG8MSWR9KwByydb2wZIeRI2FYYL09QHy3d+s2GJPqePXQAoCr/ nwUyJx+Fukj7UAu6k0/IlI6fLkCMzlX15ElF5Nfo6bXu0bSzr7A0Q8CLFL3heFEXGJg7ALdtCvV7 hnGo5ruu2l8q15Mq0TgYXNBJKi+UNktdxtciR50jzXIrcOuqnOGkAnfwQDvOsV9qBEUs4n3CNMqL NTSHMNqNENf1cqun+BKSbhziTshev8LIMHNX8Hw+wNTX7IqUfHoP4M2lb3u3//oH0iSZsjvO+vqS fhLcVrXCLE03g9L/0Wcyygd3wgi+UjMhKixaihsD78DuzYWlc0Mo6Lplqq82VTF+3XZeJHPnaNCH p4ekKbFbjNXmEcRq3bbMZk1x1ta9U8CwtaXryhONK1oknn84Wb3PFc5XVJUAqfXQXmmHEn3VXQMr 6DWqXW3gF70XREv8atCvvtCfzfsmG6RR5R1oUlHbR2l7Boht43kQepqcA7YQNQOszyhz4o/A/2eV V9nrm/DeFX7O2tLkdmsR9WfzeDZEm3pOVmX4S4T13r5CnFlHTix6RyjxBme7rY98diSAJS8SpRop te4+vk+95EW+F0OqIbdm0Ql58wBbF4e3vmx/Xw9OROVjDvqW9MvOpNE/Itb+ukOj21DiLIxX8KIZ 99mDcBKhGir1hoLsTSwiZ1p0wtk4m3do4hSPwxJnbcm4V4yXA+im1sv18YFLNUBfJA780ecbvXoV LSakcbGLvn2PAUkkjmi0EkefRL3FEHTn3BueWzyiQ30QIYmPvP54KWkfI8HslOXiDKYYpdhKXVhR UrLY+j2PrEZk8qvEtd+8+nDWwafBT1ZUB/ILtaqgn+uwM531S0zQ1t6Q8H6FkeY1es3KT9rR8RVL PkDwnWTbhYVh1IeHa1XvLmX0Qsq1EYgnHwbBXv44+mhcoOlHs0l+Oz0i89rs6UZIq+32uSbt1mxh DQXicEnWAnsMjW66PxlkKTP095XLmwC1v5QXvw/bqQY/6CaXv7RsZyh0UTBwYIi94Y6AdXYHDy5a 3OUQ/m56mAYXFeDU2t1WSO+ZG04DLXHXLgu9W1w2xhiCoKcxhtaVF7kQlkSFqfF0oyT+XdjOmEyu CYKkoTuO8P5C10uS8w6/hWAWmGfa5C6KTO6pfgfRbuHqPHKYUNHdgf7m5ZgSZxW76XRctsG49FJr a8sVz/R/RKzSRclGEmcK4Qi9VJtLNe1x2LkSxImK6XGZ+IKEhIh8iO1N0m4CnOBiUi5nYpuFhGbo mtf5chFVtoiIXX7oLbpkMfm8KK824RV8t68ieuz0moPMy9rkudPcNIJTLw87Qe/xKQjKNgU+CAHn TWbMLDWNR+8GJFod5tWTdrTVBjgnclzMYvQnywptSO63xZtza5qBnrAH1vzEBv8CmaTjX6N4c80/ YCzFZpzVxJ4qSNjEWVa4P2dfZ15EYfMB7MX38WmXV9pMKvgwlBPTyE8lVpVmhyAib/Iz9r7Rfw69 4UPjCelLrM557QB7N3f+hWDXm+VmB+xZZnJd0+XjsJUVskw4eSq++LEkEj96ll29Whf0OScwhlrr FBbOv/osBFtz8lf6w+Y0Z0yBrPRgcU/vQtDTF0NhYMTw/EfITI+z8uzZ8stc9MkkNY1GUwilktCc 5o5kNItPwZ8jdiTcZzT6LHZlum0St0SYv5b8jbe2PjvRG2Ttw93qWOrN5nnFqWalSgJZ+0v6kpo3 uo4nZ3jLIlsMFU3AoLKUYntlaxQv5YfkEjYoFvb29Nh9ONaVsOMGJI9CEQcYcNqPrP0QiRwLjmgP kZCwjqeOdvvX0b2Tr93qPynS9EzcaMO0k0EGmBwz5zLITukD9Nu88hy72B7p+WrY5E7cKss1kr3U wTNLeitBjgt9ktppDT2R3kygJKoUxRksMVbo95HQNq8jYJ+tuSlujrRltXfKkHsFcCi8+C2oM6rF xD1sf4FcYHybi4FJGs6ZLO/Oc2Av5OZW8hbitbAUwoH7ZQdwo029LvBXqrHOB/YPTISpnGk/IVlp tUKK6m/e6cM18fhVrowbUu6Usiaq8PfsYUIeVhaLQvrhvNkwQpBD1H1JdqpzC6EmGb5zN/1w9Ugq unmsZ6hU6U4BdHOkpkAkH5govaNW76qLsXxCokquPwFUpyi74jL0MoAfgWiC/wjbD0px5WBsY47J 6cFQihcuuHORHtQBywxnH5xEIkagnmRfIcA+SSOhUlPBOEkP+poUWoDEpIyVmekP2JfTk4MQ7wVu qhA/UVony9NzwVRRs7mr5R3ih2EQUJ69Y5GcKOviyuORnlT7itHDuWZMhdBKeHwuJnImnC1POgit 6HYE6VP/l4Hh/aJ4DyDEQGxOMg/KLiIcIj6Tavu8XhRmNxzvvC8odlltY30K8zTnDMDXOfeIyu8Q M2cX8/iLmHjNRNKeByJTwSWApFifWxezNIFUuUtwiIu/zbSubn0ZNyo7uYD/01q6snyd8LjF2wWW B9Ls4QV3OhLRzrc0WcFyNysTqkUWDm4orL0zjIsGsCjGH52gtMkQrkSLq0vdRpP5smKFjEc8I3pt 0pW6H7F0qFypXAQrNs/lxiu3o+RUZnqb6L+Ox1gS047aqAK5HR42UiCxKGS83Fd3/Poz5nNc+aMu DwqMdRg/YzSDPKszuRa+8IasEfFq0IsaGjh1nVn2USxoUasC3brTNwI0Xe9pzw3R5zbSAw37KS/p 82Qm6Bpz3xNp6VAsaDGqOajGSvqnpzVWy6rtu7xyTA2poKBgFyT8HYDyyeeMqYe6IAdWxsbq3o18 97m3eBRt4iTDKc1Yb6elCvWWo4rAOp6b8j4Joi2Zr1vkacvFih9iGLk5v3QgWwknTiW+CjIqBwYP ul2KXPsMywchHsrbyCVMhOMcmwLquNYq+y2c1cLPBiSyqIFL3te6U+ZNXmmi02PFvlXtYNGNXcXR EhOPUR127So9HbeHIAeh/3yzWemtbShgeLjcx+sAHjc6+3VjV01Jg66EiBhi18oOXRtLUztI9ZWI xdP3Cq7qvFOG6wRF+/Q6JSJjnamJy5xHBt+o7sXwZCspoAlbUexXjg6kIpCax1isROxQr/i6vqzf qERGGm3pP4obEUgr+IyMyhCsqFdq0IFxA1zew7j6v6SUt+rAQ+hUuIYQ3wd9P1TRf7KYVyQrTLkr 4RWc7xVppyowGZKs4R8pN2SVTg0O7zg+BUnlN0f0gOVjGQLCJ3vHmb2uEOY7pJ/vjal4UpYQCUii lK+pFvy3/s3ykdAfSRd+qWp5D9enAss8M2ytw/vt/x+nrQ4rsK+ZBIr5XV1vx1qcarJdHNp78exZ REIKEPTe0/h7kezQGFF7r46P74kzppGC9VdsCdDaUnz7dJwBFt7H8NnpcBVb+Ccb22pixr3mRUjM 6M3j2cQpIpRWd9JB8h4VgJZ7hi2+duFef7j/HgUSBw1x47vcj9E+xkXZlUgKLmex/6sYGfpWK3v3 Jzq9Ri0QcB1zpQJrbyDI61yQIVUPcfKQcoAQU+kK/Ue6CkjfZsHw03UjNOBbJWSSMjmyHqAFE+Dk Rz/DgJjpgakWGpnJe6AgYYHkhuOL6/F0UCUzVYpD92PjTu7lXi4a6xdL8T2z+M5+KXz0uANdXaR4 9ujT6lyNlnYDxPk9WX805eTb+Vi3wOO020xwJK9ivyIyuXNTu4FZdPJcjFA+EZPpmxwlAV0DcTF9 mIfAB4Dbmns2Xcsl9PAdMjO888OugPNkMlOpVa6TCRxV9uuZY1UcrVFg2Xov4B/xf1XGi24GaIkt jlBoe+DzBldhRsONkkecAZUlqDGVWqrqMptjHxhiUv8gwUHcOGGPeNlrXjTijCuhhqEhBBuj3CH0 CXwn0hSIK4JBmRWzGo+i10syq+Nf9H6sxD8H+E/asKKQr/EceO5OwPnC+vdSMcyTsvcXH3KpKSj6 AzxMF9RCRdXIG/TDn9e0TMIW1HI3o9FvQnytQsRUKbZhY0LKEyS/Fxnb75re//Aw4mxC/RjPRszP Se5hG6KmfAP3l+xPwIsDF8NyS+ubb1xvHwyxQV0ffErsfnse18PU90EYyXgMtDxIWnRtl6VOpHpV GfQ0r7MQkBhXceVzeygsUSyi5N7bPfJfAUnLQUGdGLdtVYMLN3CmzX71rAx5Tw1ijallG0etlFAS wppD2dWHMHO8vXfx3F6jq23c27oQt7RM/V/V5nCd7Y/5+QirDlccevUKcXJmztFuTaASsaCBt3H5 xtdtcp23+RWMV7Kh6NTKOCFlELKS+5795CFDJt5+kz8Q1eL9it+ewYlJhtgoazW4Ue5CUZMRqMg6 /ud0+jBKb0J7rOmEuknTDWUmg9FMCXXo+cpirHjahCeudk2CN/HsHl2mYztlHoV2ghLsNeH4kAhW 35j5HLrzYM7x7bYJD4QwFDrv8cjiGgGL+WcHjy5Mo9MJ3/OXd2W+Ln925+T3bBAA5OWXZympQpqY cCQrgM86INJs6b4p1n39ltN80NkoSIiWPqN9hx4o4JK7HXbqoGz4fUqV9tO0wQPeNFVA2cO8p+0Y 3AiqsBo7YNVCfGRqjz3BoQRDhuu9j2GJ1AgikMs9XdPx4VOjDOYJ2iwngvvLf0JGIkcIBngLnT16 4RElqFTj4mwDNmh1OqFACNETZp5HtKr/Esvqvtj5aKCBd+v8ZZyIDX0oXzq+oJdZp6PntRZv9RQa B/EppK1tv8WraARmb/prTTIDRpEtoq9+xDgyWn2L3KoCZ9Y6VLmZrNiCGLKNoAD08fhwy0YYRHm7 D03CKx+kMI0tiAtPlb7e52Ql16FXmiKQr5ByB+vfjLA0wuS7LZjjbTaMLJtD8Uvloj6bYJNp+wJJ XOchwaldoZluHfLZH84MPtooF9h2Xlxs8aP5v8KOu1fT9jX0r2NlVLKsCnZWiDz6GYiV+HtPzEag S/ISArHmNwwBXGhQtW2XJ2tmixb4k2JWEgII2uQrMlA3vQPsHqRdlnnAKhNS8cbPyE+xKx3qxiQ4 djMzuH3O6mRRsWOccGjVFr8sx5QyRSe45Eyy/++FUUZtsuIj+42Wm1hyqcxgEBizd4P/7o2dE2ea gJtoyU4Iktu75DyPy8JpPZgUSRn+dtaoAdmNuw+0IxhvWAKED4UA6zFebCbNBh8D0mHaJcatJnF4 s5RqwHNHW45d90HoluO2mbkef0DlkSJI5TvfJOxYmI1UzrDfSfv7XV5pbncp2wPipoomBdOB0uiD ElWuEMXzZh0ExKXcIzZH1tvwAgOT45KNvLpJ5/l2CisrXoVOOMuKKAmLcPA2WFkHl2CK6dHX1iZC FPA0lZXi/AMyHrgIM/jk4fyYbvT6QaM7KJdrTFi3b2Brojb86rjM8Z4yt96OV6EfALnTSh5++Wqy wIyD+5OyZ1rKxuWQQ8GMQT1UuF0D+sXKvx0hUJuKQVDXLffAXlHcrBvLaxHD9MOYUvTRQGNYXQ9A TmeWoXA9veQg2+vL6UnzrfCLwUt1u5PaudCPzR/SpTrYJnRsd2pH40tbsCCDNhFo3CVRkF8joySx /TZAg7fgFELbaG6Cv2jj2tzX3yNQDiOvHPOmLBuW+VVa88hzswGCTvgoYtMS1Gu3GO+nfnRLhqKX +LefDrX3C7pSn+4cD2LFtOaC/OVf/QDF2c8+TZSE2XEFfNIf09kDXrWYLeB8l7V/tO1l1iSEFlvH NMfJ/TkxBwibTDwgbP3T5U6oJRpPdNGw0SNtH6U1taVBXbwn4Eo+CBHwsLll6cIr/G8z3VebLMKv gXsGtC/FppDguP8iMfx/eTwGa/8bi/sOR/pTLmGO8JQ8wDD3XuQWHJF/HBToYTdfc88ykb6pLauW L+lvibtFZ1oGE9YcWPRtyQh439o8WWVoiK9ZtFu0AOjBiUETc6yEsZ51AroBjFOKSNCaAzo2xWlb PslRtiPhatS2dQtdcN2UIZWSQLzgfcz/C3qRQEmveqA/l7Hgx4PbaOGZCc5ORMl6gP166uN5oZrP a/VqmL1TpsefbYoU8jRotZ2kwmNgRhGBGfLzcaNdnNU2gBzb55dX/dMBMPhOrApwOVPtx6y60exo hSTkHge9bgG68y/16+eYw5UBncRcI50nCXX/qSdEQirVEW61AbQ8CswtTwBIDLM5OIMizklIvf/9 3hkkRpsAKLPyKtFSuhgCgitm7G+dRyp5BKSyQa4hlxIq6ynLPinQnFaMAC5cpU6jjEVdZvKa0d7G Kpbkxiace+RK1rU0+2G4YbQIjNCxlq2vjZFJ9GHgQEb3W0SyD+6/vIPJjNxpQU4Nyvnw3gnpGnoe H3YioX/X3bzH+81YVdZhLcpCNGmTaFA0mkrFuX8cQarNztMORSsP8x5DA2lcDjAU34OpU1wVp7G+ +pd1w3P5UQeTCFECIoEoR3ZyFh9NUk3jKtE9iGRutTiNTpShRrNE8wgJeRsfn5JyXKfFku237MgV 6HRASQBsi1vMtXg1wy8c/v25ioNLKp1EdSGyYLzu0R0QO4tZZkdXL18A6qgZGZJE0o6zOBYHHC1X zk+a221wEuiLas5W7a1YEMpKtoY3yrrTf+7TI2sGjGdoiFwet0sldaVbfl//aGG68SI35ETmN93M i+6Vlhz76vB7mQxhH276Rfzjt9J0CV1MsMpC03cokq9pYdFB037NQ1JuIIlzu6iNx2psQCdEpYcs xlOduhp4+euwSLKd86U/H6uo5RUUHno58Xx5OaLa9SJgsJ0BA1st/nnoQpIFcN/feUjZ6Z/RfOwk MLk8ZEbLnc08XLXVeeoOuJgCbRyH1RQHrM4Q75QeedETXRtaTUZjPgWcyjt0cA8Ua0ihmm9b5/S5 Umboo5WCdg/Xvhp2qzlVHy5OUjBfoxaowDAY9Swnb4r6Zt0vXodSJayLgoEFfjDDmHT3doCWtULy 16jvY1c4MOlm834HzcSumWNNNwXElSAm1UCHoZOCz4F4PH9cpto3B8vfEfqgt0twOQc5IMGpD44t bDrJLjJiAhYOlUhItbQcmDkSDqzQJ/tdO92gBeibNeopLO2MktLmb5DKfDb/dKWKjQ+0yCX1rxIK pV4LenPIJzf5aVY+YMpfUg84vTcO2iguXnLuzSUhCKERMsIg57L7pYbMetNyey3iSddGpeiEacMX We8V9t1XVsEqwfy+TNwxCkJ2G9ulppIE4YyS0vpZmke0VpaFHtLBA+6DVFMXUd6HrnAo6UfGHGDb h5nqFOE7yhGtBvYJS05yjBC5wp18C5DfTXMbgKwAlvaDku61dZUQNDvhHBWWERFDS+9gwgZTFrnV T5+97zrG+msuVqzMyN8vyQeSe3p0no+4BC8iMXNdFG6vPuA9wbtys1k51MyEsUNhDOvED23MD3fF 6acjX4zfQwQ65M40CnGKmw+ono1F0GtsIc3bCIVXVhWHHEchzod9zXK/EleD4gEgckqFLHP0vrjB Y6XiELCTT+C4u/5qMQZ01NWSso0BFz76T61nTNxTCIbQjcHLvdcZuLyhx7w5izr7Hqr8LPAfaA6r cN+qRkom/SwK4TP61zgfC1/11Aa58QSz5ky6AJ2oYM/kxdu6eTsU6eZfYlzopXHOhtZGlj8zPWEM YyeL2ljd1SxACKreFjP35LhI/ilup3510mSUMfQzXuvEhpwxfDrukb0tZDkG5VM0ask0qXhmQ/rC YlAqAI8DxMl0oNIY9GCeKFq2p6fNxQVnYA3nwB4kW5dhKooKCz0GBeErPV3YXc1tXXtaQ/SU/nnU Mc+/TMPxiD6XmN++neJdVo+Zexqg7kqm82Djcg9lPEFWzp3uqcDjDAD/OqaO5Oxeu4IowuzK+kNt IocZ12rRpXwYsHLeLgDKKYsdX55s+VDk+dhERAllXsX7pOX2LsHcRvZcbgCPSfszMpy6uRAFW1+H E+DNGOtXeIl/siaAmJqaPgKxRo7PHDCcXLKuFEqkG8pAKCNLh/5jaeIV9yLBZHtBS6Y5Iy0c085u 1dhu2Al8B20HksDudlQrANfHwgNs+Z22v8Gt+gaHzBXX2vScuap54IVX/91Z3SBQyvBKFH/l5rrD dnRLPXGcN9um5uMX7pDwu6NTDfDkcYlPb+milQXd6oNpm2pWtJzO4atZQ1Re9fDuKhfYkVwR0Nu/ GdJu671bBOoePbaebxPBo2ApZrnMAI87Df+C56PzXJOJ1qP79n1UJWVlF3IU7i90Zc2S8aR4DSk0 jWk+y4ysWtMSu+DXL+EyphDtBOI3U45Er579WP0lHB6Lp31p/mP4wgizEkNhuq/vr+whFdbqTBut dMtrYxZ4hoEF9XxFVfUWnW41f/jUFEg41spmZJTA4+zttPoz4Tziovsb2B77Bru/p8UFqyi+e/ye l0r+KCGr9vNxbxpytdOJnL1sGNxnJsq81GXVem7uC8wCOgRTzg1CRNmXbc7RsX1AIhB9UzqdEseN b4QNtG7Pi/uTk+XY9S1tJ0NM7J8AsiP5cJT5TQs/5ak8GBfv6n23IDuT7h57HcE2o7OzXbpGT9CZ dKo1EL67lwExWNY5TqHgl/LageUrj+qCuZd8/tRnN5dhuRaiD14f4BgloJIxazbLyLr4lHh1W5bT 4ur0G847vQDkfOhw63w2Q+jdIQTttYg8LEMTANRzh4Qod8BqylEysSyS7LDZpbcXBF/Fs8wExrJ0 GCLlFPmYK+r0G45TWMVZqdEB4TcbPdVLAml7qo+DialyCnzGKTQvcqMS/EanLu2g0DkmWawwSZGF WIjbyTZMdjRMNfHuVoYgJCB58aaHA9w14EN2ntRdyDaLR/0p9+LclzYdqvRM3Cd+ZtHQIBJ9/wyo kfV/TRcn6kJaMXip+Wc5/wDYYjFS5/yYWI0sCsmxW9ljgBhiEDTELPdBoVF2K5/deOTcmFW5fqK6 QdurmPusJ6iW0LqvmIAHTs5x1+vyNv0iKyBYv11j2CLE+WzdNnMlIT5qsp2FYLbZMDuCnNdBtFeW AJcmu2GrdbHkLx8vfV9v+DOv9ALbJWDafSXi/lWujwSS1L4JHlaz+wDLlo+zrO0r8kt0gfBHjL5G kjggSYRMXHDo8yBeoNmLD4jolwvO4DHfDsFnL+C4TLqQpN5VTWnUmggJ/M69qDp/fHGniqRxuZYp 6PGs2Rx8EKoueRowIjX0+CRxFoe8w1GeTFHBFN/yOGnB58KYnrTRJXWvSglPTcmBjbfB6b+et11/ 8PKJILhUxewjVToyJq8av54slS2XVwJeJRLFjOI/aAJLWoc93ibfYEofTo1mCC4tYYl/Q3FvkKBh uXpduT9i9KpnLgZGQC7AoPKAGNqBYTRn0XXGi6jUfG1sUt3MkS2+GKPUWr7eZK45YdGDwLTdhdKk zcwLDEvnPgAZ3ABAvIPCyjhA+dKtmVoYF8dgZpzSTiHEDyDMWVPpZSDiwQpC0ON+ktFyVqb5Bef/ oA/nzlw/UbrUnc7YyPpjIp2Nx9QyZ5dxjyxoQCER4Wuzdpm7iEu+BKSrIn56zmhFqiEpZAih7wS8 MqgJfHZCrNa/5/kmh2S5vuOU8CbExoeDLISIRnfSLrStW9PRx1zUcpgFU4OC2HK1EOlB7cTVnpuk GlNGKuxdpGCzRxfE35Dp/JyxzU+fwnOPIJgjlTI/8OiQIHtsXTR57SwASkOrUfe/SOIBrj3WQjWM 0kzw5hoTB8+5SQByXOFVYvP/9xSzudr5pJ4WbVlXV8rMHh4Uj3pqcO0fytV1+Jt+k8CywxBehfum d9527ni90FljgyVf9RF2bTVZzlZCLRPAYrUA37C0moKvJF8wCHZ+rc8cPf7jznbg0RR2Khtl+eCN 0Vv54TcfKwyMdnjl+YYmjfNIsdMgQ1VdUKhtemjX4twHUSbSxepXAN51AdKB1f0Obo8io180eT1/ fEugty7pkDFL5sOR9MJUZG995kYe7JV4abZqeQfVLDLytNKsW4H83hwLZrkPlMbaKPkQpW2vwzFp DRFuMhNoSZ0acZ3fFvYwmfM/vZdIUQNUcereKWq5o1fgxPr5+Iqq8w5WDKHAq5tDJl+2vO4/m4wV CNLm+oF9OuuCktdsAOJhlQ2d1IOYzlZWZPHUjsQud8259/V8iyeMUNR/p9wxMAEqTvUvbNMApbeC qzp1KKFSmBSooHbvv3lEhKevwZ/vOSzT8UTn8L2we+mAeRsAi0XosqpJekLj+HPmj1V47CJL7s+4 2DCRnnLhC6UKK6YD2cTwm6nSrVB0rgaH9NBcni8HaLcglDJq9bvJmshO6fU7Gwj3fTRohBPDQbq0 T5kEMS/HZevRhf//WFzUVceYvXvp/o7KRaSFvw5DzvsxEJIl2Y35Tnqen1f8og/auXOHWux53RPr LjxSbgb42/ScfZBW7Nd10Y3ilmqNOGpael/eFSYtcPnz0jyPerdGVUp8i3BRgzisQyy96V3r91tA agtMBY7oiypby+qZUQ9SNPdg7kQJ/e3GqUnhf123lYl/9jA2JhxEOJJZvrA2dUz/7PaG037zZAFC WEJs7GCPtcCUimM27LICQv1VuTKQPE6DYpYx6caX7oKd8khh4Nu340EO8tL2lPtbP8cp60pnGyai 4BzzS4Niv1Cc6EQPYR3XwbPYFv9VpDnSTbc79nUGst3oObQe8pDmJEn1dgzcVdzO2G01L0GUWis1 WFIkYvGIrhANN8mhkIS8oLtRefSgPrM0IWt6vDi2iDkpL/C7T4wKlM++2BliYljf0uVM5CqP7R+C 8cf5t1l6/0uZPtlcyJuGEDfmgSMObaOozwGamK4fiotdqAnsRydc2hATd4laIcTTxxjhMZIPcM+f Hwre7p3DOB5BVXBIem/iYeyn0C1HIKMl0TJveWsKy3mPcBIohULMBKW8+X6PPyFFNj0TcnGRXQ4k 6oFqT9WTuXe0MplRNC5or1yyQnOtzWT5uRdOIv1tS/j6dQH5sA0PyOk++uAyqINQ5HECY+1bvyii vuLckho2Xe9ZK9b0zuVFRZUHtMF+X65ioMFYpxqG2XBlfM90NMD2VE+MXr0YY5GNEMFeJGrQT5ft 37hL5ywvFWFgzfvnD1dosc7sPvXKHi6tvUuIc45zskiexSGbpVT/JCeDt+FkG7E7ajr0pxo2vSls /j6gW31pzAm/eAoKII2ETYvfIEkNF3pyilRsbElHEviOOFIOxozrwJ4RSo6KR3LBaiuopgGy77IX wlMn8TT2/wHcKV1wGq+QxxeRhVMhPK3092WixVBZn0yyDecS6F93XMwqXfSSyLNwxvMY9W8dK1nT vqh6qWUB5bJlHEurf5/SFEQKkEQ09dybV6tF9tkK0m6Xv7ahBmczzMPRfPonyT6/TV671YhSWWzQ Ks/ioE776eD4WWWdiHDGcSn/SQPT3SjQyrVnnIayRgAeFjXE5ANZWzLOHnWAma/RkoXMgpeYxlrp NTRw9fBvT72QofM5vTqKzKmvulrR7a1x89dx6JP4OyDm+UyqTV0FfHpHaTwGGZOPfA/e9M+jPe3d O7mnXr62UfvdOuW5t8vm3Xuvqz0kGdHwsho1odr/OjxJPIT+Mn5hHXJooRIWgJahfWpj+b57/Qpf avEScwRPr2Mw6p9smSZMWPOKcTr88heYeiOM4N0RfVz3Ev5rIFaeiS7g1PiMUKBPsSbWjy+rvE9u lkudjwxbB6Dp+qWfo642aRk6UyzkSrP3OTxHY3CQzENfbV+GnZKKSu3ZGVZ/YIfmD+zaWJZfL+E2 Jq3PgofxeVzDXTOGlwzmXjiMza4Pw8vr39O68GGBufhOer0P/UArjT2xw+eNfWPSNT4oT8ccv6xH 7JA+ffMy35W7IY2i9VBVtB3LN71GKKr1WjAD7RBhwXH4D+FJAWJhBzOE/jLVFq2+GnnC4XrBCOkn tTt6GtT5oaoim26msa5B3kuwM7Lyg9WNpm1DzS1fMZvVumtSrkV2egByNjjbHt/uxQ64v4HghfKc izToqQiO5tZDMh0zc5slcqpkPSdA9/C2YrGhmpz9BVyu1eyQLkx7wkxcKW95QI9MW4liJ4hyDMDX WooIUjm/Kk5179d+9e7huyDw59OUV14zWT2fFy3kSQvhYCXK5zrhcWuOTxarF4cnZCYbTs99uDRB 7CsE04OhZ1rErxV+st7hngLqbx9xz72Q2Gj+6zRZ4ES19FxYFfBN8/dtAAuYIvH5CWwVmQeQRYyF 7udlyxi6rmGABjanZSI3FFBXDDkkkMuN1GbHaQkMY9iUOsoSnDIbNuLIL+kIzjGMQjjn1b1VuhzC Ok/sBDOccVRgOEkWOJ8nEXkbh1tfT5/N6ZWUhUjJu4ZSMRYCWE5cQGWCeBy/HrmUhkVu2mJokcek vK9tFALqYDwPBfs9wDv8EC9HsZHCocJoCPUIzqOHvqiZ2O+d2ivsWVNm5SnYPfSDu1Z2IUHB2NJu LqRC4UOLoDv8y7bTdybuw11Vet452HkWjWBO9hM3V2y1qrboz90vgMHMXSYMTIwxgo7cYyRGewMC 2Xqq324YfhrnAuCc6AD1EWLznOiFIsbu2AgxVICFIxUOkYejFu+bx6l9FeM/M4yAgfAazNCwJqjn 2frlag33rgkwCOzANBbYONkKaMtNq7HltbMMxEqfwCQ3FlOon5P3LLEnV0FFpaKcdjcQZ7ZqWKwf GIVArdpvlR0aaK+OMq0a5Zxr1PdkS8pT8fhzZ67loDBnFnVUsIiWDIe0lVrKQvaO77RrEMa1rgOt bG8MuLQXCw8ESIuVl4g6EZTFiJK1nki511Iu2zhkz/HbMIecgm2mun6ykvY0UkMSLa1ArWllXpod pCGBJqqkG2B4MhaVYeEhd4x62oMKjaX7zKD4tvWgLFF4VDq4IlJu9BZrYQTnRWtpHMq/m9w2fymZ lZOrPDL6DRtewSwnoi6J0DTCaFIMD6OKiRWWtfZzQSbpc8DiAs+9Ry5rPswJqYOFyOKwDCw44qNN 8ABZQbInAGNlRMGJ0obu2C6A4sVnYys8B+uFShLNcNCCNaChOTHvFq0zHbIFob7ub12JQRll8OjL ldF/Cya0wrdfpPIu31qKp6MYhU4nQUZ/Jsbq5hUJmQZbDA0NTSnnlpa7oK1vRGxoztyDxKpUfoNs oQ8mmdqdA0lp9FZ2OLmHBL+V9NV3DzqrwDtWHcvY0NutE8t2XhbNaqtrlNiOY4Ezo7YTZaGPYDUY sluyfbppMQLXBBguKduLMl6uR6YsiDDyLPgl6HIpNqOf7Peox9hIVpVLO4SOrjmWRLziTZTJb5gG xm+2ZGduPpPEPcRZkX/FRqPTcrAkM1hmzMdOIub8Yvbpvg2GMULo34hECEYjC8FzLJnZj2bxz98k 50kTfzY1FTKPMCZre62iCNSdeYEw2PZsdZjX8nhhMAfb1m2th1GQKgAysxQiu5Wt93h6L3t9nm12 D5q9h9yef1FVWmUetf+z7kznCEPEAsd1EtzMqqDyGeeLFaV05tNTbVpFtgFJ2p93yCLhpl0Zy6vO hfgVDgQfXsKlHfa2kqiwlXtDJNsh5Z8IdyQo9Tqq5xlYGJbjJZgnrOFLH+mMJ6Ec6/TU6CWNTwj6 L5MQoexrfRLAK+djugisMAK3vvxkDGwHKUSLBPNlOeMZIBy7UuVMh4D1K7r7wSwpdXngIoW9ErVp eCyNkuhhbbLSwh5MQ1Y3opJ8EqWP7HVVegfcCEAzVS2i9aTpiH5y2jbF0ZIY57eM7U7zete4e51d nsJwXTQVTXLJOHZfU8xdQD43O3oioJN84R0mkmlH+WZuJ1gmL/OU/MJW/jtRfnXx3OcD939ijnH1 kGoTkoo5MDiEC5XJLZ28n7DD0SzMK8VE6fVGEf1CwzzXpUtvxhUDAlFhmaHPX2wcakfI02BQIeSq dUx/5M20lug4ChtKNZy3KuvlHhtbc2hgmQm0rurD1D8x0muIViK2Fy7/zxYSan0YNd6ceJN9xMIc W2Ikd0aPTszV61Rkh2Ulc7/E0YHgj93WzJ7mZIlbcbZd+5WtL5yWWlMvl/W1hPX+k/gFGyc5h7Lp n7clNThmEkrQwX225ypT37fmIZbxfWeQjV/8Pzrn9mIQktBq/t1NvCJBWuYuAQ2VWu+C5Uq4EFNL R6M/78nHMg9JuArpbnxbgVvd8fyLCSjWT1H3Sg0gdmoSTT6Kn8mwTVVRk2pIwszzSbNB4HFMCxQ9 p+cENST20AlDKIxs8OVRwZMHoyCAE0K787wOeT+7YkCoD9tiTLqQZ0WtUfL1HhGwjAlZ6dXP3xpa xcVImrlO4XKa0YOCgYOvdcvhc2KT9mZTLUpk3kGzqV/XiskdvQBOjnhGJlE66R2UxY++yZBj54Lq uG3W7hH+PpwQFNGB7sXTkBrkVHKYe6n2+Actnvtt89+gbStJATASZhyzBvseW216M28CY5lDAnLp RhXZPF3JSwIn/vdn0MAu6+Tz/VU+KOw3Z/GPiFh9E8k/wb7h5O0xRueU7D8BgbqtqSoYwB6GCM0/ NJ1KyIfazkxZGfXN+y8E93IoPM3uCWk6ClcXtuRwwY7x8abGs2gmTbysMChFYYZHo3hNYfulFvCf qm+iRA2ovfPAFAFsHsqVTmNRHxzV2msThmO3MkZrccbuxK+B3jWpbNp5jYaKBPqVVGad37IOQ6UR w+tdq89paKizLkY6qhNDVKfcaWom4VfFnm9W3Jf5ayxG1i8DdU7IdbpEaUbYrign9xorVJz8D/9S n8DK3rUGhBfVsht3WXkspukLwnNdFwSfGE766T+M+pbF+pp9IP9KzLN3HEgslh5LEM/ZKKoIFtyg gwvLHPQAtnHItWxoctWDCurjNfaF/5AxmaQdB7sclaqZ+N4DKZJgG26K3YoFWIlREcvtPgGvLhTF Hy5ewicP+hVm8ChtEV7Hpf/jkFSYHlahloFj0nHBo7nKlljFsGmHSMKYfPGuTRQFHr4BlwNpAj4Z /0IHH4ISCVour/bSJtQTmWv74tfMyrxtKfbPW6uwWTSgK6UL4o0zb8M0+f1AY/RC4g4/Pso6vR49 EDbJ7jK8ZQTefGIQUqFFiua0k+yH8iyZPAUjX+w7yGKLFSP6yEZDLJ5XRwPTDa8vNhhj0RCwEuKI UlN6v87xasFHvageCRLPRN3cQMkik4fya3JZ/tB2MHNbYF6YYPbRyXiky3TE8nCKe5B7cCFSAjIy kzG6k54rrf7PLAUCOFNvMOjnHlmzgj+gm//q/AvvJoEtOSVmxJJwZypsMehGRu9yqLaEXcL1+oC5 GsYRXvGnP2f1tB18bVem+D6bMG+RIcUTTaATbWJkRwQPbLSF1AORIXvpekrlJrZI11khNHDvsr0m vxd4oaEbXwd8zxlQdTgXw6J82ZrF4fInGgBUsEDmKnTdoIXmKpTbdeBQnC5JLbJuxzzleZc4mCcz nU5H6+xgOpkRqWqhCNxQoHJsjevhh7aEcIaa+T6TErjnZ9M4p0KCr7N3SAivCyHF7mfX3l66ZCmT PV4nwmuN0dRguXAa3qspfWX1gJbav2oedwRSWgBjDt2Ou+2flmzWHBEE3a8rVpsNlABAyCyXFXk6 IWG0UPr5qEiggp+IDQS/LDfmW7/xFV42y8gQQuqzORdSjxjQwrR7eygmIBfvFDus5jdNwwoPUyC7 OGGMZs4bIfTS+GOuAKbIrFhboyTkyXUAu8baBedeZ6gwBiaKLZlfQanrkX0TLB0myK/DXONGsQN4 imB3qr6hM1WbETMnuSo4r7s0FL3Ato67GcT0Ud4oLKtfMmPKc/kay8JoFoRIA+4ca8HycZfghDFR wISfaDiTEzqDvoOUc8I/GTca4S7SkKZtN0K5/4aWz4P/U1a3ogAiaml9QISJisUzeYRYeSXMg1qk nG4+FyObkTN2joXP5WkPSrL85uDSKbd1GwObRlbI97Maoj6/MRPGeHKXnDlCxllBk91X0fFBgwez IjiXkLH4bZ2by+57iIc+iTRZQbYuKLIWibeQmGxYxXQVZtk9SSCur5tBAMA72jsSAtsILBSr6uyT 0tSZ+k2lVTeZEDG5loTYePQB0tDTJy3SX3TZcI6EaIvQDQh22eMbzbuY41kZBeT7QpHlmFOrmLQ7 6l8Etp2ViFXlm3NHtH8nbAR+YhB+cQ9/6aDQtLUriRa5qdEtiJ0a6yUi0Kk0Q8icaIcpGcdgM7Gu kgcKpPHrtM8zDQTFbB+3D+TVnVHjoemN+qmJay+4d18DS/ohFp/fzsYgCDc+zWrTqwAbrpn6ZdSW iAzTP5bfam6RdYBZODv366n/W0/sYV6niFSK6PA9v40UH2xUrEzvZWqQhkGYbwneaCZRQs5NNrqD vCHZa6JRP9rd/RyPGpVQrJYSKdD0K1wAygfiycUKd4FYngr0rbiihR8g2a26UR/gqVB4/txS0ZQ4 /zTIn1AOlPEhfXwYuJgCHqFAwGOVUQ5ioSUzCprNboh9/EBu3jnTEAyiZuwhBXMjSXDT/R7+lO6b mvHEjKQjDZTApipaMnQU6sBEDKXsMfTzlt6QjMDSUe98+2jGNx0kPn0U15tkmhw9eRIyGHyNzRCD J5QQfySUShy16CPDFsMEiC4CoFeWuPf5n68I7GjznIrezfvwd3Y28jBgJD8jEo3yNBkm/2a8QRMO M+6Sjf82FI8e8blb5DUg0IMv3zjPxea+KjcnwophxcXWuiwm2tXB9sQUvPeq7jOBOBUNbn+htlgi Ode9PaobWEnStspsZLSvYEsO1rZE427uniHL70Y1P2ORyjfrLZFZWqMWiDm5Ha4HmES2ud6FDqxa JVlMjvXdQSKpkAZVAAg+uugCXpqQjx5M/UWYRSTiq8PqYDyJ4ymH8ho9NVIqAqkOto5vyCTy8DvT VD4bsNSakYa8BRKl2/qENQYlCqwnV8Z5KS4UNLnQCJorjXwovucGPbnWzSDuP77OvHfArUuUfB/o h8b2tQkNRYPMWC5GrWELDA2rfEyfBMlXfjx9hklCL2mqcAWZ2gRhuTF22i3JsjLPuAgJ39QpY6NX sRthgC1xNLqoRsXJzp3EmRhdY13rTSJTeBatNUChglQOxZ/oNAiWtQBtuOhKYZHzgz6q1lry9v5q 7leDwr8AY16D8IAfFYHpdfyVJIkg65oSckUyP7BFm2Lnsj4JZ/tjYttvjJwlgdiclQvT/TGsjAVB mBzmu3gOD1xrS5JdUksu5J4ObUdtrW8BbMPOFRQk+IR2Eh59MXUAvoGYAZW+ER5bfF4/DZ1iLJVT K5Tiv6bmjm94VXs32RXTZOcFErfOKTka6O+Z+7brNSW14Kt1QjD8hjspkCdrkbXUuGxToOdAfDji VzlJZn+oiTSddUOCek1rmI+ZIS2GP6H49iGO8x9r4mHDxrqt05Z+E3vj99H3MXSj9efBmO2mZYBS ZfAyoJhUWILAlPG+N1gzg0ohLhnwyv77yrlv8lBeEVvbLwKXieCwINjEg0LuqpjUhhUwLwarCZqO VG9iBMjXflC+wOCPQrdP5tyFqXDoW+wRl9BU8V4ZjdxtjnTdB1RBFjs2RNag4CGXfmchLD0k94k0 47wvHTad8y7AoHQSysYTlUmTt7iBGSsLlHniMNZylnKDZwkyiSwE1kfOVKC/g9efR27aWqq2QkXp DB0w8VmfH1db1J0hLd3Zr7tScjoDUTyn+fsugyvt7+vE73sQOMpGAPVAnOY7rz8kdhTZDqkRm424 SKK5xo8cVIWeMNP8gaUI00Tdt7pVp0g+7zXWbq2DaRiNj3Elct8a/5JNoRZheYNSeMvUwr8f6A6j Uk1nJlFA8Okt/Ww6nyJL8WCdWWCCDqSPYiSFS+pAO1Erk7srFPbWolbc6B/9eFCMBfPv+TvQJOku 4Auhxh0dF8HFakuWfTNJNGpwSjmhpIW9f8NkbRo+mCFPu4V9TpTa4YMkvAo9nv4sKbToN42X2R+i skTCIOj45nfMsG3SkzgWCS7/E+jgf4ZAPEOBKqFoQpDKxA9RUsnsS3ntGzdPlPgw0F8kIsxaPjwd wvXIZ4uprjuR7WZX5JB3sb4EFI14bEpm6uSzKUoghMLT5esURTc4lZ0Tekhrm+xX9ka6uRvBmKS0 gB4gVteJwCsqEooafdV2Bni6HMDRWj2IgXgQYC8gmbt13/20viM9qr8S0yjzHfp4RqDeWq1DC+wa yl1GtN/XhMOdLVwtIYmlS0bwKC6XnZFHXijPOA9YD4l7JDd93khZBDmtiRErv30zuPrE6J1g4Vsy vNnjFsRp7LY3GiYw8jZFNxk+nCsXEoIqDNEpx3GFpzeY//kyYRvIf4fo9hm0MMxjYQtwOTd93dRu N2mRiu+eaEfBuJM+O4Up3wF9QcNtu7b+o8htsZszxBHe7tIWqeK7wTBzySpbgFd7sRmRpQI1iLFq xZr6kebZW1CBPlWd6wZLF5EpzgjBOIZuKvZANT5Yt6DW2NQ43o193Iuvs4UQg7kmI59c6YfEPGjz kH7MBd4zrKmDP9SHNvFJfE95pNji0nMrUEGFmSnUXBIOwgBL2r03aoqx9b1P6MVH0UXI7l6Hkhcg 0Gb9xwkDrlFY7ScMk3yGT4qMPVxmnOxsVglaN+Ha79qw6dEBorZIaXRayknIOObi0UTwVFx7M98f X89dz4LkHSAn0JEvuX5rPsHZ9dMEbwgytFOTueG7I85KqXinwERPvmLFgtr409YdiqnwDTn6+BmE jXPYoNTQ0ryO4rPeFT54qAh7a6Y3zdSm5xORb2wrMvRaxo3NIZJU6aG/dF6Cn/DMyaFJU+E1MngC Vu5cfFKdD1WzQGniDXMuXf5wtI1rO0vMjBivlOv5XT41L8QSyJeEJpqwpzXmdB6BPa6c58JxUc0T /rmWEQpavZVEtes6SW0ExUbnyvrQOCQOLMuhpFU4J37FZT00478Ir7mr7E3W6pBcG9hlnQpE2RCw Iny1GXu6G0JGiVXWj9tbCAcVZbPonR53L9ptuANI8eLtysIFZmxgTALByYhUVfGjRCyOHbxaTWrQ gTN8KPy+WqtOVu/UKOP57PiKGTVZmUmgcbTnVMVTttTeooJFkWyfTAauUyHfXl4FAueDK2Xjxj+A 8MhkOQE4pyy7BclL0sCXAVHGrzGP39D/TXnqrfumpeISwB69xLmW+7VH2WSYeGbWYLrhPSTm8PQs xUaAzRVRljKzYBZ+TUmukiZ+o/WCOJ1LIVj6sp1EmzEHg0rBpL9fY90JtkCySNJUCyvAuOSGdw6E 51EqvIv580Atm2zIShaNHsXlhcSKFFepThXcLuew+RUdBEXVbsAbHOy51mt+FK+waeAcKt8TJoGo ht3cm9CVhO28OLprmIBMStjPVQDUyBdv0TyK24XXWHaFb9G0L91KePM/PXgZXxRPPlkOjpzut7UK v7tU8lW7GwUHtOrtvbbhzAfizFLpDf2CO1tgLIWTTtX+iWU9sKolp1gyb8eXdyHPjOuk2uLzuEaj B6ngtVgCVQtWChrOvAgSksQSbWdH/q9JrourZuBdGIbmNvqVNqTdM8fdH3CTlWmxh59Qp6hdC9Q2 yCUGhQIU6GiAEE4Hk4F3TMtHwX1ERPU50v9qbPWC2A9tleE5F3I6Z+3lLjzMstqUJpu6SiuhCuoB 5oNt1YpVRtCLXYZwpXMOoOTZYpC8hXMH4ophKli9jm3pGnUA4rM0C5cE9WPWCgAPIFQD3HdalUik eQxJqakPSoJMJ1JppakHEm2sYMSfjC1tkgm6w+qnc5u4KIodoRhKjaQQsI7b2qfztPCu6mdJ1sqH OtaHo6wWeaCIaNtxBky696ndmPPaIZoQaopAT5jHf0UizrzrsOPnc2noVSXxzxP+JbzoVem2OAlJ Peqz74yW85jS5oL0+qb0+FfQ9f19fmWxM9rK/B9E1eVAYmAmorMP5KdOzbks8WzCDaX3koXVa/Hn /cYG2OOWmvIa9hC0CyHibXDC2q1TiEQmmTt0a6/Z0vPSKs3rMpbzqccbLHL3zjYUNzArUslo6x1D qALvPhqu2ApuzkthtLltiRgJHzeCSj4NdegQ6RP2J+Dd2ExDom7N41QeGLCEtJwHjeFjfGuVQh9K 1gIZC/TMIunCoKkjV54zx585ihXSE3zzLYMBgXBvXfnDOmXXHOnvHQTlQ1Q43I8LQoGvlkPdYPqG yMy0fDZMd9saG+sNHFJci4FAF4LmV9cCKDOuS8SZa2yquZjo1ZAPgq4OWKqf/1Mz0Mo0uHx1EMOH s8Cf+biOCwh601Y+tTNbNDE2/i2VKxOlEiPtmjZgNYz2P13FdS28/trLj8QDQko4dxErPzlEHosx yQ8ZmCsP9bsPrnyDHrmhwl0UCLe+Wvkbs3W1UorWll91FmcIBaBH/un1Fpfsf3gpXSs7y76oCPlM 9vM5Eb784vMgIm+dRTQI13yq28FjD9dei1XArk1R2bLcgKiID4snK7sK4vyKEYKh/Ch+ryN80Lxw UyWs0CTOc3GpPrb+fzOMwkB6+/ZXI2f1iIRfJ+iLa+M6pC5WKwv+7Jf+4Fz8DlOc1V2jiPkNueU9 K2i0tvWPv6DPPZEvdoqkB5R/WxEvjovIVEB5JKCY6CG5Dlg7fAw0YGKEixXRdHtT55Yzmch37Ugy C+WXEeqJKsASYzPmljwiQlokboeT8Gy0yTjxR03JwNBSCCP7G920hoftoOyS6vQEwJ1njYKQBJCc dD1gjoYg5dCmz3NsygGGRjnfBqdJmmTUiii0wE9P7b04CuGCsgyB1aamYyodiXy/2l5pKSxO6CN0 d/MvG4DX7esIpm/9kA+MOdMqRsDjrBt/lS+0w7Rnb5EOe6iUR2qt3YWCtO4DsAlb6s8dv8ryA4f6 jQ7yNXd7DicXrQbwJjG7jFXAhWz5hU+rtfutI+BgLuzc4n+ncbetPBuzBbbnd9SsGB+AuH/ypbjE 8YniDOyvJNHRZFXJWOWU2UhuW0EXGNg3ygDbLPYEgA+yvECIHf/YGV8yo/SNmAqWbu+bwgEhgaNF NIxUs/fba0JWR/cNVt58a9rQBdinpYglst+ar/+FOzRzkkWJT5bQbjpZ+eQiRbsDEKFYrUJrB9lb QdFtBktqVxPn5OvZSOTqPmNsInwbY7luKcrRpIBAOB700e7eHZpX0sMi8QcHDCUTMTNiXV3HsY/7 sWx+nKS0n7Pj+RC9kuUd+/57P8RWoJnNQvxTRkP/oKpzlnFmo4OjkK9odUfnOr5EgnV7SuHzPG5G YHKHUI/6MATR8t8SAtu9YFxSHT3kHshMK7q7FA2aa+uZYysDMyNW2AAX0sM6iKiM4SHrTAZamnh3 6J35PIfMyNLaMDinH6dGoz+b7Hwbqwxzids4yR6z3i7bXJ/a4mrV6dK10v6eDNmHYhptt6m4fNta Lu8OqvOdYh8suRKVBlJnYm+jGcrQzozVDfGMZQrxrPYB4u4+OwbUB9BneYzllDZ6jkX3hfGRSMQG 5qOXNf9hlAF3Yhsszq5bHEyFyZ6z6tdIU5k+VrgLNPmJn48M3+Cm+RR9AycwbEQP5ZneAoGwS0Fq V4/hs7hAmEAZjNsYvW3z75cDm9Y86N14lDKN3XvQzkaOLAeI6NZtsGLGXfYBCUKW+eB7HlovC8kZ QY7BkSVeALRl40MboQtddFn4bRKwVMhuITVuOpewTuVX5u/oiqzfqERIC298kVmPXLWIH7pT7wIt tRMeYCWtu8QgY45wtru0PRgEZPYmeQiWRfVZzxjEsbfe1HybUSr5laJpmtduQoX1xM+QDbgs/ovA bZU55GJfbuKU+d2uv1kyB/F2hLoeCJnub6oWryez7if/TRRYOoSy8A0pggtYQZWeM6simQxmRU7J hy6xqYwB6MZP/YEkmDU4gqUn1nhpjzYapThupLj6ibAk3pUyu7QjanqsiWem3lfg3k9Xx13F708E eSK2+J3FQERXkwxaBria/WA4dwTtcDb55tjiDC1X5V7ylW+ULjX0tZ1FbxjP8vFm5nJvzFJoYCed Dn1tWr/tjsuLhcdSg7Llt0jKCe3D8KQfIvJtV6iGzZK6ODYNzrUpgC2gzCV7U+srWRuxiPkSWtxx MSCVxASrjek97EluhDQ0L5s0EPfonBpult1ZnnhUX3TpoGa++CuV6e/DdmuWI2pbpVunrHgy/yz7 0lJ9LJWYDk9jZFrB29cyxKSt77OdtEg2yJ9pZIuZju3ZFezHxSEbUZJIRmRWpeztCDNv+kAg2w7U NJolMCmL27YJTxuJfTTkT/o8yQRXc4ufBlwmrg9q/xr7+CcTFkDWZK+OPp/92yfOog5Gtg6Xw7Ru qY0+/uOJFe3VTN+Wpv9xH9tLaU/b80zHJDaEyr6ZXE/pKHqwKVTprShUHJw16qLAu5lztngH8N8j 4jp3VRaOlZX64e/R4jUKkfkz3A+3aIoXmM4TcFtg3GnWC0XZ4yJeRo1Zz8ucknnAVJA2920fUlWx qa3+SDxwXidqNQQlCwBYGaMh/6ZEOg5yiBCyvboG/hUJTgJr78019OgU8TFc/WoKL1rFoErAX0Op XISepzFy8mkQHlKxUI9mnTADYungTuLRi9Hak87y5Zkog/1kGVYMWJJJrvNisMBWW4WocLDQinGL sIQcsW3nYfQz0TIgYN4CgpCulI9GZEFozA8bpO1VsXxpm4tP+gZstytiaTSzt49dLXfxnpCVScsz Sj3bN5VpsEuewT+2fPsbUDjDl0IV0ku7HYuigiu61kWiZyU4i9gdzFjuR3mXSYiMdrj8XUVHd5y6 Pe+SWm+XXK78We7zZtjhwV6VbjVEZBIF1ePywmYVpNcqB4Txn8Z2voZ6J+M8CmCt0XGfvVRG5KFL UamLIF/HMEPf+aBoNwux754946DWGJZLp/HK1kVkQYe7PsVvVjwXJ7m6ZFm3ElbU6wlTuttMtgb0 6OIbiI1iss/JzTo7I9fF/m8C1ILlRP1h1avnxxaS2mMpgU/LNNwl9DCqZSACtLUPTO3GdgAnPPne 6UrhX0JYVachi1u3a6zcBGmoRNlpJZnQ09U1drpyK/oRnBsYXC4joADz2vxlPT058t85GrX3kcIT iu4QvnCsf8qcCYH9LssJTX2jBBySvUEXo3O2ujr0VuYsVVeV3pahOm4K3eKTpT+vR6KxTS+JnHl9 Xd5c7wGnUYSFxutO/DktraJggYtAfEMaVrpBwQxFHeb0GNrnBiLTiACvUhdCvpm+svmnQ7iFwRjT tD+aKV5iVNeDOlukuLM9t9itVPPaeex2zt5MtKb3Ga0tIzZmscYs72ooS9Cg200RNsY3JSA46ZJX HiJYpWM8fQtsBEFhZjeo1ynsIGJxovx/0ffv/p4IiolUzPdO17ogwx6lvWlplbm+z+WFCFGVjlOr K+YFUv22quIEW9K97UJatL2Juh53jMZfVJT6kxIIZvyjL++bigWb0EUJFe5P2E8BdqIJdL3YWTMK 2S1gO+rQEmerqH2zRcTNr7OsKUp1wDIlcUuW8nfwpl7vktns170/po/U4k6PAWujURVF+GKefupY mrUUEY4JVFk8y8xqzNYXkfuHLfusVM8fDpt8XGBEgjv8x7O9scqDbhjlryBWnH74nDuzvVF3dctk V9E9jNF1XlbNdgFcQOPrUBmsx0f3cY3Upqh7f+B9SAf+AjQfwSdKe/olMzVO2wuyASY2nQjCsyjz Bym6qfruxlQSEq3TM1gSuhSmm69c9i7zSGHtIOaFWXJLRjOgiiOgjhQKNx9SfppgX/G4GnK/wIES LAmgjMSPyU2uj6obH1zWkaYuPFqe7/0UraaX3IfdxJrRN2vDvsgxV/xdIHqgYUEPzmFd49o4Vl5F 0mLYCvTFA0MA+65BoRxhEEKRhBk/p8n+oNOknB/u4a2GOuxH6u6OzKb04TxRaSqBjCYajR0wRXCs 9KgLsZ+N5/shr3WvEllTuuW7arwBSYAWLV0r3Bd2QmyF4tiw8voBwoT6tdkV+WCM9VXOHrejJCBI z8uyeZgYB0GpcnOQZPgli+EB1mNVWMPqLJHsGPBrqKufIGc21/34rZbnhmplfVMA8Ch7JduSqzu3 i9GU+e6o01BPuJqsvwQZkEUgC67heDvE2IDwyXhHWJrjIFWfBuVg1nZdKOy/hzicOzlPBaxqWapY YjuCPaAKrO34RmL3EoXM4EPvvjtcbef5Ok8bjkUW3PscVLd3+sAk2yVGJzmfl3kALJZkOiA+cu4k U1OFohCBhMKDqkD1ds8UI3E21GHH3LXLas9Tt01oXgwrvdhEwREgdq1vVV64/dAFOgDa0fMw0EK5 hy59/IEgHGpKT457NolNBcmYHlgVQNYrw/AMqoldVnhJZnUpozXRbGbNaK4Q4Sf/jEiBMoRIXeJm 31DTYwOYS6o6FZJ70wX3kErkC9h2qnF3PD7iBIL3T0wmgsu5IVWCTOJ2TdyXXqrHt47eWULxKNct zrkpOSmHv2d6zzO3LOseuqt8d66NA9X7lhtB1m1OW212SdIFLR3GZSJBJn08TUVFZacxO1cy5VKF Y4Ip82MplPs4UZZ94XcoUrUepcSUhFv8nZbAEWuYAvCNvx4GjaAU4/U59rOdRPfZT7dFysbXzhA4 ZDMa89a89lug38AnDrbDc96ednuRapn6DZGdfcTsUOa/DWPxvzVxafGqx+sPg6Valf5Krr44lK7R 9glisa4USnY5wodcYisZ+uU5Q3m4a207183FPlwGqU3ekWQMq1nlG5mS7B8Yq0wbSjkRDfSs42zB Zo3AMwP4Ket2UYnwLteQxSY3KWEWbdbPY7Vu9Wt6m2LtGw2OpsF1Opq+yXybcWWrdBfSiLl4F3IB wiYeHDmuLpbX5bjJkGULQ2qz3V+0nwdrXax3puuzCySjTH+pXafNGWB2A/o3oHvovlqAtE9m98jC kG88zRPYH4jN9LP5djvZj36GoHxgcXzPgE1TRpOTQXsduNhrQIm0W6cB/mvisGqGxdWPb8r5xVGK GYEQok9CvwTus6+mpWcnGxFD1TsbtBbAJtsKkTkQdhjqdWMiUR4dwDYUqJ3f+jqVyw7KohqQPIPX qJ9le5IE39QRVhVXAb33uFuGBu+WWtrzi6FbrBQNyLiyGPZbN9diRlrGNuy/b9/CWslh1bPmiLqv FSjiYu4KHG5HwO1kSfEkAj8zeeADoHIdVWcen+qUVRGx6ufAGVdenvcFPtb6ORr5Z60JVKgS6Tre De7C6zhpQQhvUs1Pba42Vmd93XmorRqrLjewts8slZ6U0maVuxSTdaSzMIwjMV1MF9uNM/ryMmJL uSfv0tvjMhsuynpgZ8cfw9QsKw9v2PafQsnF6OCjKK61BCDpXcs2hGW4AQoKfybshnB8H0q0/LmY UkQnbmKPHMGJJSaw3QUfjkCTV/fzBs+w0r5LX9Nj+kP9tg7H7jQEnUH79nCg1HdY6uiOAPrjqjva O/oCAXZtE5a2BFIIBUFHR8AkolVMk6DK4Q89kXRF5rzTekXoTirZI7V+LON+wpcBG3eGWc0bg9xU 11cvq7hFN5BeRxLFxQEcELut7/sP1BBLB9mNi9Sqk3mvcT3VEZmywQWZPYfVh0r/vYij5IH1NXeX TONiqaG1sggI9t8zEbYxcYoThFYB3kHPFu5U/8O5D/TfCaEb1puufJeTM/gSh2igGk40lJCZHrZC nX86f4NispwQurrn4trq6clNC1gHAvF+behOVnsT4ccfFWdLIz0EPxBUWCKqko7LsTabu3kEOe9r woGEzrwmaOq3Nwo6vmDvGOoWZvt4JfG/A7g5ZdxPxNtFRtY2nyrWTQbrmbUwdYE8OAJ/F8Yy0HOP yfzRU7P2aB+kAn/F86AyPnL07FjwDnTMIMyWcJz9H179w7VeHElJfnMSID0BWxD0bSSo3QjB/zm2 u3DZ/bC/DEwMC8OOCceVBG44NPY+1j6fNejAfGVHsGZyjSGrVkYwwIk5YzyvRl2a3BapKTPeqqUx X9iHLBE0qt+jaRn/VZ977pSZ1c1atLNcVJNptD3LLr+GSzk0+W2n2mwRShcDEzf2aR3TiVFvC3rE nAbXfMNdA+iquW4kGsn7UmXKy4YUsjC9h7gpyP8P5V4V5hTT0CgurMUG7cGoHsNgcd3LOWnFZ3Vs QJtrz7B4vEpraeTQrG+gaEg4qV/5guVWOht/BiaWfcMX2Y7BJfvQXJrfuv8zdjkzzxURVF6JO9uY YiiIdRMNuQcE89W8ZmoxylBVODilFWtHIqNbrolwF2NgFjp1oV4tCPKgKwIqKXk0qgRf2+LI7uHS IJRyhFnY3f27zEdM5XV0R8BYmf21e957db+kEJKfOo3WgK1YWhFX8V0j/7r/2xF7zXU8NrXWscLk CbkL6ECaVgCMkO1rIO2yWlahHEbD9uufdNZBCwrLbJRvOfMx9NMc/trmpLXd+5iDsKRwIAvtsWi1 w3CWGo12LbDq54XLRJFdLwl1+jMYt4ptILMPcH3V30gp1S4kyMyVm8DTFF5MzQwJfxOlMblQgxpF qbLiXlTthn+aVBxxzulONecKt7HoOnIcov86e9XkgY6x9qEazbS0gdc0+SAGOUH+hdHyvRUu7qrT 495aeb5LMaEHN7H0SqhaPTwyGJuLmZMWc343MCxpUvR659/4vOTDnboYdvDU55w6fH1vUyhzqzJQ YtTxhP4+yEs1lIijJp8QQ+pqVc+3lRSnEoEusJ5cte1669T+H1fB3vFVopzVPMwY5B0GUTnpaa69 fS8glvt24g1rA3TH8eDke6EAw+CEoejahVy8b3xavjx5GRcidI0T5VQwVjxNceSGfn575t6BdUaR KnACmMKYvEBd4U91eUQvlpfZXCEUEmW7g9DNHjWllLrhwq5nvxq4iUpKT8N1uOq/QrCB1U4NmdH0 E4r874ogeIK0+h/t5PZDsywr4fvIkehx68Z5uhcN+7hgqu+jOSBU/5hZgq78/MBgF1ThUZx34MlO azZRwbAbx80b1Gu0CmtalG4BEgil6P7CKh343VXyiVO+4VcJzL6LGw/Gk/oxmzjMgPQr6PxUldhv heSk1vXjVIOTUnDalsyzNt58MCRoYJVNcuc+fmqLdXClqPzDaqtTkEqsZa53vOxs5eQ8S3oWE4iI or36Gb2kksIAqNawkAbbcu5IM19AohaByy2P1X19Icfz9JwllPzovtNiRxw3oTR26K8fYrr8DquY 7nhPlg3UgyWJLyniqAzR3k/7XAfLp9mEzA66s+KzRqYCLyIGizDsQ/CktWpsEzaWkrppbrGCN6jf wzqZg7xdFHKKRSldDMViO8nLhT3xHAM2z9Lsnwnvik327ZlJTwMOlEPiSWQIP+el7DXJP+5arnpK /HbqYVvKHvhgFGaOgaoEQmKaxG/zPl37iYLpJcj5gpUSzDw91BSgjUnLz+g90RFHEcRJnqjANoRv P+9xdF7axEfjpnnadwLqGOQ0O8jKKEfqUycIe6VmzS98zZ7YTAlbf/CHFd8kR6RzySMKRYmcLwOf LlbrrbWyU1RkbMeSTMQLsoEFi9/SI4ZFC4rgglAaCy2xBOEJaNPq9WIouSeLjaQ0DK8RWxZF9kRv 9ZoV49KPauVi1knpFZCRd6ZJUNSOk1Z+Ss5dJZll+uqFiDPC8cgT0eT7CHb94kwQnZOT6pAL/Dpe dj9d3ZCBcN4v8/tSOQ1lbcZyvn+r7jg2XbOGxFqkVmbJL75isFJmi/yV9SvWK+2kWyRX5xJmnxHt L4l6QHNwQ/Gvvk2x/dTiZYcF24SZ8/vsuOIvSgeEPOsZaOeuRlWAnOq3qDs+y/R3ue0V5SvkA4JD VzYzJcY3yw49/pSYqB1FZbNHYA1xmRJPLu+0WNFoaSVYvnsHcVSXX8kF1bk3eGxrUW3u/b7A4Oqq j3HZwOwLRVuPAsTrubyK29fjh1JlzR2iNY84dG2SVpUCj6/1ASfoKB/YF5fBw62om82cdVF3masb 6sEMf1NEvsy9tDfVxRscft3rj3YhmjAMX5KxsTazlhvAbDD1rtvR3ijYJ3L1H0ZQcbcG3cAqbanp 6+qKxoODsWD7kEAX2Q+JSk7Hl/GPhn0kJDERWm6S6SI/cmbMapmky9xJEINf1nxqAim76Td2gFhk wgPTNl1A8fMKOrME2fCWfg4mAfQ1PnEL6FDR0zCXeP7lwQ4eFzKfOVujQGSgxvQS7VYT7c8ahqW3 NUeKLG+6niFirNiO2eEh6obMTisIp5yakMy0UJuwO25Getj3aWn37aIiHBccXiLuMJXE/K4T4dTJ NvePtK4GQf4+aQa/oub/qPrpViUwMjjEGd6s2eb28mcMkbtZCuj71CQMLA75WwNm+KTS+qySDTZA y6MT4cP3ZdPMCd1I5T+nSK/oBhKu11HdAyv61eScGogE1j0e+uIx15F9JiXqOK9EwlcPAZVSCh7q 3bzioRStJd4FIWY+foOFqM0v/yGgS0m+ZSDaGW08RV+kf6ubgZ8AkTaarz/Wo9/P5rfYTZKSy20T cyA2ycBodj5nPun4MhEcvJMciJ9d+oTgGJ4PE8RtB8WdeIwZEnBnLUGZCy1d093iYHq3wgviDn15 r5SGtCcsjUgwOhPau0ogRe3V5wDw1oqU2cOtfGdB58XMLIUjaUHau+k/EiPcE5R2ulxOpcCoPRq2 iogtII+qmEUhm2dBorqQcTN6+kOkO0F8lFqUo7GS0P/gCJosyHSSlr4P8iIwy4XJdVChubSwNrnc 5sV9QGJLBy0wnHi1qljc6q+Ebqsl940uxD4I0YZoCJx2HsZMG4GFn4IBngm+nZ8OJJuSV/LqiZXh zKhW2Zkg/e2DHk7KkRL+h+RIPLSwG+SfjB2sQIDaNKvqc6MTT5Yr/e2a7ztIF9vXpzh5GGzPIVpu pPfj41OCiPve/H5VLVhLnin9FyYT9dMfAR1/8mIwqTAdEj4wzlLbhLMgC6us0mExR6la9rK97muG 5e8g95x1RHqEpnNpX+K3MpUZDheKTUdUOll9o+uvdMqZpXAGqvxpqbQegSHatZWwp3MLTpQed1XS LFezL9qYtLo8qvogGioc1HOPzbZViGvKxjBB4ZXgbQgfBpixVQxRfePS5RF+79iQ8XrKg428Pzs1 IS8FpACZYqavuTHRkqoJfI30WgyknLju92GOD8LKM5hY0Qhhe/94SchaeHvWZuH9BCZrfn+pttQq mF/utjK5WNJh+R1X612rIuxANmgXipPdEJyXPF4tVPkUWAHXL2VCEQC+I/xgZqVlVGAgMtenbxRj gNeKnFVlJftM4n9boLYUaEaHLlyYwDx7/cIlyJg0cHvrO22YOLXcVvZUYuac2eWgGlme14bhM4QT O9v/YouWJPA6W8c8JBA7epe0OC06YzBvXOqRSir7tyFH+R1+ej4VhJ+2IgGfzGe1cqixowNcLwXP 8w7kde6vQzwTZ7l8Xh5CiY2scWowoCQ2infXzeeIv+FOXGlV3d8jgSf4kYKKEIDYkBhs6IgFrQ+s F1nbxTzCECfNrAO/E/t4t8dUD1b7ej+VvKn6gl0wGxQTauZbrWhjGEPv5RsHo5kmZIZN2IxhIqzG RfN0oxj+sNdmTiVV+s43nq/17DkU351LWA0FwZe8qkULXeh2IdfVLNSHy4g96Vpmzm5U4p/ClhL9 pSZNmggodowes0J5E3BunYuJ+kmFWXO+qPsoeeB+gfKuKWzavsQBX6QpWSz7FGngiIegeMT8jB7J xf524LB85jO2tmwKi5nsBh1J608GoKwKsKFrCt0ndJ9A7XUSXZN+acZ9KIpQzSdcyNQqzQl827Dv ELUTrrl7+S+ynRrRxB2AxMWjuncoxIFHmTo1BUFHBq3mO4hE0tADcx5oZv2BIerWy6nYmUHLm+v+ BqIuWUlkuASww2g0NjmJ2ZnZSuVNV55U8z3zBubtlbJRWX6vJuvLLjaTU8gqmcUL+CX8lCg3NjFb 43LUHkdBswe+Ew1unc1/yH/IpMR2OkzKpoukbdEskdxRDovS0CxrzeNL/Lw2+MS3/uRs+09SkVr+ 95yPJPcNnCZp7165gBiy4HZ2yOvuDj2gEClL8G7YxAnMxSSuzINx8A6GnCrPoSb5WGX7zDYmKd77 SAwRLy8EWuyK+sKbKEIc1gy75rpyVt/T2sBkzBukOQknRy0FQIZcIcLj2fagfXa6Q31yes05EhlY /MfIEUB8mb/GxMcOrknMdIw480nIzBXA5xHxN7wS4y8g1HqLIZXlmr+CAOKTw32AE1H1iP1mesy/ wTuHdFAx7FlQynYM+OiZY5nykMJdF8/EV63S0hFUzsnv6aIhrPeVuYwJs23ESotMWuRyPdVt476W vI+8XDhEvA65PBPMIAR8Eg2mCrBxkhP8pdh0/Ok62U3g27QMqKc6D25KUvBMHmxDYqtlRhimjpNh pLJ92HPOscJM+iz5l50xGIK6iqpnDpMwHnR4wh9lFFHruDxWhUgg03jVEqF2eGWxfK70jA+BtC/z L1TfSNgU3IHKyf2tYBv9PVjHLzcfMSY+EqkHupKBhKNpHwXx9Q0mwX1SbuN6Ig1/0Ucty0kaUsvh 4YTws40we6820s/DASyzvulWXvYa1XVdNs9E1feFkGA+6lAvriarcb6kCD+J9pTcBlCkR8bwZp4C aB17wBaJBafajAEIoEWub6i6b9OocvRWa/+DpvMjRkiTvUu8JXGFNZ1z130kSk7LDxO4Kq7xGU4M eskC5wtly1mvyb+VzfyomWE6DhxC+CpSkSUKLlwqiLsYH/ibmzR1IZKFDhlrADEPseEFO9ZvVV/X z9LFnAmuv86CnAv0BTPpLFyDg2vAgsn8tiQxqZkTOQlitsH8mXvrLaAo/MlVDtQrmwaevlQYswox ravXWfjC8DxU7JpzTCOVEMDJpDRKIZuhpHdaC1OCKMqTQWXDEu+woSMWJM4DACRlM6Fxx/E/uPWX c/GW6iz2MkZGNSKo6g2+fJqi+q6EbTFrfn39q5LvzpPAvPTq+T3RH2kobWkm4vLa0rmDrpItmJq5 fr0jBm1gIqrKzL3IJM9ul5wFuQdioAJJCBwJAESeSly5dvh7Vdh30kb78vKXXVdKEGKLw23sIfWR bE4/QBY/esFCnsFFFmJdZlZgnSQZanTtMTp5yqHdpmkvf6k2UlGiRds7wrGOI+J5NTJjo/3ReJYM sRWiY8p3N/JjjLzIH2iR9n5P3pr1+Z3nfsSM+KnfvFSqRGGjmiyvnNpt8oz+ywEhfe3dQiEDt4px I9rg6ZAPvhU2h+lFMqGF75edl+cyKxwldtUVi1P6yRfy56BiGEzh6yWb5fFMiXG4JbCvr0DcG5k+ gzIWQ8dvFP0YBKGrxb8fxQJF+mxmcyLd0i88GhT4MwfAd2qY9rw8zJxakdORDc05jj1QvKE8QRKo GgsCXgW2Yf/jRS3+UcgbkvMRh2GKK6Wjbytu6mlIz3WKLMHt460MJBMJIG9hpFS572IZjm/0+ndq ImBSAVbPG3CcgqcZ03s6sEA4cGh9xZmpuGZgB89Sy0ZN7YjKd2plMEuupC7B5s1rGjbSbNzjMSUk DW/52vQ29BHlLY59jesi0KuaVkQHE/YQjCcN8oisUNBfrIun4z870zhuajVvMg1Tjy0VdVbABrvE PE52sVx3YEjXsxFXXomwTd4K7soOTTyJpbJ+TXGSw46JAzq6xFOLo94YakP2G/H1ngxwGL0UAyxe 03wh1avVaqWlEsmYAQSj+whw2jCBNjFCe+N9TEtvIJiB7efi01upLTVqarfV+rCUo53p2DXWa7Zj xWgpJ/bb6+LkiCgmv4L28iOMmA8hCkxo4SSksFuZGgth2yMUlfnsL/DHZnaw3stFF86Qj+Ua341s x3EiFLq7MkAYjcrA95MmU85X+zr8JhWU5/emqbeRTKhW5vF6dm9VhCSA19a+T5MBEUoo9in37yBk C860rDWEFv5Ou5vJ0Ny5yqH5X/RS8OAyesLKyWLfqAgSHUoFviyQAd/khbbA1scORYm6hPKQ6/V3 5lPma1+CsMtpHprtz43/Qj05/Wxu0CIktF6Eb/cSmC9i3odQptcbjHR98VDNVN/M+W8wbp4kOUd+ xKrrK8B/MKzR2rlXDQhzVyKw/ZepFkerULT8BTPd1k8PeOnLtyugY0My3xGoKrpchBWCHOa1YhYn Rjo3tTnedg5ud7eX1Wf23sGZ8ED1ub234Zn1kk0mdS9/+ChMZAPA9/QVZCfZAzsem4I+75TuO6XM YUnn+4cnkXDROnLI6jSr424Zs/ePZKbx1ciUAMjmR4L3vFCn6HcERyMiWb9R8p0iEShJePE2UCxb 2twrFolQeFHh59m2ymhnmsxj8WE7H6bJ4IlXT6LBPgxkBChYytTFcyJ5CrRRLjw8qDeob6/efqV8 nh186mgp3himu6ChoS2opWQm5J8f/XoMjSPqcaO5RzM3sMjyjwzk6AOB1cnaS4QldyHuyXpTrNvO v/mW6c1+QChf9m71tHbj9xDf+cR7LoTjxQ/i7IxioGdId5Lr6TBJ6lU90v9Ldvdc3lCLTyYVoi+A aXmgUL5z5R8Cr5yMhEU3lt4GBmdxm7bbSFfE9FN/+cWM7RS0El7hZM8AJOHQGn2jOsPf9e09yTQ/ ETm52XtLw5nZsTVucW8mAFAU9D/Rqyp1R/a1FUqgsxsUqOo8uvLP8XrOIime/tnC4eSqNNybNeJs Fs2ldm0vs1nSxUR/DXAMNxwD1zrAK+2gvuTRK9kw48TpX0eV/WX7jPPpdCUyBQ+BehmrxnJ1R9mD IRQP+8vC584FvxbQ09pQsEJ92lhAlCH9dKLROcx2TXu5f+oLHZOdHP3UDrcJ+77V0om9EsWe5YI4 26umQFCscn95gEi52Uw2FoEQzilHpc4TbOW1JRmZ139apcrTmpZe8LoujWg/LxJfY9ApSnLglDcl /1p2UnNNiMnc1ZotoEdOUvIOMu4SugSVKRVVeSVUzQe+aaS6bcuBaAD9fmm3TWDBr5JTn4aakzrQ JNFnCr5r7SV8xltfd9rvY9Ugt+nmPk32NyWVBqRYGzssWqOiF+d38wJcAk+tuvkteOGGMO8Cjkix 5ZzATlgU0Lh0G5As8hc7UMYChJiuX9VEb9wzP44mIIYkYOdbbr9FJ+3HLfmRO7tju/WYtwKalfAw rLuxt7lJ0yV9hjYKxvUiMlRftAmSUe2N0MJhiYlgaIKna+eA/KTVrummr0hoRoTHuudz4iHGiphb EABinHwgmnBlVwryZFgJB/exvQkeRZTwidV1jGrD5uZ1dMPbHSCQRtLFtE3mUm2M0A5kENFdrnSh FVKaF8uS4oSs37a+oiWUgr17nJkxo5s8puTQmyFLgonY+6nbSMFEcEKnn50bx8liwNpowNOr8vIR OEEMAz54FFZs4Fg7PjsdkQ/lJWZN+H1lRAk723Do4uB7kry8r9XYk1EA0/2QBxVmfxMmH3kMsqL/ o96eld9VyePipPfTYa8N4n5cGQVuZBbKH9R5M2VNYpgaWLK2Vl+ia56LzI9xdmNHngBNe9bCzZef 7Yebc8LujivTva55bd75ScfDDjqHFMsMQRtEhOWGrvQ9OGSmi0lQfPrrswa3QF/fNIRniU17jqwl s6Ys0Iu/7O8bCwwKYtqRLvaTQedxqjHeSyDimLXt/gfXiWY28qikHcPagTDcfMj4D/qotVFptvgM x6KGYdYGo0ApqBeddOm5VXKRmGXTMqitoaFjdEEgS/kFmOX42wb3a7bAGX+RFepEm4im4zVzu5zX AvvcWYBEMW2MFN3nVfWC7+a9eCHsaDofMAzBKCrHhgHqdJKqrb5On5x8KIcv9tUuBw5ILyCjaM0P Ra/pQrdlcSWnI+yEujGKTY6pPgUWGjT4kJfV4eriUku/ExQDmjTbeKy5Q7vxrleqbDw2KIpMZ59I j0q7MSB8S5e2luPRBMuWEJhIR8/ghmj9/Nu6pVLYfMxuwwFgD7rEiOtv+/obzq5XrHZjeypizsUt qmDKDG7+ipWm6WvX8b0FfzLzrxZIJMw+dZmOTnvcXqZI139azbyX1ezfsNY1DQ+UnTrJ8eGRfWcT kFdn391bdzfRE2tdRT4DLuxbBlQuwKNkgCs8njsrqiN7/cCn+L5hwhx35anbDOzODTU3OKd+Tj03 WZlSGpsMB93Z0lIrBoBCMCTVlCoz1Sv/xX8yz2t9YqV3pdEP6+rU0wrMPcLjPkUjxl8+gxQnfZRL sIr0zN4evO2pJH5vuC/Tqlq0UrkYgfAv3Y8D2G07hE11NJgRoT1NMG817dn4ndC/DMVRCj/XyQSY Y9uDvJQMbJ/Mq09cSp2DNLutTh+DqdjesicEHMrwxx72aqD77OfVkgM0hmybwLZV8QqmVDJvl1nK TFXSNWu9KJPLXZpl7J7JnHhYBRhdRBd9hvboc2ox+ru3L4jBCKf8veVfc1C7PF1V9+9Kl+0yiNEO j1CtYiFV00IriWE0eNpKZTxGXv09/kIoXGrey20ZhjuDuMw+Dx6yxCQ1r4rWXFhtNiuZjejgHQE3 FBwGSYcO+eYU0IL67lQrYs19cwN5d51qe7dT3SbNxB8y3q+TnmeLWpNyP8dyfk7sdWyM4htMqSS9 BQAHFaXlom1ACby7vzLx5+3vM6UyRsWo2WrRy3pE10RwGbcN9Wacm27PqyPvLTlhtPPF3UdrsK/e XjuJROnpgUJzLOqETCbEq8i1lSxF9k12qkelejcWIpfjQrajmiC6G2qvmrSiK5E3Cli8+V6Wm9Bn 0lhtvve+lfukm5aR/c57MZ38+rMjLxup6gqHsHdiCD6EWoqP9tSEtvA3KSNdJjrQ0PbqvT/6dyAc Ofri/CpNE7qEWkGaZ11YzgxOoexanQfJFbTp4fkhpzXVyAi1brOsJkYbHjTgVTJdckuGtt46Kg2i n+ERUWcqyKflpoWJjiB/VWR5tHc7iXUSXyuXMsQtReSOLXitc+UMkq3pjiO/tj5RjCvgVaQGlk0q UhLfNX/h9vpb1hzZwHD7UYoGSEwdbrgNUc2bJwu/SNNGcK0SfTchP/7IKGj3bvssLIQ7z7bGDuEr pEx+aL7qwhEBNQb13Me2uG1o/qi3hPh4tasdfrAM+UBpsTDwVOg9hTT454QC99AfpNbAOMRxf8Zt 9keUo02YgkeaSezD1FlNNK7NlOkZ+KU6XwdgW33kbOcrINhtCPa8Te4S5+79uURoYN/iXQx6oSba 1TgWjLdviWiNV1qmGgXjtnQSX0tSfJydhytgQihLlygzAe2oHE8uNk+g5sL6N89QpvdlaPBpgJDx Wbiwm9YBnlnvHfruF4nv/toq1km+WgI52RSy7aOh9Vgp1FaZzzqyzHof1LgH/ZOD9vCGTrsuhb9O 0kyggruF0b/buumJrxvYaKaT9ozSWhisEY3gEVIXhnJdS6Ei5ZgCJ+xDkJHxevSufatvjePnOtpI mzCByEFi2lPEEbPeB4bDfKa7lNXUrUIAO3rut2UfbaGJEAURNN4BJpPpi2wgm+ztJ6Th28PO91/3 lhC7crGaqB0ycQS/x/FEk7HW0FkBnPtNmc3DSxTjXwcy2Hn3ASuvDM9N3s4Hw5t3OUU94VScXdqX VeD7sT1dEyP2oqCBEt1pHLBb6tagTdHR9xNSlCS03pIqFfT7/k3EW/Us3Pa+5JjhUIyTGAum56am /V8Fu3FQiFR4C+fRqj87YD7MkXAYPh3kSZdA+XdiQgqOdNeOaUtj0Gh8YDu3CDUp0kBJ4yg84fj7 GxBrwdYGuDBsyEoYDcUAURFl3IBNNvdmCDXlTFdMAAGAoHYJ3iKY779yUliHQGeswYlcC7nHYm8x Vr9GJNGMlBEsT7cP0iqaD/1n1wl3XHZ8EcCOGBE+Ds39Me7D4OXe3gRCDOYoMKGHzKS+Vy9HVOaP P5Rx6zzuQdlOq6oiRHjFMI/dB6tpwOEIHmrrHEuZxE3xXHbzdHHRMfJzXHCOD8TuYqcZrReGbPDX avduR75Np6fbsXezKYGy9cbVAV8nPNebmT6Zye/UPe1lNkFwUTZKgqkUMuakFsEZlYIFll/i8Xsf 9pUcvqa+GeyYIncYoNUUbs8LPSgdbx4bhuNgxwxy9LuquNEhoSwKh8moUuoXEQAE0+1dpfO4kE30 X+V+EoYE1oGqmEgprL8A8n7TAlhdaLRdU+p94pjHrtj20oOrf5OyX1nkcwiecYj6TObVEOf46V+/ fugIn6PEKFzidJI0RkfP19AX/BHWhTO/2M8KFYNOASGSUbALPB1aDHaoRtKC2/OEx4P1QOJoPPIt Ny9WuCf0H73B6/GhRcNn2apfTRSeqsIeHHDsKDtuesbqJOQwFYNVQKaYCHU5p3CoISpNT4MZ6Yrc ZDh9v8+9VpByRdwAKJ/7gRvvyE4YmZqQnf1Wug/trubkrJDCFx8VoYQC5Xs0vbA/fXeT95DDRyUz 2MR1ma/hSYF0hZmEL+gOBHvAuKnM3/A7abbB72Fxat7FEYuQKFz33ZQdAMCNftgRfsZC79slc3NJ 5Nbv+2cUeU3cgKf61y5oPvz6Q1kmdEK/ZD8NMIrMU6qLhsZNHlv1sG9QUgR4ICD2TqO2gfs/ziLO 0us8byPov0UovCbxnp7JjzjMii/mHPtKL/PIvN9bacwN+Wg5y/gTrYK/VIa533/ly/06Z2dcmYL7 kY9jbB9WOzdUMoWCa/6uEc1Sx85hT2Zru+d1shczLuXA8684wA3LBfupXot2b8tylTu0jSbNwa9M Db5fsePXf9M0+AnUzEyeae6hKPP62WAXSCbdOUH1liwUSu6jRET8c80Q4ZR/k2w8CObkUWi0GTdq TL/ruAhq7YGMHEaKGk9UXFeH7/eXPcRC72OI4Sfh17Lha2ARjt71aJaFAa2MQW9Dd3GilFa55/OL izy11BZudItxIy+ONSxOKN8CdsLToBOszefYZP61cSvtnAs0sOSQo+q4KbrEbJcJw2D6uMaghRFQ NLTXKvZRrly/RUmP1DPfil2CTaTfQca0vr8EwQ4L1N58i0JdqEuDeXi+NdZZdJ5jXy23tp9DVeqS hHfB3GtJoPkpY68toxfR84LRQvt9+sZP56CnwU2pT5kjOCNC6WRqGpGyGudMOwX+wvFo+ZKLthw7 /F7E6B+qYwNw8k3uwpM+0irqN7MesuC+PqjmNHdeo2i0wcx/9SP7TSL1v2kQozfP6jVv/j90cSWF o4ACgwt7ouTHpvuByTMonFH6pZNsH28XaNoD7xLPoQehnAFNZOA6EjbR/3ddf5Z6WLiZOn1R6awl GLYlCvs53dA5CROpjCtzV7KE74Qez5Nhp4WLhJ27n1n8shIlE15Qsojj1wrNW4Th6+yI4Yl/WOdG mKgoXHXQnoflIP6auaisRPA19EZVub3O9aI15eS873xv/1Qxn1NzuxbBV/E/xknoUZUBGs9OBQAe 8AmgYCNpEu4FWJeUfG7wY2b36wPTxFmxItnDy3vuJDoAes8A/rfm0gkLNmcGB+Gs3vYgbet4+ETm qnugcPcjlNHmzbOqgGQprOiEQoaf216+puczJzzqxqQEaoNmygIYQOlE0gs+a8zNY0KySmzZvhJ8 8iS7i7fK6Crer0PfwmHU0tm17CzQK/LPbHPZkGi85EsCER/E0p9RJUwvrg5P+BRbBaVHlt8+TJ3H TzRcQKL/fvcWBrlpKzyYDBWoYfzyEv6jEEKrQaq3s8pF4C+gEjKZmTluxfiQraT7RYeTQs5SUsTz 9D3OIID/6GCgIi6xlgp6QfMNgaa2lo+jGyhMZr7/LbIuxYtruwH7ZCPnV+PyWieIVBsTMNnV4KEV 52H8UQ/Q2ct6vRUPU+q1jr4vtF6Whzb6NXEIxTebggE8sPrwVc+BfAYb3j+VC9xW3zJDgXmAJ4Rg Lnau/4k/wc7JiVYcUP3cegqTEH63D9nZNB9jR4lKQAQwz2LFWFgkHLOmtHFVlLrT7RRZD6S1PW8b 6TZdZWRWvu744MfhYwqczb3ybP2H8Bs+4DEOljA4Gd9uihHFuUazJfh0tg3V6pgvkT3r6g05uOfE h38feKFKrs6g/T2ve86Zl3JO96hwBmr6NdHIUXz27fVxRjpnZWRYcGnLXCnmJez923FFi6W3tvJK p68Vpaqnb/ZIXtXdJDHmd/92UvnyL9Woo1DvQuRHOapsg9nZbOd5v8Sf9Hg2QjhCH7iWRkCpz8Ut 3MbhDU96KecW/weMb3Yf/hVLzRCkd6RO+ggtZeKWdszq1VQv4jAff4VXkFYT1jvyLSS6l8Vyl1Te CsXW8wkMR5B+LbeleC3bSihkLcVXlD4Kh2WSav7T6KF9tU4ZaFwWdaHD+EIZs5Efywa6S36ZDNkt gs/Yx0qmDyTJ1ZWACwdw/i6+u9ilPedRJ+CWWGji0wCfT3Ba4Qe1Hvo9P1Qf6hve+Q7ufmltlm48 QG6rE0Prn251qxdTxaURTmQl5wU1kKpQ/bczSKYHFoZaHhhheMm/PxU9 `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_v12_0/hdl/common/shft_ram.vhd
5
17157
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MpyGJnakVe4eFwHNRrtXIa+RCuZaQ0qVqBUYSuXeBLDMviHSfY1mCzj/qJyuFPr2ICIcOEezrjcn MbxPF9P92A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jh3yASIkwwgzr/apUc9g+Ktqdivh6HjK+JJCNFA2kSkiPvq8guq3/lsaC7GbKg/5NEvb213QTPY0 NpnAUClY6RR2ov3dH9dPPxvGfehp/hqLFIhJOYWxw1bD77ybU6+oK/D52y4OgeSVwoBtJtFGk9LD dfixFhvGx8OVLViTKeg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e975i3NxLBk1BMUUzRrkJfAcGoFyeKj+kFcMDH4mZzj0scrvT67hwn0PGdz8+dRVgR8fryKRATqg aifkssK2giAkTb3yC1DuvJXSC3AMsnhiNS6Sy8VGya+H8Bljws4X+pe1HtzRRzuo+0rDBp22TIQi L0/bFyYfTyP7D6ejN889ssZ2/ukbljoPx1swOnsUnlxwsA8pqFSO6w97kxPckife2KPcjnAYZKSU s4W6RSxmY3lLSujk7q8mVCUbCP1kVFLkUivj8loLW6v/CVnYKpSBxfQEmL6B4Jzhk+hYUH4xvVcV +Q8Id5Gmb0eK0HvEUZnAJBDVNYTP+x+PuG7rxA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GxU0Y2bsjWBVg8rJOCuktP7NuoOJzJE6OTkZud4AWKxcgnLEEMnRhCG8SWAdDCPdb1vihKYfJYeJ 3qphKALSH1b6XZb8LOuupIWTPWQHc2F7ulrntFyBl8mtoue6PiuP9Bt19FcvG1Mij7xJoPizusC3 Ih2ZyJBgc56RetQuSlE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G9RdG+dSoKCowy96fI0Y1/YdHwx3EZI9yTRj0mubLcYX1iSS9rpZZAWKkieTVuUf0caFzaiVIGD1 y8NAE+jmLKN/4V9+YYN5OLIwF9g5htPiyQ4UHRayQbf30FZFpgrKnFu5Dr48ff4Q0hOmRiimlY9V 5+vQ2b4zLIcjVk4c2jSrH/7hBeIz3lPFOa5NrWkqtd3sIsClvKV4ensnHUtfe8Bi5gjqGZyfYL7F tb5zR/KJ1O8GPUts5kfYLnfbWvNb0tPf89r9SQRwbOy7Kr3172Ie4WNFmAN+V45aq6LKHt8mJRzb sGb0DHhBkeZfnxSgW6BV6WX8CrYlM1Fweo7XMA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10960) `protect data_block APWsJmm5d7bbtAZ09mYLoBomXjHha3JzaHs56x82zASpDO/fKS4DY9EzJ1FK/wamGneswwROEMe9 YAWwLOdGJ0zJlqQSY2tscOPyQiVRltdS5sudkTxfcMOhSxvrrzhX7ty4mJ8huI3g3O0AC542XGnt qSHbuf/djPg24gBLeSasg5/aaVMiDsCXip/9ZSwsr1ueda6UvMgFL9OkITmYtfhjsN8c0jQRnkQA 6J8aeT+4Qx8HyZmRSllbSJZiM1s58wVnppEOSBK3oU53+IMEIjh13yRIXxQOXRbjU94t9GwRKQ9f 323qDnY2Da8u8m4fQi5CgokGLZQ0BA7ZxjO4tWhqmD7yhfUXs9haDq+c52Mx/xlzSLNvliqgf28r Q8n8fMoePQ8zPWLSED2SgkypB04VuZNc2rTTf87YjogzIUczNLjKypaLkQhMtPYSfl6bCP6GfoH5 aSC0YXP6vExUzdMcBW7KKikkmH6+qXx0fo8lbr3xsN5VWvu20Kzx9ls/FIUtMJfhw6PHJyaUXruY Eehpg57BBZu2WUkVsWw18by+I106P+z7fQQN1jWNxi5I4AeZK6wqwkp2m8KtGRv+ioOHkH1NBQg6 fyGZYhhO5xtcSProEcs213irV+hZdqpnfN567VwTZ5huFlgGQz+RpP6cBFNrSDn6eqpt73aZGA3b ybYysE1tl1ertx1gmd42CVsfMeJdcCtUMQLxHMV5Hqt0dfxB68L5adJQP+5gzmH1Vp2/lyrAJQhp QioLDrBdtNWHXRsUzBfvq/ueUx3JRB6TMUsb9/XnrAR0Ka8dn0r1hddz9m6Eui4IPCSxMjtv3CpT EVuPUFa361oDsmdC2UEJ15KtewMPVekHtTWQnjNuqSwc+sXBNqjT2AwbGf97kW4YueKXz6vIi3OT c0JXW0MV4rReGC2Njv73mrfq6kthuIWjS8ChfRrtqGXh6k4tBElzf98+ZTybGNMsFd9s3JaJiPXy KKzgrN8v7Yw+smXbp1wOeyg/g5/DY/vyhnsxwkmnrrnU4QlTiXYTymonYN/OYWcRLXTMUtNv856W nwVcx4iR7kPUSBE7gR4CfLfRvpxRJbvm2VYXHlqXNXOTMTMtA9LkNIDu6FQLTDp7BTvxpU1P4+GJ aOQUkMtC7+vjQrTHAJvCUQ/rr23uayWgwXT+YXlW2QrR2JLYlWNsFxXrYif+A+GGsnqxaqlPHGqs Giz9odPeHKOdBbXmGA/EIbUBJ9YAyHqvAx5LlyUPfcDgQQsHV2RImKUBaQ063GfkbDe49U0FHict qhseZGXbeOmVfvHaL3nFXank1+oyF0k+aLRSnAAwxSBWy3kl19LXKn4Lk0ZJefeqIk1x6LSr2lD3 GFfZdGf1paGZf4lV+lEYsOBaLuPvuV9x0okXj+UYuqjyws0RpPpzOYJQXqrDypfNFoshzL2QG9ec 7l2gzwKW/de3VpE/KNPw4xgRaPaT7lvnm36LjfflS4akc/OyIACfQU5jEezo9W3B3migWzJyQkJr CCbSKdjr3yPUmMKdG1ERrNiUK68hhfCHsqyHfXheXg3mJWsydwI6xRolGTAZ2fAImWAN6lQNHv9u teXv9923744a87RLMLJ8m/o8A/ddZKA+00QWoGfULDFdq+rumXuYVEOCVq2cUWYKTc8Mim68R3fI vtp4DdE3TIApjXHzzMDrOCIL8GjjpxW5P1/OrBSpXZzv5hYjoqGZ6zYyRa6EfGEIg6/aVN0P54Ad S3rEtsXuYcSvgobTcOX4KLhk00MRednjslnhZum5Nl/JDO/NGpJ8uQQ38IVlFkHc7WYAzhZO7IEi q01tyv+T7pcy2JPE0UHwB/w44/bZmUcczfCAph3Rbu0dolj84TIIqqgmFo+mheRXgPE9owZIpmhi ZSYjRtVV5D9XHu557yhw6aazTVXZT5j0a+0qQ4WQwAOPEByShQKRbEhpwFzot/XC6iVmQSlhdcHh 2ciFb5cjzvyhJtuajxwbESy5COJAjsq9eUq2WmnYHrzwDf017ZaDIHXszHQPbjJopXUuCTlLHwLt px1P6+61xWx/XqSDvGAXwASHWt5r2/BLoOERBbPEQLq8ZvYdgPtXSWHV0jyIcxhwNd7+NoXNniVL o8F1xbTfEAXH3buyghhKys+hEMNfsMO5/4nfvudiKOnl5WLtR5+WKhkmIVgEWCPIOd+7sAoHIPr7 D/KCpvi/hRKQ1HkZ0eFSZDdob4CHsL/5QRyMAMxVKFT9YHZfW/UkNJjmrbZ1oUw7o3XM2yFAmX5Y N1y7Q2gw1IiOXXdsqeBgXlFpBhue00T3jbdcP0DuYpdNZZyjGvshJdbOCDPfopOeDgYprWavRaY7 Eg+gOcxtsJSs0+N32ehdIifK8zqey74jK1DOymN/esrYaSLAvTtJ1GKuRRVPzYI2Sy/dviG0y3Pr qJTC8H7lQYbBfg+kDmH3JC5QoziX/cJTobDYB/WDQNyK8i1qS9VX1a0hDhuX7pF6Kg211icHG5EF x9zw9kpAQ8TrO2zBKNUSvFhShFDKw19wz4meBRrOO8zw7x1Um46i4x1muuQLfv0zaLzOjF+8/aZC EjKCSfTS+7OJ6EwaqN7BkLbXqAznMbNePkvsi3l+XWtKSNPMF/0I/vOlnYznmxR1N7MsEEj91M8z 974HTSsvhZilBhUYvok2lvPz9loEziAaSkExpexsloj73GNZdGou8RVcU14mnwNC9fOkSE7O/2DN 7mm20NdrFRiHdQNMTrofdF0RkXbFxM81orUA0vHLDCPH4AP9sN9I4N/LWb/+wVx6q5nUrRQmwig9 5Y+t1lJDhKFhBn0OO/HU9yBgQOpyYvJBp0xhFUlSOsUkiRJnSBZ2n0arXdazcP1nBMP2m3vMMCLo uk+eHBTyq2+c1CXHeJqh+qUM5USUYduiifzRmcq3p3Jxgfcjny3Hwm89AxrZVbs6RnKcR7pcotYX sGKAvnxVi2pmWmqWC5qBCwtuNmpprteALHW0iTKVUFkTQLlAE1WNLJQH+yDo7sfg5k2Achlzt0Hc XmknmHmwrFlD3GDcX8RcxKXGVGXSC2POHAr4oUhcr6TfFRzFN2L9fD57xlf4AH8ICmvB0y40rdFI /I+dHSn0Emb8/6PD+5OFzpz2f38b3sD2WKMSqGdaUEBlCrtUmbeDPSLvXQtCwLpEkb9VRJQzKaf3 HdfEkB/CqCbJVvkw33SVP5lU5vCFiRlreoeEnn5gEsiKrQxqbvcljVnPqCQnHTLkcgnWWdYFsDY4 58SuZ/99AVwlAoGLWxDoROsacKoqYq5S9HcM0CFcqz6qnMLF/Zgao8U9PVTgpiUz3ZziUj4GwFWe BBV6UMq+XL9THO+zajzDKtLV9HlLxC351P3VF66eBV33xqaJsefyS9sTUrm29/3vIjE27j6VfTUI E5AHqsp0yJ2FwsTgvKdVbGNLJ88j+eTqlTh6VDeAMpAhRFkbZz2sk+AcWclny4PNUU0MDHcAa7Fv HBuTBZPH8i4T0VIU/KA9spAcec3Qn0pr9iI5GKe45RtoZmHjC8HsRRYvisq54uLOpxd3GqFqBxLM gifjTl1Q2pfGfP+PfunC+wEuKwmrw7pOlLu5TX0PprYsUYQxSP12rds5S8o5eCd+x/Dr9qiYB/Gy 0gcHd9as/1xbg7O566QXj6m1wY5Qj/8TGGIpCyApW80O2m1pKGjPFSFbI5FflcjKauQ9rH48EZEc QMqh1Wiv4nMjLH+MA2DiyeXKzXWG+7K86C4Y3qCPUPEEBtjUPksp5ANnJwLfeMBqlCFYTHXWanRQ ChxRo0lQbBa7Y1CyILxm8tGmBVVB1C/q902jpIvX4JxxAIcZskDqrkmf0vFP/VYx+o/gajbKIh8/ TyIr38iGAVUTZD/cWMBN23WKKgC/ztD8RYYBTG0tLqiURu9X9eLujPVNGTTwiMgreMlBWICbTWw7 JYafl1swcUoDPzMEhujDBl2VSsKNs/9wmwR9j7haUCLWidvFyyxUJ7GXJdGpw/wWPifh7+jPOJGR U+rqxLxMFkoCa3Mfetwwe5+SnqTi1rluDzzt3Holm1sFrigeUsHm6sPsrjjpyOUWpZp11fU/cmL/ LhSJuEgGPITM05/lhrfp28UYnjMR3yZOHFUP4Ed++ksNO92eyvoe/Le7FbZ6dApzn78oFrpJtov3 2bKY7n2UYYDXJz9WxXOnNJ+o0r6/ddaQ2L7cZYePPeme2CV6IsobVoC1r1aBnYgdCFsvP5GI3EeQ t0QRGwwyIVZDZ631pin7pWvc2CjTj6XSMjDnZUu4CIyiVKxZC0JGhYw3ksohV5QVka0dD0DmDgXF 8qvXTVmRKXAWi40pkVGUeOZSGwbvgr2igYdtk0kWmGv+NruS3A+KkIi9blzpMt8j7sK+U/F2dgwy ndumq0BsprDwwmY6r/7ViMQA9W8Dzc8D3GIxesWLRLXDZJcE1f3drvvk5dtxB6SnnipwyDa4fNG9 FSmd6e2AvQtKZX8Dxdo4zzf86nZx5csfBnvzANrBUy9ji8kTYc8DFjjxiLBkrArXhvSFVlUtR/r5 yM2y9ay9W46ctUb/P3zexNNIcEN64lGIza9voCs+y6MNw3qUUrvUxYK05QHLSY/mRybYIESoiBpo DOBk3CvZQsqrZsFp09BfAmDVKNnnPaikTQMS7vN4CIiQoFbbYx9mBgdfEN+tKYN5OGQOruY9/2ED M6vlovLJHHqs8k6x/pzI7EcYqG6+M3vMmrf2roleFAg5hQ+from/QfshkCrupT2qcv5YNpkkYpqN j6/nUmXWmVPpckp8Pmu2j9yWVxebIEWDf6aO5Esi7kykMBrRsLhCBK2zAQzvCAA38C3RLf1mObQh As5Jfvhx0Yy1SuQ0/clpwZnH2ZB3OKExa3lh7kd3aPIy9o0W/SIN+gRZVcz3AZJrxck1GITU1Hxz 4+Prpk9gZAHP/3pHVplGneiNZYN6vsZkE7+StJiBGar7kGpcpRtFLOXzR9TuMQlcT55pnnIgCllv nWzanVR25aMFqWO4EXftNSvi3PneT9SH/yLWjUFxa5fD0Zlu6eKCwUFB06Q2NafJAq/ZDmf4qclZ cXlsR3fRblU+wcwo8nG+8cPCB3cMDl7EbYdhW14QdVxIB4xaQHf3zy9pd96uP3OPGmm1e+1uLE6n CDPtfEpqZPCmBP4VSrfLW7o2xuA4fMi2QfArf/vvQtUgpefV7VAg8uLYxRF5UWr6/58aF1zImHKZ g9O4CN4q+Y/hf/TMVs1490Fjuu+uOWvsak1IcW+OunyuhaAiJPde4/lyNdIc6IIruXRSHuHoMSfU uuxqdVeZc+1iQ3EfGutsXFHV66cxBm/Kkyupj0PII9L/rowpsyw8r7eoJAX3VVJW60PZEVG1HgfO pR2tmboZebIXfGxgDJl4Y3AN+fkDnM2Xn6GkVwIxmcU/6u5B+YF6g8RzC4M7dL5p1i8bYwwd057s MNLxrEhjvggMT39k3RdqiZ3k4tXIAY5yWJns86Ik6Kw1QNtFZBwumw4bVhrO+vLwujn8MFxy+nQ0 NBRFKKtjV5Cy4HjhfsjNVyRLcbhILtccZGO0CX6qGxp8hc3H0RuE0JW2zn3/hy7pzwLb/EsCe5bL jfRBTjwMYt90+fneVKGMWTTKCZxemQU9EvQb86rAw3jBDWLidzRtSbkc973gPjUk3dNb3ZEREo+X VRSnfQj11UJx7GXnfKijSr0Lr4VwkyMP9rDC7d6n3Zfsm4YqSbnqG+eHNmDyFAsIPy9Vp6JuSpk9 AuP0JAv21asr+hAcGER4F+ciCq7Ut4Zdq8Xb6St4FzPFNzbYr2HUHd/Y8I/7z/xwJhKviJ7SUnVf jq1TL68APF5ZSqO9dFysXP3TWMVnHO6rR/ToNLuP8A2YB6M/E6C7UlxpNlS4sWzFHgY9rK1qZ9iH Tucs+CQjKka5BZsbb39IGFa61I2YTvJcvL9I3Sr0L1a4ZXN1AOwwhYKOEg26/p7CaPS0RVqC2QJY Lf0DDopMweNqDbQhCp+pZ6brKl69BkXJsgwNi5FASBlPcR4IrweK6RxNm5SWyswBJQyv5wzUjy7J 0RYR5UEU645qhi5W/5kTC76F59WhBJ16p4AuzqsAfPSCo4G6bEkvaw34khp14W8oYDRVYqC/FL+u fC/c31kGbr6j/1luCYWc48tVLEGFGgQNZaApAcn/mabEkta20DXZDo9l3+r2nvJP3KtjaPtTJdPh 2PXn6ZMFE2rCnljPaI86Jn6weFL9UmGtv8IWOyo7IVd7yFfVcBt5LJLowWTeWUh6w32fRs/cSqgM l6H9+QhdktqHjfXONzVNei+COdyFoD+8Jg2Ij6LRnGbgre+LQj3/M7q13jtACZug1Omxl3AHzGhD nArfd8jOPD+ZhQe5sl9Jy2U41Ge0/SDwrNyrNkUSOYODRkIwdeXB/5A9AeX++htT1LDLDCXblrU1 /9SHkSdRjx1hXi28GSsjWPsVO4zLhDi48PVaGAw7qdRJOYIcqCQWVwOzPZ4CnlC31XWeKT2GRZwK E9nTTVRfO2o2aQdpS/3ahyWj0oFx0xy4Ll+1IWQrAmYE4Cl2cAST0ZoUzmcmwCyjHhf8EvjoOcqf 2kCt+OqiF3lzKcwKNOTrId/2yGo/bp1nNMBCfmVgpMcdnR167CBEJMv9uib5kwq21RiNF0QJdgzV AaMOg+2K6yS3MLIDuYGuUW6tjdSZcXtDzG9UBKeaMIaCc1KceBgBLaKjJTPPwXUsrM5cR7KzkNRb vdqMy5LEudQqC1br2A3CX8Kjd2IBrEo9ef2yqz1f9wLgxNNw9+I5MT+HJXrBJddvlJBdQBKIoqRM 00RHvhTLBS0ceDTjCeLcI16MSEmcxKuE4TXDr0pxC7Z9cgprHnOdZ0WOwNhqPCKy3L51omEiPnhy gu5g09P1eCAGrcCxNWSF24sHyuoPJ9zerMWeqqfwbfEKNo1WZWvUBp0Ih4IeJQwvOIzjlhzhRsem mO5HnWVh9sd1wnLUnJR06io7rLtOxmbdWdM/VI82ksOeXFuYEYwvwbIcC63eWvH5zB+CG3mgYaaq ut0N42U0/9qyx6qjm//JP8LYwSCKAZ7B2E6LwDaAkIvyYmbfUoRDkSMsGxQYQVEbCHifzjxK3mYj YyZSbYMIZUHKR3tdDH+4b8Y9T3JRMh3esytwxY3SnByQ33MqBeOV/Umc1K8fObCc+MEGYKPsGqSp GNo27v9342bP0joswP1KRqxnXr7RXP3U5OZtYSfa2XIo4qtBYyIbyaXo2mgnintvZTShNxPsSw0F 4b+jBwwO2L8WqnJ7mnsU1YgPpm2xn/qehZHSadPK0OaB4odsOXoke24np13f2le6jU5O3k7oNL5u xyYi8xuftfrHa2Iqab2gYMpA2DS9o1OyPyZjLW7pojiw2HG/gqtZGvk4BXfBId+xC6Vj9cir6qhm bOY13Hi2TAgZi7/JJRMSx0+u9YA8w2C9ghz590iQs6f4j4SEAl5phT5BPu1OFijVQG0G3/HANkVO JPNfmUIeRLT6swoOvtaXLFbMFvlF2fMRjNQmCx0M5yWjjSe8S8oFb6K0OI9tcUiJWJB+wJl1QxLc sWffBLUXTVVQvEKQ66HHdadZwpLTTl8ylqlthnjNb28Ov9JYlcTrfvtcVEJJOtXY+4R9gHF2zIup x4QGQ0oYRqQxD2TRkWuZigBh3TC9ErTQE1cruHvxNeOQs9/4UGc513MhWnQ9MN2wfZ6bK3B1133T oqE7wJG2h/2tBH8iWAPGZeGiX14wzM10/F91f7P4icS8tykWMFjhHH77A9RpTndplV8K1UEa7jPT lhg9hWT34kk+WIMxno/9RqSV8ods+UCdZuyilbUs+3oFO593Q4ZtxY42VVCekgYEtgu+IIOaxGUz +ViU00edMvkP2ySWzY7xSN+ol+oD3sCxNi6cWw7W3DJNxKX+mxm2ZzDiqD58WQo5yNnj+7T11Nip R4En4Dg7hJqrBQIH79orlP92+b8CwGH5RTKvbZmHDydEqqDRdEsS1qg0aXnb9pzZ2yBA9pjIXlWy p+ullDf1rm6AeztkZ0hGNWAoX+3bDin1iYD1MUj+UKOeF78gPhIgAXcmipDv4HTneOpUfntEZWmh k19xiA5uzVWKUif0qUfrZcoXAx9gcdmt2kWjyYZezUDOM/ie3SjaRz6PZCnqiDwA/N7Xi7xYNFep Ce2lCnBOUbhPsSirYXWI0me57bBck3NNDIx39fJ3qL6igVpC01lPZJVZc1KGWEMqMGRfFBn6EJZj Cejr4A6N6/mw2ni7WUR6hVTSXLL+k1eK9t+VZWXoqXJdEO88c7UUa5hpjbkISBv51NAiCVHpZVLe NqZGNgN65GhdQ0sVl6Ih0lh0GTnEP5hdb8t9oz8IfDJGhm/dWCWkhrQIFUmjkMVoLc6W15kcHM59 hGGKaIqWfGLFK+vxJvx4VQTesPwxXc88uHPWie4AmqYt6SMtHo7npFtJ0p0woYAoapXN1Eg1L0Oa kMOQP/bq13El/+8oPiXTfWuFbt+0xpDdgOkq/YkjqtVDzNTsEIiONg3CAPoEBWjEXBBYKh2NqQN0 nADTU8bO5uDNvj04BAmZlh8jENPTS2orTaUizL1sRPHUUgyb2rj/Kw1r8b6LRtdFPkw0GEsolTKs XTMRe3CJX2txhLhsvhNIfEgeS/Gm7vtw/beNEnmBsCya6h9c2RvZy2FBf2d2iOWwju4tpzqQpPgP 62sOhzvmcfeDzVvviO6pv8xS/+Io10pAD0RMSqM/JwPMtDtNc997pKhMJh5/MQFWbxa3/XpHiOjr Qla7LKYNz6KKUGdGUmTT/0kZoExrQV1WxRSlwdUJ2lZrRc+LNBLAf3tFuDcql6l/gEKSXRv5xive 53M4yHCCj4pa6uUEZJWAYwlC4QwxYFfF4yidAm4wwTYtzxd9v7bZUHZtNDy36LxLs1y1IJHgWPtB OoEYX9WxpAkTqAA+5pBA+03OX3/vrGEfALfVoMagfmPL04z7jq/AAilIbsmTOi+u8Yyh912Op1uW IACaJOZqP0F0bt+G6FSrRnzDXmjHnjA9x5BQ3z+Xah1O5Y5dceHD75Xbj6Y1o+XV0twSS5jT31L3 7GJL3cF+1AHrX/Dx/uandkuqY2p/QPNCLQZFJfYBscH3BOvnQtXXmPq0oKEDYTZyRzVR3IGSdE+G gUrjbRPu75SaQVn2ZEYM3mOoSsioI6RMiyeS11Anerd4BVjsXMjBKpFFyV9JWrMO62cq2iq5rFMq z9WXXitqa/D/oVoNE87IU+YMnGYLl7KTvCnDmOoz2S9NzVMdPXWiHq+CINLEyua3ye8B7UpWOytD QXZDcIzT8x+NiY1AwZ0hHZIE2/piUa0eaeXEV4FD4KkWpxxLuYtzhxXkztx2zqnOTbhTKXt6gLx3 XoTY6UrgsCCzCzQ7CxyS6lo/XQdArWUsfJY7RYvHmhzYw8Av7tY84IHt7lkBEABdM5T+1DpRtZYZ UgRljH6qEvHdDGCfzKPbo3P1IxdNePZDkwkkAS8m6lQ/V4b4qslks2npOic4FcOeG44cQL5S2ndx LJSJYj5/A4iqeEqDCVteawkIUty8BJwjZpHg3DAG0xdt8sh10cWAzz7e9DPZHNV14OoS6SL09vTX Ui1Ra1xDmyDaNwWwXMAw0/hc8AYCmpCnCCny95Jpp4CO2O7jQ58XXGlbqxGSKwRvbEBkRzHPzfyq dMyTB1AjjaemjjDPshuFDBe0Tvyuv6hXro6YtWDz12MfZ3pkXJdr3ZWlZd+MS3aJ/q9t2DgclKOQ Erxq7pvDOEaU1L4jZOORivCqqkKfutDKL67k3DWRLw+ySvAntdujm5QQVfp5k7n/k2FF8F6p7hdC +fV0sIP+ylkPr+dHyjGMzRN13ygTPs4VCdfgMUejguKgb8tlrWy6pMKSYINBSlaoFCLG2Y2snG/N XQzS0CCGrODHchEZzZH/TG2bSQMOWflKlrRgcZ8qzg42N90AvVSDzhuXwKIZiGst46YmGo8IdP60 daaje31zVCkBHivUV3aJfxi1/iU3qH7gEGR5mCQiEQqODJAYBejk+/YGO/EI7ADhGJEmhoW6sWlh fvD/Q99SGI2Qq3hBFGRTbB84Io4gW/Vtvoqd02NfpEvM/eI8235fuzsNtuzzhrquQmujB3uwkQq2 /d9mPyMZWXhtTW2Wqj5rooOMOq4Bs9OOWHZpKMITY9QOb9K1TjC6ydMhcvazdpWW1oZ9UdAb4+oB Go0SAdL2bDH0cPvh0E0PhUIM75Bvnj5fj83MZjKvbCDAHxVBSvV29cHUznp62Cni2ND1Ggp+OSDd HDWBJcAu+mDqd7OqZfOtF5SW7Own3VLJh1nbc/BxLKZRpvQkca7JMDOMh0WTCGPfIigNqAx4LgrY DISyPsRFKJasMhqdGmgu53R+m96LbBllpJj86UOohtFuL+NoH1ExQ3TH65nzKkuzJlJNEbnm2V82 Fn2W/JcaA2kpghGR57NAtWS87R1XRKyeFpwTcdQOUwsnQj6GKePxzUSpkPqlCOVObEhymYgllRMD BevzZSufMQL3k70Fvr6cEjVmaPxVsIQ21GD5rdOCnM6U+DH00E2zXGVyLhHwxoAnB3jSEraF5FUQ gq5NKBlWAu0yHRZaaP/lD/IOaUVoyER8MHhwfCdgSasbzunZjpH8GaXYzK2F2OZAZlpZOI5e+5gP sFLbhyRaP4iCP5yUEyPHS7iueWT5t/tH+NZDwBWAmd2iv/gjstfarst6Ad1hvm48lFJnxiMhJ8GE 3wcEmbQI8iBaqNcJec3OWnupCgDMfr3G9sh4kqK2nQ6LuTNrq9XdFQL/gEYiwW4mlQUoUfHjhtbj AZxWT6gircFujvKRy+IponoN0WhKsdqTkOLiV74jRBmRrzJ0JawRVoy2RjrQjPuobv/Vf3em7XTi OGxiuNSMySG9uMegCLB0j7n5zHoP+F1q1G7o37HTgsCCCNoJLCz0svS+6d0CSjbdV85DGsIoITua oi1h6damAaHR1WZ2Pr2V7yII4l6GHRddPB5Ha42hIH4AwKGg84GgfZO1YZ8uVXztuBX6xhp4zgt+ UMU4MMJ20lV2WRxxbJ7iQ2ZkGgBp5zDseBtDtb6Zm8RypZ/VxP6F15A4itn7mAgNlIlpkzTAO0Ct e6+KWSm40fVjGIfYDYwCkzuO2kSUSzpQ70xALx1NawXRZIdTArK/S1ZihffWDc9bzyleNo/hLtFn ij6phSb5fJr233alc2JzQA2IxvU3eArIuVdd//V+A/OtWLVT3Hem83voXfqS896aO4Oz0eOzBmmp kCkMn8mRE0Y/iMVjis1i6hMzr1BMs+asD8Lq0IB+OpZWWZHaIlezUdTpwIpSwK28yFc+SVRzdE5G Auo6VwMtZurLmdy0NlhGgd5gq5mmgJMV+pcNOr61mThl+/QlUgVwXkmKq/djmSLjSrBgv9g/0ooD 1V6dqCWNzF38ECc4Why6en9fl125byUHSq9aor4y3yIcf93t9EUyZkjgKe/7DJnPTpNmNYDpCfdl ZE3dK1UESqFF+jPkOwvAy3NN09e5MH0nHPYwhujiT6d5iH8jCV3zla7FmcVLVuT4pAM16Obtv/FV d21uWYYLmALYVZRIykKMOcA+3Cd88DQS44o9r9d6F0pp7nnDRRA2LMUdfA1xLBAC9sbv9f/WnvIC xup0g98l8Q+cZNSM/PYY8CDTQ3Fibak7Lv0ax+XtqQMtW63FKC5GKlA+iDCxjhsJYCCHo2rOQ/3c s/hJgMugARU3U8ZIx0SnAXP7CyvL8sVIKcDuIN+3IG9AvtfGqbxmP/Ie2Ect/LX9rF93K34SD1X5 OtY0BbUFPgimVGWSMpSvBYgKw7yU4VCi16hSfB1lF+AdGpVyWAi6DHaaZaLm4sabd4goKRFeAQIA Fy/5uOWPVQLAh2K1lY7u6rbsTXXK/oApi5arO7RAPzj50Ft7vrrNMQsmlVUg7JpzK72THK3GLYID Hfq9dFG1Lm3yi0xzWcNE2YNAg44uW0+6QuK0YYadrmgaivF6IYgil0fTOADsKDUiwVSvQXlNbl/k PBTcxjy7+9X/vM0UAO0iDVKY15HFcmW27mjcSp5rc2x7cjSpYLSc3ibr1OwKfI93ZIiLofeer16d NjYT/cPRILQZ8gwylL/EpNGWF7HrKObTlnBcJn4yxOvuQCB6+VJZ7SeUkFubobs6Zy+n952ULgVh 5BWq6OO7GVzhCHf5rscW0U/09+JSGRm/FJ32GS6x6QGjSM6RPDALggQrE/c3icXZukzOvWd2puo4 bslPayTfzOePd7qU3d/m890rd02kIKgVNWvKPuEgjjhrcVE+aLF5/vab7TAnsIxxo30rF/1h0rFW 39iULDjBB/HC8JHfm3vOnilwd7iWGnswhSz/csM9MB14rd4GtzScMaEX9roAHThUoNmyk4NpJmpJ JdRG4s4lyo4EwYodVofVVRTiFm+gubbnuzjDRieKz1mqWa+4aPuz8NoeRXPrh9p+0EcYc7dAt2NM aaNV+pL/9UHBB66+kxZn6IdgfgdTOIfXwTw/7+PLbv96krqd6z88pul84GUt11ekWy8oLqMK0uDO I5TUUJ6EYRzlKwvYgSlc3BNIilxAwPsrOTADL1tkvC11W0Yk5mKAaRJXjDDamwS1pNPT0PLBglok tzFEdDe0+FQpB5rTXnVG61pxaLfXcQQ3qbDfkkrFQTeegqdNrxjYr1ytLgBfo6vyBwDXzREoLN43 58K4+MxWKKN1ACVWco6wa+dlKzMtm8szXb1L61kIziqfRrsD6Sx/OIESYa6JeCbwtz7hY3tRcvzG m4kfHaEnETYI7vmak7fUyd8TH+ExhncZUypzCjnXf18hFTAopJKBpw3yeHPmRAa4xY5SvPBQM116 Ce9BIZixKWVECAgvAH2KN3zOtquc/kyAYbWX/hK2YXY0vuBoTsUK/Z1JcrsmugxLxxyH2nDctizP Ggbf0fC4/rISOwoBsjuHLAL2FQVluiC4rjUh03VwUMF9qOvUW3Z99Cz709f1SXmYDb6vNPr5cWBn Iilz1QGq721+vJpPKjeQ6DAWRx64vpvwM1hcNl7e82BRl5Fk9gNmPWX9+7Oz1iq/t16BcfYFP2qH Zv4X3uSsQb+RY0JDQclVgOrH8kzuAKQeazc3NrCXL7dZiHH6BtzSD4Fqnbxvy5ZLpHBKGd0feBPw rd07eFedKyuevDDnYpv1hNk13YqHuwc3LlgnOh8lr9hyDOBtikD73efo+wai8K+j07+nStxoVyVQ gxxBegaWyEFxQJFnitBz2u92NA4f96GMgQd5aFrECe/bEipjb/mxineMtb3oglD+sTRgAeZCE1wq PLl9M7qNeRDk+m1YZWpuHL5uy8L9OCVKVv8+OOx+tl9y7/O601JShH/CDStyw2SX/4dOlXzyxuAe nZgzdmRUiiIbvikQCHWmUXOsnUImSOPSgb9kns2ykzncPaJWqirYR/qPAPQppLuP0HrX8COldCS9 O5yxkmDw9hResVw/5jJvG3RQjX/+Q0HmUxMXOLO3Sen4ypC1CW6MjLQwS7WaC4Wbh7/bpRwrFWjL srOmGJHBrk2ihzIEOi6mTMIrzjg9hNTZnQomWaHTN2UUQhktFs34zfsmb1IUxGd3WpQtcYiq2tqm 9uiDQ4G4ptAU9u67tAy2ECb1VySJy9HVWNJzLgVznfdmPM/4eowwj/8VsgJUE2/KprEoIddXfh0p XUHnrtuUcJxCfzvYQUtobHSQwLuT2VAdcG0potMYmM7uBHPpdV3AeqKXb87evDrmiJb7TkwjHfDk bnZvjZlDVDuq5/EqUOWKfaGR1yJEirKFlSyJmD+uNzpZiMLNmqqc5oL1A6qCvdRhPunDg8pvxzJi mbw3slpaGqFxvfbHg9v66iM23zS/e4NaWZLlAFECaBXA/uddvJOiIXKn9RxWRuumWeWgw5lrDMLr qfofrKxE3aF3YEDPEyN/hgh2JR2ig+vatWRBXUn5PNwR1Ct6O/jPhSfxPs2eIpcNV8iZ5PBAM+vu qLy9hMPsGYadGkz+xriawiBUd64Q5+9J4RiKEp583PkR5kQA/I+YlXNhm0ae/9yiwcmA+W9+lLG7 QDyNuWGUDSvE59LyYwNPRuIepI0h7eNiAcTAT6jGtF6/YW5zZ/y0xTVokiu54eSBPLvjZ9zWV+yH WUK4ZxWNtdHWkWN87gVuWenf8tU+0orsaGS2eCmbZoyJYW/tftXG+4Eh7QdmrzM+Ix4y+S6WOGTP QHpz4lMNDNho2g/fY9jonkUv3wye6oQKMCIBI7pGSluHdTBcSeCdI7vcuIfRDckma1U36js1Y/i8 cwfMVjwC+4yEdgOQz+AYlFeaM4R4/kA1KJ6XcLUlO+0iRDMbmGsETkm+ujuQBaTtXwJ5RTPQd0Z1 K9FPDp9pHI6IxknTDWKIU3paNDdNgZp1peHB2kO3mUD0I/khXm3/BH5syUO1WbzuykiTf8pMmAVA AzpqTX1JNO8ORpcQYnah2tQzJxPUyrDpo41T89Om4SWMA+OfV13jkwxagRBvKiUgK02hu91HGFxX 283XXugFpvFZl4EtslJvwA== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/hdl/builtin/builtin_top.vhd
5
75016
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iV9RScEojiIYavQLdmhYeWlVCnGFhhcYHmKUz5KTBhhW0LvpekokIlUr7cgvImmWPpJiEPECt2sX qiIhhZUyjg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hAEiizvT6gbTVOuCKPqs8e9iJKr7DE6v1Yswz0NfOd1f78QdTFAquwZhRRVpTKc55oCyF1cmsJgi 484toQVbDo5rsG2FItfuRPaMP5uiWApMZkjGECC93QdNHOiavmGKwehQmIifadpdw8cu8MTU8oVx rvv6XrKpyyHjLnGIh+k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hEgx8LhPoov+7F2TsULzociaL8fj7xI+oMiG0ZCm5ZmcJYJ4/TNy9JtpgVN2IH9Yl1Q0crglW30w mQjbBqDAbngJqlTDxTiedOofunRFFeyuz3X6QQ+9+wXP8Pb5m9lVWxgA+GUJWcZA2PXqW4IKUh0x DJ46hTmthnM3XfDSrxz6txi0pg8x2Kv30ya9ntDIto6+F9M4T160lpoqdlLfKR5XV3XnjxkFBSA/ t7G/vJGIYmH/woQNzlQ4lAJRL0ElxgbDDloK8iot0fmVC3Wojw3cHgmFeiRKKO2Ozq0qQK9leiIL 1lkJoPRthX9WG48VBedqbZvc/VYtQFsWj2J3Yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cC1wfYe5/aqnYJTZsrfGoSYlzsRhNYd32nBp7LOqgXSUBSxmLg+VrFQhSHLMLXFYjuHzM7Nrp89o n9HTRIExQuCoxsfblEDOzzeySwZtf/dFHCh17c/0LvZ7GuFRxfpfI2oAF2Dh7h0e9g46egBIabo/ evmlJqQeQ/NWwsqak0Y= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OaPvfBhqSU+PUXK0gGD7gvz4oJN5TvNleV/oyc9QYEuG7LIEC++cRcPnxfQTbiXDXErneTL3Gr27 SsfvQ2YfbE7Fg2eqPxseRbZM8UW3u/YMxesWIOs41v2XX4dWAuJUg7x+SUqVYmD2jJ4WfpPQjXRI NczDE2hiJ5JDP8JV/VRe/FT5DLk6GAgZunNse10+LkAb638rVuCQTmwWzvySs33zly6a5vPyDCIv w5lMEWAJP3MRvl02c25vQzJQwRpt0xNsBqnbIaCMsBSxi1b2vvhw+w8OT2XpJyUjdk+siyVkJVXd pkxaIy3mtqpzXAJ5ypV2/qxhRLyzAMMRUVDiwg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 53792) `protect data_block rhl49Fj4syyBUBi2k/XhVSgSUnmuZi0Ix3t6qWaAw7c911DCyEiJhGKrM82DjF0TQg6XYK3q8w1/ zz1VGdntSJAU1cpTX408H6T/m7L6O17sAQmP93WCWl2VC+zjrCUpPqCJIBMrjfjCQUWJLd9UyHTB d3kcT3Wd1g2yrgLPjbhi1vFUu7jx3apGtsDeiKvKQS7VboQIlQYOX5ZrBrOQrpalmIfj+V+DH+Ii Fik1rfYl3o2XX2Kmax+DQDVpL8fQcCwmtlqy3jPICqiP/tPlkdYT+VReD9gxtEi/aXGLO9/EhUGf sKGuLBMU+hIHwvTnM5Mf7l8os8kYVykYVrPOEMno5HOHXIbuShJwNgHay5Z7uA/m9JEHERx5v42g 19n9ZC+NTFpBi8LWX8YpryG8g1kh26NvNux8p+Bbgl2w7Nbrdl8bGldMEleir4lw1TUzhDIdWGyn SNffx1Wz/Y7csWbpSWTlitaF8lckNwjSHXShXd5HqrrbiP+A6dRVgoBuzXGjjooP2JU07njVKkgT dCa6HgDXsEz6J4Pfy3TSPZ1cbM4mJSSlRlpOPVd6h8CFSb9AkzLY+QhZ76hHB3iGKuZH73tkFSNf o9uD+ge5urWpfqu/qYMIOwabmWNiAjPIXCs8m4DiFlI8FWcN53PvLvMX250Pf+9//Gsc+XNjIrrs H5kiq6HtHKJ7N4qZZX2b0NypeWyjl1mlMjks7PvYwwgxV2U8WyeAKoWOFkfRXuMQ2KVRE6qy+ZM7 +kJDeyR2FAwOFHKOr3IbAfg7UcjvIGt/Qfn4HXEswHewFSIT1kTabicGSeLaheTLTb5TCCTxAV2S QN6TdM8dSt8cyOhBfZHN1KWA/VMM1H3HvJmwIw+h/xdAFZNbp4vlrOg08W3V39bo6OI0xtudLzut 7O3f/Eu5ZCNwXLfycuMllkglPZVJLJHLa1ROXmoWCc/Xv6VXJELpld7vbk5V+oAvNHq1zIYoazEX lKQDyXSrFxzE/omt7VOllJs/kuFjctErXPJrdnm/5/1gsYZHmPnAbVDcOibE1fcaSIbcgt2t4JBR fGppKv8cykf7BYcxvD5zipr6Wqct9MsQbPdLP6a9TbJlho1fi0F5UmzmWawY251ofmvpFUSwMmC+ s8qB5ghqYygw3Yma31cicj1xyubMdmUtgpxTHcGq2DVz1njJ24xy2qOrxPCv4jrSImmnn3dpvE20 3rSNeq+Qr7CSwN6OzGzszqEr4gquBB4cW/RZH4ZDKHj8wbDFSiQbVleeeN53PQOFOha631tfyxX6 tcpAz7ZtNqvzJkxw+Sk0i2nUnV5A3kKEWZELpoayRTXH3cMsPSXRIfAaJpu0GdRq3w26uoj/Rd4M ofFdFYt/6Yi5yOZzTyHVZqqxZYYkTiqVUezG9HrPIp6TYONRRvisOW0HuRz6h1sV2/tJwO/qjqq/ DiQdekcbTsaXdvCGBjoVdL8boKRyYpmLHuz+8zDAYZwtZIDEMLJi9GkoKp5Y0smkNJkYi30W0mE8 iqohiEDKtIad70k2aybjDU8xlrUDy4LszFHcerADD4XVmSa5sccNk/YIolEKWv3ZIfpPvdRxy3rq dDRUWJhk18RQbXLUO9pnLxaJACHFZuNKJAsI0I6GumcRztbvs2okiMJh1Be9crE4MnJwloOZ7Bj4 Um2Xn+wBPoA7jzE2EpesnDVHwpfDyurYRPPEmt9SCnq7ZTckBqcFTOVc7B2HcVmiAOklTwDwZ8oa +Ka8CaLWbUJfV+a0Dl6PIri8G3p5Z59Qw0QE5zYZCHdOKgvQRTreKXDjISGDNVcGjqXLAQsUvPkG 9ytlBWngt2qJ0Lk6qvMqKIA6Uf8moN9SerpXavDosNk+2dkQ2bLTIaXjc3ru1qN6LP6bwvO9wd2J 0pb6LrE9YJ7ydOnqiFEk/17ObGXGVsfCWVkW3wBdbepbzTKFS2pqw+lKcBuiIKoP1Yf7b3DaKjVw 6PRh9Hs++gnTm6nk+/ZHZcXbT1SKOLzGxL8SYlxQYj/y79PvyiATe6jlo1JV8bPmBTO9FCa4FFwF VoXnWAEi96Fh52mNihMF8fw+sFpQErBDDBzQFmeCWOrq7/mRy1zH5I9DTZLZRNMsLvT1KGb0qioT g3vTBBhp3Oz35uBShrFEoO6kRHJtuAXVGMpGMSLmcFMjOlpo//iv4We/AKVoDkk/4VzpXo20gafA xoXitZD2U+SfiEO+ZsLEobtRtcxIIQEUMH8Nu6jl3G0tn6iogJNdJmeNYcRBElePuEg5/Kgtcxob bo5FX+h0P/ZKhH21U7+qn2L5k/fe0hRSd+mTa2HV4ptk4mRqOFSWH3aon245DRU+Da1Mq1JiWdLn 4MndgaZguRRg2IeeDHWsXMqD5kdiXGJtoiSiA+wTduEGv8wJ1tXzr0KSusaMWdyGeTxRVbdkT7aC Xr8c8WMWeZMhtfGktucm0cI34gbi8AgYEmrBaysZTgfJ40+gRIUMojoyszir4reXAgu85CBtFmq6 DKdJttdtdoWL0pkWAR4j94pVPoPchxFbi6QieyWt0of+tXUY+elTSasSFGw4swqBLZkZggZoUyxN jVR14MDtTqaJrXChefo0jnVQyVQ7fuDIYkvQCyLgL6VXCe24XTWU+M88ZIUFiQ2SyrheBYhUhuKA Nt7o6DLCycHOmB9ulM9THnrKsigOl+ntEQOiwIGooppiqdtlfjk2vSEviWj6D9JIW3DQea6VXKX+ V8SMq9YByzN3RfjDwj9epmdgy8nOMum/1qtDcy+ud50Ia9/znz3zJ30ADYqg++peCtuDQCHd0P1P bSfRAKRojaFeScjkisR4L6CO6D4s1JnUyy7YxAEiqtgw45xgURfRsNVEGHKxoGyMVwTQKgzDtX3q xQfzOMqqbElcA340T2KJxBLTKcBy8Jw98/1yEOBI3oNPhPZUWgSzh9F7zwwz1Up8XTyTYRjZODhH hcvPORjVSJJZvOj7VxferDXbVs2hEAbRZGJ9ZwFBorSacpMlOAFk0mdX0ErB4QK6Wu+XNhM0YIpt lAQVz1fz61gD6VoCMwX6FvEZtaauDGGi4vngR3yCUDtSEtBM8ymveFzDBZwaXdsmfJUSxrOaAZBt AagZAwHj8/TkaCfZdbxTBTuCjkXLF1oqLKAocMZOGf/ELJ/J7ky50LyPMRCbZecZbz3jfriU/DQ/ 3lvTucwPa9PM+3jFVy5BTNNd8ugeglJ9xFEh4cEr2b3HIETSP0Y549oqXtvZDiPZHAzR0XFpm8LQ iS6gvEEvTLOKruV79ABE/ezK/MyiZz0ab4aHq9ZYbxjoPt4m+tY0lJtAb3madADNkrDiTmbFpNCI xl1Du2xKCd0s4DSXG2MKrp7ScemNLCUunyGEm/fy7mDPamxgdw+/bijWpRMBO/QJZMORU6vI/T/L 4gbXcaSe5Kprml35DUOvjuRyoKFYTEiI6V/M6R/MpMXVxmfBjjkKEqenSnvFn+AQmjhHBwJkOLmX 9ZHO3D9nsvkrdk1B5AFTx8iuaO9zN4CNIdVVprpHmtkp0nd9RtYuBV2J4BCS7kF04XIFAEn+kA6k RVXuBNAMTzPZPZ/eM5YBTkI3AuYslKnGuv2tC7/FPhi9Z2s7F8Sh1dK4Hzb/L2ZmZCIalLzoXDKn klqeANLIly6x6yKYBZQVgT3HkiDakPt7dL5wjSEr4FvYuWa/Nt0dq0dHhe6ZWSVzq6Qs4fHXZPY/ +aebnE8mCabPpIy02EXmakbU8iWM02Sxl157NezGTC339ChQ75W12IosHfCmzlwoPml15FfLL7CW aGSVEJXbFvNKYeBY55l8dhPApveHTpwAJGgQBLwHVLeUF1j+2QURQ472xwENEUw49pxfMKceqqcL WejOdVf8wxe5LQZb7vk6yEI0KwkuLnqgX/1m8vVcpZJObcrlVPlYYhYxbFxkD+23QV4frqLuYRoo 5PSswFox0aaZi0sh/jeIBgewCxh1TIlxs99rRFKn5UETbf/2GLoP5X/sShNnbew0kYboRGyGpFYH eXdQQ6iObVjPQsNkOmienCJedIjQe1CySlubRG2jusm2Cr8UuUKphJpu2mHnp9iTxxIRH0KlpwW+ fB+8mxUBNAtdLFduqQb88df04jgwiAkPvJqyJsYQlT/+M8v1ZRiQ/qXyUCfAf1ZRlZQ4SHTRuTuj cWtEnK7QCGPG+22oybYoMoVq/ZxbPLn6/TrHWKg2pBFUZqo3YI6pbmbnXQM0Gr0SxBm9kNxHUYHO U+2SsEe8yWNfwH6hOCI0fO5bWNz1qELeKDEw+YNUzMazUUfDVdpZj7IsXrxOSfHf02l/CCtK20vo PcdHKuElP5oheyB2YP30ipvx3C7ovHfrk1uQxcUgR3NvJKA3JPa1o7IILSIc83diOpLGXxTnLtbM QhAAV+I3QgBM7NvvEjBNp7qX0/7TKAywY1zGsYzYadeFpJEcgKl+2b71PMVmtXQFvhVzVASrdZdo W1CYkkLKARl1Rge8Aw/qvYIxCqUiaqDkcA/dzguG9GxNjJuC8eP93UP620OCjfZRWczB1JwRaHXk oZjtaUHdGkLk158VPvdm1bZ3iMzFGhMRD8voODmeHRoxsZql2oGXRGRQCqTUMcFYS1LsmdeYixcV 1ODvU3G1W9jNw4Uei7XiZISPDsVGJkf+bRXhlZJCbIi0hUaGb5Y37XoXxDFPf5QdRt2v1cxsLHeu dMp5yO+HECjCFt1o0mA8LPFrDy4UHxOqUqgGlqh9m7AGoPHQe8nUKybaoGPl3fAcK66/mFqLiKXO 83yDtPs9Vk/FAMl++kGE7eqOlIM6x8c9PT17M/8Yp2iWyaDim5fgD1efXRgvVQ0eTpax8Li9I/XT 2vBDKIMhbP5OKtxaigdziYC4hcqYuNDiy9id2NtZ5fNEWIkOgmUl7n4YqE2U+UQl8q+GSL+MmbVg vbX+Jut1S8gmOWtGVH8ATjjD847/vOxOuhOGazqSQOLgP6vrlZygGt4BU5ACKZibmSVt4wss1HLn 4p1PT2VOZvW7/anNO2rVm5oKdiGM+WEksSq63cJUhT18cJ5CQu39iWLgCf701sbvh4U3S83fGFMv QDJFXHnpCfyK0i8LJLbfZeKvza+MhBVfwlqqTrGBOSLgAyXFwlby1P4HxgmV0UnccghAjKVWdOtT XPsnXU27Rx2VOukNfFBS6aa9ioAB2U/8nSde5jfOPkSVLSu5YvponaCy1KS9X49w4bU7L0n7RHfA npXVJT8gQ7bPQUlFNTmQ4ZjHqmwNNbzOM7saJsrolDp32wppQiaZmGlVLT/69MRscN/ghc5Wrrzr TIuKGiXsxkan6lQnTKgvrbmrdulXKESawxnsq4TAmNOiZXlgIkEv8q8JUdbSGyE2E/6JY8Pm8iQx jBKVL+Wc5IPlYvvnPSf01CR8dGRATHp3XcHtNmpo8VUombqd5Sioi+NSUAT2okcdNGgV1wYhlpQZ BC6vIT8T7QB4/VtG8Li1V6OFwu2xbKygBB/4hZl3ntAA3x3lEjXIkbFt+4yvF/6izn2ZxfC7IF/k YePJwnJSF63oFojdb/8O3QS2TXJv0ONCWDi2mNg9vFhpR+AvpKavgqHeBRi4M172YIrq86lUl+kZ 34Rl0oeDOd5tUzc5o4SX7gaFyJ4y7XizddzuWShpIwItljEMaRwGsxQn3ybYlWlXN5FtRyraZ7Sb RHsk5lFMx8oWWi1MNz488HRODCsT7J9HRwGkGmZsRS6lDTND+A9AyZOPu/AY0N7khy7de40yFmIW Vchybh2CGIUY25P3G7jfL2s4VdcOHkha3KEYJZx7x234nv1H0UHyovc3gHUGA8gOqUD/nPuLHuU1 N5yJRVkBfhwRMtounhEteZWib8Pqcxnl2mfZ+XKJPB9+1XdnQj1vtMwwYz7L+F08I7hcT2Z1TnfF p3/kP0YYLeINsiJPVTG4T51Vs9XwNNNf13Pdh1ieQ0tSAyVipudliRt/oz7ti5ShLU6TU3clb622 uJkRkDw7fCoix/VMeRk9nbLnjsoNC/I4vpqBD+lPhkWab/unTmn5bxZG0UOgMJYvfUfBe2cJMJIn OcrR0SliXrC2JEyEW2r9YGEHht+JgJ/LLbgbhL/vTNXHwZFbFqy1UgJ+iK3vVP7hpiM+9BbdwBwk 4dPkkKBF7rWtPMrZAcPaQQNdIuVRajVrotDrElA9DKTkWTDEFXzz3lgGz+jtQrgxIjRVg6hjodl9 Cu2yYlboBd3iIgdp/P9yfvoV45c3pmESD6GNb3lK+vO6bipMWwbJ1WsmCHV6LnzezMdPPKAvJ/3B SuTvAMnA0X378YZzeqdCOMPgpKq+MJmYP2aGHCdKxnAvsyr1sj3jhaXo7wB8Q+go0XCut5OzhrNE 2SRWWZSAW/g4dIabNl0dGIGiFDmoTT0tu8zy5AmEZlepb0sqq4mxEgVimY5X4JRkaYaKQD3MDXxD //QE/1azo63gf5wxqVIW3B/FtIwnz8aqXzhEJf5sJMNFGCl2D/FkJMIfnMlWG6rX2hEfDc/RGMAM HEi9tasNsS7/+OIFNRFU2mm89JJcBTRFM/E1LcNrYdQEaWN09qYe7TgMbWHvo7800kdMNxpslnLR VkfXgbdgP0WAwDUFoGmoMBrEQHhiRFIddmrcDfdWXEy1FOxnv2QPbIzghh8yhvFHJjZ/Y+Zw+sYr DY/ydPp3wzk0LWppax+GXmsDmPkffQZ7B8qIsxFli2DsS7WYdzOMo88AG1lx8/yUHxZMEz4QkFdI d7T5VilZ6fjpK1h2/D0a+vXs17RAGZUkjRlFX+2s/Ads0V9TZz5XU4OlpmwwzC+F9HilbuBmWzp7 jhpxGnU/UyC93o35mBFavP6snoTgMo8ryN9KMQF1EMCAM/rbUardoIrqlqqiiLUyroMdKAfbynvO 9jpQiKOhipD/OHJviTvzTy7K3v6+l9n44s0kdR3Y88Rm/lNdsC11rfknysPbkLQGkpsaV6VSu8hW 6fnuSbNpWrCh1cZcXAaHt8+5j1UrAc9dpJ/2ZInTHPFQf57FJpOhwNzdOeiIlt7Ro3nrxlW82Imn p2biammdDhaS8tc4rliQYsEkMbPWvOW92o0NxObEKH2rxV9zuuraYjhGJ2d5Ru4Q4LLValoaVaar fvl/HVHxjEP/81XTnYdZrLrTUB5Di6X6wMbZm3Ss3j9TP8XHAKwmE6HgOYUF2wSXNIAWLUgEzYTQ 7MeNYbx/Jrsn3oV+NklTcdRRISsDfl9KxckAdd/D46fM68nJ3d29av2c69dkjKZJamsbTqty/QPk ado+9W+VBcKDTmAVzkyobQzNHZ//c+ufpGkzDeTLSfumdnd24/BQckzBeOCsAlYayGB7uny28Sl+ j2z4KZyoqL6KkdJVPcbh4eJSux1BZoromTIKNwVKPOjy4Z9qAAWJF7W5XcRnmuFfd1tdIVjMDA2o lpPDZ4ArHOH3KHEUFlzBU5/T36QHnzfryv9mR62sGCxeIaZRPwsn65UA+roP6azeo7FTNWz+Jpnf qQlmKrE+k7HYkIxEeB5CEduJeOAjm6U88k+KFIemj74lwMCj0gLJ2ImM0BSRabkJXNoIVDt28Uew 5D1pzCwZgVSpcFL0Ypko5WozjV6yypk91sa6lmXRZ7nepWCg+dDthI3+ng4i9V9JD8MKKDbNLdbK dvK5jJFlEKl9/lJdBxJX46nR/SunzDG/LrWbGB59Uf6U4bFSO/ROXXwMVDSYeHDQFBRw1bwdDi1s Ixl3UOvpgMPFzy20WwQSY88loErACsRLS3AFcDbtBQBZsdxp+kdYGwD3RjT1+16trLDDtVyEHk+Q dLI+/gSu++zeprVHGoskC1zGFlXnF077ncKmD+b+4dGZA40U/O3hmWVEWKdISaPvbGtqfqv8YsVf zIXEVIvrKZzUw93mABaonYIIgZvZz7AQpdRkaFdXH9hSNA1EnBgrKZdfZK881AsCCfRc/kw5Y+1E ROeTGt6SrmmQbCvf2FQVerMvr8mxgUS2e1AmG1aB3pIFqbQyocpYL0aHuBcSItXotwfE7SCPwOFf mKTiqkOOpH1DRm52uAEUHukISDr9j7O9RPQ2Nl8AITcu2wiMs7jwbWOoCUpAuA4OGsK2agftEPOq 6xejrDiwJeH55B3ubCmOaMTIOnR28DWqQN1jF6aUGnNIMd0tj7671htzjoHvPbETu3GqvHWcO5VL IJWBAOrXZX+O79tPoEwheWsxmw/LfJG0VWH2aud1QofLqqsvMHxY6yLqe3VdS+MTHxCyA412nawo lYs58fffVvIIRuBQJqCINIfaH4itkfyXFdQE6lfQd0qPchX473jencMtkJqMP+LiTgVy+FJIaoAU HhuGksYE4ngwRfaFBLS5Wpr8THWFNptMJW9ojKm1LFsbCKB5idlYhe8WxvSYFMQpyb7RjBiU+Z0n ki5np1sjjmx3wHxANPb5eB/9Cr057TF0Khppy3he5arg2VCAdS/tLOIj2+41vNeQ1Infzx8JqmIq zNs0F6HlOLHi8Zz9eO3ov7vaqHbCtFKT80ZlHC4olA7NtCwlH7hrQqv1QKg6MXV1K9INo7ei2Qcp 9IH3HqGysE+S+texj3VxAulaboTk6wXMLbPuR8EZhUcXtVmqdqkWp39brGENR2CilD46RmvqFdVF o4oy+iDONoh+0xIQHz4yWK0mPi0j6Sem3dduP0QtePfDgxHSb7TDPESn2kO5hMeNQ5l8TM8PfqgE SqUzWgWllzB2wYF9jRy68kL3Hen7pXqodBapsw2TP512sT2WZpvpFWd02tWGvP6DYc1EfGqZsLUn cxsF9v+Z8ComsbEldm3wukN/oyXaepUQZXaibhimDrLq1MIpQDzJOWgrS5Ycotu/dFyJriThyBeU EwdevdRtgfWztSj4d/Ts6GTTecHnPSO1SdbI1sKshnCuvyhltQrBq1cn6/Pi0dUDXK4f9m1Vkb6J k3D+x+n11h6IsaWvONxtXfSMH9D3Vik2/Ct0DHmGOJTKcOK9uXI3FxZHHXdPPBBZuuyjupxoUbHx hXpfYHJbmpFl0laR4eHFpQz2xDqPf3g8qUAbZvMgjGzTaUgiIdAojk7ydwQ2L3+SU6GCG/70hEvr XEcd/AWurEEbgrKJtP8dUSaboL2novVeWKimWs0M8gq7v0NTYYDwP+GPAlJvavTpM6qQdcpd8k1B f9t8tVlM/y9kjMl6KBHaJWaTtljKu7XxkJbNkZGyE0VjhVLgEG8toopuJxCFsEdWhLScDqoz4WeP ytnTCG4OmuG3jezDHgo6UM7J89q//7vELV9fvUD8p7nZ8AtyanXeSI1fenhOOjfHzkz2GjWHdsMH q2aR8PqRtxlTTzQCoII58JgTXXBq1ej+6d5KZ95IyQ/06lEXYtkqwMjs05818cTwRo87qdnJpbWT 0NHOQ3RyiHAApYl3wP8cs/jO38yCUcS29nvX1jsj9a3UcJJSjMwwrYoEqTY2j2RI70sN/juBbsLj 5+G9SFO2OaVO/5Ro09QfqO9/eATL7zSq/T/AIctc3ClNKic24rcsjDTVL740KKuQilo2YfDi9j+9 tZpvbSU3qAeyV/kdQnvd6aWyasNync9Xmu/C0OwSyVYJMYSZu31y10AU4fmnUxOMQD0fBuaA55cK TPT4urKIJUiyd+FiweSDpaX26tp1plqnQmzcLSypUvGB8ROVKFgdDO8lXd5Rm5hhjyeNhzWF8RhP a2Iw1gJWiWnB94KAKNWRKB5r8nAo/eRL5GHA82k8hoCLQH9gO6fU0FLV6oYrKkqfuQOitZN+7x6R joww0lonq7VH7S/kzTu0u7tfAu9Y6+eZaNfLpaqNBEtJhHVa86UTUeU/R2URiHn4Bx1WrePrnJ15 NmycpNcMkRv7tu6WkxPaoY6WmMk4jcjvFEQq3dJF81dM77+yA5zL/DBrW7WO8dhiJSbLfNjDK2Kh a6QnuYpejxd9eKbN4+aoMocg3of/jeRnfmX1wWjASguLUbOvwHS7JToXOqaddrL24g7F2hLEUMFW o3eTAyteXhy+ljt3YJLrEBaIZrMPET0Jgo7deUBO7GZZkTwXnXhLwbBz5R1kvq49punnhnepcCnh r6vktRkqIdtXnuWVWd0eVs3W1qs3xNvKBrnEGXnsFjznlczMMlIJgf/KDQdH/b7CpJ/5GH0JL/5T cmsGjFe8WibLi7fjD9m5Lap15BOZZmRYgbRPItEo6LaQ8mTqyErAzU4IWynLVlaT6rMkozRTe2is 6Jpi7lrkzgJosqQeiS0lFRYuYurV82+9XRJnBPV23V/+SVvukPmfYKh65L/JyiP5zwLr9/tzLdGF RR4OOsN5yuU4ca9poaR+0YGDauoJ+wRH/0tV/FPTLWkEnm3ueknMhEMS5U7CFcJpFfCWo5RYfxnp OOS5JO2nbLhWwZxpMsbXqvr45EVQ9l7wKVL/Xlp6Scpq8FNZJ+Y5X/qVFIQRAvMkQsQpJ9971c0h H7QPxx1MzZhSKetu8OTk6u7vO28CEuku6lW9VUSLDEDQTRFzYhEPcdbapQoSdAdoTq5K8GLimcCZ 7bw5Hv3rpSOgjzSdWiolYkW0mISovFJ7UyvJgE44XJjYS7oOITyZlz/zrkXHodCAtSDnYFz4pRN+ auqS/9JFptDiZ4rlVgEPEc8mPfCCOMKx5PdyJZjc9QdiIE1IgcxtH4pQJW6LwIJzwBS/34dVqgWw nlBc5NGapk5Dg+fL+lZwdDAWPLt/inRraTsBDH7UTJVcT3LXLbbU4OL0/7yxNFnzAcw+YtcCoFsd jZPH9YJoD02T8XYQ7Uc2djiAjd1Kl6TaBCoycA77TRMb4oWYEGL9ygFCJoMGcbF3ykRYTrk9+EYR pty618eGgFOP5fpsPBt54LFiO4oaHEeL3xGdCKFAPBJjzi35P5LF3T/mevdCq1Ag+mC90hjMbjc9 XhLUXKwcTaZRs78BBp2HiGID8i+0Y7jchLcb9TO/iTWwMbWXuowUix2HBoBmJwFPg9Fp462WtIS/ APEykUkeHn3N1dgbM87Qsd6a/D/ROQmVqR4VeNBmSrR99WctVCSq5rOcsPT8eip6/5orCwb6PW4i Y9lgbCcHQml/a86BAaCHEGFIl7m9UDg1wSbLsMVMDU5dc/UGyAcj11WCVRMRfWHm3EmpvjpJFxcZ OEf6ZptbjhfMfj4adyhp+NSZPi+fP73GWbOiGvffhlVYE/UHrWJVFjryxN712zAVVdGvPmC4q530 XXqHtJPkXLB5IkgfmP3Xr8rda2hb3Mx3IpSVNHKiBhJF1JgyRE30Sk0K0bLYplQBgi6w09itvrx0 k3erA8VvCWxYzv8kmSN6aoYnD+NTYoemlz5WbNkjL9ysW5GOtyuXACVt167/k80e7QpHnZZSjwQo 6VZgt6lM2XxuKx3gnSg+XVOrEw5jSddUAtZNAIQiZ7ocptwvI7XuZNo4U0LnOM+cJHndWxVS/0GO YSdwd/xHu2YCmSccaKYLm9pic9CzZ3nvD6LWAKkf0iROb2SJ7FbOhG/WSmv6MoaODci7EVXJ4f1a qqbEIJITDW4B38YzLNUL7ua9qpIndSYVnQbbKWEcP058deZzuLFx81Tck2pPvyw36vve/0JA0u8U 7a1mXCe1Qy80anBsYZqcnMuFhoAoMgLJ2Ot6ZyMWJrZSRY4MksXtxXofGjVKCNJXSLYCOQ6njCdi fSSSjkvRYZ+3j1P+YPFYYsN/t49LmjKh/H5LeIhKXBVZKcQy+meb7X+LMAbgfxr+Ee73zUS/heMK Zro8Srm5NoOGWeS3sY4zBjGTFSrlQlEMk5CQ/7mppEQfuWwvdoXgtPcZDOCYcPda4BATO64jrLJC UwUxuS1pXCQ8URtyYZh9DN/ha2pcaimR65DsFiBBWW8sZqfbKzLRZbnyPPWGfIw9kAr/47Wv1vxx UJ2Q2F25FP5kE9U7+rhruLjpLzyfs0Pvn9aY29LYAg178kig5lFAmKzeyST/mRkyCsgHMPfae4Iw IxXc2CpW67YRXJu73RDaMyFngf5gVvFfHsHAbnwS9o+fF9nsb899RV+1cgbArNV3X5un8RSUw3aU i8LAsdRZurKKc4QTzd/alT3NHa08DrHIaYFgxCgNQKTwnEmHmF+sxVjFgumThUpwkM0o7s9W2xJE oqDfiZFHEbZbANF1+MzlhsIrZKA72P3bUuI+BCkYhxkwZ/opWGRK4ivXGg5D6orvZ25kjbv5aGhi W6hljx5I+PIZ6a0aYtJlgzPyqDSMl9WCxKrn6AB74hBwEkV1b1AicYNx7ntmtyYhnSWb3x54sH50 pqPUuUNQNR4W5BQGiX+zZJuY09dQH9tWBUnQoRg5NGqi8AysO3cucEG/2KZLjZC4SXfjjjc7AheS U1Ha02/AEBP8SfQUYQmVJn3ek3mI8h8Dyk00qnfB2nhXbOFqF8LJH0OWHcDkkeFbjyQHyeIcF0Km dwN5Qcpl75H14pMv+NwWNmZVcO+Q913xtOtd2T6CC6IeVYTexeT0fOLQ9PeDuzRq+AwSP5PRB37b I37f/0K0vneddSQhz77gJTPtgUh+35gKD/Hrx16QDZQXC3zBbHLhSQFBF3noZ+8zrXjQL8ZeZeeX 7spBuvxkkP8wTwNlS0MAwhT/4KWAdmWIkfA62a1LdjXO/J9e8m0+lOXzd+ttweiEr4KcZtV1mb/s EaiNgmFYyjejx6to+5B6y6LhxhOpXzLD1gM6Ybn0Ux6pRPVKR/nJEELKwfr50rpr1PgF6mxvAqSM X5VvwjF8IprnUOwUwbLMdgXP/YwcHnFwcVbVEnsvwiYgg+yqGu4DLNzYCJfAVFNNbJFYTK4SiZ9l YC6XQFlJ0vW1Sl8ZFOI5nUhfIGQVvtDr4dPivcGu2Udvz3SZ8uwdi+9+jx7egsjGj9Wl3aP96rnO WwFFN0dqvqcWyFMkBK6NTzkJK1ko1SSiwlG8C0CHMh3TyJUnczpLgq0B9WesIxvGKTq3TjWWA1Q9 rzVjOZOOX3mzBNvd8F/HeJ70AoMIGFBUiL3+zckB8tM780vK7sYlbFv7D8ONnY4kJuxU3qxEvCjv TSZHC2O6L2ELMqrJ408rF9ElmdqLzxEyCUDQmm8PYVbIrJf2G8N7sbf9JaKZ7Kr6LDwZ0H++YyrW XnA+sl2O7Lb6XVGRwPw7vHFeABUtwEGo9WnWd4Sxd8QB5pcNJXKeNba2DYup8M8zAe0/C7EvMObr NIAFmYOea3VSMJMG/jdlOIDYx317gQ1DxnXECCzuwIJ/qwF+XC/D5C9WkIv3ywo3Ok7vY3WEytqP j5QSjRXi8ewY+xtig9XYTkhljekVD47Bz1tGqMhJy/ZK6sMg64O+gKP3HxJHrFh2oIp3ehvzyO7H 6rn945N7NZhLPlfa126pcOOjyYo7b4Nnj92RCO3O4P+UWxCNQuRk1c6naBOvvUIG9cGlYVu5J7Bs 2mkiv4LKH+aWHLvjOB54dMMuCjT7xHWrK/r0vWQIYQeWPjgJXFFLTYEBKcZPBKalcb7OYAza2eF+ QVqRyba37Jze4FcR18VlqXDZ4HKD8b2+bBIitxh6eRVWFhNDPNo0JS0ptRicyoSbQlsyTwLoujw3 R/FdcIZXAorjDnf2qxpcRKwr3hr3IGK9s2oL1IOpXxm2E8XGfWRVVq61Ey76uZWqZiOIyJLHeb8s M7xg0nnGNummWlpZZf0GSuMcF0uG4/eRAu3IBII0uYKkaqLnsaIEycxF5vPxFhPuNXRVUhI0V6Yz 6N0tC0qf5TKpBkY5opVG8Fa2JX8kwThd2srFqljffpiDmxxQgR9K1/Bk0aOCzGbdnyuInUPVLb8Q 2lVynnuwIddLBz14/QWvtnjVJN1pkAJsVQlkFwmGIuqkv7NWYXOnx7UhZRwSBtVQtdzyd0wdhW2J X4H71VAHXbLrbABSdYGixeJlvJ2jVR6gfSUsoMB1c9tIHaKhe1hEuDX6gSABIJ/9o4sw0/7iU2+R Y9VMIHfIIA+gFJxorFPNQOsgrpkdlkD3Zara+wzAYOdu5D9Ggd2xciXChkbckqd19MHf3mZ7w/v9 lxw6oO2gqGhnjeRmeT84vN3UhPK2e/arrtrkkaCVAr9RK5eB6H3Chk4HeVeUtpIV/sCne/NB54pO iT/WYuB4XKHw2BHLpdKaPbMG675aLKBfmOg3gsotUHJj9LkzoB9BaXtSu9ybHCF1JFlQqCQIRpdV WA4IYQsC4EKU0fKMcQ125cLgXQ4V4J8tvaE6xU15vksLE3kpMHpsGoZacl4/WN/FrhQWLcb9fFA2 1gP3HI/ahLvj89f6ipCWrC29eEljBltztHJWzjBVDPNjVO4FzGGu2RnUj5OUo8EX3o6Kj4KOnknc IkNAm+IEd68IYh7FGhd423Fx/VjWZ2ve0P+NAFxb1ZXiwwPtEEI9zOR2w+DLiZ0fGAAClewrQqW4 lBBW5xYE67j7Ckj5Ksspm3N9bgpSYJb1vlGbX7BJaJiTFKUoSNx0MV5UOANkVSYdSBhMTMAxwToc CkzEfs7fuNX2hcaPrZo1dMtcZpBWEJZwJI5YKeuh+73kHVJRkk//EFX8n19c4Cw87Cwx+H2ji+aP 3lfLBkHgH61Zca5YKxkFSPT7QtACOcF5wggTuaPv1tZEp5Lc3piWA+q0MPqrmVTlfpm9bC6cnyfS 3asM1OEupvWKiy8sPlU9pTCr8f4kzyrHmiCTQaLZuQhq35nf7w/2rBvK2Xj/m2G3wFr0V6lcgI7v EeSBBWO5nKys9j9GFWYfWR9ifbjQGz8MfwLQeygTHdfYNidDuJNNO++bq/CSPmPqTzO6eKSLetcf TRFnXEDrk2TvwHnIo6k2HiRBqo7eOGV3/4s9Q2KMy5/50a6o9xxjgqShjjg8pA5I6CE5GDPNv+fU b3u0u2BACqjSS5IvIxvQOSCz6PZJpplBo31axaVAuiwv0Kuw68w2UCndlFgSR4oeMrLyrhkBaM4d yulX9CYOoeIKax/lAEawQ+c4e5uIR5m7/9EYPFF7WSO4fWrP0aPhOhmOXTXkqbw1vJQa1bOPG8lT jsXWFIfWxvXPlNdLe1QcL49T0UtxJKtBQPnmnXhtCtr55/P1QLdTGr519kxjR74jJ4AmznfizfNV HYnnGSVVin9d7KpF3O6p5nSNd+iqWgEI+RYuc3iz+k6MiU8oUhDY6atdcLGO3sddJdLWWVjXEed0 xAmJ9VEfK+PdhZLxkBsL7LYpGUEvvTnYLjSsUrRUFWcW3SpICJ4MFhkB7LYyWkOUMjNuU73qsFVv pFTLaSdLcMxxbJ6f/vu8Jjh2Lo3XZkMVSONukWe5c4rfzgenyToR6JqL9QJdXH0XhpC6JdwcyOKh /3o+EcZ2uajIqCoJ3XwOTPvHf4Hovk+dhCO4Ex8QiLcXT6TdyMEl7QJYgQFhSEOW0b71VqU1rpa7 8KV/99+bUxdOnQSfsAkIfisWedFmRGgU98gpVQvk5t6Kq4ihYhIv1eNiSsF3EgCZzkKUQ5wj8WY9 vv3TcS/b3Ama8ku/6bopnSd5Alfrku8Wreoq7Y51Z5p2SGkExjHLugdA4HgznFnOSbYlar63fX/n uda7GrV4RZmSL2Szz6Sen15FC9wt9n0qWhJaoXLgUAOzHoA+MN7nd3AQ6RnHBSbHQ9fQB3+iOVkF yiRlBCzNPzPwEitJ0X8DdJS4epwS0r93GkjtH6uHLNiSms0ZQCiWcwc3EURfr79ZKzDF5nwC3WD3 uMOYmttNHry7Ea1GWhKCubeDRhrjXsVOn/1kqVkVsQcfso0S7aMeVvR+Dyv2Umnjilnp5CBRJ7MB MWVPUN4XrOx2gO4RYu8aRR8a/MxfXXywIERa3dhDcWFVRWr4GkGJv1WDIUF38MFiDTzUsaNp9Ax7 d1EZiIa6YwjHsij3gwYs06B9QnNS9C65b7d5dfCMGwzTRLCtTwsVAc4aDTm0mUmSP4w2Wyei53wv B9iCovgDH90t1APEvcO+jjRs3N7W/GvYz5E04+0+6JGZRQfwXCyCKu6FuYAwKp3d09vLyiwR16+G gC5HpLmIUyMxsqtcwtOqphIY6b38dczjpLksIt4QMH4Hz2aQuFP1fAsTcRhKRd1NwPEMRCQrgJT+ grzt+F+MkoUEgA+Xeaaln6Tm1SsTjEVR8wanLmfCj5yA9bPAunjax049jJ6lkYDXI8JTE2YyQ8UV RPQYtH2CofagQgtLBZBgKs3Q6RlkvH8ARxxylAtayugPB4NY1HMKeF/66H41EnMy05LUALKiRPCx rrElM7eOHFzDE+lPRY266KuC/2MVANHGyiMutx9Y/ThdsLb37eTqgCKr7I3kzcpJS64hZ1Cc+OUS uzK4cMA3lPU0w/9b3JxiepJMsRiDolTOqy0f27plzF2UdIyx8FRO4ASk6ltVOxIASGNkm/PgD1KU HBEhCr+OwsPMvHanAzhwVQqC8VdwJW26YeRmyEqirrfm5cfJ4ZtRSTc9MVLGpfpCESc0eHRPXjh7 Hdzxk7/XRLDIKV24vtfpDjM8WE/UYrhNP2C8ZE4vgUGbSQEB6W8EsIHtFgeeTtqqzSH1vJKbgQ+B jFZFAwYMxKsKhexyjtZL4k5EN2cmH2FBSWJ7v0KIUSMh7I0MJKsDXgUOMZUeHdPOA7eQR81n9jlX P8E5k/2mBRt45UTmX86O8JeUPzxz8lRjodGaceIEoDu5WiqUySCMUDwoOi/MJttM3aH5zdjnVoS2 XPTLNj/40OivlRlYWlpE7KbXsyU0VE3qqDORvj4oLUcPwSUyacqvtEdsmwDRa7lU9BQjrU1/WFY+ OKz+qgr4sLqIsl+2JRN/p+dmsddqWd2oL48AEvd8uFHH3VS9v9q65c2igU7pnz7O8vLE6839a9GV GItvS7EM9xqnGXU3jl+z395l3vLP2QglAqKftQg8YaDKksdg6LkxwpYBk5SFY85EbspLhmIOhu2V 8f7pKtwPhLYZCcfNCZ4+Yj+B0gVmkUXxHn08+jt5CFYA4zstRjhUYPrxkyLAukPRQ/RACf6otU0l uDJPEDcDp2XorhahFeBV/Psi+k6Z8mk7K1zzL8IyAxT25xgXkdZRNnZUjyM4ahdSVzRT8e5nuK9l /COKBwym3nTacH6xj3wE66RIEn0A1hT+v0PJ8sMtrHNUmQouydIqVKIp77iFYkls261YK6erfkx8 zhwP7dUvzBCwWC4cCRzPiKidfiJNM3szbhkHN59gc3fJ/CXH+S7sTvJ+4s5IJhf19d4MSBJPnUiC GOPXlwvnEo9PDOYRfde+1kjFIULaca9AuNcLjbX3WOcljVhr+W3yQLnv9G8yUa5A+eMxnp6+9qb3 F28FGgqEBOQLRfPLJaRKB4k5zA3UOcj9f1wLeTZ0kQ/He1880LEPD4Us8dLRHaqcdLau4dZbCsEw zCDzM7CY7AUmrNO+v1ZnYrg8si3gO+K+i+DatvArIUelF827BL13H/BTb5OYygxMhhp0Phugo6kC Hj0it57RJo7ZuAuKtIEsDy+dLfPI22MrXVxc6r0qykSBiIZQCpEk3aNjki+befBAXFJLl808DDjb x7SCbPhh+Mg4Lpr6c7EQO59tFTirmXTG1UHcdVEtD8i8DPNv6cbkDvp1Ws7e8i0zcExcjr+ZatDP ESZ4ALBpvrAqdGDmtOkuY98PTffq/nz9s7vfkizI8DhzErsJRA0pXRyBnrUKM0oh+c5LxEELpgnI P+umdfVDyetJnI1lLB5zx/bLJAqzqUKnq3TzkqZIaNr57zBhVHnkZt57zSVgidH+BDYKizMZ8ja3 YSIm4pQW3EZffYZL8XwUXIdg5VsPkn3RTcwE89XZjTumuMuJr3oVEEHMd4QO8NLf51dIfn7f8Xrx ci3E6rfRbgmqHxFUPUzCw2KQMMVHBQRonvgTul8EhQbsUm9DAkDzVxHXQEhHu/5NjOEMcJduHX3U hVQ4ibTmCtf/DvabO740BlRYzAubmPPXEGmz4w9dwtHAYu1uiiGqExl2/+ortkpTaWc5SaDWVzYl jZS0fYd2VFH7Vqq1feTVWFh6+3e5CkDyAlvrfdzgOuOISYRpmsTsbhRVEbAoCoDDku83NUWChnYI H1s5egPlKCaAetv9t1w8auE6y5fWqMaIoueHhIJj2k5FbD1kI/iq9VqoC3/U5gsUOVdjrR2XGs6F GXJJ1Pz6+hVJIMXng93igiqBfgOvRWOWi1VbUwMk+AlUzLlXYSeTM4yiOxGdwuoD9qsjM5EsNGbH 1r8F9vmyM1FbEb/QtiRVnyd2TlD9Hg8l9hPjmXsjSZH/cy1atiUMlqRGC58O78zUHjxJs0kVAyVy +9De2+9L6zWno/UykJ1kzhK3aMYzh70Fa2O67a8tEDgJoYe038b3kf0lIX/KAkI4g79ZIChhe/e2 PkjMQEzn8qjD//rAjoahsUzD9f5YuKrUYkj3K9zOBwPNAE8PRkEEBQXqUeC0aEfpK4C0Bmy+vn5x dZrAJQf3tD9u+7QvSvRvmqPT3jPjR6Y9n8gU6v7zDDpnZKP5NkIc2jNeo+JjN1MChpVOcOGFK5If aV5E0UchIE/ocncwJXcpZ825d8d6e4R2Fal8vy5JnjO5AUEqMFSURDeUtrD3cOZl466U1aVx0IM0 RPMRQ4N7rNv128IFiG1bmMTJkcr90mwpkQ3uffTKCjOxje/XHPTzZmivJhVoYbbSFc47fol4vNaD gEEbILAu/mKwns9tAW9SoyOP9cGcga45xwJgKIIGMHkzhTmRjoF4RnwwmfjjZS7WeP12DMnz4QpQ tNzxcCbNoi397gTzBp9riDPBolyqQQncMmYTPmhIFbYk0cGqpZeDod5D4gjDuEVXaut8ypWkkiSu 4mspYHuldCRnf/ZeWsgDMxiyaDchnLuVxnkNeW9xGr3quwz95G77T3YYNbMGbmWdQknVn732118Q 8CbbKdc6yeUmCj+dNeTZLT0gIZBju1Y2Njpk+xbwwO/ZFwg4WwmO+UPKxkfP7iYYGRTaSPtNzwL/ wvYAtUpoh8wM3btQCSKsWiW3EQnG5k0We4t4jpTnykLGX6xDoyqsblOWp7fhB/8Oc8bArgRRyfuL OgIslhoysVuJ9NeR53eh8K4cL5ewk62nFMDAqI5YJVu7G5lP05WB6rWzQhEsCOYLUahHtk7w8wZ9 5EV8o7EJ3lwlU5nmmfmcnq9+bAQRq62xxaH/7z3Nxn9sgzw7tpWxPAoFbRq7NQ3SvYN+dFzSQ1Ph TtE56WC/jjCuKO8eM7kaDgtDp0DAJa8dhLyXM75Kt+kYOtdmizKIwCUach0ikKhuR6roP0RU80WE i9RL6sEymjfS6TTLbkBP61Mx+LNXBhVdcxX2FD6+h4GUDiOGs3jgwd3flrAwIM1f1EYByCKOQRwo M0mtcCd4XIHfgaPbhwrZZ5nNAuKlhZhgniv1oFVhkboR52xhhp0t5m0ndyfxDhOO80KKesQ+y/wF G//8n3DNBZdxUa06eXq+7/0WTitgVGUfr9hqNxQxxSmWTXNyUnd5Yochw936N76S9zj+/9ITnYa1 oDtC0YJb1NSQqbL/R08XjbNkLn1pMeq2/J5qTwtmI/UufY1BLaSKbrVWmprC4Xk/j5SI8X5Qa2Au WcpdTPAr6RdH2ptAEqmZW8uek9RACCek1uq3HaP4ruJtbLY5FU8nAiJxP3ZCD3PCCHFQ1QZ+KOv4 YqBwTu/Leuoc4TPUi7DF5/mQ9pKsuTjmx79irB7c92JRPe9miYkzW8xxDEuGD/7R0qXRIFXYIiJ+ OlaYLbrtmu50fO1oUbujG4rleT9L34QGYQYu867LFMMYZ/LZgOxKTMtfDFwb2PqOs1q9IGtXTG8t sGGHXow1xN9UGOmI8IEGLX9TtZA0fwJfKjU/bYXlmosiRoVtHxHQUcc6+j1HSB7wXF/4sDAZIc4W 6cdVFCNlROiVl8m7UfadpdzeFoNuIu1zsAVNU9+qVIDt1RhHc5jlmASklQ8OTn6DlFGwUBaN46k8 W6jyp68P115EAlEHboXTFx0mUZBy1PWI9FU0MHKx0z6pJwZJtxxYpjtv+UTgdSydM4q/3WJT+1s/ Ct5ydt1n++F0kvB0/bItP4uvm8zzsaFkRPJkGTiSb8J+ESpHP0wzYJsR9i07Acq3M2mNo4s/mHz1 ArB6JgnTXmxFbQR72Ckk34BLED9Y0D9ESlZbsWSuIW29WyvzZ8s67tPBnrMVzjVihLLPG7QoIGO0 fmCYsXP1n1juRhbooDEL4Vh1Xgw9mVsXI+sNs7kEyId7JdbyNwcmtxm4m3XpnxUn1wu1TOFjpKf4 YW8PaLPJ3GOnXVhGlPnd72TYogyB5m9xQSJVpQSOQTuDy3S18jmLZ3yarDH2RyWGlxkmkHv/FYAo b5KtFfmCh2eY3Sq05CpkRa938gP25Ydg9H8HRPPRtZ5rlCmPPSf1maBGabLrRMz9a4XU/unjlNMQ F59BgT1SqG568+7YTeLux3vfi82YD30Puiwfy7HUIhOg1Kur+4LnPEDeVBWkeS6aOmMYSyB3afrS pgBdwIeSYO9Z+s00xD3dSvVtMdjNshKp5JX4xoMoP+IA4cLzcb4B6i0sGLPCKLL27dvhCAmOc8jJ SAlui/pa59NynquzKLaUUBQatgGOGyHvK248/TOCd7V+t2Nw/ySYLrfEtiTAUWzDN0w9GNv4C+kR gkoF/bF8TGK10XHdvhr9dS+w8Xg30y8HQiTZ+3/xy33DqSRa3ZUs4lLnYIp7kllAWYgwhNh3W7Si t+JaCr78nEfwkNrFsVRlbFdCFcxrAUhha9gd4QGlPP+uSRfkQQJz4grnUK8es5GH5OidIsWrWL63 ppndBkbXAClLxLD2PxHRh7Yc9kLQOC1J1U9cso8eCUp3gSoJTTyKDQNMtw/NIvmEiNnHE+koS81R UuRIHtcbyTWfHd6ZRY7yUQ3uG5Bt71R66WxODmMV8ai9sNj6mFeWiLN6dv/sn/05m0fAHvlnCQvd tvkfXZK0Vs4Sn5c5KGlD70BJtVOyn6Viz6KfFcOXWftJLwBfgsEkAqHi8E0GWfJ713j4UZF9lH6Q F/WU15koHizJ6qXhn9R54VBtagE2pM6UOsnngeQ8gYeFX/k15ZM8EJKyJQKgdErwSMetRIgpUcHr uE/u5hkt0z+nEZizwY+tpKGZPBX9SJDpAODk32FjrqGwnv9EJEyLZzZbqemLV+yCZUwN9FDAWAfr u3aAFvE5MPaIAn4LkUI7NOMgP2VvrmAiWzIh1cJbEGIXrEa0DoLTse7B9WYJ8MstQkaiJCe7O1NP eZZGyUQR9C8FqeJRhqX9OVIILq3aKJ/CjNjWhuWRv5dyzEgNATGdh/HHk/mm+3xPSS0FqxKQZhLC jWESXdNVAYtcSpFFRBCJIIv6fuNcUYhFfo6i0KTlrwkqW2VGGv+O5Dc0iXGhn7RunpVGL2Hkk09n gAZ8m3p1YsMcj9LJJ0zr4UDMgBwb249lD64SHASaScM2qkc4/55JX8moWXutMZkBcLp0h2d0gkfA 0eAMfRQFS+/hjtXpcVbjV2PMpv7GEofQ7Po6nVO/2JOXH/Gb0emepYQGXCuSjA7C1FnNOj5duKYW PJ3wzSbvpArVieHHS5o6ZLFMUzii7NLyIvpiJSJ8IKCUHuvJX1coKq2IoKJBIpPJnXaXlvAoSsV7 8hSs+Ap+T8iIZwtlyjg8slzWCkuAOKq4zHhqjlm+TPT0AEiOPx8+JLvZxGZoVPKlB9Lx5Hkktpgz TULfuX0y+vpMW3nhTyOQkwsN83DDOlXiWV1qfB5TrORToVWGKbSb5XLRfCpFq5B2NZYoNoyAa0TT OkJYRr50ledwfOY1hiRGTRXjxTHQaeMe+18qrZobva+BenmSiZvacMKmXCNG5lfn63CwrUqtiWqH Jvn+yWA2Kj1GXwmEqeEMg2ZA7GqP+D1HGlMzySvv1XJY4wwI3Y4Kp19RMGy4p1T4rE3TWgrTt1gp PCC/ikLTrDvaeIquM734pIMRc8g7CU8Pqr7QRIFCguSjhqzboGQjvC6/DEkyMueIoOshXWjSUswH HxYvji66XUg+Wj54d3iJZz+M3f7tMkCTePnhQNxtrTId5qRzdnrp8txOd5c4/ahwVNHjcNP/tGvr zeioAX/fXsyRDkUC+tkLXz7z1UId3OiRrauNim98ljuS5kLhtKsoIJL15piK2CfdnMbvYBKGr9l2 NH3kQS7zizcYwum4YxSLYRDUQ1kH+zJ46dB6JgV5fG9xJdOMsCI+0OP1cqhioT/h1fWe9DczcNEN 1uJX/xl4dMCLvCTxMq5ogqLB2OLECAVCFCFKOBQmnA8amWgogbiAQDSB+sENucVYO/PH9pUtEGks kaB7WCyzNbZyJVYWJ0LIwlrXj1pls7WHl7GWvbH5E/rvqq8zf+C+9URGgo8zF3ndoHpU7BFZw34S /CJeYfkIq9YgUQ7UMKEjFYoDRvfO8t5yha+GAX4SoZBg76bURu2wdlyPUf6Hkun2naeSuvFBsRL+ r+btFJBK0CPhWFFx1Y8W1YgcTsTSgYzAlBvRfbByg2DgpXoBsxHciJjA1R2nn2t2lDATMed2LdiM +FqWmSgV4rEAToJVIGf83vuZ8e0Bd5aWRq2eEJ8jWetwQIwRy/GVR+5i59RpDkJKEJMrEBH02cFS Rps8R1TPQzj99iQA+gjsJ0A55fs09c4NKZoXi0ztpXIzOvl9IVtpebW5RoNQFzA4K1rkcVoGYSM2 876n07+sgak1DgmDz+mDxbpll19b4P3cXjS5Hq37Pl7KPeMx6k9UqI/1H2xHNH34BakeWel0kBlm 7EbTdX0mnyPlCMp3obRlI2HC5fFTJXqoscG2a8A2IyMnwS7Jo9flvnnaICv1kuB21EOV5gXdmQnp 6YPOBAPc1mSfttf/oopIR5UwXpCCHgR2P9JBeIIoDd5LGpZn7/9TynvU9LW+4AZfN8YPlH32ZtMZ +ZYpWn5AUdMeXuW0qTLbxH1UkQWPy+2paSXwR9pJ4QadxUr9QFI4bmFjPHSr4+XF2HUwV/jRU6kk iEVo0Ol6v8ziYyMMSfE1TqFpm5Wdp3S9NqPoLN3nC0+rjWqBHA+r4IQu2ywBJDkbw+1XScd6/cwq IGNWYFz5E3IqcD1wk14G4h4+U4RdplVlaSrHVsuOC6FWX70cK36MMUd8ry7oPpjB2ucwpD++G/sv 1B4YelZcD1Xf1ignMkx/jT1+vt6XTqMUjYqwi6v+qGkoQ86hYxOU2ki1SYFDa8CdGIV1v4JsnQDn qYjSs6wNu3WVt4xIQSFbNltZsqJLsUlxlsVeg7++8/+Gus3mG3U6Tf/yiT7APFU8i6vbpOYOUJGQ adRtPJPq18h4DsZn5IE0Mg3BLd6rr6jAe62XRUL+Hp6XpnEzzdJGaOi+RcxMzgh0MXLE6/YxtBKx 7Vhjroby+6TCNOZYJJvzM/74jmvCqJRAxXmihJUq5MSU6ba4mwdlvKqY4sFwO9WlrA5OnBeNT0+i vJKcR0I6gWUPvgM6qzmptIuCfbQ/zrYCqFsNOaxXkMiOAgDFJzBgwAM3NVrTbRaaLZM4p5Pd00/z pwlaK8lh+VBwHbH8yrez4B2TaLTyEsNCYMWz9lEhJFmNekfAHUQh5bqj4R4erm59eLOUoHpK9/Ef WsHryHcwSbvuzKR1YlHRwOeKVeC4YwTJ/txJ19uJE9bHBR2HbheohtKcETcK0HZk5/SOxLnEUWyi pVj58umFL1GIYH9TSROEpy1lvO9XRBd1iO2kt/hqzxPnQdC99T90zIIt9RybENGPeo/1ODKBpVxO YfSTi0RJ9+/3kPsoJZSrfxBqrQLOJO3nvcRVfdSWx8GAJajHmeEMxYCliV/vRWcVTXCTVdt8okgR kQSiK7Amnhbaa0QqvK+tivL8khxcyI1WQc9zfIsqbO6k8qUF+DSnO5FWX9qpvPLjnA2qwW7f0OOu P8bubA+HkPOj4HSPAxWZZWsLXKrSZRdghZbFUjtHOi4gUni2tY8gW2zlZB1c2zdO66FTQaU5rXJR dULzlHF4xmHHrKWyEC2V351yUlovs1ELBwtZp773L6wDR+c4s5uOk5IEdHe8jdgdhzj9PftDaTon wH0O5+o95WFZCRDvY68jkizxd2obeZx/o1rGyEQDti0fdL8YEGktNrc0/AGSlt8tM+wiqXpAzqoe iS5Ic4rg569maSSV/HuotzaUZgtIHahPj+HDV4rGtrdlIAnMKBKT9aSUVTcCuo/aV+WVGu9bPqDH 42Xp06IF5aZR7/G0//OqWAyGDlKczyApY30O8akOC8JNhWGTnA5bOi6WLwgZQid0dJk9uVGKkfew EWjSSj31OE5pOUxhR66s9EyMZzW5NSKErlhsf1QYKnSDGoNpFFEkYm/VpHRIJql1oPzlopNrI4IZ KmEk7Kbrg7nvU7WQ2x9MAXY+RkBwgsSPgLdqov5WIvqIZAfLRcfTVSD5EqNsoJS2kuhaDuXyRmmo EXy/3Y5966BhmNBEWMIlQ0Fi2Y9EVHchqCYmUpL9iXH1Af2SMEV5n/zF7YFpxaU0pytNouQKidqk TQFYm7t/L33rYFo2EndQsrAyyQI3pSqax4Vm7z89eX6XlbuAO6veNjDh6AjMwTVaGgnSoxtZpLKd Q5OA03rcjquAJ0G/q5WI0JtYPkDF6Zu0JTq74Pkusqx37k91slMhPFtjdf2YtECwlLQKBn7j9SwD E+Ziv+PGGKAde4q/NFPv+9aVo4ABkPxRJCPbA3Jvu1v8Yq9hr/DS6s9+2aAxYCnZrONFHD78c1n+ garJn9RFtm70knT+xZxuzNul7Z50FX1PSrleq5s6LCo4Em9hWtr77YQnghRNj01zaXJTumFInnaO 9CdaM5RDrunX0olxLsg/zqdq1l/LgUgl4JoUe24ubwq49O5nur06eMTf+nwJL+XW3HWyW8rpF6ML ZULRshHghXLLOY06hjvEhY6ZKBttWoxGATQcoiZrcsZHC3qCBDkON05He1C8qYdgtYkrfvCbNhLj Kb4YBEX2c//Mlb/CzJ9xcqQR9/80TmiD8QF8G8wTB/i0M54hYsNufnRpJ2frVKYuxBdm+1E645a4 Yd/oh82M2KLlrMSQ/Z42RrAdSrOgxLxZ1wcxQbGrZxG31YYdn1LPy3tgkJhHci6vfWb0v3cXHzWb 47pXbmmW3+zzWew7/FBVg8hLZpasxbUCawvaZqen9FjZKbWwbS9lEwLN6VUhKAhO1dP1ibNb8IEk +121QwsZ3YJlSlCIpvg0FA5QTjnwwB4x9oy5aq3pzzYwV9nuJZQd9NLOcfDEUvhewzjBISHJna+/ pOquFnuIlymQZ/JJZ6FixnbfvcjWs4sgi9FlLU94xNxLzWWQiYySx0jrdysrWX58wfYf959elLlz dGNWIp8/EKpmM9S6VHZq/5vST+hGnYcv0x5vHJDYA7wflj/vgPVXlBkemACEW0OOVeElDZFS5xbh 12QY1ntHbhieHl0eWrR5jpnBTmkj4TITZIEqg8SW/nAM3lMKLFEYxUtRyHVBR6GhMP4iysEXIznJ jX3m68MLOb0iAtBTrYjlxtGNX4/ZuwIc6t0QoJZegS0Gk4S+EQVW2QnD47pN2ZsSepX6Hz6Yu2Ad +g6s4W1e/6E6BJYokeeN8JKRnxItq7wuyLFGi9//aV+nOgN81YuZw68Z/XiRNPxIB9XJYVzyUuJ4 YLJEsjSrEdzvm2CpvJp/bb4GpZvfvv84pRKUfSRAPAqxQe+gnzWc+2qUnGN8dU8xbz77xi8rh1vs 70HdlUrxCPn8DzKyDNYjrAkLciq4BZwSlN8GejCNHs+rvWr+Lx5rlOjriEUM+4QmAG2+iVLdW1Ls Dr6omia1uBv5qqOwBK3VjYfhDgip03VXzofwV0BUsJZktbHv/vSiNKePs6prWi//m2bX5miMHG4D AEzZpTItE2iJPsnn+5EmMSzD0WF1sUchEXpui8BmSmZo35eDLN8d/NS7FG3lSGI6aHz94CEnSf3S Xx87qQGATyrDyS1HEeRP4oMqA7XLcecU00ryANFlZQ8mmkN7wivU5XXCTsXvlyrFFma2c8FlRN4N Ya9fFQcNQQGBr5n4ZWoBVbNKYDqHWwDGqUNgoKey/rzQ8rD3XSw5LvNFQCuuyOlKV/g4Z+m7CnDX YAs86agLwrn4AD6bZpqxMf997hC7V6xBWrK8yFu7wvxuxRzOutIassTI45dgUZlOrhYM00aOrEuX r31nHUKp8nUmXEevgl/SZQZsizr121jwW1ps0N8YvrfLusKwoAyiwgt0y7Gc6VBx43Ly/7Mw3It3 TdJjFFC+OBpAcSYnQWAUUIZ9ccbT6vInsvwLDN86XWRLcCits4Btroh6McSN7t84bNeLRfNBfeV0 Z17bk2W/5PR+TdSBbNpcvvAPLL8Vsw3MZy6vHd4EIT3lgN9EukRCn41Pv7hhLLqGHGlFvGtJBGy5 bREj7YBAXzfmyV4mB2X5y+HQ83NEXAt9nGnfsq6MEkk9FHewuNEz3gCwjYchbug0O7pgIrztIQYT idqaWU8h0bRdtBOUZfFq1Vk6KZjJIdusucr0mg6udpDQdLD6iuYsIiTcjw3QkU3Kla3jFQqbxsZl wgC+/NXNCwp/+D/vDuxt/oWn/APBoO8iJylHX42ELi4l/dQ4SheqCjgy55L7UHO10dlR6tN3JdB8 ELzxaX5cSpHvQA44XgDUTlSlq51oKd2fCyV18yW+T4n96L+6oexf6ghYBhr3q9yfMghR5FzWLZGa VcxPKK1oixNqRGF6D2lcHgpuZiZhFkJopY/b6e+dWEXiOor0dWnqf+IKoUQolDXyjRTmcpiBXdVU aWgm8rjynHVuU6LcGCCR0HPQsdQ139L9AxR/O19VEpMsBXwmZ75ssvVQhekfvOvYJjErHOYKNlbC NCHIppdRIXXTnH2KNa4tpxjgnw1vnCar4pRxtBLpFeBfoPcd4tE04/0nv6eKdR6jLBkUEueBm3Ol Pn1w/zUihu8v/BUjfXEcKV96F9j9S+22l0riCqwxXZTSXoUxuzwY8T5QzD6oOwqJX4dZNAG3LyPo CzumCeuaEaaweu2H08ytKCsJ9hNIvdNZ1fBXZZRPo9F9ICpb06SEtpuAS8cI+BsgzM7W0sKq9wlo LxkKTAEtQSOiOsJLu2uE8oLTcB06lILuvgwg0X0IybxPXX4bEfne0oD/SV/jAi76hdJgLTz3OWNO C5n4UVpx5Tet93Io05gNAZZ4A4AvtDhfW6BIu/YgPZYivvY7bogPwjTjpQlI5ATfBShj4Fb7Ou1h noy5CuRHEqqcPSB5PzJ3MROEJtl7EMrQVePY8/23q1hh1E5+dK2pj/ZLq8ef6PpoJxepiOr+jCYE n+/mYq8Vy1m2zsMVZXDxytoFY/obehfECaZGGuIVpYMEslaQzUTvCL/4QyJmwdNQXIOjvHNCLRLy WAQNWqlV9jPhWgkUWN4H2B2+J+ACv9O1I83E/zrKd5ya7/DWZ3KAXNLeNcof6SL1Ho9hpg788Iwf Qc/KZdLAMwT/ezplKz7f6QAAwcNmAUFe96QqeLVHn2OM9DTXuPh0m6sVl1Hm7+Zgyz15yyLBwX/E FHPeabYaWJuleh4femzy9t0YteK+i1FBtsMa7mIMUyZN0zJII2p/RLD5IgcFgqZQ5Y77EM8cYxoW IYnRXfFL+lU7NZqoJxbzKVklPA2k4oZ+GDyBLEQ6WjQQx9a/SbP32T+AOirG6P+oaJkGgU7Oe3F3 YztgTI5sfth751F+5YHXfTLANx69dlDyUZV48idsNiBRwTEJVKkOd91VedQzRVZ4dZYBi2Iu3HCy USU98MYLxDZ0VuAE31rgLooDZUDfOXYfP45f/izMCnrMeBNWgXW0iMvoVLYHgITiwE3+rDL+16MZ thZ0fP+x+87+9/6wEc0gQ/mqaw2bAIRxGqWl/lMuLK78b2qyDLTMPreWwhUJtUX5gen8FswKtjIh sHtBY4tA1o/sK/9vSf4SUCWkeSFHFDF6DupjDN1KnpVyu9ANQEjNELCCygMiOBUQR5j1fAEG9peb l4QCD7S5q93xk4+N1uU43xml40TTuU5Kq32pbzqxCf/cQs4ZEbUGFCv9tEbOWij15cBKewIcBUCO HOMrUmX9XQRxoXNJKk7xyLXir8fML4ZCmrHJmEpjbikqmmlRCNyyt3JzztRc18VhAeMylo0MM5Vx 7OQnSKCzNdWCu1dUr7UgsD2Q8X7Uvo+gotM24jkGM4w2MpsKMMZkDYvHVmtPx+vTRQBzqZEH1EQa iA63jo9rKFm6N9nHuiqsXMZDBNvX4elHzD5+DQQ0SiqCL1qYvhUahM2cVN95veFSO7HJojoDo2mI BJkewsPU209b0NYEkORIOrODe7us2cUsDyRGO/ycuVxdfdDTRIKjnv0/JosarMHmhxfXeBmElQTE LOcCjky1lInRK3Eu1DmfUuOLcibxvExhfYklpK2Xdc6R+rSx1qgHF+k1SNmgs/Y64pVzOLPMQbD5 Q75j8sIzSVaXuYX4+RjFs1/4N3EvBKms1TegEaPsUIaj/h3po5LLWBQAuF/S3rECLxv6MMGaHKWL nGHgfkz2jNd5TeAYxH6ta8I/vUUXn2g4h9xe+kHrbho0/1FDHgnZApIZMSV/nD6C/MH0iIpYHIqV Mwa0cy1wgQ3waYUn7sIyA9kMeJPri18rhhdYR27JLAw8roRiQPugrKSHoaY4cBrc2Ll7ZsXqM1zA O1FOFnpxGoLxUF1gqSWCxXKVL3VYEWwqhKtbolNTWzhhtuCsSPIR2msK0dTj598Q+TlmoC/tXEtx LD+9RboSJA2XJSS1/5UjyDWTZ5y6Mzzx6WjDqz/4Mnn6BmNWTpqXSHXK87FF/eb3EMGT8sYxF6hN ueP34iakG3popKyLObFhGpsA7zHmDRt8GpaJ7nCY6F7j6LJ9Mvqzk6H1j7Q9b0aq43fGpW5mlnqk obbtUJtrqSL+Aybd1xVl4i1Uhz3yJt3IzLx5Nb0Mnzlx8Bui/DY0wr34tfD4QIJMe57+Ya1u1ydU mAZoDGR17IEptyI0uYq6PMCxXiBVIPyhSw8xJACAg7+vfeKZaCfJSEM7oMaR9lbjveE0bu3MxSSt N0/HvlKJ3HU3zMfwDqmiq3FZrNIFt+zcth2jIOswtzZWcPslCDNL+Gi1DrsAmLE1fqtP/stug6I9 JncwvevcBPp1lsu4mATWs7cfm/40/QSfybXimyIRBcRykANW9JuJXOQ5iCPc5fRPn92Goknr1p5H dsUqfElhKUP9nACJUWBdRY5T8h65Ekvbr66iKGYQ4RchmAf8pZ4cvWdhKqnKyvWtSU9+q/xWJabP ymYGZUZQ89OIhKNmM/AGH+peveVGAibi490CpTcFytVwp97cb02EnOe1ASWhxrUEV6XuByiCWeEZ MWKDFo3INPKQ1iLofJQKsDcybn26egfY/T05zMiX2EPxlQinySBFZL4LhIy2q28DJ3JsgHcnOnzE nNN7dwSA7ONbxkxXagZSDS9IVWdHI7i2Z498r598z2IOAn3v1JQohC1b2HXF3/jRz106ZRjdwciv yhCQV8nGzGqNUeeD5s8DGF4frqqahUUx0n1YWEvnXhQze2Lq7KFq9xfM8azFzkiAO4s21YOdtXUe t1nM33lW4finrbunxkQ/vMO1BhtLEjiCc22zN7/aK6yqwtXxweb6pYQyrRUMyisxrPmgDTE5FDGo HIsE5PVG9fYMSTjrntPIT38ceWp2kAYT6i4QVVxfOVHGFNAc4DN47MXoEz7ZU1DRY7+6iphc9x6y TDc2FedU+Yy4ZqfU/T9yaJBDmU68kiJEitEUnr5XJJy+dSuP2C51l1uZe5yLapASaMFQ7gxYJvBB ovDmyo9fx/PfqzqMzQLApEmAljdYDONBbI1Oy1pXkTltqTmJ7v1Ts+9DcX2ZLv/xreck63QbjY/+ oarvFRTXwhhc75XB9wCyipdSdObaEN3aZgdzHGnzcrAo6r2Wjoqpms2pEBFuHOudLU/oQxfi+p9Z hTJvyra/GsKMl46txHZoqnCoR/JPsQDNSr5BhB1b0+hE7shzifJ91ecs1fUYAq5uc6d+HxERVFNs lU/eM9XmLPAu/8SBxZRZF+yetkSgvW6jgnM+xO3AfrNfKH6bhHlQWsAFVb+8AmHQJ2bfY/6WPNZM fGO82x3l+/7hUM+iq2PYV06lt7SAhUKmKTLYuSMm1rRvg/29kWbmkxHuQ6AbK7F7njOdV/Z7UkAL jDwjtVcTdYJDkkIDxg3ute0SUl4T9eiZUuSk33n3T68keZy44+H8elocrVJNEOvFOwqAKvuA2CfO 4/wa8hnZoRT+VzC5r7RxbQyQqRk+EnRDnivfDA4FaE735SxM3Piq3ISJUTw6QVr5iVIHc/KgElVg PGzgkgSs+RNbmIyPT+J9I4y6YMcAjYklbsajPaaEAEsc6T7mxaUuY+39oaWZ4/odd4V9/In9JElw 1VvXebokO5jsdGrFyh2Yue8rLu04uvRoxsQNZni532tS/MLi/sVUwnsDeSOUqfiFRRjJoKGVtTxX oZH3MM+b/nYdLMzl4KNWTkRAJUYXLuXR1fLJ7bvVnXfhwgf9zjLlgo5t1Wb2rSXhRBO15tHN345W UDcdVscIUZzCGmI5+N7a4zdjKhZ1dZK7KQE/iCVJp+O9UFv4QxRXGQnjha0aPmyu8yA0H0cpjknm 1sabbgd2ynYc4Th/FUn867bzQpU3PUpaTTKXTCSAkv8V3Pkqt4+l94P1hKaTrDKYTYJ/TGWmG/8f GHs6fJ5QRYDzszxhTkoc96VI12SmEt73ys3+efQvKewwvSBHFvjzMdNTgsq2/pAYt/eFmhv3U8y0 D5inQnjVlQ4rt8JMPm1Lu4z9jqMUzTMsaYW+ZgVCQ4uorpTOW3uPDB5XmtXXvHP1Gdbl4j3z3wPD Zwap0HFMvVsXaa0M3w/juzl0512DbWdl0roQ92Gjv9uCRUKvaDDzqUzdoiWW9M2hYEkqdO2goeMr 9wfoZ52BFb0sx7Tilx8KqmvG03ZzujyJsslnYCHuXkyEDYyLQx5Ado3gt7ylktdjDsWBv3Z7Mbbi j7pJjmQc+nm4CVvpGPegDGn1w4anauSeUKBRbytUi0OPYwgCE9uGAASBiQEBkaK2a4DWBu32Ha0S MS+2C65J8GXGyw0gVY0Tu+YYURB9tYbH+FRXXfayoqJau3jwUx8lpp3kTu7nxvjCZV+wUEVFNMBy fieIkmGFSOBHFmlq6rC4fMwkS9lMC65ZYUdLUBUgjKHSbleVmYu+kszQdSgnnOXjZLkcWj0no2Ru 2XXzYlGxfffOc9r6CmNH3q/WV7aWwYoo8d4Wvzs55XYRVvup3wnJlXCrdBv4Fd7u023EN/D2PQWK 9upEdfcXgka6pukkZjmYA8WOUg8MZZhDfMULt824UMx8QAobBhfWZdV8hJuH0+oDpNfvgmmiyrp9 lONuA7939bVQkZNtJk6SvFI/hb/izYHiMAXBDeTO1A8o3436qw/YOMYPOznOUwaIssj8gBCUO0Eu 8W3ra4EQZxcdc0ez3evxbI/4TI9IRnMMgaq3xkIbkEqYpP8/Ee9V3G6V32qe/R8JxSG8H0dN+gLt cOIU5/XNaV4FpOTKm5fLRkYB5RbmjWV1k2EILG3T+kkIka6+g0C6FXXmzjnGvQGMcXZ+EavCcDxa IHQYiWeTjd1nXLIGhos/5c1jFyyQR8+ESkVh2wdFnZXSIqJvopax2E/12z5+J2399+wihQkF500v iqnDTMJPkOhj/MKkZljjLUTEaS6NGWQCC/JnNEDPrG+W3nWumv1csnPEzi4wrQ046HUmq6giQ0ME U/mB3DQLwfT6ZP4TSTshHms1FHy2LC4/iVzoDqw45GWA6F19d3d8x3H7aAY4tB9m9TADiIx/lZrx yOYjLwIWxivqKvyKNCseaRqFew0AEXkI4pc62jyVWRhnXuWRk9FjHsveSWPdyZSrV0N3rUX7P8AP k9C4IWsomug1+aWQ968UOj3DWLE7XzSWxCMiul9+MFd1kzf07nKWa0ezIlunr1Nh8JF7BB71TQZr 2ukOKB4PLztORFdzKfFmPSqbJ0jDN9ZarEMWHXFlP0L4/ZcqZdGSySaZ1AyZ8JbhdPBZJMk+ZJXY TcT9tY+fHl+p36u9i2+z0CcZWgjdDli+z3Ohi9szT1iTp+vmM6d1pktu0H6QKgpImTd4MTjpHamq XR8npCOys3qsADxURcteFAsqw677DjCyZRBl6CJlhzwAp6xUbT6YDer/Q8748ZgYSfitR+pWqIgC FznnuwBqqXlaDy40hDBP61dege7jYGfHVZx/ZqRres3+Y4FIy4c4qOWjg7RRXu5ggV830i7sOtYv w5bZzgxFWVHRv0UP5HFRXj7OwfyUf4JaPBKrPEg0Yk55ZN3znycnrb7/cyv8yr02a+ugKxgs2SEU isTJVXGhgMEjeDkPy+bkIEH3DgHm5bc/zmvWBhCkgFDYDhRbupxMsvertYiD/yGaLDgPvMosJUYW zqxdvziPzD3rOUynBY1XhbLTk7nsk47f95dgjGJZv9k8Be0ZL5EodwgHbBzMflk6YNF8kFLbcLOs qU8OpV2TSrSTp1q2QTf5h5fhfKXjHLygtTYu/n13CvJaRVaPEBu+9fH6m77n/JJ12ZISsiwrgyZ0 tfyuOVCnehxVkz/cU4mBmBr7t8vuHBQH1cSez225C7UhqUgdkNbzwHW7T604ubrlwUBakEPSpxE4 u1/g6ujYauAKug+kDP33Jpm45W0jnH6qEohKulk1o2QUA7BW+nNgcXa3cjJmSZJvgvJGD3Uc2xHU oaF+2bOsmmslUijYe+SUAvVFyTaK+cBV7Uu7bNxH0mDt1y5HBEutcs3+gaa7PHwxWGbwguUW2XjF l2vIUfVCYPLkx3+tsz/2lRfAoK//XkfyvGDczMt8YZ6D8+w47ETwRZtJbEvkPn2vf9kK9sBixaOK hfkG7k1onDCGbfE+TDcNGu0c8jtCah3zC8aGPN2Al59Ed9hmkVsAZBfuTPOugpJv5DI/P7bm1neH NiI3P36AXKh99IyWOf12e3+bwLhgWAL9ZrbpMOszaKZJyemv7iCfOIo8lqkgrXX/UJ60Zw3k+nzi Je+Xhf27WbN+yhevfe+bHhjviV5MGtCuAK2TPUTNvBwieC+ByielMxjP2UTueD3DYJ7TWpw3/DHw eVk/rdNCcS8ZODEaf+Zm3YzcvR0kemcpwyhp6hyBhmd4PWEjbDpb0tbcHVJGEL/wZAHnZLWP4+yf ZaGg8laW0injbr/uoU+Nr+6VW/GVQQYieIVvrvnA3PB0DmoGfQQOCUq81f68NWRtAOSkP7fB7RFn mljrfSQJeqf8N3wTNjJXTFnz8I9fYJD4CCb1SYnD1n9U4Knw5GUp0hwSV7NJIL5ReV6YjYttsvei xovrzewSCg2AgrQBXBtyDkhNg1nss86OSsayMjSP1n7/cF/6jJ+Y5afSSZeCyva0uWkXycAm5UlO JDNMsU7L1SXZ48A6LXmmAszZZoYkGVwze09E15TPOPhaMptiosp8MHGfpuXiyHY/6PNixvChpc9Q 8ftrM4oNySsJXxiscR//wPunBSM60nNhN+fHWAfyLtZGsDyWb6IXCd9qo7NDgOwJvvheQYyGoPiK sXk/MaxGO8CY+eGW4sZreyRh4F8h9fNOXETHpYfG4zuRqQpqESpIwFzkug9tkOJhLITSqu4SavD7 cKjOY0Fbx+EfB3Z76vVI1fPRZLVir+8ayZN2YzAfR0mN2bVgEgNnEtPtXEPvPzO8Rt2bX5zYifGd peOQd8uyy9/phAMpocfEuBRqGZq9+Rx/2qHUsdbAKgZHeL5IerQOuegomATbUZaOcbpEv/W+p5os t3K6PW/WG/Up7cHySKOY8EaTdiQnOw3pWxBXV8xTz1OWPQ3LIRxbBv0eK5gzxGcu4qMl/uEzhWsJ XNRvdWhG1GBQOgz0NlTsvTMpWjik7tRSNicXijfZ/LmHVzU7uGt6Ott1eDZXs90dhqma2wPC/XMh C/eOoM5bAmvFEh+lfgXZvmyGeuLNnV6fKPWxrMZ0ixJX0y1GYjBW6axOef15v/ndt91Cd0C4bTS+ 6lcKKzfLtBlu3bM0oPhTQQYQH+VF3N08m/k6yjkXgIVuY4OPWr9ZjSNCRoI30gPqoilzo1dORag3 TUPd1nYBhQG0/zrQqWd8vdd4UYktgM5Xrr8a+QsNLHfRuczc9VxU4Hw2rfkB5MqxOKpJ/uj048YY tBqSZ6F1YM22xO/Qzm8UCTmIxr4gnr1emj9/kVbrkbaej3RIco4Vs83FKuIkwdprwUNnaObyCAvV Dvz38CxvZeQhlQE1RQbRqjHx7TZl8z34v04ZLd2Yo1phAmMC6M1dhtC93Kx02JujddGQzDSXUrLM 2L4Bnd4//sNZVCv5B9mv71GKiKjurOnquSPDNqo050yN1LwMWgmnrKqpKRyiGu63EejxhDFhetde rp3pu0Tfaql9vy+tnZvhUY56hVnWQY4znfq5KeqEkr27q7E7YBlKDNX37lDtAiBPsUDDJLARLfun pREqRzE4KcbOdiFgjv3ReWO+XS9BzM2t89CrEF2dtB+Eq4BVAHnHyoyhXjTC45al1LbvaGXCxJy7 2IifCRDL97nSJHQSUFr3qqS6F0zTuTH0ysuGoZOUweFWA9jqui2018eu/ye+teJhWu0E9BAMCt9D lJ8iPdK6yFk+76IYLnhUgDGdKHbG/2DZkpuqQvyllX/b4mJTf5EtMP8QS4jBS4I95+zCwCa2QIA3 //yBHrjlB8S25mbUeD1XI99t3JtPWHyCZM4riOQfV9Q9m0L2Ql9wBH2LcvAjgE54J/maZZIiaDsx rkNbUlpefFQzQcAaO4LoMARe8D1GuOBFo7iGt0+Pay/0xz7SkyLWuDgOIil80WSUrWfRmScvOGmc ZmcWODU/3fT+h+zKoX6ASfVzrcG7/9ueADBZ2++R3E1g8n5eTtqP1NKfOlFSkxeBuo0799wrXdCj 4gwpazKvR16RexBd7L0bBR0JpeQO5sfMYS8GOdN2dCgqv/V2RTy7VCy0kcny3ZT7eRBgGpdfW/GJ a0o06yDNwgYv/KQSGs3NObAYrePzjL/gOGHccnamOzBpx1bxguDg7iDXB5LoCQkHDgodsrTQkSbn TrpgY+jmhTIAl26K+KOeFkvNa6otROHio2z0Uk6sHElrRdAnrpuDmzz0f7dO4ekEVM4TqoARkXbQ /eG/Bvyb58A6XZcVjXTmVrlR4yeD79APLHKkxQ/bdLzd8iRCF00aIdPQxTM/Ql8J62n8Ms7Zyqt1 2cO3ZfD3LW1EpeSHDm43Tva4g84Dy2l/fZ3E8YvkjNrr6r9pfhRDYohWiqfBaefGCRtwmU0ixnyx cBl1Oq2y1btQJfhYH/DoschePg3E7z5P3fsdRLOSjAzJOpdkvJZLZkUwzAX0O6I3M66DoEBqOVRI 096/DnA5s8QsUm8jyrhrP7717DJRVxeV07sxWwwLZM9CKPRYtdGjKEWstVywszbAK2hbZbduHYxY 9jATX5kfxJVKc4GlfbW0KOtVkKDRTM14xTxeYoG73twtSEIzrwVM6d/NL03GpmJjz/nC8cubVZQ2 Yrvyrqm/fXAzrjSS9WZrfppkjZvWYdO4AptJwJ5ZNmUXlDE99Uf6LwJzgSPvu/18gXztoMzbwD/v uFC/cEbZZu7RwamCkFoJh5NqgVMfIJv1LF/W+xUaSSnXrqXusif5wncmQkoY69T7P80AgvvycJLH a/ou+S0C35+u2iqvpDGtKuGfDDZKyOtIgskcmk+6EATGe60EkzyoWQAsCrnOBaWZoLTP6ibkv37d 5YPQoZexFxAzbk1T6pz09Z4CelaT070VvLWp4ioFnPA1F7RlT6Avl5SHB1Oza2gmtRCyvGUq5YWx DYuYs4xZfJQ3lgPTl+ACWjmcEX/2M72M9RWvA4T6EjgHHYRIKJ2hXesnrXAlV1B5FlihaUiVZXiM NzGGiqSmZyw6P3j1+QwzvgPJvRLQ9bxrFafbM8zIa0upKEVCVNelHl52xVsmGZTK0aqduWVxmUXr s5gQ0P8kvblEnBAfq7dcUVFlNXc2SunSU/02nIROSofh+I/biFGoSMgZEoAr8GFJlxy5pZewXK2F 8q50ea8q6FTc3Yqh2xZRm7wduXT6U8hZOKDHVCvZixztdkGIGgl3JyejKtMF6l5OqCMq06c+/mU9 HtAVg9bwumHmW55TVMyd6SNo4/DKJTjyEPkBIL+OIKTOdKZY/e7aieI22K7RkBBjHVxiNvs/IwiW LeXIHy8Vh71OTPiWHu51Cb5ycv2XYDxJRipcY8eeOduvYaKipeGIb3OlagrK+Y9Q2qka64ScCFQ5 EdWRqUtn+3aRYJYiKBcMqvXBiawh1L9WxrigdPf1jux84S9vS3Gz14K2Aizwg1JRisWtrmzX90YI 7EC4A6KmzHKG71M7BX0x6NxLyu/2rL1EnLGkR3FUPOj6oTjp1C4nO6TpXZpHtxKWmcNLeTMpPg6k c4YU/PTweTYJmifsA5/kiylJl+Da7PV6SBbNZZlDOylKcq5gWIuJTW3QbD3qZkGB9047f2xyIwJ8 d4hl8Bu9eOOXK2TBnjSs6vanPji8WtW4c4FODqh+lnA9eKBf6+yh73B7IOz8QYI4Ut8Q9wyG/ldZ tIO2o/j6xWezjQRsgY9yYOKz18p3VIpW8eB7x4tbSiQG2uXU9CBJdR7Gvv52nXF1m4Zlq4xIZ+Va guVg4Jafjsbu+zL69PRiFkz6P6cZKn+WItNnu1WvebqMYON7zpeNIawV1Srcz1MBF6KQOnXZJDl7 p+gyQGirqi3YsXT//L0VhMEsAm34cv/7Vw5Xb7be5vqDdCsD4mt+HMo3irehga07awjv4nqgOL4/ u9PYgeAFkaVf/WR8G1NHmzg4xXO7SoZL7/YhUaM6KFF/s5HOVGKx+BhPO3T4rzNoMmY5mYl1lShY bSnFlvMA/hPA+Op0EpBz4sE6b/Y7W1OwVXdYJ/LXxAIu5/ZBnPUawlgGVGIPO6cWpKwUdR3KNQfu 6rHALn8Qa2PZMrGoYqD8YZ12t2Fg8GTpity6gWOY9VMYhNV8Lrk8t7l+INXJGC6UacZYQLo/jsgi 7BXrAEOP/+sy+3qCG7NzJvL62E/bXKmVPbmNGwWHIRZoqp5cjRPQrK/uWtd1EnrbNml+DbJeJQxM VHx/Lq3CUnI35ofprldRQunRgK27vrzxkyCnOXvSiA5oFUVHC9nGVcSzzlLF0OrG8wT+eqvMdDpW v3Lt1cMGstB8akx3vqVBGjY+TDAXeWRhhiffVOI/KkCKl/tZiCCXhV7QmQQvvqRrd/za3/WmZDES 1s149ezGoA/sULdiahaOfhNmzY5/xla3jlBXM1w5QLaWBQqYdUelNsUhWY/8SmKzOWAa3N4fo27f rofBqjWYaBhxETNGl4/BkbMzraBfBJqJ+qXI2GExwSu0S3aQAZSSJq2PdRJDrXu5gff/3+ObS4BU skkk6IbtV4dFsnlDIQ4SCUv+Fdf75c0RB4GpHMt2z02ueChX6nZ6joJbFaAlFJNhpKDFJgqEcbu+ yncI0Tca4r3L7QhoI1+5pjkY0yxfuhaqeBqNB439rLn2TKLs6LkvwkLx3boLA2eMfqN632KlCC29 AqjoDg2c4iayoZilgDvfCfMKkpc5dPF7eKVHdkFTXxuNxP38FSvywO7LqHcfbmqSu/LLal8XjLdn I+AyErIsLxvGUb4Buq0GIuauogLU5qKlbE5kyG/pw6hcPnulzMDnTxBsR0xk1cORsXS2p3NAylgd C16GFUiIEONs2R2Cfuo+NQPUL0UovkKru9bVapWL4lU/ukXqkLYeZE0/doGNKpD+IKN1iEWKkU6+ +eseaQ3rEENtGzCjjmwFt4KNGrUYNRNyOV7o20nYlA8h4EHpGCsoXsu/RuDB45vDA3yHxXfLBAKh qMbq4vLdCUjPBrw8rOCCcbK0sLojDTDZ+8GCOXasG3ZbjdlHve+G287fyLZoYjbvJnT1IY/Lsevd M1lpqQvZ3UeRQMC/ys8fMrxjptzZ4TKfseIp53h/WcUvdT91Vp0wb4+MYa6ssBtv2Ra6BhiAK2iz UhcGLo1AlB8Z0DxyQPwY6YRQJdB3+lFFo7wxuBrd9sANPOjzMGJu79LYYiTUOkK7aDi15rQyuh/q oJUdv4EtRYgiHCajdy3i++HXoatsCVodDEaJIj98Rs6kk9OAr/3SaUYWPNqFuLsk7D6YZ3m7OeNg +4/CeDDkGMjfnMsbdXhncJ+4uKYjiXKdeXhI3u6tDM4isv2W6G17QVEbZtV9wGn2b10BpOZbhyxg Cf2rN7CwQ+CvjH9h5wfKIRKBDMVboprQzoCJhMHzbXKzA2mynrEt33+4lygXb/nw8KG0RxdTV4Gh s0TeCDq96Ks5iWR+NU1Cjj96NAVoSdxpxaPXyoQX/mo28fhPoRQOB3anOaN/HccfJL1DnFoZ2pzQ MNg3lFw6RAhldIOj4ZIglQhJEQgSai1S+N7baJffSZjA02SbCdCHUJiQRYwuVaWDCXIbEpCfqU/R ZmIBywN8kx8TPc2R05tSXXJlcM4Rymzs2XfX2/asuk5rybzE2AsjUGlFu/+mWaBARiC0U+yioM3I m2ONh4f1KxiW7PwW0iWYPdwdAkxyVx1SvUFPB8en49NtMA5xo5CL3/klTQNJfESWnXRe4lE99Ijx mht6phglJnzL8F+RmIDSayMkYYMgvRngG7nWe2YX3f0DVVagALxcE4H9lKGpVj32YclShtNIkZ0u HfhPthRpQ20rJ3moCH7xH8VJ6j4k6jJy95LSfEfeKQrPAo/OIHfPhVK8stH644jIlIZN7+iUHYpW U+iGbPQUXqfgAhYfZTgon9W/IB1YPuO1SXIysSro/U6k+tcksWUDIRDAHjS5/qVts5n1cW+83R/2 ehKxeTihJyAAZOtC62XW6hmrPnSVPFgcTp4B2L+98BSErF/JNe7BB9rK0VRIKXCcCEwzo2+sELJx UmLJdv52oMmQwBRTmusM4xyqokOjilyaGms+NpcmQwiqXvzPkrkpdxaeqhB6xbKyiUCAgxsTICzo jClY0qPM4TCeHgc3HF4kW41CQA4ir67+2JVKEQ+NSLXMC/h52D3HmrDek6ZQlVrQpr5hIC8evff7 Y67/iLoxdQqlmQWzJGskixFRbcKfS6z6zzcrkGp1EPvrIfTg8N1cJACBbYorN7x7CihNKbkHwLgx yAunVxA36Hqn1DyhqlkOXdYX1q8MSzhacnZ3Hd6FryX/mjTJM8HSqRmTdq34kFZ42iC60ZDcJs42 Z+PHfnFsF/sqtCS2ojbnGdB1JoPKQ7zGFLqpnhlEeCZW1Ky9Iv0FDfO/zpwts++F9AZs/e8wd+qG Ob6VUXwphF5tZUiMs7NGKsdPvtqvSvKDpC5lch70m+Oq0wWH6tSshI8y4wwrtiviCBAibWdWuGjY rK8qlazrmGzX+P4NvrnYN79M6+2/YUUGpVdoT7GMkMDLREWhDJTdn9EAa3iqp9mpFdPQlGbyIdSv oWCmO21VcqJ92R5Ivfeha3NYyF9RX2cCQjbUjba85cT/iwucNpPIWhTqVYzDp3GfUzTSgSBLrQsX /i7gDY3LIl1UsihIbjHl38VKDTJIP02EM/+i1nb3iidFxOCSGWOPEETNKQm77sDFu6jwydsoQmp1 1xNYjCa1vJsYsgGdhl3ihh3vogENSqqUYPG5PwjgOliYNJJan8YLw80LKSj1jZwY3KJj1KAsQPPz ZErM8gHSpEd3xIDhAilR9aM3JaLPy2n4aahANGJ9A6kFMKFYUx1xBemkEi4+4Hq8DSk9IOfNAc// taoF/vXA0TPELGco26igCKOlu9TmLmk6TGcSPrl54COSsAgKCsbBlCkUh4jb+xUUkEvp3dL3RlrJ MkjU+qh4YoDBPdwCZS24gU0xDBlrIFiMscSWVxsvyGgvMUbOUV4PY47wufffnRDCcjZZVIsziOmU FN/UGlzmM59tKKn34xiTCeDiNa87h0aosyL5I4EI5X40ZG4fE+bGUQoxIF85q6hGNyIJOynC4hIu Rqvx5TaKdRRN6iFdcG2qz5hEOA7BF1nZaCPoTJ9f6aQQuOAO2Zc02qItxnakH0GNFMh0S/Alx0q/ eVFpMQeqWjnkSlrXFizp6FOt1vsmrvq4O4o8Ed/KXt+JMnsizKfAwPpWEB0n92J+kvCiYs5kWQGM fQuZyklFihFxxOqvvfZWzeb+MB6fBH/NxlWQ/X3MJGpkML5hfgdEYQNl6ow52SWJBG3ORnnxg0fO 44jXGyGbSh09/6Mdtxr2fbjsgRjgWGBfhIkxLJeDhnUWg894BT5DYuvQ8cMdDIBTbI4Bi/ER0UUj msfos+Ynjm5uKBtiMq3/98BC67XuGpcAOVLq6cRZeo8jO+EA/a6JBJlafqQZaiH7Sw2tyn3rFgoN VGtm2rJPr7mCsPTQXBdcSfYEbYxDywRcCZg+NKhlGGo6LXhFVqyhSSclGfXVWLBNwwWTxeG67HdJ EzA0r4Eq/mk6QiWKV9g8vd+n5ys5VTQfklXy4kUa9G/Q6T2MqNYTbvTBfFJwar/HF8i5KheVgNRL ShEO+LZAuL1WEsVZsfsHDFxrgeRWHnEtQhTyAFQPy+4sBFKdn5xdEaulfWws9vlrHJ5QSEGcV8E+ F5cHbWT8mMdpPSA8Vxn2nEc0CrkcsWTveyNCTutfZQtMs6M2Tr9UprVFSHIQT3m+Hrnmp4s1WxTd V+VwKUE8Zt0vdWzpna0DjdUQoCWcLLGlugiKGKahI0Ml6GsKeShh5tB+Bm/2J7fj85vIwAbRPUGT t6EWe4OOECHt1+Plljz4+hfrCdlJG8/H4DWVZ4uYCxpZxOJ42J8EsYNrqaiCvO1/wXjSFxjsUj/A x33XB/0t7AJ9q0KHaiwSZopnd3YvCTN+gYc6d1q6fsnRooRTl++5LwqRYidvAeimRETeg8hWB9M2 la+7EXFZ/PSbJidmAalSujZCq6R2Edztt/GJY0ao36Ngj9DjhpXNA8Yt4oo9mOzefbU1H/HxDOJQ EFppZCH7uPIJg1nB5fkF26gceqmI6JanjD6E6VzlUB8aCVKl1AvobxOdHGus8NFNXT6nmHIqkPEp hUoGmz64U6WYPOwqgL8wZiUxI8U8OPD/mytsU/cAXVFo21J5PJ35QnB3wsucwaxnRkPKU79vRcKL 6mQ3+uPwRAc/Fld3lT/z8Sj0hdxIMAWYehEnnWuCSATn9AWuryArAwsth96L+6w7fSzPrbVSGxi7 1kk/wE1ejiKBY9IST3FXEFLoCnbvsP4AMu10y7G+LWYAotzmWGEcJ2tMA/H8MUyLNTWahpVH6fdw rVdv3+wBvD5B8SbQpMdZmRUtuOc6i0oi9lL+udopYlkgYD/ADSR0oBkjpAaWMAU/nsDla2izROch 5bqRefGFfQQAHiDCMZYHJvvTNUayMhikmRXaRfkkmIRiuVBGQov9UgfX+OrTWHdqFJmWqhCf5sKe Q9QSxuoPE3Yfhhk3WaVbu5Y4Wskr6flhT0HskiBSm6geLop9Fg/42G0igGfPZCRJsM0sliYe23zw 4blfal9gv4Wql2TPIa5/QuVkSwSq8KZn9X1rEZ/PqnTKDJ75yiLnNT8uZ8/ZkeI5iIUvH4OjyIue aRundKiSc/p2nnR739o95jvJni83P0yDfvyAqozYdBwjRI69U4/vnq70KFAMTQf0dbBS8Xxwqeq5 TjbR+PiggBiWDcVQ/U3gEginCpW5fB0wvHmib40LTYc3UnW/x5Xz2K/uw3fAAtu5pxHvlgzCQU7W re9A+xTxJ1i50dcOHy3aDMuwWrpkq2V/aE2k6Edqk8RCOu6Kaix0nkTj0xqHtzcvl8DUyxKEoVFD gtGHCzv5eXMIsk/rvXovwf3v7CmiLIX/HBYIhwBvzOIIDTccGVqI1rMiOqb87pdlSQvulH+2H4hs nQHakq2qB0JUnn761fVYWHXBiX/uiFJy/ZPoYPDgZyhIGX/W4lOSFrio2+hVcykfAUTqbeFVWhkv IFUycVxkSmwfrkyO1UPsNWIcot9D5EAEm14d+NHt+DvMqSB735RLAf76cyUDC/7u/zKtWd3EzSg4 6gfLC8MiW3YZFV7niRFn3KjNg9bXjilArq8sngXNkbBRMXd/FknXnd40L6YySSs1mC/uWOrg27VU PohftAxUHUoesl0nUX64rcJBPttgNIxbiNsnQMlCunnblzkaAuDBXPE6K8hQDfi4JalhQlIK7llY eDcCaAikOBhSkmA+jdn4WTzEOWT17YOUl+zxwW7Up1coFWWcdG20+W+GPPYEJ4a//tpeP1eveww2 psqCOgdT/dceEW3rYj0NrYyXaagSToJyp/50xHXvo573rW4Zq0oeh9CEr8YAN73dydcL+/1YaYal oc10lc6JJPNRfjDdIq8He2ShFPgPxi+ion6Q6Fv+KCx6yHwLSF54HQd+PYj5gA+dofvLpiyuuLUt /89/OWPGG5k/3NSUKneatat/s7+wCkzSONw1p/gmSQW500csScY08230M/+tZYYpZIbX4S17zQND C4dFFOvEC3JdmHfA5nHdOp2HrM5SkjdXksP8tFNMSZ4G6MnfxVgJPBCbBMSxSEXs6BMU30M8DeXZ dJAI95Fg3vN+os07xSeWUsH7MJgIZp6EsKg8j54xzbzLWKFGKoK3b/nYAmRS9DRgaQWyzwg/8F4u fZPb+63NQ5plRHFM9ncrPiyPxDZsXStdGEsTK75JwcO6hngIdn9ggWpK536mcLWux3qCPK7YCK/n 1+X2UxKAgRGygWkbbXZfaDkgIBJiPc+ScY1x0T21/yqYovbsWdfY9YgbCCmrC/2FtFXhh73RqNsM /51X6wgmSg9+OW5okxOrrwwv3pEb9ipO5DO4O2UJJwB7ol+NNtBZJzTQ7+tpykq7v54fuSpEHKfp Rr691dkGPFEoDAjXkrMCPCAA+sVPP0Rr970F2g4IQXuSRrw1vvrAgdZ97eajpd98CQi1LsbEAEu0 Rv0WVGxN9Ldz+qCxrKOZo8p6nFzAhYL/gLU1xG0XjQ+ZItJiUXvGWN2dd9UMQZ7dFzeF+FQDdZka hzy3g92t4+ohXrwGxaGtur5YoqqHcEM/uMeQoekInZs/yXjbkeqAmet8yZKDeiiHfvAjCcuTvKRW 4T4P1JzxuRDpQ2MS760R/5M4D+wjf55/E13lbK1v8zuGAkNmyslWtzccZmC2lqhE/7+R2JPmrmV5 3vl6Q0YlH9tBuYWz4nfwDVq8nts+RT2nDYJws+GO5dh7nhNKlxWGg4KqZVYU1bQGe9jDbhdGWrSQ oD6YUVGN+8Wij65ej8/n3abaWHjFYL4zFMQBqus11waWftJEpOBgZFvX1GZ4FyODcgMFX8W3YtwG XBYrvVbSW+lQXQNXyQvsoG506PYTofxjaPhZGQPrz9uJXgW23SriffTmlK3csUjql2bUi39dtMgF GNvruUisG9InkFsEUHZnQNELE9JXF2Wgi4opwZDBw7tJhKMQDglotZ+ti6V14uY8EqDftLjpt3uZ 08jQV8qLe6ItdvW/qbVf6It6BS92n600Z+dw1YoG3eFC7VUbqlDDFUGSSKdvXJHhczPNmuUQY8bE VszpmPfcCGU5eigdeDeDGv5OqlX9yQSeb1Q07K0NRtDF8AOkDf80aHnNsKddK7/3zXMAMtICRhXd TSX7a6NY23jgZqnHxyoqdQqqLvoeZYzlgrv6e3XmZUCVfOy5JjW4pJQMlY/5RXg7tkwt3pihKLH3 iLVNTNLtJ/vhzKLbUp+M18QxhF9mrOeXzaMQWN4c3cTEl/vrxjxxzQgxKOyKvVzfnOG9lTqHoKam FD/FhaAslqkHI3Agui5b25WYozToEKukyrKKK+1WsY+HoMRRi9dtCiVOKpff7FnwjDq+oy//Iomn TJIU5uKgCVk3L5q28lDgIUiu6nz92bWhge0JGoHEsXjI2tj81KRylUD+Pf153CJYm06NO8LTbJOj /io7tlDOCTg1ricZrE+tbE0UhB8KZCUUD7pshZCxd4ufkrkAIYkmMJpnt3dZmAXAe9Kfz6n0r0fI zxbRRruRkcTMvTXLgmtRAhMYt/sy8Kw+mxWxbXtl7rRoKd0JxlByhEKegNyBCJ0jb0unadMEkTF8 437Kv5qVRpaVvUDeBMM8u+bc5xaIlqEKh1L0T3XEttAGv8hm07EjUm2YoRr7Jb1oNHlT1DGGi/KF epeWLt1v4Q9d81kk9SU8R51y+gjE0jXaKcv4y09wC5WGyyOaJsMNHOkE8IBTulz035ZZWbGcDTK/ ZyP/SCBJnUPKTYQBNGsnJPu+ozgOOanRyTFmzC7gMPuaVeK4Lvy2BWEwbKpjDX1CEdh/SsZZW+D5 1JzMO9M+ZMZ1fGg4DESueWtVfnHUOvAz1qyB48qSn1+soZ2Dr5G4RHpnKFE+kFAIMTBFgt2DEqWs I3PfDbSKAAk75hZdBqvwPRWATUE1gu/hWafecUlcXSclNk1w1O/n0dZsCMBTADppTga/BBXsm1rs cq84ezWvQRSo/wqTrzrSN9K6ZTiuBZJF9mWAU2SaoXA0G1Z8QIr/wrM1etzzsd3XGIMeCj+SlfMm beTuFWRTe8+NN5iVom/Htwn0ks7YL1mndR5cUi62xJAlDF1w129msVPMFr/kNLzziVRGZFTBpWCh DAWf1IHMiMR1QJW+GisMJe+dLMXjTydra/PeF5o/609Jf12xvzUMQe9HT0+g2DG9kvepMNwuJead 7zecfM69OaOYWlcT9m6AouKxmNVHWILOAIcPA4W5PXmJDKtjCurpch8u2bAt7j08BXYklHK/VveG xluZ2Uq23xkX5LDd2p04aBg6DHDJmF3PYjVpEqmOr46zTGkb0VJzx+gfXcu0t4gTwtAdFQylnKZ2 art7NMHM9scGtt2r2ENrkgBbM3pcC0Utx2ngFtQUuTnca1T1yGcMu8rn4PAkAUTvIU9QuYhYaNmi 0fdGO0IwjC5zDHuA87sAGYIOeReOtVTCynzLo61kHMY3zbZBpphQNU/gS1KpUBJVpRxeW2r5wOlL lTmUQqVpy8K7dLTo5v+ksRqRrr4qEEavfbqBtp/XwpqFg98MEjTdfSQMw6RDk17Up5NGGECT1tF7 RzQxNDVAWzAvLM8AxA08gLK6IDHBOPTHq2trJg/7/o3W1GHsai/BjjW+fob3MvffWgct5BawUZH5 X1TOwXFO8/wonIx5FxlC9eckmNPlyK2QzU/30hFeC7+uIwJqy8kb7Q/eLifiDX52PINXSJ/AV6Sf mrQaGXBk6FrebxcfD6DLcNRO/LR1dI2wIOgxlvWb121esEfhHt6htD27l732N+q34jkTR8PJajsi AwkzHTwujJUWDvG7JVJZdvyoYugM0yUPoZEmhovUWebrEu57g+jw2t71+G3Kt8KQY5zQLT9HH1rY xQA4oEmClaKza56+/JDgn8xwxLeEN/W9UedEgxs8JArAX58QyaualPjpyPeI+4K+eeJGealgWIGh 1C1YdtCmNB8CENCr4X+xaoJPOy5BxtWUDfaEpB1IvCefPyXg0daLAKintOtVhAMolUH5Yx6I2AYe p7PNTPltEN/JIEPhse368wB0N6j1hQpqSuBAGMnZQG02SzPu0QCfyqA+e/nUtObUhwNWnEEmKT9T OP2e2IeorDj8fDFwn0w65WW7Di0b5I0Sb1OJjZPd8V/JtNhkojGRMTu2301J4W84RDXEtvw7qRLK brVVfzDLx3tRRXhr5nY8BPlss2Gwkl3PWUTNIO8awKVTGVxUHMZY85qDdvpVM8OlumrAoDeJLKwl zyHFzjAcYccl/gYLI7C+2nkCNiKqOPyOROrCyQ0HqYwnTMXAxEGCVkbduhKWPfFV73QmuFiTxtEi SjofaFuwOK/tHLUiemOz4mom4ihZvqytkgXjKvnUZFae1TTbTOPCJkjCETP0VcRKEfpwahgyDjMT 8XU8VI5y5TA+OsiTbJWGLaGS2bEjNXdB3chrEgwdGLQwHnsGffCACjWFXbsWB6H04h7+U8RxD64b Z9IyvbB6e10sXq4J8BDBToeuJsBE7COtZmNUB29Zasl9XMQOkd7qcJpmlWGq0RBwZDfxzoggSVXb WEpETYI0TdAd28yt9Nlilnu3sYOch4o0uGVWMc1OLUENO5DosKs6I6Bk/+DZ3yyE26ZGkFyBm266 NcNzMFrX+j38QP9uT+nc0wEN019WT7/Z/5dLL+08VfldgRWahiESF+O7CLYvMzsIVpYLfvVBFb37 /J5wGT2KlhWF98UyebgGS9acyavxHZMJX9NkLq2bz66NThbJ/wHCGXo63s3FrJjoZ6x85aHkJ2f5 u/wQDWjl8W8rGdPutq6gZlzAvrMLt3T4iZ/EgF2JOG1MZKQ7wMbcZFsL+P6puE9V+736C+Qi4CQK EmjeOKYNiYnjFOE0qH2unNozco2qmR9HsJ1iBJbaXXPSZhwqckB19MPMBCYWzitK0TEkR+qCFM3b 2dJDromkELr5SI8JCkOTZvpdKAo0X0h5dglCjdPc4imRcFIzBh2d+y9gmUPLcKBc5XJeQxu+cXM4 olseddrEpugL4qINEFN2QJdBu297dYntSDCM2njr4R7yW5enUOGQBUfaY6vbUsKFhaAEfl4Tb3yQ J7ihO89kBTHCkHdc94Z+G9ohlSiVBbA8IHxI5zt82wVOf60VRBeA9JLnkU430Yj31Y7tsYDXE3+H urT8Gvjcf03mxMOG3cEs4gkvvI/plMNbXsCPt1cEw+dw6yMPn/eTQwGSP0fm8hD+7po5IqrMuZWh JgpKcmlP0Wd3aqKyu1uuH1y0TjTaEUHTCVHsMc5HgOY+fhGluXKDK8xKwzWo/qDoPXb+zZ+AvPIn fa/I7+yPNycYggge08Bgr1fgOYv6et3IUZDOehRbVvopz8iJ+ehNVmPxy5Im3Q1Mvh6k3hoohDbO vnYqoOdXNJ3pTGAGtdtMFemFDirzbkwepYC5WmGiOVpzocR6h39DBjt+Zhx4TaRFJ0femmc0LYtA 1Y+ghC6lK6Ztt+Wh2dLVvamhFXkdRvYaQSzuXQPop53gqxezBkxApLKlqdRP7GexJIDGKQ70BKZf 0Hbf1UDe8EzPhMiPOExSyXc1Fjydpiirnm5JUogrzHkzKSLI4lCU39qjSRBrpNVdg4ThCydzK5nx gJbt7Skrgh/ubW1kmuUh899tkAeDl9ptPJOvUPzGxQGsm4FUSFoJORKnK8bGq/FzDxF7n7CoOwD/ g/3XKoLsiTtckjdoVG1gnGRFynfXR7Rzw3ffiIm4+aRHr5qstgwl22DUh9kgmbGGDsWVsXbhD1Vg 3fbTCErz1NOdGHqxk+z1dbMovxwxXX1YdgN6+QqAKlsuPJJ2DRiObn3hzRAdG+TygwONO6Dp3dyf K2WH94ABfA0r1RK0MtkjanEoHWr2OOq3f145e0FdKuaN2B7SmYfHiqWpqXha09osrkaWJC4iFfzS EzjVJYl2JhveDehqv7HTxT2b90PVnFc4KrFmPNh5Jl3CN9zVpsPcgoFIqymjtpGBgroftB4NMHWe gktX6Y40tzDCI5pWxPBQ0et0xbREybdjH4AfSL6JLCsSlmCW7X9YRehu8K/MqM0qSRQQWC6gX/5u L9xkW2wan2Ar/bQl0uBDP+ZYFndVM2cSv/94aPG5JWFGBp0Ts8Rx5BI7Nf7Oh8Quu8xgol+ZbWRu rmqHlZsuURV77bAF9++Op377YA197MFQt9u1qvftv0d2fsAJsPoVRxZhdbwh4DtCbWyTSD957sI8 dkTHplQBM9cyqhONQ9sP3GirsQWfjcKX4RdmguHlowg6Jbpd0WzKeEPxZQDX6pG/IvfkR3xpf93N yWFJOGChydSWm4hRthXS4tkkf7ksNeTay3m4CwF0iwgyWl4Xv27DfcLyn5fTIOeD0i2TGMnnhMEe oXxP/9LHJB5PxzjiiK9DEfze8TtRfPi9wk0h2XkYvE25NFJzVyXforlz5LJOf7YVEuskDZjro92c 0l6MyHYNgfw/6stOY91ucJDQBhoKpNYYumvtvnrW9bZi9eojgQtbdqm5ES1dsjpfgw1oD0ckxjIa QeUxjflrISwcb/LXYid7hxwvHPLdlUb8f5wjWtEIxn4a/sHUoygI309sKM4SgR6KXjEdLFO6lQ1l jq0MN3HkU/nC0LSRpEkZmWX4srnN+vd235ZnhKhWVU2PEQ3GcQxdOguISh9aJ6Rp0QyQfh81K3di BPiueuRAUKhm5TeLukyVo+IH9oh0zgAsR3e8kr2ixD/5d62TqsekmvKBEoF20YzZraIQq1Pr/kEl ZfXD6dZAqfPOo4ui+MyOlwUiWETPid/3tkpskcy1bymwridhzPbit052RJUQoKWJEtkITtiG7D5A IozeyRS0XYEHBGVEa3TyGqeWjhT2yMU8a4IDqoMvXtm1idN/9rBXpmSYVWSEBV4I8QQRZGgcr2FX c5y8qDG0PkEk0/5v4zlSjcRaualsG31i4S34UToHb9Pm0vPsG/7Q1KZrS0GP453XaBC8nsUlZ9Vr yv50r79SNNAcy2whD+I90OKgnJWtJUsfWke2b41ZFggt6K6S49G6p07M/sR1bZ7Q/+UezbmqyLEA e1xRHWLqq6eKo/8SwxdSKiRCyzxkACB3nUlOsQaLu8JTyGycxtraHENt6DEWyBN/0ZxSVJGxcJ3M wPTJq21cdiZHC393gk5ZqHsHxzNK013nWxCN2X+q1H521yTbLNho6DL5RJiLNjv02TYZnSuCUTFR zFgD7LxtHU8+gWAJMRh9O3kjlsk9krVRKIRh8BXpdpTY7S2a5CJjl/q1e54D/2u3Q3CpPnfSP2ed 3r3O/OVQiYQTu/TqO6N8XgCrIrLdDwKyN3UmXIONcaz+dh0Kgkv1toYUyEh4GYo9TcPhmFM0HSkg vwEtU4+bwpr6L8i0FlHEjMxnaTQ7s0SGIoumv6V6NLsZVsFikaErMAf2Q6DPQm7JKTJj2wgCrTng EzJTv5tdbqecVobr+0zQjlfpS0DDPHk9glqdbLlsB0g9PsTR52obFijRSh3BcOLLbnPA3NlCNy/w Q65nTL0uryDM+zfu+CdCOP8j7k0b1sVgB5RP+1qUNjItLe6W2fX7y2l55WVlMOGS2MHbKFyc6ZaP tXcyZk4jd1mePtpy4IW56Kdp9uhiEwpEMZrmNpbNz9DIXE3wmg2SNDq9GmCk7iYm0woPsR82r01L 0+mNpJEEhVpFDbTz1G3QEdQzbAETJEgXMhh3gH+rcDjzkencMMf2KjVvYPYbs6PAz2FPsNRa0Sgy ik74jQiqejSoSR5MzZ6KtdLtfTOT6/jRrCE9ghiMxtzFYMC6vrFo+dlbMEa1oL8E1DJTa9FcE8OZ AoB+rrPGktrR5QF3AAc124ywZqZhk3dOFunv5s2kMZknShNpfQTTX9kCaUm9EJNHiCrVXsV0QR1U uP6pF6bMsq9AsY8UGqs7rOJeZKB5NJGsP1/vIT2bvVtrturCRXeBxuCDJ4dCA01pObvQD2BtXK/l aytoDXax8l2s+k5g8fJ5dschqvJ4s1Pu1vS/B3hZulE0q1rONq6WjMSifA+o96CkQkOeI7Egarpu b7Y0fB/j9a7WDlq3fmk7VZqGuzZphxNhz6ZlOf6D0+3YzfA4EKkNdLuRX0aN6VBpGlsXQSPxIwXw AkZePyjWZj/Y7GJxxfIff4LU8Z58T2DLjngvNhwcMTRhUiVuN8m6tlA4tnx3AqDi1DdmSYe9Bkde McRraTEWzzC/rZrRtUNbRj6oX7He8iZSkehxRIKk5hVuRLNvfF2F6tJfG0YamtNI5q4g/HYiMZ5i uF3aXZJMxDNnso9Jh1eHlpuS3UoIqJS276xm50BZw+REZ/wbvmw0JRq1tqfZGErOoGRzhtsc57C9 LWT/nAJFfREGw++jFvXNpPPCfc/ME+wMxh4LRL21DYHFgor3XTevAjvrRzAUF02E74x2BpBmxqOK 2ZGdHSXVr8pDLjEmnuPkSHxRvtNI6HiTrTEUhqU6nAEQJ9qGmwtc/4YYr/N0hcpeBzkVXkahaax6 UIki7g7E1VylyPfZWEPvN3EHkSy86CjjyokEVnWNP4Kb5uzNHlj+5JPgBywZuxTEGouYNYm7nZfp Seq0gFrhTIn6lHviBXiB6JYMax3IyV25GsDj/PUbYEy+wLqYFWXEuYRrHYSuWbxI5ah992lgC4fu A98ogmO3DuvQFAyDru1G29VUtntPDijQriJ7LIJD7avcrp2HnvhHGSZgcSDU9O5nhmHACYODRZJD 0Yu+s27nTHGBmTfz04n2yMRQCGYCPdgQojXH7qNi1zzNHz7ibQyOd44Q2gQfQakEIZklDnQ91cXf GnxFlp4wyekTYFGKpa3ExEXh8d+IvP8GHiLwNFr28AbdUXbmXwmYV7gfmrmfsaoktQ1UT3P+jSoY xSw8PhQt0fVbnaUKS7RFZQ+dH4zoryvphEpBhBc7gbcZ/UcqlWyq0ksJCAux4kqrzWAIn7DwHUkB V6kGtL/gd73wOL4kB40ZTYl60x4L7UlgQZIxndLBjSHn+H+wp3iU0Hz6Bu+OldB90us2aqIwe8Bq lG3BqrfoUWTdewLg2SrP/EoVJdfB0QqeUDA3igTl5m/Qm7Q61AP10g9lKfk30WCR0cnePItBkSVk iTo7vR6uuYO7BHLLbxuhKEt2R2+CYjBAxUu5Jq25KvJ9uOigGBTbQpBJKs4+JF7dVsdzMEvR/7os Mwdu/QPqy/tt5xBBRm1c9Umvp9yywGn+q0+Ys6iN2a4CcNWSxogP3Ene8v8LeNSH0c8bPacjh7a1 5VnKcg6QTLKNLiKPdpdTXLy99f6VdEm7T773CAXb86QGXiNc7F68CoZ2R1ilFd4aMRr3joOm2DK6 ikYfa634Cwxy38aQ6rdKNzYokoxqTWS4hNKFBQ/4t6kopiCLePZTiHn0XRfDK11jL9muQeRN+Crl 42zPrriUAhoXMrcYa2OXZM7geOuGAQPqnyxSHtRz38QY6eXVbiRsn0ZxYd0VjEXtWjhmNW7xykhr PZGKBwiX15x2PmQ67QO4oU/DtzLeLJNoVT+SAxY7n6v4qNCDLjHBJiyICwjP2T0og+NTWZncP+p5 VsZ35dMJp3yeQ+8HkD/g+WUjT+LAgvtS/R183OxsoP7PdPHFzz7X79mMmcO5nr+SrrtdvWbzTwZV jxw8u6oFCxx1Q7v8JsAXAG9iqFM8CM0GrR+6wMExaLEjuEZw4daI+tvNCUB0WCPU3FMa64QgbdF+ qet5yfWl5uUJt4v29fpV2O9UtiiotxmM0v5c2WLO74ynEHdixE0ULdhhiR694q0chVKB8rwTeGdZ GF00+VUpYJf+cSjSjZ5GLmF0748Cs8lIOdNeMUNT7VZPCW5pxZjQcYe1vL/xiKhAGr4/cNPud+8S zYBYUCwaAoHVlDxQ5b++3dERcNz3s/7RFWBE/48gLSLbujQOqs3V8BMhEG8y/AzvFvcBovn0R0ev K07yDvi4djWqY9fAifIcU94NvsaPAYAWaLFZO3ikW25elBvXjzKN+az0tKnTUu9YOAIRIC51xGeA tC5KqVDYmSigw+1JMpTWxzrB6vAiRw7ysgbs5mHnQ8WumDSloc6sERhNanUFMLNtbf4ZEqGIwotx bZP2lhY+3g9Yy6ahUQbVzQrBRctB7lowzl1n72JoEc4JUtmkeSsazhE8J1kiqgr6iBtEDaHkDIkL /vPbgdc9FW9L9PMYHlYkzdWPCbTNr0qA7AZufJgbAaSFWZdQuu35QORjiyV1WfhXnL9QZBA9tKr1 kT7dLVi5CxDakya7kArrUUVaGCqb8zm+fjGZUAICBDULXLOKIfXvSZR97k/IJ1WY7IPvbI6VyeUX AkiaQPAU5mIN98mhX0ZOYkwJAHwpJsA4Y9Sf4DxwC5NF7h39l4TQLzwXdpUJN5hoacnnFC+RZyhl UkoQ7aQGL3UDjCutUlq5BB2PqmHq7xkGgbep9o5Cf24JbMEq1dctkhq+OgYbC7CLAb21gDhVt3kD l3XboxZqTpn7wOkx3z1lolAwcDNnIwY0fZI0crg2mZcRZSWYoRf5u7iM5cVlXR/mRabhGVHYbkUx hgxEtyHOi6aTQJ0ECbkgExwmCij803MG61iAR2RcxjxdS6Cf3Rf/a+xFPOlFlDGUEL74t0YajAiI KWgZPGwILkLFsATnnD8Xc9x/fN1oab09WHyi8L66BanCo9xRmo1ZzwCd/QSuG9XWK4s3B/k9l6s0 yNc0VE9nYv+/raJnVVL+Kp7E2atcWMqjf8r6BW464BaSa885HHJ/jvtYsiGjoCMDYMtZYmIAg5UQ RhpYGBXTsEOq3VoInAnXu5mu/SZ9gnYuGbLl1boqV7RN4vBk/KzWAs/+gfDZxmeXvd8eRpWpkkP1 xGJyoFPsS8CkLJ5apUFYCiEhKeA5GPJcU59cbL0Xjzo+VCnYljNpcT5q8bNqlw2nyQjEQGHx/mLh fY17o3OmvFK9pfNBzxOIAgAWWbBGCLJQBhYiWwraxFmOHBa99ZAB5Z0g6qmvtMstzQg5C+ZZng/C jjmcPYW9B2D79fOuBA6GzXKSPlMlXt+tCqCKCpC1qol/zUqmcZbxG4NgYeh54C9ebd9+arLYn9/h 1NgdOHQK4l45F7oF9GCt670TlmLq462hn0b/aKLPOTx+g4oJ+57O10PKTiUqmcVLR41gYl73Bu1Q znkqZE8MDBKmi6xCmQtt2+IE9l4lrYdEXIwBISZclJZs9tCINMZGAmLiu2jA5CaUqJqjheJPJ9wP QZbF8AGoGU8knjEBhZ71t1dBGIJMzxRVy3WsI5zq9exDOdzvKSaMPDbYnCV9TAOx7Uz0Pz5cv+YK sGYii3X8FASqO7aBdXiXWjRJoRj87OMc2v+3LZy5Ub9hBFucaC6bpFDvE0Q6UIGKk1MXzC78KsNT x6AvWZzlM77qbDHiqHfeyr+V5Qf6t94D2bbTxS+6jlKHlj7Aq+ObVcpzqAIuVPLjRergiIMFD8An p/4IQPAiv43aPXpGd5k3yr97fHBcqhv9HlXXysEb3A/Bu1XY+qaQ3ArUI128XtxtlqAkiAPIfebT 5rpVVB3dIGzQrCrjuzfklAcggEe69FCtixVFtFgNzeORE4GM/BmiQVHzr2BuBx19ggOROaJCIf9b snJrbvakYqLAV7sha5Yr4QJ3TPB9fY76gIjzn/SHC9+A7Ap3A+bD1mlkmSWsprJ647UioT1rEnyz Un7OYhlibwYQ0ZlI6oas3+dJfeP8VMrsDadf80hhlU3pewp50Elo0fxv1Aedrz/sGB/YTJ3/ZYPY fAgxjwu/wbIhny3+eYttw9WgH3Q+RdURtItNrdrBfSF1FKHcnZD4KdqKJXViXJ4gDstLOi2iFx5f faDdidZ8eH45WFq1jg8NopxYkhpHi28d/eGt8LH8hoMT8XHVAsvYr3Ko/pl2vrZ+5IuuoX14bi3H S+Y/LnBvewPr+CgQIFDlVUbU4Q8U6mCFWLtYOmE3+htRxG3BaCHowQxhyKGxMxlzYWYB0cN+Kx7X 61k0wAKLJsWLjFl9mgIAYTYzCr5Kw5u69q3q6Absn3a+7vqLKwj6EJE9xgrqKev1KyuzUPlkNKf6 jXNPXRg4KjQwI81QgCOFSW5BH8OFJvDSsxNcSn/gLFbDu3j+g2itPGt5QupKcfoWSYmRSJsWrMEl xvWLQkV8xUbo3NQRx6kKnsZ2gs7+r8RK3R6LirPKZTc5ss42pLarIgNF7UfmrZINEr6uSg6E6R85 RZ3BPUEtZuhSKcsKvo3olqpROqZGy1Ts1uDkq+X9thQ0iCoVMkEEV2mjGHX3Tlw0XYsk6f6KdeDZ 2kTutxnEnF9cS45sFbrViGkMZALY5glVgp0+07Hlao+UoEKE3xybXiIo2sb6D8JZBQmqR+JqxQ8n I4QD0dy/FKS4/De6lCUq0zxz8M4u7qBLuFBv0Ay9NHvwC1wj4QK5skMbTAEfL4UvBfFp2nuZd+l2 ppkhXq3cytf+1MNDG4cJIm7Ehiv3SiaQC5CP+Vfgf1Y3A8GAtF/Pr08XFH8XD/5kZG5lOG5Z+QXY RBoAL2Yf659bhPUELEcXmSQtNMRC1P4iQEouIJl/7crBz2hxGL/fLAKMYXbae3T1wZmpXnRdseEb o5lyhvBmndDDV8Vu/bqvgxoGv/UQv00ER5U1HcwsFbGrZUqxb1mZQcl3MhsoXEHVOxRqVFfnFd2b C3Jewuuf2CR2NFpLji/Nb75BEFzYulFNH3WNv3r6aBSF/wxu8kADczjNwwtv7IuvVmmSHvwqfull 1U+EljBeB/a15DvP2hxANnRqShgiPiHvZAcjHX0oqe6cv0FJn+F/tsNBJB9oVGu3kXMq+ftjfMky hsnOr4god6+hnozrQt7JiJwE45/FWpwyrN7AC8Bb898W4SjR1f54228TVmNUhQFvZB/GrWZM9obQ qMoXYHbx4j9ApR9d1xK9pFDNXOhKlqscE38l4FLQsAGoZeRVQVItRJZjIUejf3mbroJmVL768mk6 NJ2LWsSGR1n4KLo3Oqa9RTWocJ8/FBne2XF6G1gxxYMPe7Lh0rxfPNECyDnrlmQYgPaEclwqBerb wzE5bTpL+psAsp7jgLnzHhbdCOZMgQjBf1niZoISo0hpLYIueq9cHfldOWRiuHYDjyLl4qALG8F9 ZZeipQfnIdz2sR/Ap//ayvTezZ4FMtwKv0qKy4c4jNE1lHSFktXRPPpZS+3PcXwtclEaU2A4O9LU BJSqptTmht3vlxOs6QoqozVzQ5m9qDRapzwG9eDL2dyZMXIhfYczD30W23w1JFsEboOg6XC6H9xI rNT3qdQV2I2qco3pSsKtsNCmzqyNaKAebBQnbIkh4v5sjNc9O3EdmLFsUtu8T6/G4lZI6OOi4whU abxDcA9PhCpUsQmb/NqCM3TYyT+HWSEqkCHVw2ZmHTrah9daZxJH4phHIOQv5OqTyossG98d8bJo NX1492IJFC6NYrWvWn19xkdQq/b2GA6DgD4LreMrNW8S205ZLw2jI/sS0yLc53NDtV/hw7EMytw4 9bDrt2Kw/5ii365nRWicnmHFObUEDfFWmx/5pmQHr9rRZmgPYssMHNwSUuU9SxioSpZCRRxml2cZ smQTm1bLtA4p1rDTS10iF5zqdYns3v68eX6691sN57LEReSY7vZ1RFa8PjFAjSWKl30XcCnXdolN sHdYFJD8V3rdsg5iSmYCI9Sy1e9bf+cMMOdngrXl5SUH4X0P1//a8CKUb9wxRYE9Z6sATUXp0yD/ WqGGSuE1OO0WAviT+5wh6Su9gUrHuzgEA164Y1OCkAu7KBpeum56IYr/889hubd48XSBKbJ7K567 YEDTQY4i1fQeH/o6aPFMFb6Om5DBWmdcmQ6Oz/ikrGIO3SxBPG225N3unyxaj0O8BBXqibWKH8Zb dTs0HDltrOveb9UbabFSb+A2z6fO0ES4YQ3pU3DXcgD/PSIVBJfiuX2EyXfUakLg3DiD2dL8IRPq XhNo7oofHiC37zNkBbyE97sG9GmOxswrgOAdCmoEXYf+F+6KtVsZuMBvdRU+YD4QmXNOo7XWLiaw ZDTV4aGcd3FJUn+3qCaB0/7VqfOHsAPSwaOgO4gpUNYdllutaQE8hNNWFcU+BQaUUBLbk+l8GHw/ S+F74t8gKp+GmP0E02Y37ppFgD01Z8f+4sjVo2RLp+/CfrH0aB7wSqnIA4jTEFuK+6FcmDK88Xdl ZIvsXluD+dYomzsYYcwgokD8sH9S4Ts137NE0tft/9gyEj4UZr7DWVcDn5r0egt7kfLk1UYaZdvN W/sMAXLM4dqXtE7vP8wWL3hkyC/gdUQuHzty/EZtZu60M2ftLAWfNSFiIqBTxWb7RotfMW9o6Qso 9LnqzFq6b1LiGy5aMzajzcF2n9Zka6QmQwvp4SdrHjm8hhqz0WQuFkv2gFUAeJpNIGREsau6Tcud S4AgL8lLMRpGoGjrV7fSZWrUw3BtLv+CeNEEDuwJG7knTQZN9eqSnk645a7HsA1rBmyjg3mBCeAo On00WiJVfLScLn/VaiP2mxWSrsw+dXXyeQNqT/vEI6Aef9Og4U6UVXS5lIZGM/2e9/n/rs2RFU0s zxnNhKbYmhUu6qwsyvBqqd64VqFcsshDNrD5DsXSs5efDvKuKHfWeEqh5m+ih+ymSyeltQplJayz UPHGhpqgJDHj4IW9061fbRVNeAua8B9WbYneaYFtjHmlxp65BjnArulFrCX4E9Ou5wg+PYqjx6l0 5uVZO6+X9XwP72kYPHag9OUJE9oY9QuA/z6x/Z0etz4UQI/9bDtGQkzei3o/l5KgRXmhQMO1iAc2 EaZ6+O+YNM/o1TPXcLx1qR86c6zExdvsAUZhiJr05Im5DI/LaKeay2VNJSFK87SyO7hyKTnnNSBC yZOe+FC6O5lYsZ783vF8lGFUsSbLcMvbXVDWyOqhYjjgqpLrOwmncOy0Gj0LxJT2I1W5uX/WqgSo bmQYyZsdto/Qw3NVZlfCizvM4kh0grydvhrxyPZLMfk+bhjgGEYLI+qtyxzy3I0XVhWw1RbfMnqm 0ive2ydz0OAsHECLBPuVOAI/8V6tEKhL1DlgTpuDwsGcepLKLauQrnuiBrj45ailFCxpyBm8sNbY 9u/3ZyZBWqdhR8h3zE8p5dJVk2UoCKT6sBFFws13vkK7bM/WpVavWEmoTVLCwZy4Hw56GLw8dDHD AlvLO6wvzukzXPz+KwVbxdD4wf8ZXY9Y8o3HNAXN1naBEeiQycLPCOYcnKEdZi77PlZvuXHvNP/h 1FZ+MMeCvpNv9xsoMBhQCWtgE8qhOK1fap6/RoGyXWbMg6k8e5BGJqLbVHzWx/Ku6JEmpL7p6aEw DlZNMMzK/tFN3BpZ9jIuB6D5V3efG/pDMCsSfNhsv6OzynV9YxIpnKcTGWNLSj4IAZXKKQAByk/Y XX0NGhb2v1NSXyaa8RvykXvWxQZQRkdq8YNR4SF3NFmkRchx5bqG6g+SW/rC8iqfoiQ6cWrhPIvp Us4U/Jyvs7zQbcq/Hp6grTP09sQpyCp5m8xiW+91a/LWOjwo7JkBHgio4+riBRNRxlXoDh0GosdF njrW7jJmdPyjV3QftlOPnserGjkhTw32r2fM9q0vhQ63WfSqohdVZTmFuymis1S+uZIUFdwTF+Tg vu7btOxge75XhkHdzzDkDEcxurAfUuy6GIz6gfHoX5oiKW/TwEJmDqeQ/o3ln27N6Rz0dQ2wfhf1 GuSlGMrbY2TRtzk7TmAoySjbn4kSLLvQVT8SXRgO3ypKLOsQpNYCGEageCRVFb14o6v9GmItTmyk CUJKmcI8FPTVeBjyA13hrRaEe79bW33w4PC+sCu+0JqYtLHhMnUM5YiGQQA2Nmok6Nt/+2k659lF +YpagcN+JT/9H4A4PixpXJTpk6ndwdKLZSQ18S3MRxV967FzYXejj0cSyic1KqC7pLxELP2jfMHR 0FtcAHiH/kC153pL7KzzmKtjFkU+PmJ1kCt1ps3sWO07b5l5s8DOG3wJxXEQT7mojr/whyMyHY7F TuSfUCdvBDltkyB2WppNTY1MgBgRC78fCMnRxERtV06WsXt6eKn3jdyQXDW255PuavF8jn80IFhQ it0WUoCSBjfW7Kir6fxdR4Ta1PpJ0V3V4vc1gbkFrcCfMnd3OyCwyUYo8nfpTsvSDN/OMTVqJSwY LfIo+vOuVAhjpwr9REsr0SAVl3DEgoO2XCWZxCHDVJQga7LNcomim4YHGCo2pqj5tZXgZ7RpfVh8 UvAoTpuffxXVxdjguyV72biCcBVWbTfJQtkCYttIdtzyz5DW7+U2WqocDcINvFYPTDAM3bxNNnTa LSrO22dxh/oVo2SnLWSP7QquLbJ868K3k4T7lmbLHOOGy7lcNWOnIXfzBn2orwH/7lqwx+pnPC8m farf/Md2fFuSv8BnGzi/WGhDkUnqDvWucKuH1Gy31nP9CTYuwV5EJKRmcTObwn3soOE4dAOodO5z L85nLAcxuMmA7jzxehA+xh6h2G6jPs4xjcegD0HXLJQ65R/Rph614AMqlBGcL0LD0o4WbnAJT18Y kteKC27Dp4VkClwkQkWyVyIVOYaBsn/ueXTE0YoqHvWQNdbkD70I+1w4VXF8jWY4cSBQdb96+/Q8 8Sy9h8v5ZX5WIxie5W2okcqiuCNNtFP0J05hqPSQgGscsjbVZcjnUqjSn5M1kLsDN50rwZzlHI+c JmyNWJu8k88B8yD6KVxW0PicxHUhrLwLEpjvCN1I2Z+YjPV8DB3FSP29bH14bGoFZ/H4dVmgdo57 F8n66IMIhYmVJLF8bUwW7Zsc8X338AcUQ+4OxwoUn4qVVwJUHQ7bleQW8Xp5L5mGNUCcKctf1r/P /s7dY5NvPSqC+t6P4OeZdLzSYLoqOx45Ko09xKC+YO2fp1dey0eIGuRgHThtlFnf7PdBP8Arhn7Y 8jLXCUbqRQcXVA8jWqcoJ5VvMtlcWiitBeJO0nWBk67V2PBO+4ZhRn9TXf71krmfREiyfUGwIZt8 LYryYPj/tkC9p2NG04v/jksu1ZW1lwXwDgiGfXCumEmIYQSktwaSCNZP7KJfMypmATmaYlK7oyH8 QEFjdwyAiWSa/uRcn3L5X65C69ohjM6wXMDIB67isr19Ip/7m22dfYy23l23HozLSKASyJ1Y1IeE v/kq16WkfJs/GPNYU03SoyRuBrS7giiZSz3D8cNlxzkRQM1evaks2Sq1rMbcU4GrZg75NJp0RJg6 oDuPyZ5DEX2WUPME2i0IOk/ohFgGxC5TTEsS7Q1xHsS1aNFreWH6guz+nW15x3fz89o07AgjsLVA bnkRSb3dNhcbrpbspb1iYU1nKAeXLk/sQZT9o9lJPM+1f1mXoMRSi8ZM5y/3OSKKNWGRQQB4GAeR BcAFER6AGdP9w6HShFcSquLMfL2cvKL0JYNOlZjFfCGcQwIVSDfHE6gP4+dgFWvpSRj05RhrXg/t fLpZaLtTp+/lsPfKoz69zlIAP7lz59ty8TwThsT8K0/JRhqNOTOInZBMXnxxa5ZUiiwcS1TAxhUU Ln8K3ad7cEzL0272pQYBX5Ef7xzBM2ffHaD3bsdXiMg0e8Lzy+UhB0TtW3vEiKO1NYSIYTx1hZ+j TMjDNjUF66+CO6O+UnARq3B1zujUq2BjRLECZiFPSub+egST3FgLSgx/ZXpq6eUd3GYuovNLVVC/ 7FbPU7Leq4vB7tg477OFBa7V7hwJT47cQxEwzrEIL08obNVcnhpuSFTlLTYTgVsliVufbMulESKp x+RqrrylUHZCQ4D8X9dE0Ajxy/l0jFUcHlBKsf205CHPF8zbE6QYUc2Yq6DiwTvPdz6Hi7iIAx1j xZllxPpVG8sptB2Qmx39Dbrj9zrz/u/0EPCprvuGKos7yzm9EgjSa+c6LoZK9FtcgaV6KJSt2UsD AHtbI48QDFVT9rscvK8bbK2S1AvsfyjBzvu8Tjr34O1s4FeemRs5EQfks5g3KhC8oGEvTD1slhAG 9EwG6GTtVm+pyWfOacLMOiIDZdtNE8lCT/KkTIdyr59GjKP+eJDjjBagGwssqERJ/6t2yPYDTqCn H2nXjdc3FHfnioHBEWNAEKfcjXdljUvXmKz8YshYwbWZ4JFiNOxil57DgVQpShZWjF6YeIglwv4S /5EkJ/Efb3LBgeTP4+3lL5xaJZm+NWyEV7KMq1kQGfMX80gVQDqoQbwi5ES/KsuEMVefsyC9FfQa DxHFatnDXsob3jyxH4SfFCMjLxIU9rEOxFRp9BgFwm14xH/wX9DWjpQWfaPfLT2eEg6GvoP1yUUH FbtMm9WbaQYtileOCH8YQgoTcKfZfd3Mcb8lyvOoVwl5+DcEwN1iOaLzap66hpfuoVW5ozKluJbX /BvelJU1Imk+fc0gzdN1t6kXHeKI3CIfacEMx1StZwO4eyZFQ8aH6CM2MhBV2bz/OxtYjE7OiFz1 SdveXs202H8j5QSG3d65geaWAhfb/rJ6aTNtYDKXSf20DPdozdAjmsWo4ylcHmb3HyWnRbP7hwrg +u/fNCzFvBXG/XkY6f+SCZjllPbUIkqOaPj/4DuIWNp2tRM2FkvLlgZ2aeb1LKDzXdSvKuyqt/qA +0FXytVXe8vesAKZiPG76vnmIG7Tk6+boCNI4NVvhi2QBZDFUbVqONgrNWc66RDwp8l21+ABrmrN 09Cyp7qO2XelbkDuwT3G3z0l068xjgI+kd8Bk+YyQbt/hE5ad+flEo3pYBean/n8P9qOwjfkvd7I xEK5x7w1sGKuoN8CBVeITuJdg3LRe79sjsQ0Bqp7NK12jlujmmzN2AT0yDLArH0FH4yiO3tSu1Lw KYU4+YqUtAVIVvL6KwVgf9tsXIzNOdAc0qx5MUYiEUA+1HqGuJwfgK3G1o9u/Ml2aFOPouNTC15u cftRd/zyBQg9sRjomNQnrqKFT00WRcaik1L2cQIAiCEBy1OZG1KjeH7P7lG0zLnsQvvZmVnJSYwo gLhWIJPm/heol7VsV436v7o1t4EnyQiR/4vlQTjEsu/XvJjDuETGfrfmjq4F84NfWLjpkjpdZQ2u GlKjiAcnSbV+w4i85PDOCA2nN3XlKzV8DmOpONXEDjlMVjsN4NWHKi1X6Wngg+T7kS4LHQPzRkI+ 3wX6Ksjynd1kZSTP6jkPVvTqVMV1sWmgLZ+SEvqXOJnCDT7Ix+UiXXdGXfp0/RVbeVAN5O+YLanQ 5uTDiuQQ/2vcABmmX/djzEQnddnaswlfMXw7mvt8wqa4Cn+wq6uXGawVEluHKsZfuo5r8/11V9+N z7oPUJP5YiSA5MijARIqSgVg506zlYNpl4CuRXlvVve69QTyjO/58Meghf2Wm+99HLlsPT4TaNiV 419TVx0l2anN4FkWtfNaE29+GwP/bTYXv5aZNsv12dXR4JFSNZLqijSs9dHtDBOTTwzYdSuvoWPK 6prWJcyYqoDbRe1T2TrgBY0R3aqc5Cytu6SVmYnSjQKr8M08BGusg7EltD4WZDNvm0OQgRnzEUyZ 6kU1iqnzOSoEA8hsKtuNUXLOolQJFuyG99+zCQkXxWmBgZXSyD24ta3rJC1tWM/53BvO1NPvK7Zq 4ML8z4fjV64iU72ebby3BLZm5XKKmg1IutSbGa8WNtMBWhatogOfLd9h4whcSgYdRueLJFiBWB8h I8Fn3mdz2GaZcMVlAcGAmLM7gXebjxGnXvFduAJD+nglKfx08UDoGOuqNk701hzdhju+m9vsd68x ogV3u663ks+FFWqyvrgDuT455u7AoVV8IgVjLGYK9qWbyLxGG/48pkRrlxGOaegfs2bY0B3bUV3b YgixugA658Ot1he9sUBFR8P/OB+Jl9OM6F5OZNflqpiTbNAxiPFIo1LWlS2Vs5gUb42NV0Kz1+Bo Ldij1VEcfmm+oBpgIXJEqEFEq6Gk81umA22GrGbYIfTWnwavna42l8LUc+9ynPU60p1CDLi/b3aW IB0eHSq6WHHuzBq1aOKmsWOMxeFJoHK7apoR4QEzp6vT4VwaxxWN4b4vL6tN0OuhO1xkY8D/2ju3 xBiexmXMiXqmj5x6z58PErTK+dSkVPHXRnngF5V8Wy+pr4jv62xwH1kKy9SoitjuqS5+thqlEBFY NzwPbiVX+dZ4hSzSk9hzN5TIyENqjSH1GMedQlcSvSpZdUq/4D8iMGPl/xp2OXA0AS4gLrjZWP9m VNOrSpLIZhiNbjEm/rwCUpC5J490rJqeqFDoKyUWIYNmUYg7Qi4vetTK8Vz72fAPcjwgd/mERjhE M4VFkD5jN1KfSx9Ye+hCAVb1Bb/xP3+vTB1q/Rmdzg3P+m1JxriKcq7Loz9gOEC5XXz9iKvMLXgN Di41OUnX6HqG1v7NTthnYaC/+ygzNKZiQqXuklBCFbTC9berN8UY8SxYh26NqxGW6DnH8K8fNxLE wdufjcctfQwQPMMozppTeAe4NE3jIq8XE+lkmRPlGiOI2WUeGhbpQeAJc0w0oLpTNu/LiA/GULmk ZH8Q91JZbLBzzNWihAgBYHcixiar2wY99l32mfJg3NPiWRaZEUKvfoeO5EH9amCxuzhuCtuVSIY4 5KzRYyow5KR0lpUuRZQHlxlQeCU8pkbe1nFv/EJq4JciIBYHVV4r3DaPwgVEoWB9eWaUGho/GSph 4RlidwNDmMQESSUIu2ImXXn2j0ygHq+qgNQTISpnn5OLClGvRWP500gJSOULDxZAdHrJImeYBJYL O+8biRYdfy9OBZo3+B8iTlI1eBVgUhy861gNCF9h9PDSU1/2vUIYkMqD2bM3HKfjDrQg3gzAl769 88l3rof2C6qmYdGIrjNzdvEQcH8rJajwwArIf3ITe2dN4c8dC2alYwNQEQpcqI55Z4Y/GoknYK4r h6cOIUUBgML5gF82R8L4j5hyCRJFsvtNfsSGv77aiagxtzTVV4sp52fi6WX2kazBW+EPkJSKR5y4 5L7gPLdt+HgFhSMCT1ANClCY1xDHE2eKcT1QFSxZV8jSUL3hFJ82mgDGW2heqfTEy4utYI+LcXgF mK8GHVhD9bxAfcbckYQbAunOmVJbmVqVfWQ/JRfblh6j+/S5xNoGyEoae06aEMgMFqHy4nItDsfr K9aUWQ8QS5Suvh1/xCj6q1woqLo/NLxjqNjakz6m58NlCtRvheRv3hzI8U/tP9bWoYFSWEXB4Dti ZX1hlk49LH0xZjvS2UbprYltHGncYtFmvF3Y6U4Ow5OZT9XNM//yPPuzEkCveG2Qls4pkTsLy2F+ OCd7bvVsNTMtgvPghU4h0m2Hiz0jf0ADizBmEDckXFGHKLtGNyWE3YFMDhRSjcMTvYZ4ubUS4/f1 tT0nkrP3ljlKdglwE0mOshwEWQ9AlT1AF6l2hnP5538LxqMJsoXf3SdAnlr2SVC4Dx2yWmZkSM8j B7ORbQAp3nGwq0lCtmh5SCdOqOfiV8v6a8/sNy8RuaySEKNlDYlgO9fdRz0peSQA8Ty1pqaQ+CgP uRnONMmxpvr8Buo2R1Wq97r/l7va0tKUp9lS51/DJTNT/W0X/9b6cA06PwX4CxWMfHkd2irufzDR Mjd6q9EIE0FsYY/5Nd61BaKTDmJNuhN45iWkhwROkF9FMfC2wUodXbS6DZ/R+KexzLpp8v9Q4krL euB87VTJlpn3TOihfBd/PxHh6285d8SeOv5zqfOiB0uPtcJs2JHA9PHTsdg1d1ExYxiQcr8/qvjf YMhbXB8isUBSrigBlRFe0CX2sJsZIp8j8Fzlooue8TCY26t/c4D1twS9d7qo50UHcYIYd4guzITA zM0P6r2cj7H3vcV4UdyfHRrDtrzIL+32wjazKNWrVqeeQ5p/E+9nDTCMmrUGpheNUpz1s3YAqxdT iniqsDEd5kjN0zcBx4ABw35IcW78cUecYqa6UuG7/5EgAggCpHA+8kzOassRx1/idDaaEWzCKTVv X7gXNklIEmy8/Y+vecCoh3H0Ur+bOxxXnsGL/8ZBCiOs3orOJ6I17+zJ/M4Mnhb4DIgab3YoRpVK AArdFJnl78bjhjuO9mgdhkWO+dXTvrQsS8JVKEBstkHzsaQuGhECvr4vH4OJJMyluZ1brepxvSFr 4bh/d1X44zOT7AQEdpxbXIjfToini+nsSPbLTAov/UMSdXUnB+r6rm3sk4qAPQzClCodioSzIOXS DbP7u0xgakMQngmhs4LQeQgMI3hpRqh0jblHQ/DzCgc3aiR3wbVXZItWHTSGhqquff+cb8UXkw+z Cj0bCSu+fc8fOCgNUJf1fok3+zsKg/V9g4LR/RG0AAWQx2U8jBvpbV5oJI0kvD27GpX8nSMOugYu su/kNN9StPmFPnvma/IDjIBBlzpylazcbB1hcUR5Ugm4R5A24iV149DknSyLa4we78ehUy5g3fPr GgqSLIsxGST6JH3uZDX7KUDKe+7cG7KA1wo9RaKd9PUmdMtOh54iT/OSN/MzfaITvHPmOBcBkW0z U1fl8wp2h3bmG9+U293T0I4Han0LhOL+KEo5lByno0sUmFF2zSQEHQ8WXnuI2icBKod200bGtTi0 YMWzgcTMmtCfQz3dSQslmy6VwQvbrKPu27+m6VwIc9A6I3Ye9NHypqTMQ64itYeo/N6Q/gVpGgd9 Xo7S4tH6kyxEENBG3lLyyTVaYAt2My7rmS66zKwa7pRMH7aTi4sfVGiBYxNYhKHHjipF57gD5VVn +zKftOyDEpqlW/yhBJxMnXzYgkIcbo7VW2qN73KCV7Znq7fxIR5pVKalgXL9bvL8pfajOSGuHIE9 5T2u2Sena05imVKexukM0r9mgX4BJFrN0JvRtD4EkT2V5Od5vi3mAcBTTXaZIwcLT2zZLKm2hhHU Jc7QHgAZccQvARw+QOsts+R2hRja20cIzIZN+rbNM6ZAxfUuXtKg57vOTRW2XYMg7wIwmJjQd/II DnG1ATxTlnx9XMSFoBcIH1E5qh4+r9p/kJ21+HrAx2DSTSJmjDjIHCU5zDxXm4+XjZkOp2yalvag E6koSPrV5hGG78P0Kj6qPnK0eR/BcEt6spbHU8k2+4lnNGnpWksYJKCmEFVhWy30s4eSliL+CCIb Z/9gISya0hl7K4AyjjaMP/B2QVhU6EPkfjLOqekfomGy0gxsQvjmNE1jLsggJy9vGrjcNwnSO0ZV cu9GVcsZy9GUzOikRbnujI8OixuwBw+z285UKKFh23mlg1sQdi4u8QCqXPm4qeyGCcyyTG/10vHu 6QOdGq5/ccpToCPI21194XFlAVKi4qJcu42HzrR8KtAVPSUBn0BmIyrZKWR2zkI6kc+GpvWbjVw1 Qy4411KrgUoIns+IodBE2frAV45samv92nPReQbyVV5THFxdCL1bhETUxRgsaTs/+pYleZmoyG6e 7pkSPbof8/qcphhJp5ZzfcvKixy8A+rsEtXi/BbTQCHykrZvdBpM6WAG/waAZVNXK0e2ZY9WVBL3 5rZPpIPe/RE+HB1A8FIku7YUc6WNLU368Mz4QoliXvKQo5Y9Wq9VWf46aO+p31R3zaXNG5wLNX8S J/YDsSCEMuwvGoUEkfE0CIimhcECHgjNvzdG9Et122rI3/+JQK/b8ojR5mKMj0QyV6ptO3FSatBd TVmiPxG3i6DG9Hx9eovhoXMkHzSo8CE7bsXXr17DHzmEK34dGdL9MdWIe8mv5dHog4x1rAhnRR4d lskIiztkGNGFwZ2iiRC41W5vjBmPCYpCHDJXeJGaod+SahwUV7AzDGugSWE+ZWYHPoBLB+w1IQcT GssPDUuZ5n+IiNYdNMG2YBubc3YE/gTnfwyyHLcvQjpFFO0twzO5cORr35ByFT+tz/kB5kaTK+KV HjdzTncQGBHo8szyS+Dmy65o2sQGJa4sz0e1aiu74ZaMC483kBRnmLdIlaYTRdW96XZ3+oVtS8uq PQn51zjNot6WWdotAXvzLlGCc48z+y1SoYkzjLejBF2P9wGm2exnOEc3FTECrycGrlTJeOCExnpW t7JDtczA6hOL9Qk5fXLIMYo6SMXVLtXRNz/d6wEzUBVnVUfncWbTVaTsnAmb8/H2HMZoTD+4xqyp CRcVhvrM7cpY7ikjh1/H9+H7wu/mvx+fvTqmiXxM8dpQsVo8EzgEpB+OwLRBkcoHS5yauNACnh/5 aU4IK1rNolVZ7zXMJ8jOMOv9R53b1MpR15QKeKr9P+G+FxxsLILySHnUW5eIy0zUaJRK6ahiYlg7 9y1Z0ZAZedgC5uHky8zMmdGAUK8PZlWht0bXdTwJyug0hWCMh5jrvDiN00IhOzkmkOsjw5mAWP/g D5gQRhyGfAJX26qwv3UIY+i7vr2eLANs7V2GXyGAIbAOkHsZ1YUJD2UP2y1511IqpqYBWd2cGnM+ AAEJTe/kNPYoCLHKYe5fqW7B4qtSr4LHfa1vUoGL8+wov3pSLS+zW193A6u4bv8tFmX5xDZv50tO TMKEO/hEgUkr0Y4g4MVW1FXvz54WJxeA2q1ehtRaH1vOWwOZgTo0uGmb+UtPlS2AndgQiDhGr0WP PKyCHGUrxYVUqQvCqxwLRgbOXxI7Qv2CpqtH+AEOBy4ElcnYxrbmzNHdGDrb1JnwmJAkzIG6X9uR UeOcPQhdgjW2zHDD8ezzpORQVO/5X+gXyUpVI5vhSbB1X1mi0AWspS43pusIuUOD6b9HgdIghTGt ShRll1DyMgI9PFkgnT9UspTk2PwqO9TNVfvo51BlKlPOSCJcQIuXT6209jKGvQQmsxJBaGlFBjpX d9GpXEuukhppe4vFh/lBqRpGxfrgSbOpAqxVr4zMibSjYBUS5MBWhgACVG8lrxXCIQ5Eodd141iR NRig6cXovvoZ5KApH7wzR4NO5A3SSxdxLL+Qhe07yLqltMgM+gRmzWevacK9xv1myXZCayMPEQb5 sBiHxfzOOXQyrVLB/6Ls9QuP9/7G8QFkfjphEzOkWfJFhxkWh4VTPxB530lMdPUuqfiwI8PnAabT pZSkjbfHQD+erSrbdwARGTcTEF6oH8UBzE0vmSoD10+60z3EvZ5Qfs9t09F0Nb4i49AniWd1Az5r UN94FB2tbNofQriF2Cv061Is0QjeST1Ff4WRBj14iK+UjP5mNiItTkD6GkPyhIJEX1AwvwsXTpdv +TUqVcp+16bYUEo591Hpmw2+iCsf8rWfTEzi1GbsT16KYgs/erHzJJp6XhmfDAcUM0H1fpRVkiVq aZo4rh0H5a9/B7NonW68nzZFXCYXJRI/mNLimGBSmE3DLFNrv0PxUx3OuUXmcLIywqhJv5MdybDG yvSxey9WV/d1+NRyOdT/NslRRZKZkXS8eHH0I+NE6DkUg+nSTPiHJcXyT8Krux3OtnmqJzg1geao fmkqW2gQfXNBhsfwmADRHU3s8qkd3v4Djx0ymGcP6XT/gISBlsrYeIoPEXJFFAagt9s0vgHxj83a FKZUO6ruSvZceViSK1tS20bph6iF0OwAN/StISt3BavH5CMG/rcKFayYw1G3kkgHXo/gXL8W1EYR KERQ3KMsxr700OKdXbm8yrQ716keQi21ezOPhSvR/Nch7VW6JQCuRaWMsDzepbVwtZGWq0KhGe1F pcE+JVVb8hnkBuIVS4hdGcsoHU+RTsay4cwo6/4MlopgP0NZmb1C5WeRr4RUlMy3q3h1Fs6j4UUj zQijHnMGYyUuHczS/AafbVz5uLvJYCvWKbCtlGhLUAvQiX9tSX44w4BjIO3hQW+zN2wrndKTDZkf tAFXE+6MWtOtZWZgjwabwONlOvHz2egzFfPNDg0d1qsbV34Ht6p8qs4SpxuYAnU6eVftZWeQl6+B Pvkcq5qwAdijOuV8aCmt7FAVZI/y3YrjUpY00loTdV+yCCt6Kve3qGBXyqrDrzaPxfO/qv3mH3/k JM62rHHVJ7519jPtIJpjHFxy0u9G8ENxGCRxhn107prj/5ZZqpDSQrigOv1AjtHKsznXRtVbymks C7B7Uj6Se0rzZmQJMgjR0atMe+PDoCbmZykK2BmY1MAOhnbM8UharV/uOfgKeETUlVorNvSQeoab 5cKP3UT/i/pcNlMB4j75FElA486FCOLfzj/c4b8AD8Tjy6AoqdMFpsAVbUBf4bNO3xgOIWtuaDM0 tmP5BUMwQ3PXDrwwuI25sUe1rlT1zYWjQbXo/JxLYCiOknAxiibmrKbSlYM+dw5/6gO9kuHiwrwZ BEIHXw1mw+u2K31N6k3SQ37zzix5HkmCjs/RifIV3bVQ9yc86wzjjDb1jCTxSeNCfJA9Hb5bz1B6 fFqO6m/+jFxY+4FToNDA/s9jeiCK2MigZDZPaZADv2VHsy3IpKE3meGAOG2K4oBm9SWE5IBTbNi+ h/1Fn+gKZ0vvCaG8izZIqG2OxvLPXgs7ZB34aiFO62xsHX64XrS14Ecm5Zkl5AXVJ+0Wq/pVQKPf 1i3a//CAMs3+1DWXqIC7UiyLr2QpGocPgAFjebOXxyoSvxhMTDeh9FZyCBW9r8JLthzxGV+RPcC7 qs6PlBxaVzAh1UUeUBql6uiE2KDij1cpWzZpjj33s1xpXsOIHEnbm7rx+dFgL/fHUmRv5x5sT94K iX8FKI7xm+spUo6wOSfbOiU9q8qnmM+AJFdtdqgFeFUznu/qaZvCHWCVP2hY3zt4/C2IOLDJ4AI0 +Aiw0b8QQP2+FXqPyGjg9Luphqv91CInAEWH4DdLeVkjvIiQWHFWHSBbTNqIQSNifLwJJr9rbccB tix392m94HNd1lnAScLodxsFQFkL14HwZ5dRON/o3+otMWi00PRNXNsT2q/kyvlZ1NP+QvH/7BNt XHzZiDRl6bGLeLd3IQjnofpUsnVz7pbwEj3AeW/2HMvgBVPgTkOdxYp1pkespLOONoVSQ3namZHV fK1tVa+s0vVWmAfH+jv35uW4T0jm9oeWQsGG8eCWg3urKhWLSTS7Y2mwNsYtAatzR6r23wu77CyZ Pz2eUEMzqPvbOIyAriFNoIkSEPAQP15MAgUwh0a+VtcSk+vq5VniShE8kWAKCfS40I/UJ3Spityp cHhkWiT69SyjbhQnUbSNrLtLiKI4GYiMwKAfpb/LrL3UFY9IF1G+8oUBTfx6BYeh1vuCr+972lvW WOenssFnFSrNYM/gdxGoep5AUgd//B7c6UxrEXIE89ZeVfb4RvVVu0bqswIUEfnwbVrKitoviM1Z jTw0hCTUm2ZV+rdY1c8nxo5rCo3A0D0DGhxQzblTTl9rfPL/83tEfjFX5HunLtuhmsC8kfNEs7js qQFZtcPDih9NFMaUu30zXyrnkoRN3be5k7hIy57nXuKX+HQyyvm6M6Ds9si4lKycLFxeFogf9uKp Un5yWiRT1G0tLBLaI5VWG08rOsKW/X+Th8VKYHgv9RZlbhZGKVilXVargcQEgX0Z4hv85liSFEfo Wr+c8spoLjGG1UhJJ0fQhLkB+7or277fJLEzENvzbkaDm9zOWGPbeChPaPYkh/udb6Z9x4F0r/4j gXsrjERNUHJfUMP4vkVEfZnUwEpMXbb0nFrJjf1ZNIPxQwgeiwevWZ7jlTc57M8B4LdjfP2Z5fpw updMWQbH/L+JuaD8iP0zdMoHM4QlicUym76KcSQHBfzIDZBk9owcfC410iyOS6AzGbkFwkP70NhG UGdfDaioBlQr2dJs/G+MblQgHMVmHUAy7KOoqflvF+BrJdEYPBv+jfvrlxMgMSQIWFzqROK8xYAj ihk2vf5/OJ4R3OkrVOtef3lCYZaHCylDVf9GlrwGHstcDe/AM9ZwYsbhIkAqHfKvfetpkgw7PYoy yrq4LI4Tn3/Vv3N5PdzaMFs1O++LLSejvQKjKmYpN1IT2KzyUnJ0TwfR4TXl7c3ISiFA8nuXlz9e DXmfCbz36snmUsgr92m9wvf0LO6qydq3eFlXHQ0aw/RZWN/OMMxZDOnlblfkbuBFMAzBnmmN7zGk x0tTpABoAau5OJklkgGllicsnMcnZhqh2BIzEgZ/LTegl/DePN98EODncnFlyp2Qvho049GxDxew wwwpgRA4uwXaDk28yjRbZZgopWycawzK80znv0ukDPqG21irdzJM2aMYQSqRq5cX9HYHcViMe6oR tjj5x7KsNWGg5gxZHLR6Rglp9sP9/P6TK6Snsxb0pierxOlCJ0Jd6EqbpF3w/AG/XKpEjFirNxCu FoPcUNo5f1QZ7x/wtjxJSo/eagI/MnIejvvLZ2Kw2PPWl2ZnCRgk0eByy8wxPF0AsrI1fR5l5kNP smr67pXEyKU4XK24tX9SxQds93lAgrIuEknn8AA8miPDwDXshTCx772YUtfyAPzH6g08zl3ex5a5 07XHRlNc2b3ez6n7nqcGXBp81+LsaOHhaKoIo/0sg0xaLodl0mAVOapx18uSytGTRcI5B62J7EB1 KgPGOvDjGwFzUOG2lp/sMyxPOqDZ4GGXcrqMAkP16PXoCWi/ZtxIX/S7R6eYbk3DtgBm5BnzKecA U5yrA6OYNU837EGIvoTqGObpGSm3JZO1GZ1Y6DWPwYCOLt7MnmLLPDAi9v/Mjyj79nebenbX34cM fYGMdm5e2Yt4gPUe1x2Q3//zl+cDYph27F7D6bRDdUsYlDeE6SGuZZImII0l8MUo8aPyAMHwKrYe iVytUodi+XO7ni5oXY9+mJGj9YBzGTWfZRkhmIZSN499PkrZjI94imhAo6wcTs8VTe0J+Tw+RAIR jYaFBlRH/5I9XSv8D9ejA4jBBOdWRzy7boJcjuEppMUYLqDWdXYcE3PBgIkELIFWQcvnPBlgLDq9 W0jWMqd3EVb4ro2JDaqM4jNZSQR4yq+sQqSYnuyCsACcIJVzvV53iBVEJutCxdo6W+e6Z8idmtjC nwDWHAg1XlJMmW5Chg+Y0koNpPcPDqdR1lN9GSSCJmjUwNyliOePviBKaYabvedZxRa3ZNAxGgHW pATd/oGVTW5CRq2ekhU8JNrcLFLwCcAzrUq6ZhYo7re+ki6lb1twYkHF7rQciZT3dc12BQVmOWgC wcDWo3vNKXVNY6rukMr5sCB+dCrXmjReNN66KvJZ6uAROuar9fA41uGt/YafUS7NQhv6gwRYJoLF +lmBK3KP/E+62RiavR/ggcjXEDhTvvxd/ZcVfUiHruqZzuen+yMGnS+a6pDGxnKlzl/W+u8IqMr6 lWq1en0IAc/kD3M2oEBIWUUvY1LzSb4/TVn5J9SyatgBTHX+GYZxtWK4AiR/fQIhA98AtxdBNkF+ PC5cae+zUPr4w1DlY42HEO0zjeEeBpVPb6JJ5r8eL1eAOIkpZvJ+lRGBG1gXoaYJPWe3CQ/sCYqD ATWXa/DRcbRVbIq3v4Zv5yIVeEFNCKH6RF5ujXH1qYmUSzGKE1hSTtBjT2GiADzUWkPhSByR/pBk MXNvWu+lC3IGXKpty/jgqtHPPDX3oJ2vY6aWi7+6TzS0U7UE90JSwNlZ/r+EuSFtmewyoIfJ1ccC gVXA6QBd9LmyqJ5zrZopZb8yNxse0374WLILQKePNqwHhPlM9qW3Hmqoa9UMIs4dzrqfE1TeW7/a Gi3NRKuHwTeLBxGXOAOEJ/s+DfXZWmSdGTf2+Sum22nK3X89ttQa660H7agsqmSWUgsUozdZi5ja bEFEEMNljcDX5l8QOi9b8+jdCf9UKfHgPVt9uPHH1P5izMe9/SYaMOpbS3vwj7YpJgrb42yOWxXj lnGr/coXKVp97GuKpkLWphpdbyMF/m1jZtDkt4g87A3PmNEZhEQ5AeqtKhVN5D42WtT+Gl6BKXIw gpYC/S0FVG9dsc5JfWFNZ/OvAZnJx9sLPkwHSDIt0daoolXwFWVNRLgV+g7WuJRMTVtLcQvvXaSU qoOBluaSsoWWP+6tlPbJwdD+19J9f/0G1Ww3B0G1HTy5TdJbBrBmDlvlxpT/dt121pUOdmz3EkaD 8dDSYDwWz6ytYpRZDbTAgiWU2NUqwLjJyTSmUhwJF3zhT0qXTDQmpEyXAJpZW0AEIDoTFe7yPJen dAKVdMW5K3eNrbcmWfcmD01/avksElr+tJr4FTmTBoZlUbwJ854tAWw= `protect end_protected
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/acb_96_bit/_primary.vhd
3
2560
library verilog; use verilog.vl_types.all; entity acb_96_bit is generic( ANALOG_QUAD_NUM : integer := 6; ACB_BYTES_NUM_PER_QUAD: integer := 12; WARNING_MSGS_ON : integer := 1 ); port( ACB_RST : in vl_logic; ACB_WEN : in vl_logic; ACB_ADDR : in vl_logic_vector(7 downto 0); ACB_WDATA : in vl_logic_vector(7 downto 0); ACB_RDATA : out vl_logic_vector(7 downto 0); AQO_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQO_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQO_AC_CONFIG : out vl_logic_vector(7 downto 0); AQO_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ0_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); AQ1_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQ1_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQ1_AC_CONFIG : out vl_logic_vector(7 downto 0); AQ1_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ1_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); AQ2_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQ2_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQ2_AC_CONFIG : out vl_logic_vector(7 downto 0); AQ2_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ2_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); AQ3_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQ3_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQ3_AC_CONFIG : out vl_logic_vector(7 downto 0); AQ3_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ3_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); AQ4_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQ4_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQ4_AC_CONFIG : out vl_logic_vector(7 downto 0); AQ4_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ4_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); AQ5_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQ5_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQ5_AC_CONFIG : out vl_logic_vector(7 downto 0); AQ5_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ5_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); DAC0_CONFIG : out vl_logic_vector(1 downto 0); DAC1_CONFIG : out vl_logic_vector(1 downto 0); DAC2_CONFIG : out vl_logic_vector(1 downto 0) ); end acb_96_bit;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
11
19921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block maPvl9UcQIXB7Dt0/Du7rmaEhkJs8Kra0+gGs0DJ+Udci22VN0hKj2v1ClDXftYzfDw5OZNsMBzA CdcWjA59MA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Mj/yVYoKfTlS9Fs1l4FDAeHWtjrinclJCnOydiP6iGWrG8GH/4ni5qHXF7a1dwAgYBrPbhM4Z2tT XyM9crnlGmV1p2DJKkdar91DlKXbVFEGKQLqxnvvCTnRkNaOMoG+rlULDhmaMDMvmRxh+8tw2M40 1za/g4BXzsDB5iF42Xw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UZB7T1GVHARV3upN0hardeFXwiATAM6i0qchKfIvGXgdcD28P+qkPUkEXS41c0titPluLdGSwZUe y0AXOJTw/6vCUxrHvRMcErOveQLhLePIJhO2EkYGirpR2fgMV+SK5FHEAAfOTuYmw+oZc4giXEEY VsrXDol4awmrD7A4GSce+K9yt2HRmKXH59u2inmVu7r6shfr1h3R+am0Epl7aIaqAbkY0Ng6avsn wHGJ32G1Asao0eSnyxUXyUik3mOmuiCKTu7RghEphHuefoXwTt8Yt4SdT2mSZmYsjz1WpjoV4L5u lfXFFP0vkCxPwc605xloTY0rKLRltpIPQWKq+A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n7D8zZePoA4bijdSCd3owbHZwgXC0fsqk7FzmqDgN3je7hGvKGAkDQlJv4gtO64L/rUE2qNTnLS1 WBMdtV5y956RrGxLKjDQbj34oHqYybdaCFs5S+qqTqZF2uSbESEb9CGOUv+jQxsqVZjP1K0BWaJB GTcVIa7g4A7Uxruq4e0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CNGEITwolhW5MFruCbG5o3vaYmdFFXEZ3sAb3zOvh8tFpD8oB6IFnXva8qN/CGhY7Mzz+ukgWIAf sWZk/J4zIHnipOqXgbPFoBvCvgauQvac2NvBvjb+dmsxzyTF0tZlr95JTI9Btt0bJMOH1nQCdFbu tNdQFEmqIkKL1bPiNpbLSr3cLONBoiN9iFHWOWMIR8ygBt+8ssWjuUznXkVLial1HfZJ9yVJlC04 tv2aLCh91+OWMc+8hmxXOU+/dBHQKTjJiXpZ8vt9Sc5v2NyAfEJNUXG2TEL86WNQiUMbdK0OiQ6q 8in7tFDFlUTKsULz5YHgcDhQGflwA5WNE364+Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008) `protect data_block XegsziPiQDmkXqECFdtfJp8UVUfNvzprvyv65SpQwkExqB5XuZWNP1hmAq2YymbMDgIZrG9h2uPR FAtTwWAphpb9xKq+Fuu/QnazsYHTLyzVpGYlUFjqOAi1mBrJe3ECSsKFLIiVF3eDR15a1hc+qiEZ xQ0tw5MQuKGnRrYWSIqjOxX5dqui/FvrYN7XNnwMUbPz1fLKzWTIWqrtBtPn/sq29q9SJt1aTmiZ xF3ut/yY1/Zv+Ub0Yo9P0ybGIx9FhGhViQuYD96zKHtm8UTuS8oTz2W2ZRvEXiimNRMQm1ZQQ/1T SQkdshb3aE9nzm+jkb8swm+KXdxIsF706tIa9+pmlh/R3dZrl8itZe8A2j6zmI2Rq66JjzV42ylN ZuNkU7SycJPH9gknPOViRVs2gRN6PO2/eoLoWuvU+DoCd0mvd5BG2zITaIY4cKE7i7VDNJ2F+Dbz C3fyPEX5flSkjxKKYSOzuMfS1X5repRanHQc1BCrC43ZWPGY/5OpAjLHsSyj6IEYyyMt6iqKP8Sh 5x+qM27tCYbCT6O64ZQ7OuxlU02QdAwLEOMkLioJ5CO6rV86wLfBuCPkM97cIDfi9pwGRuqxvCGy T3DroPr9NemxwBC9F7JkzVquooAp5skd82JCSPlAWiSar1BMff65YBzhxNp+Jc3x1ZpRA2GlDSWH jeN1TnXIkgxkk/h17rGQd3Ju36rGjWr0Iymn40olM1zUoB2oRjDc8zUEcXZUji8r8OHKzdi7aTOQ QC1NPl1tyDKsNHt7kN00f8awhYtqMGenPEQgjhnr6x3nVG0ZZXlcZRbgNg2gSYFzn9V0iJFfgG9y Sifs/Fo75RpSr64+hBvUX1f25geuBwSRD/lYN51OVWMA754s05o5+Osa11eGP4wLG/Pw3YXMkAjj Y4arc4PbMqYb2W7f9En091qazW4SfY9zvXiwRq3TnWq+Vzn7eIfzX5zEQyb7QYgipfH7mZluNG40 XHxWLYZ6F2I5wWkbKveapUgodM7XqxCyorJgqjTFAUF2+3u01+jtMYezDk0XOsXvHArjuNn2UZj0 9KSuG+mQdLaJLm/N7ckHBmHG+lBRn4LcCWCIpB3geH3QmvnYcN90h9b7OHFTrlX2CgwVXgOqAA4c U3SBqrIiZqHenHcrp0/Dseex63DStGvLMNvJ57n0lw50lJLfc8sQMoF4JZPxjWQoX//eaAJSziS2 tKK7Tq2g66cBNLblCoXnWL7Qcxp4kvfrfOCct5RFm12++pR7TIwor5q0E2zBksCrwybVwOzlnM4+ VJJBAGY0gXpK4KlQKYlEzlgjINfkM7w2M1fd21zA6ZgMDSrVWkEgCMfxoCRAfY18tdjyqt+sPABr MnQp0sAaBTiqZ36lhGB321b4yoVDDyoI0HMEE0H3iIrfokZhfKV82uI1WRiSccAwU3h9yFyv9vQb 50r8uE+d8lj+5ynyvZK8c5rhgxmfDgIJ0SuLUDGkKEffJ+j4uZKjzB677Hf2WcKisv3wHpiiDX6D soi9b8uFfnu4oRJy5GiWxtGwZn47rqNk8jd7W1CeDi+/qaWxDoQQDon170T9im2HObuOnCWzfTY0 iIZtSM2Wjd+q5PuQnmxnfF3lXgItiA9ByALsyJRinWtE5iTXhnN3utTvBMOJdrivMINcgn09o98u nRxwVR3wmLr40rJfxPPWNizvkP/8hQihnGqkOsN8aHa1RxYwiu6Y7XbC52OTV8aZvAs8bKrLKaCn EqvwaQ5McuYeztn9gpNAct89QZ0WR0DCxCdqow8ySdHekr+sSY5XVz+HjNPRdoa0T7uLxuCDibcm A9zb7z+Y4H1+LbeA8kchQO8DIcMgk8Km4qO7+FTpuJGrfBm2GG6BfudB30OU8l9LUhlKfI+ogioa QeQKR1GaqrCFtY8X7BRhoauGWkHexBdasUdR9OK4mjksAKhrm4yZg31BnxZFpB8hETTKU8HfdEAO 8DeN7Mc/CXLncebmCw3lWY+CTIsmMdTEvNnN36cogHbYJLfvn7K7j+gNU6btJkgk8zuecqr5DImv Gg5gLL333pGl+Nu86MVcwRB+LLM1jwYbm3spwPvn8rCHtYlvpzwVmP3N3TdVakmBrOVqe64t5Y5e aJ281WKK11i7mW0ajvmIv5r4ag4UnG5y0uCnZOFPl123otZxnXIsZw2zbnMjAvcZ6gF1BD7WTTME jkkVcf8VegO/dxbul+h9I4Srj2ix9jF2XNSxXFzZWrrx51l9Whpn982PjoRfMBt/uhotz2ooLgqw +IHZHWyyQMB5gGi2itngnbemY2ztJxaiQdH/mjwYCC+fhN16UmqfPA213+F+iELO0QmHz8uDZUU5 hqnC72tIjyFVvMQb93hT4LV2OPkmhG1PmDQOQSCWmz74/9S9wOAEAwyGFRxFENVAmiJOtR3G91vn JkPY7kJch4di9pkNRweNIlL+svzZy04LT3HbCuQ1kC27hJI5lByk/jQosFBSXOu5qLBWokhah+yQ coB9jZIgoONg5SADTT+vVtBxUIIjxQGsV3YexXIwpOJ0AHkZOXfpvpqRo9M4IRZC3vDueGUJGagx 8+sS2GVwMkuyeZJpNytMK7ZvtTowdc/FKCOkgvECs1ytmnoahXlT6pVHpJK5vHAKt4C3vBy1CrQ4 pAXLOnwjxtrmTnzBK4Q6eiUtOpyef4jHvCqScbrM/6Y1KCbP1TzG/TUbpm+4xcyEYeLHXRLTEVNp FJoJflLBmR3WL7QVHiaUaz9n+XFvfZdTuiSv9nR3Ol+ZpdEC1ZUxZjYDq4HAWDhqzWpiwrNBVDPt MORTwGG0PLa0IFLzCiR7pLJQcHZYkuAGQFzC+HJil88fQnTPG5XkVzLKYNBEOVzyi/qjZvkfHx5y qkmcyzJI3SWO/dA+GuuV0N5sEdc0OHWqSRJyrza6TlCyBeP/toky1ThOzjhuUW3eeR1Zi+WBCCaa /ik4j33oK3UP2EIuQWx/NlxaFTfH4STNNdQqRfIPLwNC8i3raEXhS7dJWviQGGtjUA7BnEo4cApJ b1MA7YfqwjYmy3Rk8ANfWC4gqLwk8gyTE/NGueLOZOuYKYb3qGIPlGpGx5OjVIRhCc6kaO/yOyQD krOM5OUkq6w50mE0ymaNAtDpT4sz6Pv5bdxxuF4WhQwx8/BVu5mwr2wXSLumQ+QZxF2ZHwbxjAeo HrUuAf/lBLnqZo278fPAYlGNoV+kV8VD7YMyrvn4oAmop/5mo4PT0H1ghFTCw5ZRZZ7rpQyGgtme YXA10k4htPNjdUW9MKUaxvcaw3rxiS+Fkkom2YzuoLL+KLQM7v5bDvfUu+XQo28ntEgwiw7WUfxV +sTwc6cRJklXHT+XXRXuwk7DLqcKHu/2OebyFXaGTdjSHxzMJ8aS65/toV/ltctSsMDt0covxxKc vU0xTfdBMd7/JoKkN6FdzUXgaQm5FkRSzAVQxfActorWYqmNChjN+bmVVjTGYqn23jv+r+KMbWFg 3tQ27+rFKQYoZHhrsGdJJSL6vc9ffKgJZDdrILS7HeR+IuyqR/+ypC+RNpa9IQJl/Rz5s5EPuMvz 2rpt8x/MmOFjR6FpZcAs+G2+CfhaiGOn6f2A5Ww1BhYBg8j2MbjDUoS1B7ZFlPH9twYwqt+24SnV 5WteygrXc0OCOXGfeXEOiKp+mSNgycLFST6/BrP10hgaTEINCsGjv3MnwvtGpvvOHAw5quS+F8tM PXjGN/Auyf8C1DOG1maElz19HcmOY+jABXeYDAamzuvonP2bJ0IKBR/rKA7sIPRlEcArUGyKzQ0W 6aiJiR4NecMpmcB56qir04UdBi7Avdi2oOEoYKl4B0Cq5HVGLwdmi9iY9g+O6c0eps097TCD2bg1 LvtVGucM+QgGmKpjmiEROXq/8xW+GZZwuH3BOIuu54ocytI8a3wJ6dXuXD8S+DPVU2wnQlQ/iNQn TXoTGNC5GSbAEzB+lXreXNz4EPz2ogrUec2YIai6Fm1MsNSVFZwlGTa7q2pSnQ4YcJMIqgtrcPEb IFKecDGomn5wMnmEzuRVizqGQNAZ9mB+bywQv8atnFc1PqsgzzjF0+OPr3PALrYsZhLQ8RvsSL7H psmyr1UoZg1HoLIMQgUFPy18uVVXnuAA3Iz99ssDM4FTkmRLnfY3gL/TX3D3lgjRg0JD8SeBbq2b rwrqbgakumz7ZJ+5OcToD+CWSXe8UD1Yw4vhPjFppfNhDm0JNrRzFGHmPX/D/tZC8wAhDoZhlJyx ptsX+BIBbV6zz2NFYYf/KP5fIgUHHYU07nDy+41/h3wmCOKU9z0N31d437N0iHaKKaCNJAiyU7mO QcXUNT4UW4DDHJ1WT9py8qvmYAEhs4/u/McJd5I8mEaSzt7IX1L/qLERBWTRWys5mFhSDuepa2I6 TkkmGF6l/HXdU4nMpwOwWm3EQDyYmOs4kAgCIy2P/729R7cOeA+a82BATMZr1nBzhOB21Dx8J2PO psSm9CcPIhUvaTLhl3Xg33Xkwk/LCNiK/G8iuTQ/bVjAhER8eGpj7yE8YDngzk56j71j7DWx1Lnf 8f+y9B60AfXH3ZG5jqRWg/GO4V2aauwnS5yBCEg/V9uWahVUTyAOtl2Sg6d656hJIEoAO/VTkHtS Yuzr7DhnmUvvw1/1Rmh1mnxKTJRbhba1mOJQwbWOnFICVOaNrTWdBENDELzK+BuPGtT62dCPXHQO I/cyyK5Ev0rRWLetojOKmTdBjsY5HGecjH3J4qUBFeWZ0QIHtSUvj3bIefDzz+aLPQVbTTXeSjwv spCdZWB8hmQvi0/L4TGxPeuoeejr/d0hRDAa7JVTczoW338u+mjlaDEaV9xYwdtWQ8yh9+R7BwWf NTTEwCDqGtrjjBwNWURvlrKGB2PFJTrNZ97HK3ZkTwYwmAwEbasdao1N0Glxk7eZ+sUqGIIIAm6q iGaqhl/vHGRrqy2mnJaXkAHUixa14t7CTQwMereH5J1Sy9gkr68djfGeSfJTCM/BLNJe61ePrfqy 7eUT8CgoJCi/sX52bWahjZf45OPThh9uBmyrThjEGjtbM0jFURp7ODmPjQGpLLVXEO/Oq3vPEZxB aChxbwYSrkVUpUxm2x9vGK655huIdAnJO8amhdeC41L5Q9TXx+7MT5h9RHjoZo44e26hZrOD5uO1 RIglI0oS23qX1P1g7mQCxY2a7hgUS4PoU/7EyGZ5K9ZOZbQ16cwFcfYMkVB6ruPccY8WuzPp8Jgl qCd0jG8PCGbLuOQybnQiEG+CQZoj1Op12ZzlnL6RHy7gy3ZU6o5Z52jjEgc4mkNcDJKT73EScbl5 bvuvLADm4iJEVtos+ybsvPTdmTCVBDe2qZSbzCx4AWX4iJqIuotiMyRZKeohO3NuZJXN2EyQn5UC rWUJDUAYFU3JWACn0SIMfISinZxwe+fWTxhuFkc6ubzXAWeTmin72jY9tBUMP3wnrrfB247NkWJ4 UexYp/7UbloOZaxsFL2yxdPLT4U7FksAmEQfsE14oJ0xSPvUmEr7aSBGUS03RkwoLdo5t05u9iUq HGG6Yn1F9kJRuz5CXOWSNa8WHv5x60YbI3Bqj2Vy0Wr4FXHLhmElJ6Oxx142lmGQKaTxZr+z4hL3 h1aVKrdzxhHgR18HrBP6GSNhZs002iEn3q83RAPKCfG3x5sKQGcZIv5GX0rXHwpn6h7BDV3Iqifi mgWZK1RpF7PFlOofg6ThP7DHc/OVZHKphtsNWtWNuvunvkXpRAfHrZ9QoCtfhqE6hbYxD7wJrCa3 3n5QzECeUUpJ4zJI9D9RqYrHdeIL81hoOV20hvVyZb26HOIsovSw+yaAm7J4z8gngm9PJZCXgmL0 AfMTBsQV+oOyWFf54u78LG9L2AA66qYorXl6TA3+BpnRnNMxigDYzP+aAu5DboVV2W1h/23IHF/n G5/0r9mx2dJOg+JHd5ldoy0uO093GZQzxuKjfy97ADdeT7LBAqUhU/qXqwAJGYjoCKMf4eX7SRp2 tSud6CG0npocVBiAcpFbvNUwY7n5bzInZI/1swfj7Ae4w9YyIhKWwWwWV/Ysu3rWsiEDEW0a+g1j vICFtkJcvrD+D5IeckMu0tiOQAruSioJLYqgbiaAHZTgiwVSqfc05htEwtSL6h7dNm8BxDRwgXyg jpOCq/jtHe0Y02LNlhzt4cKCS4qRU3R3V3zEHV/7+iuon7mz7pbL8SKSutKWIAdBHS1vRLhs+65W pO41C8AdchtuVVF4QPxb8hvcdT9ZqSVVANH0WiCAFiNrdxSawddEw6nsWEmXhlhbxJiyu45Jbhup ah9OHFIugTX91zv66VRqvLFH3Jf/271oEaWOBiY1wB57GbVMIV6wbwaj+WQDZYFyW/SSL4Hj929C XPUQrNAUKiHSx/BY95fhQz000z1q9a+4FLRcv0F+inVar8JefLYFoMMgoACWQccUqNt6VGwJbU5D DjMiRi2cowD8jfh8GIbQbMI4vqvrtT0UPx84kMymXfD1oEsqLt3Ruk1n1R9q89MlFTfnlT76TITr a2rQwvYDurDSyCRTca8URBWgXDtFBQ74ROauOEyHSKpIaNlodFCWNOif86sdQYTyp5Uyvxw3QO/S Cm3rKkTxbZwMwqPUcxxcSXLRtZLbGc2EGbEKAUVmhnThWCfQk/hyqKg8MwCwWuOVt4X7jgxN86MO SZBq9TL3Nw35oDkVxrtS5wmg432bL3aMmgxlVG6NBvnYCw0ILaMjCOXcDTjgPzrjcTzad2QFGs66 +NAa7UuHbX2MaHBMAJHCoMLo/7K9KmjjFI4mcMQS85dDkH78gGL2w9OhAe0fEYOEQhHpwcwlwDaN 9/8b3p8yd0kGd3NNxgBIOrzlzIU/9OqcCGNCDTXBOOFkPP2wvhgMybXLVSj4IilzrDUIqWpaozfq RJuPD5PwO3WlwGgPFFOOPakK9liWAyMjFepHj8exn5UkTLyUQOmjFsKP85kMAtc4nxDGUT8GiyL7 wFLCVnh/V2kJJICjLhEZL1LTEqen5j5v5cPMBJ+N1r76NeqGSocKEgpULzzYYaLMg6J08I2+jGI0 kLGPZvyVB2ty/qe4w6uXCKatOKdTafgjNSq8K+3Ts9c51FcckKy6QrnRoKYn38h7oFvm8RC05/vA P6L1EECv3UPSEa3g+h4gjaVMwArQ+NHcTRE2xXC+HJTwoXLjrNg33XlifsVKDuHODoMmC4KGZvRE 3erlRfzPMQ+1ub3tBNrD622KbmhcWZswuvo8meMXjMHD302Nopcn61jObPaZtCcgvuSEGTQUN2PI gVVdVRZKJHS9L6gcmp0wz61C7lc6zIFhLHxvQ9TxIdRNQoaqYvj7kLOZrDhjCdAjSgT9sPjb2iy1 3YVZNmg58M99zsOa1OKnafS1NvJrNW/IOgg4a2Xm0CmtxYM2KSy2cS3F4cf+Fa8Oh8SiRUf4weT3 NQkGKEQVOq2Q2qBxzCyiBy13xXpGg6ZDelEeicKTNJT9+n8Qpg41da+YTOYK6UCM+2NANnRFIyRP TSB8fKQTGz/YYjEtC1/2l2Q0mz7NS5KfS5LjpaF+rYOsfZaB1TlfPweJpkO2XHTz0oUqbXQNnFrT PRR/9U7n1CGVU5PWRl1Gq/iIe1AhEBsVHgj1fRIqnF7cY0o2+VwtmfxhHbZLJeZMqIye+Lj+jvB1 o3rlsTsFrQOs+N/Gkygs8KF35kN7j9/q7vjQlogrc89GRvgxBit2Bg3i2kLY7g//hTkMjBwrFnAs 0Pp4Dq6LuLTqB7kEo4pX02esfFR8/Q4IO8X1sWxU+kbP8tYOCwe4rtwjJZpHtWPhTL9UuwwaGcZg pf5fxphs0eN8GQyhW0/B2hstILkjQ6FeRMchdtipOm2fD5PjZ2zvoRQ13elt9yEYFQ3igbjtFZPh 1b/bjioLCfjKfsBKsI1Yk64i+iYFXz6MHbqGfuQSfE1QEFoU3j+hpqridqIo2uD9ropE7LKnxHHd oFwqf630yNeuIE0J25WJKaT/LuiJv+wi8b144Lod499oZD32+XBxsmZnMMZ+14+ZqorAG9MjEW// UCDEVVKhs7WEyl6BgZugkMcoLdypafH3G7S8LBs6upOPgcEXoz4YVmjF7YaXs4t+BkCoEpcQi+Zu 3MgZoxgEf5tO4MIo+6CVaTQk3N2HoqZC7/VFfC3cCYjXFpP+Wqd5Sqah+Fix86TFeJ+SFwrkFKex 9Vuu0ohfn3mJYUWLlQ1pJDJJ1sFcfb15dRx2XhxyBqrTSLQPKK8+rHRr8QS1z8EsjHztKTYV5YRB Ye4mHcsY8UUXL8M9YBFb21xqcAdtLoMfk7JBmoICiaEzKIIc7A0h3fq7EJoSLEAyidRmQzzxgG77 K8AJriLRlvTuLO+P0/naBj5f9GAQ78UYW0/MJgz74HwAf8g0gz8n0DQQAkKd2D0THxVeNGHh/pO8 OmQD+2nnUwPQa4HGL/H7Or2zy1MCKNm4wDn+GlCmWtgs78VIsd+lsBXQw4O5MlhGgbOXQsTAkz+U PK0Uo6hnxpVqPNwLRc4hzyAwKmFq52Ei+LT2Rew/m+iqgU9GB1uzQaQH6461hPwF5h3aNo6qHuZ7 GVAz9O0xuqbujGQyxo3+lSGg3FVNPCj9knQPKyNjRYctiI58ReT/kbTBKwIUsvMfd/tYtQCSMa9A rIOtxL+KKa9XiKJ45T35nhdXTPsFiw5iWwCdMcLTwcNB5ds4RZeT287LrzKGBMrZ+iJsyUF8L1iM ThbyIFE/WIMQKbH9YSVpiRdAm7QUw+wLTR4Gug1a+IJW3rAfxngP8AiHhiLweuX45p0dHEdkgP0x ikq3ApCYQu7ZoNH7KctUs73wD2RONitlL+RMpgufXxQbapBGetW01Qh00Zc6pI45iMHOKoGLjXgx fvokafZh6iZzXYld3yCSb6CqXpMGYhtMKqZQ8mO6tLu4e9r63GXl6qAt5HERc6WcS6ECIZxy9rex Zujv0M7qiU2NmYDWsgU1Mnq+zM/Yt2wcoHxK8mdbQJTcsKaSs8mUaQRxk+G0gFC3WH4GoysZNZJR UKTJhuMDJE/84MbIh9gWvSchM+VN8Sj84kOVmNOhBj3bWI6afhiM9LBsofPhHyiyhoteejBu3RnC gUvx/qG/XiP5TKP0XHNGvOlaLq7oArsFSx19H7c0tG7rbd3y01pQTZkMHglUkVwUykpq0AFlREJ+ j9MQSwe240Sk7Es+UufcnGrRb0dbaNlMa2RHgInr40f0hDcni8kVrqDdGNXpIoH3XqowV9WnZDcb lm/uMiBD4FB3T/SKIZBjwpJ4FgofzoAzH7nT8Zc5TFyjN9NnrdG7S+/l0I7YGyF/tyZcxts7ziQn Z5BhND15Rg121rvh6la67FYba3/HzKaTolgesSA9/B6SOGFBndAuv7QUc8Rtd7YByA195ydYYrND 2S5ulfdd03RMNCgbZFtVQmrRqKmSMOrhBK84YAS0/fRLJmh3F3yvpRMlyvdT94WFTuoqn7TgUYmq kpznc3W2tHmcqrJWVZvFCEI0nsxIAMZLAUKCydrzSQNCLC9gb45hzaS5g2EFBovKfsgmIgfbl9WG H0qWy2DMo8Uopjq2053mLJIn/eKk9fKwUaao6q5914pELhH22G+wTXwoyVczSkmSEzxQL14kn9Zs ke1GjifNP3uLQPN/odUT5VjMceK+V6gmaw6P0dx8V2WdG4TJrtwVbQKjZ2FzFh73aIky6VqE/8qd gZjLbhHNyMOITYQwDxAIUgPkFJAI5ES6rTKgr/tHgfFEKT3sCsepV+MYmOJacIfQlQ7fqhSHWxyA quC4mr5z56dlyePTB8y3X62Aatn6zAMiyF+n4yGg8PE0JEpkwSw/2rUPTPhxByf3HAWApiruMC4Y ctHPfaSD4yX2Y6tCnK7dWKdD7loMaR5sylLzoNDNpzvFtBQl+sjK00ObRtTZfaXAjkQSY0ln+uW6 B1h7WhNMbqFoF7HamrRA7Cfn4k7FMsLfQf+XoQ3ZSIvQVDxrFbLhbyz6G6V2eHMGD9lxU7zsx7DY 9gmT9jLJV45yF97IJDaXDq3yvdSfxfo1A0S50PrwakPc1sh369gFU9i1xNSl/8XCrrTR1830vCQn 1M6hgvOJQ2D4saEcQOaNJzuBtNRObt1xbfyMJkzLf6Rtb5/Y5xSwY+bKWy7sHncPjdqX9RMU9itY nFYlPMiub0jDdKbvNfHf5cwjwq69poeAUeXAMd1t/fkhAUxZQu4o9PbfiRd2ip+w+wO3slz634/u RpVF6gtZxa+iqTBB8DKb4OnjmempqNR5mOYmU8fuHL+NqYOsvPrecOcnbXrAOU1DBUrj8aNF2q5z xvJ/cxJ0Lzsq6aBfgxQdkUoEgApa7qwx//oOvV+fZrxvU4soPV91Dh62yxTqlo9gfpqYNYlb17qs rZfYRXu295mkC/el51uZu8tir3jFTjEgbIgeqVD7E9mK3FLNjKU47ySd83R+I3b0ddGskMnrNG83 uYtJe6rCfvnTBbpE8AAeiB0vA/JA94IR1/eOhcE7KftsoAxoTShPl0WKkQVOBPmN5A+w5QSzrD/L s0NvSuzR9abJ+prLDaUjH60yug6kj+W9q2orVLR2in2DFWMswcEzD12hwu5CsbQtRbX/rY9r4oiy 4q40j8dq/dhzJ+9yrIU8E3iWZbgyr1p0MJ+pt9RjF19aWdxo/Vc1gAwL518g69LT1FjOG9VnlpUl 4qWmSQXIEKvJOgAM4nzv9x/fpxNWalwKASgt2qbzmD7aw8TWXLErdLdbQ30tpHOxmkAxRvteuU3Q LeYNWQxkShPTFCDLLwu2T+MMbNBa3QrjTT2sJaLr5js+7ncLPBETb5zWHAWCk1Igy7FMAagHU+AL A08/wArwzRm5O2QBDdgP0e/dtDbUHvPHvhyO4nwdf8TGwDJc5wb3cq6/O+UXLfLmyeR4S4Fipbuu 3ARTSjugkzarJ+rpKNDN8cyG4OFc0FhtrHTbhzZgca3V7G16WwNxhfM/TkBzMwpsn5hObIq7OsSN ndN4I7R564z/CIaEO1lS6VdUoNKsQOyEE3Pa8TcFQVTHmNxwegRHrqbFMSNqh6JZiL/g9k3xzmNR 6rjCaIXr+Hy8gvSWD9oeeD/48p7xYbf+PlTegRZfH2m080OsP9hLc9mRSDlauKPdOk6+fu5AuNEh xdepopbRLKi2zo5PL/06vLvlcas2OYkeMB5rEpcPWA1NbQxe9Mb/wTfpGEM+5eswM47QNTL5PmMw 1f3IdvsKA4x2Q/GcEPfYhvX27nRr06ovurqIm/pb8GLn8X1rnJXbEREvW8KxQFe+umkmeemYU5/u 7w5+Wawm5Ny3dF33uIhKR+ebpMGkLcdPqohjPCBJsKn4peImEpmSJ7E5FTbtx24ubeoLt1SjcnzY UKax69D64WyseSzBCI2eAzQTZ0OVRESwgZTeWcHQ6C/fSBZM1JbVEq3CXXkpFMmy6zNIzTe1BBh1 0+LY6BakqWrHWqLdbZFkXFu+01XofvlfxvuAC4PKPKXGAEb/ajo9ZgwJniB4bRgUfzC0803TjVsL qseMMNLrzEX91NrMeiu+fFWiPd+BvLqMa+SVEeDH0e6CzR/h+VgCRQqZltMXBPIvMgOKZv8UuKhZ 7xg0pHc6LgzqzSCGRK2qFIu19mcPGGHa7x4VHWagMTFFXcQwhPx9GNiQMBgRhhESDFKnQ9hu9lGZ 4/TfcCPKGceLY4mP9rQqmu5dULDiP8MHSDnnyNsdbudm39YW7KtxAlPGgsOdda/VNqBZow14Sxhk /MiSpUynWEVMoRGlux6Bj3gqAppn3yPbHoW3EIXizGXQiOakbfu+TY7Jh1pjZKCLvieP/Vl1X0mf pL2o8gtgvqJlvSyw5AwXyf4qYgT8I9YvTFdo90bxzdGonp8EtQDs36dvWAFmkdGWOriHUBMDMY3a o56vwY8cV5MuZ5+Bi/UTqhscefTaylxmtXPKKHhkclSo7uGmu/I9SIzt2dCyWSkdkgnep0Ndhi0o 6xDYJwb/9wLSeYS3ZpuKq+1QVUZDBj9kt9gSPov3nS9TmN7afyQcemoZZQ9c/1KuZTViBjMAriLr AjGHyH8MUM3jn94q3Bd8f8oOm2MoW3h/2Kndubn1OuCEWoFJwJQiAbUJgLgHclS3w9h+vvA11ZdM 4noymx7opHolXzwveog3cPORalQHL8P+OP2xyRAIxTE/PHSr8L89qUrqptANO3MheYbkJg0hvnP6 sFST3Aon/EhrY9QnimY7FkZzTYY8CT8b6XPP772m5DCNMXFSLsfT9wu8lehhJfSwSdv1/QzRnY0W DEBVuTr9+IqjVqvcrAAxN9f4kazohRcDXkHwur4bpjGjRUlDWkNRmhBhKOJPSEu89XW/PUwW4ZNE nUNJD+sW5n8yKBlbpyx/o9hoiJSZQitPbxuUd/MhT/BBy50Unfk4HpNepjj5P/DVve3jk1tFa+Rz xSDvIaAtdS216McDitNNI/kM23aBkZia/3vb/ARSwx7m0V6DJ4oPz/g4//EhZL1n+B9LDEYAWuth Id+r027GT3tR7EwvpGsgMiqK/NlVGQxKM0wOUx2Adp15Db1F0bs/L2YlqL9lTCKX8sEIJJYEM5E+ RzvqXxuA6+W3jRdfSk2vdHb5l18iejKEZwpIqz41u97iBW7duV0dnT3zZPzJZZI5rFAk9YLBA+6Z 0NP2pZc953glQjGLdq52SrWKIIfxWuNHbUH7F/i+LyyifTt4I+pVMJFTzLB+mKO/yOGQVYVNx0eZ MfOdErB/aak8mBRYvE/DeC8MWO2+0RUPRuNxeq+zQ1hGfYXSh+y9JHI340/ZykkuY4Pkz9rdSEKH iCID13hl57ryKLzOtZn0WgX7tSV+qOqtiI+08VqebgJarZdBFIunr0dI7SmA6V50WuEpfWOgrSio YgDvR7JlXxgRppxkCT6d+rT0c7EUWsyoWxPTWfEk6e4cz2kCYPAAlV1HVIAXYdnqbxPhlRkyTvbD exBuHYo0vT+avURjyFUBTxylVwc3D5IrVa7A9gDN9BKT8usCNe+c9VlFaLZ2cJJ0Dcytt75VhZBB 5NQclqlsxKbU7tF2QfEB/1P0tUMuYQEhPFdYlNszycw2fqwONAt9ifwax2vhjusgjZqTGUP+3NBk t0CLbaDj296+DL1rvbUrJZqj+tdFS+mIBeyuQMmceWZ6a95BV8nJUulXs0cowAKgVltT/HivLvU4 iLuXxzOnN/KcERm9SBoyZMh6eWPE8irmfOt/4g/E3RC+BBpxpOe2q9M/nShbms8Ys9FP9CJoB4tz FophNeHMFV76808crm6UVY8kZw5UmcTV/AYWo1pLDbS2PqHVUM/biF9Q3y3nTsHqaTpb4PPudzaj QnA+7eNaPpMXssREgTQw4Vw0aPd9xeOAVlJpgmGiZpHCJ/Ekw96Qnn1eaHNNhva9IC9ndtLtJXso cPsFLnE3lY2hihwL0ZHfOOIaXoQGCsydUT4jNR9BysSM1O/VeNdnVWxDbUXDhYwaxrTUucfvSZJ7 JX7Yu8RRwwl2vTnK/myUOV2Bh0if17kHcL1TLARAJCcZEWbQgbkL9Mr07VCnW/jhsvOTZcKdy1Tw E+6rSYAowshCNHXFH7DYbL8AoAsF3oj/OtjqpywXVQhAzFHESMa6+mXyxSQwZobY8cMoZiqgeuxz FS+FDBZmDs8FvH1WYD3dgA8S+eC/CKDqAPpnRfWh8Pl0iglR6iRSZmmbJENSyFqnoSmr9hOC8XBB YoXDuAT+/U+GMW44tg3zcMjMei1yrTIjYBF6yQcdR4rhtgoS+GWnfrp8YyP1jSy7ld4jmHvQSVRR BAfCaoCtCuEvGkFrF7CZRU2Ha/FsAPpS/GCwFx9Bakohg0alpd3IGF/Q8zd1ZGG8oWJSJ6SSFDJC r+VlorlZS8wNJlx/upCXgOQyLcyVTKl/eRYDUwCIT+pYF/+070zfD26taLUsp7Od6qIyFKzb+Ry2 IUuevwPfa/g1LDk3Y1lyUV5vqzzHVn4SIOyN4g+Gp2se9kYAcQDNyYN56+L9Wyo8gyBY3Wx+jJep T8mymM+GI2H2EYDYUJLtAA8AMbSO5fzYwZ1suYvfwNT9NOnmO28FhIn/BsalaaQznA1pdUFP89V5 vprCZsmMLukOoZ2XZ4di1lb0YdCAJKxhRYUXCR0GGN5tDs+cnH6DWstYN5FUzzAeOVURCdb7pGOV N9MNNIxpVn8I35hEZIZerGEVwn2+JsRMTF0bzpdbVdwIhdwg/Uu2Zz5sJ7jDOQSf8EhMyDZZweDU t0SJ1Si5GPOhQ1EiWbWhDheUxCxIwA+CaZgeUEizyK61TXpkJzYMQdpY/mIFjb7sdHDUPU27lqdO ZWaqF33ZnV3bkITGsG50f+BRdz5ddCty7JLOKcczCtnAhj2Yw9eiseOHoR+MCFG02cDs02HDUoYW aDPXOFXSLulYvJsS9iS9oINfC5k1g2488ACJdx3cBZ5QXkEDJM/r5mClRES/PHOy+4DcfjgD3c5B JV17W2a8RTH4xExOESNENk2X1D9Z3Z7hfm/i7tuY03/FT/cLVMqC1nYtt9vgdUvBV7FL+8A603IF Jp465pWDiSqSNygMOJw9JZ4TDcWwpNF6D6iC3fwH+b3zg7tv/6wimdVO35zgSzAQBNzYfu0MUw61 0eZsiSyqpplVuoaGp/dsNMqZ1wLzEUz0njlNxjtqJBJLRkqW1qX4gzTm4i0zgicKYQNjCpZBE0v5 jbHhG9TJ9uaLApasDphiRidBI9h0hbEHgUpgjN5NZd/7FTolKy0N+796QhOmD0wyh9pKBj0wjMxB IRFJhie5aRzHoZ++plAAxZEa0gvo4JxlrqVpfBM+r51RpGXy0d3va7smJwjJXi9t5//zup71IfDw NDgm9QjFnfQ59wt2D2tLoMtyj06LS4OMzEvA46hnzznU4MUoW9yhIS5nioK3u8Hob/nBCcWzT55g TMborgmymurAZi++ObNz9ofE2p38zA7H9tU0U4h8Pia050eMK60FVBlnSLhES+RCrwysZ2u6v8mI zt5KuOr8/8c+dHAFYedwOaZEH7+04Uzh5T4n7wk0qZFacY49x1LRFe3w9FM2sKzP5u9Txs1ZvHUF GFI0yOgWUFkZyz7p0Dsf5h/30lKsqG7UFXHsR+/Cpwu5b6VMmoCk6EJgt+AtpvzogT5oho/B+W7R 1/+bY24NC4KKT5o7uFITijIAKzLak7Ev6uXILVetUtJotqxChQt0sul//IU5CRrRHOLys8cJCEDR kyHlFCd21x02+tk9I0oVGV0HVqPtxxkDVHn9tB+Ns8BwDRwNoJakiCa+GJLzwGSYmrNCx4jdAoxe 4J7l4GsZXuxqjpRNIB4/HGSwuCuvlRamESSHzd1YD3p5jYuNczuvIfSiPKLd4APnsqSOudvBXx09 2JPqG+Hjnu5QzZHEvXCb3+udxeyMnIBLKtFsAOau3X7gad1hUSYh2JU1vvJ5zre8DbVJYtFhEh4H RnQZwKSB5Hs34onSWbX9wARAMjmRAvjzY7jRXkZ9NVLUnHfofQNZLk6J36awXAI+OnFJNT6K5MjJ ZLIVf7uCOKB/eltoup0mfSuXIMQplNG2CXBQHtr62AW5P+qi2ov96g7ZKXiM4aZvgRIvNjKXcJIp I3iYZsmK1EWJWhzlqAVebQ5vsP1H+JFJyjrXCnkcT431XXF8KACdi4VglqFUstc9P/Mvb/1vh56D ycgO60LexuVqaM+qhnkV9RDccS0S10LTO0UQ3IV7hYoWdOTJRwIKD+r0mDcqaGIZhTbWF+4wKRMf 7LP7VDlMdeqe7n2suEE7J+/ejHWdxFXcoJoKN8d6w+CZjWuw3RCesoYpE7YXcg+iil+Ppi/zzpc9 3OPyO36a4d/vtaakwVLDieV3o8ZFa0QBOzsmOL8wbU6ORM5N80KVnZDmbeZije7syMwTTjYgaS54 0Q98A9AAOMOJYCmRcLEcn09ZMWcX2Z6hPKelK13LHbkl3vhFhercX78cqf2W2UOPNHcEdg2i+E2+ MIewPR0x8jL009Xd8ud45YtEtybH/C5+zdi30LMGrVrYDqY29vyryA2W6nAyd4Mrr8ogfOVI/1hC ZzIOtq77rZhyvFy9xS1iIy+8C7Rpi5Z8gZQgpi1tLb02VCeA5m5uT5O6Mt9iqbHge1fXcZtps8Rw SVOCMcheIOPkAOotQ02JPMScXZ5saRbzZLpyp4DGWGAjOgAVn8p8xknnRw9EWHq9KjEwybM4SLmR ZSAQR0jMlsqwTXTwL5PNJlmrPH+N0q3eXEqdhgjySO8BZYLZzCQ9EjxPTIlmJJGKnU/Y9SNze7eG betHh4WW5QS5Ke+WpjGv6ednHaE3uqG3BaDstsXET+jUyYWEc1tK39KPV6KsqwpPwCWu4OEwQJoK 40DBU3ei9vVd+vdvOUNEC6fVMBGaIzGP01duVSep5IUb57RRT0yHhxdtGs75Cil0Y8ZvYdP8t9tx nqiJuGyPwyMU47Clvw0tkbmE4Vge7WkhKc31/ynXKSyTKGYuVFEUaFczbR5wsm31BYqGfI8ika1R moS4MXK8kKW5mO87oq3Zc65GWwmNWOUvUY2HvKBgSsF3B9TS+IV9YzL18NVcxsvn42uIXfJW4k6h lauNaDvldpuuOhMy1LWZZULs3f3sIwGapV3GY0tUnQ1Lg68i9rl+S0Y/VdB3Z1GtdpqEItTQ+wNC fJvtXbo1eq6KvwxMaYkHdfrvFOwb973rU3Eg1I9jY53adNqjXIUF2IeUnjiDIe2RsfgPzjyv2tBW eiIzA3FB222TKxSm5myZzcblgxzukj5VeCff2Io96aQ7XhYECko0XtFGX1asv7q29eyecXVy+1GA I9OjaVMQi516YDjxM7Z7ngvueVaibJb9uWWpCkk0q0qrcVVL5vZRdWaUEOWsLi+Le7/6/8Sclnrf pPiGT6XVQpTW+iROSGN2oQ7I3edB5TQnyGIyl+Djf2v2FVo2w+66zMKmrKntuDe88MQlg7T6MWEq /U5sWk1JnJj5lfEvL4gX37p+3zenCn1tg6pbyh0/oD7A8djR3izbjBR7U3xoQ7vwMk8mtZsoqfLC eZdKPGa1s5+WUm95kp5n277/m9HXc4lsR+KN2gs34agrSECMIKPhM5yEwiItytJi/WznN2bEdzXF umAxJLsoEEYDKx8WFw+D4OP7Va8C2gi96s/cKvGWaIdhOvBShaK7+HS/k0kkU6APdXVdU5FyDX+K 9GHGAmzJBCEhUihhbFFRGjuKPc+4IYpU+yYsAq2vKeBJfpPVteN5kxb1T8VD1yBUU1T1lLjc5Sf6 WpNuUSlF2UBDefC8 `protect end_protected
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/drive_varef_out/_primary.vhd
3
255
library verilog; use verilog.vl_types.all; entity drive_varef_out is port( parallel_in : in vl_logic_vector(63 downto 0); en_out : in vl_logic; serial_out : out vl_logic ); end drive_varef_out;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_v8_2/hdl/blk_mem_axi_read_wrapper.vhd
11
57813
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nCvZTB6v6PTjPS0o+JcKDNAhBR8J5MXtZrwVnjz6aVUHiESzLvsz/MO3Vj1n5CWdMBmba5Vq2T8o ecEWLFVYYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fEepP/mLaLUAPmpxg08CO2fXfX3BpMKxupatPdTJFRP33reGY7q8putFqYyN0IGzjKbIaFz5Bk9T 6txdG8LggeRYG0RLCLWqVIrnST+yLGDDMCM20vwFcsLK+v5CipKSAb5Z1X6yr/upuusU60mUrEI+ GhOHzu/yCKzPGhWSK5M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TWogJ391sOUOiYPV8C0VmfH7Lt2OoFDB1ANIEqjQdK7RlTImx+9cfN0ASLrc1bgQO0Fa4GhJ8GaJ kH5SNZ0UqTITV1dcoRqYnL2eco0e36ymxVIqXR9HugA4E4j6uLcwSCE6Cj1ehLRqf/ufvmn5X9VB VSOceS41giDc1Mz7JeJ9o8uzSMDO3sl0O2bIwk8PUP32+Il2ZbMIvS9jX72s3mNc2texJHNnq0/U bqSbDXjDFbqFiQSJDKYpLDZXVhCPDJY0HUvk88rfiZIqQoRkG6okTsYXNZNNjr5lHOAB6HzeIg/D Wnsp2WsHWf71gIzfjdelQypdZ4NydJ0IEzLu8w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kcKntLIZHtCuGToIf0ZKpe2A5vIZ+qu+NfNs4HGHMxr2sSxeF7dOrX4CTntdzlZP8azlUvyxQTLS Y9oKyb/Pj4M6IHUiOjIAdmmuUYFNhRjZ01bhok6rmcXtKaz2biqTfNOK6LSCBPUtWC2YbDC9rhu/ jvbe1v+EwAQpQpabsYo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LeMeViogrUwPr003VGu0u2/vn+NkJ8KNRR2p6ViPls/q5q1w9fjiAN//jia9+xH0QRZsrOxmE4sT mlzIu2VTOCsqmeZE4bSH8wDv+4XUIN7PA7qBqC1jPZSpSYkaBRrvcqhhNBZrLe6EPInLYPGs4i+d w+MKX2xWu/JSlJpPAv0YuJSExBDRHco8qjiE2kJNrBWRLc5i1BztcWTxQXcvt1S9sWNFIbU3A0br 4lBphESfaxDDWYrtvRe7MB5byApf968RkN0riH2z2os381wlDOaDZyzckOM3qQ+flbAhhKRCNRVO dr9MaUYcdsLuLJS/f/jWAJI2hxcaGIkPqsE+5Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056) `protect data_block tVAEmwHJpnjd605JiR6zjcv3ZfWzud8X2oLyUdK2qgwtT8zuKk18b7JorryLdsmJB8xtJ5YclsIW b0SrJRTZOLMWx+oT3vpZfB1gw2a5koGeHVqwBc97WUfZEj8Mbs//ZiCohGsh50/KOWEtxLcJae2E kuj80N/w2tMfWq/FmJ7S2B4IlUrwKorIcNgUs62j1x529o/Y0MtyiFUHUsFFzOPfMbf5On2jWp4Q jT1kS3wYvvEUjZAzGONGG/yqfMbIsNIKGDz0By0UBi6ID5iSbgFP/BVnOxK65g9tJ0dvAphcI3QS kHW0/kXS8S6hr15TelfZezfBenN8BcB0COpbKF7usOlWIp+JpfMN+r9gkndvcHkzcGKopDT7lH8o udqgxJAFflHNJJSjz1I0lVkq4xJS0a5bvoZmuoLn5M/cgJhJjqTiLrC5tBhTwxRIixvL2qqAfcTX njraBJh72F+Z1RvTJTYtG1OxBwNypO3EfHag4bOqJIMsnZGv25PH5vLwIu3lXZESL9unC0NvePpF GtiDmBGZb0Tp3CccaF9zC3USZEv3/1+jyZ3vQcaZeQj4Tt8VZ60eCWyGuLAfTPir1QKl05XVXUNd +Y23vCvyKPU/4aDuFShunt6kc9VixTifc8K+P8JN/4ef6JOFmVxELCNBXF63EA/spHgv9c10qixu 2qku+dGJBEygl4zDPvm0vDhdNXGbrjJ4/tzh+fT+HY7yEIZYUMAqE2W5C4P0GHoxhGxWC3qOG2tT rilVIye/ZRZ8IcEvr2RZxAIba/VBFNYiQVoEdOj+BNVl9Vua7nFbWN+4nCtcAl7haimxP82m9rPl nS1j2QaIn9JZcYZD8hMLp7sznuVKrLQR8VvlIn2eDo2a7yuLT9P94w4Hm/IKawjrqM7g1tIP9RHK YoqDEEYx6rO5gav47ujLyaqEguqtyhvJH9k0ef88IvSx6AGBHFljd3uhan9AOQjmDGXRvLEFPbuf +yzChMR3MuWbE+GiyTaHx1IetFMygz9uQ+nsUfZQ+i2KtJJGAGhVzYsvP6m6kPEsToHMisq+woef c7lwiqDiqrafXLJwhLg7Lb+e3pF0HSUpHEnXZFNSR16hzbAiu8Bg/+XbMeh+yM5HQlbr1CgSmkIm CjBO7zXiqxPxN6PhDz68rE/6ogu4fEkyThSeuq0pFRxFheXdDf7b9BChVDnBjBtJEklMOw6RP0Q4 hDO6E42gYIJbk+ooWRCWJ3+KGmVKsGNawRooHK468O0hX+mcbNsRh3vfb6w1szS2ERDvyHY/y/HB 2ssPbOqI+R72Ch6SU5+BOCOtNSs8TGomCe2ohFAdITi7rtDa8NZGcpdMNv8MYFvR9xXASyBeq+p+ EKFt0KbWDtgwjVMTaUHR8GfWPbPPvZ2liApFabJsdyOLwqmvCM4K5fCiYodSvKILxKIuKhIiVJOD +U0HwtGRgTJISFm98egf2ZfNl93enI3MWWouCjr2bUIS0F7XfWQdQSuxsEZmP82I0nUXTOjy4+cE nTyQGTbEz9uTBHUiMjWaz0FAYpEjjswZq2KWBKEM/Ls2RsSnOs3x/xfliAKjO2qOZYapSG7+OBbc CS1rr7h6j2gBiCwAThNR73Lf5INjHK+gGbBJNgrSdmrug5djOdLsLXPZb5PC+Uix946//O6tmqBe OKSqW73oXZHV5r+oDJJwluFr5CZJvPGveUc1Ib4oMvR6rkvTtS8mb0PNMZ9+IGndLbdX1zb4nXLX 1TQdUsXoIo+mr3aaJdFa0wm3WrYs2nVQwDEvTTt2CX7ZD0ANC8KrauwsKfnU08qPgRRmV35lcMcl 3VU+WUio3KzD8biBaskCkYPAjaR+8M13H6bFin61c624kxx39RupWZHnwh5O3oa+jFwOLKvB1nvV rRhVHfL2C9jI9TI5hiyqYut6ONWXTFjTVpSpTYzoz8cJ+2zxfi/Vp5ihNe8AELTsXmRQ25x3k4mZ W9TiA9kYiq4mJtnNerUAIa7/48t7hyi3b5w3ERo35a1/2HHUR1xlHqdrIQUzMXERJ2TI4IqgkwXc 5WCFsATnU9X4yUMBd0b6zDIxmXRJgxrsE3Jn7+yDa6zPV9ZkpY59TRYsrfRvffB0M7hWZmQeRy/6 cTdGoD9ij62jO7+Jr3KEYlv4eqAwptjHZ7mfG+9EuDf+ToMToK5t5ZxHyMFWtYaKfUVqtq90QWhq 5FE02kGq7UfAUcbnUJ4MiVQ0VNTL99VIsOrZQo1Cgrr0ClO8EENOqtVPZxs0qNYbNdYfwS4Gt+bX q4tVR/TPbDfErF/AnhFfkje9sjo8+YYM1TyP7yLYKtnav+inV7l6YABpcN8Fyym3ihh1Z4fj3XMz IAcnLEjkKeAbM8h7kxw1SylTvlskuimH6R7oUPgidNYF7tPntYb/qB/5qDmii6EOxftAspXx0uij XPNz6VFMpkgzEH3lE1eBObRwl7ojbfb/nkd+16D7+J5lXbb0WkQ004fHGKFXEl9euh8N26wI5hyu TFuUePmly1irvRiBzV2Aa21vEo2ggiEg+FAPqpkM+RYh+54H4nHfo3CoIgtWFzh5gzkwl6dWJGNU mRZ7U64GKa2C94uP21Dpm9q0Ts92oNmnrfOFv5qbCT0hOxGxOZ0gr1ZJRCVK0ZRqUKu1kwIWBBUL 5IKOML/0qpmjfnFoivbnqMEJRS+41511NKLcB43QNjBdhFqOetM+p6+wczoeTc4NkItlBeaZZCNF HqAYl4xUHBxJFONYD8h9p8/+4T674Ez1JG1KZHblNO7fwDqKpyoWAumL8V/J1xfytWw9jdEIQlWI jDWuXjdBMlxUQ+id5Sx7QnhdTDkTNYzJ+HOh428ODC3qOC4a5Fe0ks8QAjaCUGRNt89UjKwNaCkh 2EPi6erLjKxFUsWMjPOJiV7iFBI3TvGm/RUYKpsRadk8eFkUeOfIxfFP3lGzuYVZl0r5GCRrEvid MnZNqqqQ8eDHKqVV8x7CpKgeqyvIwkxb/U6bbIdIerj4a+T4JZx3FIPnXzKztXxuy53+emYbUKjf tEpicAu7WkdNjqXxt5j4LP/tq6YhK2QnmL/Gqupe63P4sjsN8SyUvjdN0q+oy9s6ycAGPujQYS7S YhkNtqPxBm5UUKK+r+M14YLIZLgnycTfuDEbEEXkMAmqApFjt+aRQTvqsZh3Hy0V/D4mDRE2LexM 9GMdTBhFdSvK+rTaW7pGpDdVa0yVtbLtwuuelFRYa3xGUgMLK4mL8tZZ9T/chEVCXyyXYinVeL2h RQm6KTAjYgcbnLhP/jl5XhY3MycjrwKX1gtc0XgtMbnSegcGCf8lotaPEvFaTNwUXQ6ajxAuIXa5 /P4tElnJ9cc5Kkem5Z+aA2Z9UDbzsXVnhyCD70MQ//pHQNhi6OPauTtzweATKBWicSM0scAozNAA xCgbJNF/9HphdcoRi02tej5Qkt+AY8IvaKDExZhYS12oMVJQpTID2O35BrkxfcJNOVzZmcAqzMMF gTCpbjU+X2HSvmr0BQ7j7BmBby6fe19s1GYB7Sq06FkKvulhsLTbhlp+OnzyGkmHRVO4X42he3rE V/rnWrQ2x2vHpu2PsqMaa19HaDf/rQ23r+iQjAU+Pl9VsPcU5ql2PCPb3WnmxFb6Bc7qajn+PwAr x05093bJLFglIxrJBLRwIwhdHOixX90+ER0o1qn09sStXyD2DFUTwCcJgcOxtSfoX67irUzbwRVF YaDieX9la37GMjtj0uPlOKEjTBBepCPuacFchSr4lP7s74+9G/4HBacm5jgnjF1JZpqWS2mqjcIM 52cz5wC6LrD4Xw0iYQhcqGP6Z79ap5rGCAtXK4Tw/x3AplDh1GQAiMZXQGxAEe3jVuoV3CjZ1R1c HTZgbJcChBnYDDb9JmqdA4ZUFSKBOb8j6r9G5mr9bsbWd9n987T203Ij+d8DXZoekJ636KKuB4jv SQWEAEDqUrig3HjOxBNKO1yspqE7yLs4WXwT/2YudIvsIvIc3tiuVN7Lj0F4qcAE8ngyUuCkkcat UHuNsNQznBITyFW0vgo0IGp/Lp3Z5Bo8eoYKdCdhtUHMPmJgpO4LzrQMDMH/j8oDD5jDXjKeSu+N zCtTQ8nH2ZDHwyVJMLdnb/dRhrXOqs3VnPXkvWbreY+tYlznkS4GHTC9/cg+3h3ma5aLult7jTxR nmPv62y516+d1VcQcm74DFr3bpcUHrPvH5u1de8iU1VJ1hHmZo+9be74NAYXIoKMCZdaqZMSqeFM LXLKwDItkrTRyhIb//eKWhCQIry9UPP0CekEWk/JT8J4Y1z2cAJ9vSKm2Tgdu+zAB/65/fuLSHK8 dZ+a5D7cqQLv8GUYqTUkhiWZMkbB050J0jpmCxi5FuPMl8MHmI1MkymkloEksZx/Mvlmr9AuuzmB 4HYTzvH8hE5T8ck3v3MY5P9RUmnzsox/1ZMa4bh25JfTev4ormnkrb1QapuWbYLsf3dnxpy6v3w9 33gB/2tCfLfTG6lyWoEtJuJX79NXL/0kxKFE/MWG2n9XdStKn89TNkMOS0nDmTd9lI7Q4JMQIpks b5RPb9sLsMkq2nUEImIDRN+B+PquxGzzB/72QIvw5MeSI1FFJ9R3YmpdcoxQVuJVZiWHzTBpLli9 e+wUgQKexqPYt14d5qTRy90EVuBZ81+WIBzZ5ibXDZizN+xC+Rbx8Ev6JB2nadF+ex6E939ZNEub utr+AA19eFces3kzebZcm081FOENbiP3i2PwBsS2MfGqB9JKjHFUL/P8W9QW2lA58PTF1LDM6sfj 0WBbcIdQGCp96Jtp8yWM9dm9f1zVjUCmNRzN3GmMvia3RlyBCgx2Agbkp+NobW4xzznxvMUGNHU3 ThYDJqhItVCfJB6BicLQthGBxQZ18prmN2AYsME6q15l1AXy9LJTYtgp8sSZEq5Id64MooRG0mf0 R+tlpfAjdXmYvFs2BTGAkLH1Yv1LFHR1tgK77MzwUCy+tjqW+VT45rvQsJPwXq2mpOg+Mx8/fDh9 Jhj9NruX/WcanX4xOFw6z1b3LcV497nCD+OvcMAg29YUU6y314HoqMQ+2GbMJdMZJslRFlKCRDqj k/s6FB8TCWNUTU9mKJ6v8y4YlPIy0CORsvf7HVxSSaSkWRuXVV78M2mXh8JhzgkHulJ1SXa8KAEW wr/oetVIzuwKoM+RlCJUR1qghN6vqvk5Ty3Y02Onhq02AJyzzTo5HpSD3fPa/UKnFzgcvpazzOtV uNkRF77f5nNgsMS7paqYnWA0ZJ5wNRRpkY5By/4w3Fu468M7TvYTXJRZ4lr0LNf5UcfHBmWOB/f/ xXRAZPHNhTmV/H6TZxZKjDwxvSDTeVIVHql9O/pcXRGS75CK9XWBZoaUMDODZCYzDoWKCFjj3MBV eq06JYx0IKwKhP9Z4SOo6xRhYySJEVq7m777ix2+EedWU9DBW9GFSOwo83iW1XGNKMaLHx15Emh+ MH0+IdEu6/Z0N/bXTmD0gc3oQzNoRkg8blMvmJCjyolZr2uHl6i2o366ERezWaHMvJccMNYuoXO7 7+f6dRT5HwjvsIU1oQuP1AaWh2gOeweLES86q02l7MX96wuHktjij052AE0j8x//yIVmsOkhRd9V kgl8+il4f8FnfSYjdH+EQBF1sgvaUPAKEkpur9/3buU9mdVd9O2yaOnTQ2O3Nc5GlhMZl+EZc0xQ AhmoVn9JvPUdgws4HUD01HyR2NVNvXe2bXsXfHrSOYZD3z4wRtsaL8/wQxWP0UPZcwJPuezlr/Yc Rh4FVxfay2V8Dyka3zdetPOuhO4r1r0kLq9TY6Q4gt6WCcV4/a3VzO1Rl6CasTwDDkcntcfWe+g2 e5v6sI8rlZanudzpUNJmiKQ2nTM+ZPXRb8+Jncg2X4xtKcP9I/jhepNWBg47za6KiXJV5RHz+/gl ZF/B4Igmy2IWX3/I9I2DdKgVcFNCbbYy30GOmVEh/3LkdrLVUz7waEq7tDBbeYzIIpwWP798jcbe 9GVkMOpeAO75mOd6wuuHecqZyoq6q+z0aKikMTwXQf9k/YS88NFJnV3aW13q7+wuCezLxI50qdAh MEv2igpfpPr8q2hz9sQArfHvG39FkUQyioXlYJ94trmpfldPIxh2mPJZrku5k330kt/xKuOy8B0A ZZwHCmQztmSMApBPzL++ZF/J76IslkWbGI2/mwKH1xd9kQRwaE4IngJHNegOx+SK3Ov+WBB4/0TD TsfCz6CpYbLM0emJEAaSEBC4GQgctDF6A+IPbDnii/zBjxFZIgwF1x91Z6NPtgY/WRvMaF9GpvbF HveB4LDuyR77bBt7KcTSIOLWs+f6YCm9VYG73G8r27zD+AbWVZyx4SsBXeDsY6Xy7vcOEbbXNzJ2 qZpnKeTp95A1ZDBo7pyW1WLR7Ne8dBwNJkPFq9DBv1F/CEQsJqStFxSbwWFepMlayTXzalygb1KU zawJ4C4lHgydUgBDJeWmhgWXnQzeQBb16ytgGrF+E3v/BWaj7yiAdwWwmHw9y3TWURbmKuUBK9OE kwRzuYhI0mg8Yli57FfGUC5IRQQ54fnydlSZdeO0QGBmITskYzL0R77SdvO6zCIG9umfXd9Dws8L r4RTlGiDJsbqUBf2rvK3j+xW2Rg+GmXhwlXgGp0Ah31Svu6hduNQ+1y5dvTJ/Wk0f/iTpLx3qV4T 1NHRH9y4g0SJKOPoLbcWWRKrUcOM/UShu1eMPga0SoEd8IT7ZSZRdawa4rxnyNRYIcrOkvYbpgw8 t7gb0WE9TIoMpznT4CY64N3P33cMiONqk6PcCChhD7zbAhrbBqEAcEIrIZY1ac+Pd/Y9dj7NMPSq KFtqEFPuNBSnEC2jQ2MSf3JfINm4kI01MWkUKIR1lgvo26OZ1WhFyHrv0Sn5zk0z0d/eAM0VrB/5 eJDsvpjv+tY/gDLkxS0Kxmn+rY1/3KOb1qXuuxpWz3NPq1a0AEKx7xPg86URPFisPhi2jyrd3+44 2r7uWvVRNjRdDkq2xsE05bTvhsemqDJtBYaWSekC+x3jPJMifXx7qpOecMcaBORQ2xoKva3ZczDB ehmwE1pgepApK66uAqXjB6cqTcka1HSxi6+0W8RqABK0oNOVamalPFnhJvkjOEyVe/LVtZsIYf08 pMjABApLyRwZ95buO4rdl4UYa48l/ltD/EV4SSq+vJdDcpwXBmf4TZUKDtEEPxCKIw+dg1upYfG9 AlGSoAlqtEvTLmG0Ngsx3wqwI79usVMtO78c1I1RDCEMxyE0MtNu9AqcmnQAxZDbIdCVXgtpeqxl qR+Qeh/7hyJQx1UdlMHPYOzAqimyLKHpJuaa0Y3+I2e2fAVt/gKKgOjt0PgFZt3a3e30/6adJBKz HHpEku6KeKUaElvJ/INAoaQha+2VHvilKKs0MbqpJktcL8LDucPp1Jnn8NBkVpKk1zqJrx19DSX2 GuObYZknThapuHE2BRMfCMcTuGVxD9lX/r1ubFlvSkicyZ9Q9yuau7gJIluZlsOaf9w1NGfgYrBB U+aaihk6BYWdLm5yd2A52WA+S+fhl+R3ZPZeMpkHcmL0o01LjrQ1EM7FbCrBJEvY4+oQdX3SuxMS RxVVO00DSYrI/dFUoMyIKbzvWx1cFJiMwsWpUsLvfUvkhbikiqjgghuXtM6UacHUG3M8kaE00wC5 iJz3JKaKu3nv91FFQWZM/XiwZea4NCtlBux2I5nCmvixMXKlHxnptRutPmv2YMLEheylFpmuOr7K mTv6RMObfK0vaTWjVCdDN2VGZOW2VRqU9bsWu2qg5W3qvl48iP1afPp3DgHHNjjjk6bnaoS+vhZH mtU63v1YQUI7bJPSi4s1Rz71ac0Sz6dR5PIabEmBlu6jMBmGWIdepkYOr4lAdag842jR89oPVl2j jFPySd5K8Uu/noxYQKBiWkbVTwOxMtVWcx2/+bHpNize/gB0gickn0ie97dtTKJ1yfvL1fZkEHvx u0Ioqxcan0lcNKBFtc3tFIQm0bI5w1t+C+IaEdUFbhMrT0/hjaAoaCCW4gPX2AjkVrUSlyb88p58 Gnv3mVpuSt5XB+iG4Ycd77971Zm/vr38V378gDWPBtdrKE/BtW4t1wPdSiorjD/iwoZerOgJm+W3 ZeqokmYUsIVd3YWgFuMDpejgTQUNxLVDAZN7NObaKsP0+w+g6abwxAbY1mjJf0LvSlKGZztJsvZb DmOOWPxfhZh1UJXe1Y/3Hi42P8IVyET6hlEI65fNtWOz6JqqW7AfYJVet3ZuSENlvmv6mirp3K5O UdKNNxH4J6OkcDnvK6N9XngBdmMi/BcLTGcHha91AdgDJgyp1pxg23SyFg8SSrA6CeX9TkeQB8uA /cjwVIOrDo2XxB2b4M4vk6/4SM7NXRhjR8O2RYGA/+rdMoY2IBHL1GK4pNajazJBjZCPcSNEWQcM VteUZUW3Ff7I/toYQAVv9qcw6gl5rrO7LNYNCkGEfTk0dBIFgfCjzfxOKGTsHK1PlTrI3Rh48FFA c3nz3NXV5R7yQV9vqJ3qJBbV7MRF4BhArXv6crIS6EH4t2H2XrgA0a9cLtOt7/sW5ZI0gHW4/44J cdwa0gUNLvrRxQk50O9/B5h33IRjV8Wg6DcbML6BouGxnnHhPQWnpubKdtrggc0eNRXoyBdONeuO 8wOPBnNIcI/odqCBNPqMJglPKTkrtqHRcKpZdHIiREWBEpyFx+K1lhbG1B3RyJf2rdxDgSLsCnu/ 5dXgV4c8KiyFwp1cKvrzcfrTurITB9AbChNRMw9gujqyYqIPGpC4Io1xcKf3UOo57Lfvsu5AsUgJ 31W6FvJTEr+O5eLUH9IJGFz4uVxtTTlnGp/vxrwHIbokx6EtI+aLRjmLfenYq6EVq+QbmoDw5gad b1lEcTGTQIb/Qv38p/qSrA2aWm1DuDjLud3YgknbVcG5VjMwy/S0eeb9Fnov/rl4r601zK0NNEFV d2wSYQf84aXq8QpgXUKBHIwt3j2uVpuBKj6Eiz3MCigpGRhPZZ/PtLYe478WRJaqTQ2dRzxT7bNH e0Bv+3A5btb5A/IZX4DTeg7HERX3qFEFKDzMgs/UnvcqEotzpYc+AlGYoHPd/vGuY93HEQKYPUrz oPZApZRI7nqPTHwOjR0qEH8T0d++GkIa8yvxFSPG/CBBnMpzzwdRsq2pCnfovc1l2pBqcTtQ484g nCkhE8NhuywtpA/hofdfGzSKQ3ARJhOFrfRUod4XzeqWVYdDr6lest2Hig2NER3NWnklmgwFlK77 XIcqTNkCsbKMmgybMGhllGFVpE52K690aOTyUsayjwYWbdctc4j2YsQ4hyk6IPZ/SymWvJ2QswZP ROLq235bl1LpJ7sO3SDvyO7RDhKdU9edgzxunNC5uk0vjCuKjhj6vwxuMTbZM7YjulsX8ZL7e8e8 tLEDqMMvdlop4JiPytMwePZCEe9S4Q85UQZZRPnxCF2WJfiOPQ2ZbH+yGrA28RVdp5DsRvwUWOew nQM0aUnM/RHfjRoWEUIDlhugWapune+QIbv9QqnN8EN0jc4ahbJIGsF6yK37smaDJ5eizAtf8Eaa jw8yiq34D6Fpn15woZZmClW7BwfCNEO91UyhilrcdZWNQXADdeioN7HPHPSnnPE6ILRb2Cu4h0db zqB4T9CmBm2S5sBW1fF/tRkcTUbc0AJvcVQPh0HGRhy8m7iQMX2RuiL9aGiUiXzoFImykXOdDhHw C9XURiWOc8c2y8ZUCv6A8mfRwhxurtiIzC8ClgLPKnhpni/c+Op7AY5e1t+RJ+MAU0dvTtX0tsQU xt6tqbS+g2/pjx7+evNyfYc7SmKyLpo6WXzaSuxueOpTJ5FdgVHjcCz8rOJH+wQXBWpGptrKpa2B +0yRJZKUYX4tqKbIWAhi8H47fOgwG7CtxhpGt+LVsOhwvTMkE/xQws5HqEfS3G7T0XPKYq0/78XE 93U0V4HB+ghobKAVoK66tXtVTtKZ9TV62mfxFPgLbwwjO8C7j9wKvBcZb9tEC0UWAnbnSNVhoF3n 78vE9p05aZ+0EBnLe43+VJL0JlEe8Soevht4pRVrXZBrWlgm+X4fMX/oD1NwofC/QccTEU1z/bGl BJ8o4QMOWGMfGmhJwghDBxRJyg6qvzU0klHbh3iJekSetBqCz92g2uccjXA8Vs6ZOpw22TN5DP5U Z2WKOj7O36wNrLXm1MG3x8f3tQYdGFznfR20E0AU/6qt1whKVY1ns+Ve8SQUrjDwGlHSOfUErX4V HA9czAzNwsXS3pYaskx6amv5kXAMOW7TNKxMetxIEcYnesbU9DUp3G1xw5wwg3+1+ZcLFOK8nVKC z6uyVqXv1ZE/ZdHAMNcWBbx5GnZzsKEKBM31WZGscOPZ6k68ZPM8/ZaL54/juUgC7UDRl+xiD3iT 2Z7Qb36Ml07HpH3pphA/GueGzSLqokpNT74ukmUVpvW0Q+DrKCTnmZJj4JiF4dzPcQWVMzsuM+Dm dMRUXr3xlyrbHUpBOp0pE95gnIQWfmiac7fkWeNDnrKoit3UGqnOSFQouCxSRLDfN2d3NgUgnfC1 NG7avIarvsCwnQQHKVy3RSTlwIik9vRorEjm1rlpWTkrK4y5A3AkxjND+I+w4rRR1Iz7uKj2nxRk qr4wpeBe+qV56kzL9QHD6bvb5lcYPHlZDMXuzbZ0cdVBFXh/Unx+0GNrZ/RM94RN0ByDpwKkYXSK UA4tsSJ1nFLB9OMumUHWzuyes2WJSxn6mngB36q9/L/BKtFfFpGkR806KIM5Y2Fnh04EiDVGcXx6 +7i2ujDkl6jQjlcAla+OpEFYofaDtF3vEodaQKit1Aw7WUeRfEMgZlgLQjs7w/0snohOFRwMmCUY DJbuaARxk0qG7hvydXgCAcw9CRTuSbP75c0xBIGyiWrn2dftMNSOFaDGoEUVRDEYeGpEjlyZnLhe ysO+JwZ8vckYgq9Dcd45YeyoSsAiuLhIDw8aLZVIIW2i64Nyvg/DuOIBVQP1dQo7Ty84qUuBOIwW TRfSfE2BjfDW/ehb8WwEe5UP4vDDIqx1fj+K0CPGBj5hYOZTCuJK8ACkHq1HOrIHtcSN5bG9qeeE C3NvC9xQ9fMMJJH7oExlMisf/LFBKyPukfVvKEm8F8fZBOOoNDD89GFlkXXe5TtUWA3jGc4zk/aj ZDsvls5dMdYMI+dsAGAAiTnucLd4jMlxXyqrHIiBN/EaKihimHSavYJCozS87M4RAO5FY6rJ3DEV H7QGkG2jbmFfCUK57xRdLZCfrkcXEIC39eaI/gsIaKefs1n2pcEI6qxtRasHENRdyS1LwQNtFEr8 lxtkmsLYF2eavaW/sDJ1wKE/tYwfaMJFxmAMJ0hyiodyrCx463EagnzmCQamNNFWuxW79q5yTVts sU7We80DvPfqvP8OrQl8eKCQD03tXllYqwZQ8g5whkTpjgjwCWTXaXqdMgasOSrbwzqZ98aEIQ6k 5R5m2xP7Q3GUAQZlsHqgLE49X5QrTuRAzam0r5wRVQ1a9KGMy6KYlyEGnhAhRz5YgVaKh/hSFjJF L1X0ea3BJJly8uHhK6KhzjTJYOKI0V5e7t8pgfIdKwQEb5G+rLsQVAVpuWcRXQUXvNxujC9V7X9v lqUzTZ4XW6aLQRkH7R32Z5IIPo3R07MoITzUavSrRker082YzJ+xUWip4ZQVyVj46qSSkdkUeTgv 7OyJg8daA5RApBSe/RLWdLlVt4TDDfIbIuvrkj8X2L/J75BksPzLFiZqEyQloZStdwvl5nHNxfga CmwVENglOxquBhGT4rrM2y6904yXmfnb/OclFFIwfAw574hKmjZCCMt1aJDm1MwHvv6PkQf7JpbN heWFdTnWbQqguAwo/YnZKqRWehVEuSlx5HdmzoNZH9QFuyqQY7Y7oBkKaJf7FcyWjyzGbbZ95R2H zEUPNDfSqJn7SPvxl7606Jullth+a1cpZ1cOvXp0ANnGjw6TukiNQPJdClSB8RzhhbgFvwgE/KyR RMbk3wiUBODwjxRNNGsboNDSnx+sgdOifE54YEi1BbBUDv1FGSdaWOeK+cDC+4I4xwz1TloRsiud R7chdt0Rs+awxj5RlFuzPq0C2fazq6jOVhScWlqf/463aeE3ByyuPfGw0Y5vZrGMmEY9PuLAFued LxKvnDNuTr8qWNhl9l/vKag7SsC7DoGtryjqvQTR5XyHtV9j5hrVXYZ1MUwlZWeF3ft7+o6ApFMl ChsY/lEa8zRxYbLNh3WBsyQO9rEYCGJo8BFxOfpzz3kAcpNcMcpz/03bAdvYYPpVCnc0/GYQsPJF JgE//njveFXkW61WUl/kavTl8QJ7oIojR6ZQ0qck0ptOtEWRxeer51T1GOfvuI0ZlDcoLAHYgllR 0h2bL2RnlAItlmDuWYX3j0KJOwDFQZXcuKgPJPh+Zn6K0oc0EjPcD0aVvNhHhwA0AXWcgaizdIN1 nFpFn+u+Rj4FHBFs3/iPU52p7VJivp74eIb3dXfnqLcgYYOnaI+DFv6Lgp4ohBbOCCPUE3ILGugN vOwrUDloIEDSb6w9ziCJC0LNud1E7b2usCzCtFaKJLXk5c1Vs0OQMp97yKBpoWt9yRIZUX0b0iIL O+9QsSym/gckiogQPYn4HY/0cVB/bBMKpC0OnYLitJQnILVmp2+/aI/RzaMeODuDwOlU6h/AeipQ FZL/QNUdIpldCrAqADorZOGxXxq/83bwq7FnhcZ8Aun3tH8+YRljm9271oHEQTsd12aemz95sZHP +Y0s6mwvcFds0vH7vV2Cdf48n1kYrUPHDDgU6KzkuMur3oVYMnfipoA1J5JxW5N9XSyxWdx9BBIu fBPCz9HzJZvyNhtHnBhvvsvc0tjpbOKa7BKw6zlEk6h402BvMqHSUO/Kyzpw8jUBVOTCi5jAzDCJ Ek8rabxbpQWw8oHDhPd9SwFag3BCfuLOV/XobEqWsT1mElsUNVoINit7Eddn34xbAsTZUi4E6myc 5fjHNzrk9holp0fmGy3h/rfKiHrknDBK780UJW+HtCrWm0zUwiWbJi6cZnR7JS13IcCoDWASRosA DcWCiyMxbSiEho2AEoSV8nF8pHA1YjYJuqsLx4R/ljp7RQUKxZf9Ce98l0OEzfzVPxPVIFhaMp2g vmk78BvXN7xKtUBBKkepaH0Fm6I9j77pcpfgybiyG8m0nShEF2w9DMPlG6spY8GwAND0skqtuDmz kcr+jinDEOJO9INgfCKNx8S9Pz71lwM4sUvM/T76O2HnqHAD6czs1dEFXRkRoqMyFOjeTRtBayBs gE8iLl8TsB5tdzJ11dgi5rpi4Bfi0SpyRgz5yLP9sggAiLQmlydO2aXkJqb5nJfrSxLCagGTBGJx J9VLFIbuJSm6x/aP7T4L9OLB1VGml1a6akRpBia4USxUMW+cC5qwK1xwG6dVOwUzA5dMbIrGF46l 4Qj5gIO7dZvCo1Q58NH59vc/iLqheBmbBvfU2HoSM+xERsR6ltVr+iV540kpBWwiZF7CwKPdQe5C x8bYql7ffSGuTWbXgdEQG5ca5hoUCRgolevBTZx8fHxh+AtM/amP8GHd+TUSFGOHd5SY8L2ykS7M 3/iHgkyYYgwk4pK5QidKEdfhH67ZtMUt2inDlZhAERRqZLDtudizQa3SlXs3l8cr7GD4zyBZGa0d Xvl9dqBB8TbN+eo5f3oON56/MQRIlviltibD83El126MV8+X5Aj73B65vGYWwXrrfk52inDUzOzN XT5RCBqWnW2hJHI7NTgWYaPebt4gu97+th6L/bjoqLHYMllXckEMmclS1XHYH4MwrM5R/jX+zLvg Z/EO9VWAzvvKkjDaTM3XEU5DJ9vQi6nBLJBH9pxtLCQe35j7hHDKDCuPr0M5lL25fHsX0srGo00E uEvVU/ZhPXPr/G41lcpA/CD7iPoCqGPst2rrszao195RUKwuKKA+TVJQByHK+Ig/l4poAt0MAdxR geEvQ6eZ9bZgkE/1JeiUDPF09ZnbcSDuUcfxSU63VOBRTAvKIsu2ikvvZ2Hb8cLRYYIwfdn+FABI XAp+ufJQC6XpcVuUOfvUL2JDdk+csfPaDOEPWw5WChSDov5nVrRadx/Lyuv945xt9i39eeVUvWTM ESUOnLn47TTskEfA6m96U+lwIWqmRJXoPD/JbwIGNgc1Ajx/0DXlNPhUlG+F8wmmCvE52oQaBcGf iqedROWunAjJjCskutJ/6eFArwb8abHEV/9ABr62JjRw1vog48yRAZfjqa3X14mHRK7s1iBJ2AnW 4h+3KnXynHnvjE+Bxwjru6oyqxF3DM12C5vPyzpJo9rYIfBso53Z48SOUAGmUrohYHZzwJHhhyBZ d5Gnaq5dG9gD4WYV40NFctdcyAP/XnVOnMYblSAhnEfWL//8DjkxDcZQHODGtIR2cVxuKvxiB3L2 J8eZ7YIQfQYiYjYnQAhwY8tosccpc8dR9vyobgPd8OwI7YdsWsVRwib6j13TqGwUaqXT8HSWr4a8 Urx+kZIChIU3ht9RfiUirx1LOpITVGgE3aKnl5Vob5xvydLw2EwLJGYZh55z6Gp6alzKxTv/dG0l 2oX/oBt6UbsdBA0r6aGa8UJDbVjzrlfIoOiTVmjlM19rfanJdsRSOei/znc9yZxVrRbfQyRDFqOS lEQlcH6NqHFrUlvkUZcqP+5ksAwFgdnv18ikQ4vunpo70QfzSSQoCx3q87hk5OwuwpCWPjokm4WU 16XaSpypVO5H2/9KxyIbR+ufRFhM0GSgPZMWZXh07H4xUM0p51pMbPgmgjs55HlWly1uUP5ht/VB cSa6b14fEP0EpSbz8QHoJa3pwQpIXuA81+FoqqAeQbHL625wYqI4byvIan1nvzyeR6m5dUdh63qf nuMGZGkQQeHUxwHa8LC+2R8pKapUX0Qn35d7Xa2pZjGeyAPE13MOrwH4pKz7cTEey6hCftdh6zKV i4LpgCmyNAcfpASjkZiEueRta8HX3Zh5ejrbmeOVMA00/7FtO3m1Z6fsOzs+exiyidrriICQdnD5 pPDJbuvh3k1CD8Sy4B75jwef5WrI0bD8+49aTxNUBxFVgfKB8boEgmQNOy2OIR0AYfNJOixYJtaM LQV4PNSOIji57gwZTVFrZC4kBtmsgX5Mk3HkCrlyv6L/+Fk1s02/af2UnrSUwa1rG0rFbCrEGJnE Ecx2qShaOs3fn6od3CXWvRrVN+1slriAbGbCdKcBNAWW6R8hUD2KEzZBQ6mfmmZgHzcN2+AyW97b ysQZT/m7ae1Vcn62X6VrE48WVax3f4QIwwvaOb1WMWSqN4i4ZZlL1N+e+YO2sNFst3eYckLrhf9K Qc4/kYD+1yZHRpi6pJN6smlUME6j5xpgFQ4QUCd/QbSh1NYk/FjjhycIQDnFS6bOa1Bd0vHDZ/hx 3n9jejNXqbKihcEwYGhCDLjqoNJlLBSenUgHPsTbWbfZ9Y3uPKeQ7iDixj6OhIiJW4ZBKBQjoaWp JKfJ8Y4f17/6ItTuhzoSKW/prReWcxl2vcu2CEdiQCrAg00gWm34WKvlgj5cRr8EVTddK1RGJ6IF IqnYzJy4WIoYGPKDC82Lmc9exEsKBj63L8rCQ7vUrI1U9Lbzvizb8jqwNh/eqpyoGmSaKwR5DZ4Y NvFtuXM81Mwfu10+AzKcCagabgKSksi27zrL8fyCGmYsCwip22wpVfeVl1HXwaSn1EG19il+Wim/ ulKUC2oWjr14zbR8qsG8nNFkxhlFCBWPpLic77PglGA6MoJr/CjTNr7ypcNJA9aWPP3nHirs8/Zf d+vFdlfbZzgXJwT/Ne91qVNSh0C6kabiayItLPNnPJN3gYg1Gc3o0OTwczWcSgmnWHSlegoD36Lm nayo2CZzIj9cgqNjbnxToPwutkKyPqTi8Nzl66ToYNsAmymOMx6IEwFXpoCa2HbAWZ7rLCQUvq8K JVfRdgCJ0gEjkY+JOpYbGwl4r+3iwDiCGWNyHA0lR2MAvB390crAiESCtN0iwxyrH1hswcUzkP8g N424iKKnKM15gewPALJ5XOsjM3b1PFK8J2IGlmM+Ix99fcNerjKiCGm1kIVfiwPd9IZlfUgN0mGQ PJeYP0PUBpZ7ye5AnJmIj4XVXOXTKVT0p6pkoqeLHJ24+kSjs+mPXvCQ7wiOHxuCxNLu6y5X84Jw rqcXazsnP0ouyKIhDHcOBBTph848YT8Y2xcTFxOyDRny1HVQobwOKnerP5LmORUsMeHZyh5lLhFo 15golKGdU+8zJe0ceeZ8NJ2Ct7dCH1d9yXNZzcF5t7n8RjaBnSwt6kFtYtrS2uKgGiXLoE3BBCEK 9WGgaGHUQzn8OrQ0uPeemqhPO69mabGOK4nIgnvUop8+nNSot1NXTmCfzwX5G8w2wEZ+CKSHYYlH AUhFUr5KnuUGBzno2CgDy+V+E+OqvPACxSgLkPdLJg3bH6mvgzonzRkBE5WFjXAAi5i+M9Q0c5Iy zxi8znkdb/mBjXUmc5Hq8749kpLj3WRhhj6wc903YQMJVUekgRNq7OJSgWfYYD91SdxmNkzh3QSi sO0MSeN9pYnye59O5Y203xb7I8oPEGHEKzg5GynJlh0z/kJmzsOV9NtYzCs5SVqE6pdMfRaatPwF ZU1wXO5qAr4c6i+mNqdpCfLEvUxQHkRnylQOEKvfbDD75nvfqXoft3MnsDlhYWT6V/Pq8/vGkRly jKk/8GaqqBwePT5osAlmCU/dWDNaFdhnDvxMb9VhDr53vVQy34TgVh/LJozWm9W70/h2j4U9Ck0Q IcgcvzI8jB2zD+w48zID4Plyt/LNu3B6fH7DOj+y5EUChEmtwxORK1PChOSIvsAIz0IXRJG4bDxM PEb1Bpz9p3dCHs13NwbO4fzlvhZggtlrS1f9Fm8oHCj2PbyotWJ4n6r+eXX8tBztwbA8DhU6CZ/L PUJPbPY7Ynj5KtvJjK63FQlqu/J5HbpwaECvjlVbS/onxxOvfrqAUWz8KElg9HVM2IoCVmhgdeAQ cQ/IeO+PPyDJE+fiXdvS9Zoaw668TWIXzVOKDA3FVHbPpiyfW2PJu8aFm8SkMjTMLL7ESvJEDquA VZnX7ndM/9v8PdLb7re2+CJZHRpIccPi1p+x6LJvStjMQsFe+G8jUmSt6in7UjSGBOJFIRRzOCpV JNWYzOBZ3XDW5uXr2ECOxsP/+TMvJIxb0dBxcozlMgoVGAF5UlvM3U2c3tynPFGVzbUtlZHw4y7Z NHHbezwGhahSjC+WRGU2ZMNY1FiQmxlgzUrgu6h2bPjL0JaAyaSAORTPNg9JmXwONfGxIBipVzNf VhAAnajllBLcsxLg4yy1CuIH/IzpGH8LgsGtFoOSMh8LNnVhcLZPuHZBDxkTfiRYQTbAc04hf1wc xHQ0V251/NYV0THJfAk9I+QVidJQZoxjNa/J1GnhknN+fQbiyo5gMXGlIthrvLyfGkuRpU+NV3kD ap5apxMwb+Zxmylok3oxNjx4u1mkpt2W7aNYC56J3a8MNUL7Tl6nE2T1+lvJ/B1eaZGwGkGCeUE4 KXJ2GDzvr/y7o5TKD9pvzlDlcRza7rvl2zWiXWlfxTPr3cal9rmfu+PhpOjnp5Jrx2alErzzOjpU ZCaWgLzxFyVkRHISWNJbds+E2NSAxFJbe5ayTvJmt3bLk7Px8I3lGuWGuPBx2+Vb0vKlWvZap2al mYVi/vX/hhi2neSfBYGbYeUgdAo/w0+FZ6ekoE9QgVd37cEOiAZahfoLutJg+1NGDqPUkp4uzbeQ rD5XT1ZO/FF7hEZTFA4r8ZIZE306cuOxK39vTs9cUI0QyRblm3tPDl++c4fScSKT28o4S5lwHYBZ ynWcxkek9y0J1VDzegC7gG5vEB/sd1dFhQ4DkdpAvUhZLicvWt9MNnzmc7T0lfzxmG536uMOvCML PgwEeF+wntHUxseiPvojnmM7Na8rBQhFAxG4sVxC8N03FQ/DYtGfn62F+W+WTaGmFzcPWhKFVl5+ cdZN339vmFncYcEkVa3dYvPmtcM/WyTEvubRzG6cvd2Gdk5ZLwVeNpEdHYuNFjW6Wn42CiaRtek6 w6fmuCt49n8zyHRDHiRofkJphlZzTHsZf7LU+yxIXUUtkAXxqOJqy955KhBUUkPyOVq1LBcxKr50 qENWw9D7p1jleb2aF4C8TqbkCie60rNC33nMJMGwgFry5m80NSWzLfxXAv2gLb1taC7cNmFpYex2 1QUKLSImeXgWKmp8iknS4KfxYdyG4MGZuk3tn0oQ1Jb2/+4uqSLgEq5tCs0jw6D5mI8fDghoekK8 E5C94IJZGXWlTPUQ0dF6AMmBHmoucDZqfTcTMKHoHWeJm9TKMF0GBlbxdwEnPW5+MvLfX7jNTsTc hD8bfkrlfEhm9snQfMrV/H86ey77o6aVSiHXn/nE85nQALZUUKcNMKnrEvFTas2SaMWUFP7WH7IG FTcdBMmYtA/KWf1JiTsvq0wNp/wZqea8nsRQczsPHCxkprPg4Y4EbqxbdSFPj6MAF0BNrXhcxYEI gakO7nDgvmxsBtJrwjXuEP4nbkcXRbw/OphDGztbuKRiKazFGYY3sRrnuAXN8zMB4eJ4LtiEwKpe f+er5mp84D8tguAsC95+KjosBV66D4DBh1BQXYHkziEITAYx4IaVpbBNsLqdYWx0+fv3ztchR4VS x5/6FVwUjPZ+DxCXj0ysI9JqzKeKSvIbAMBbQeX90fo+G6f3fv4FZZ8ShT0ToWeFM9Re2e2mAP7x p1Uur3W5/NP+77TgKxklI+zvWE9s5/rMnY7vzeru/DOhM5Eh8Rv6P1zEcC5n+AACBDvmfYr4XLuD vbZHojAYefyTLoPc1BUGLA6+U85RK80AnH1/8SuKRUOnE1qrUw8NL/GOEZYMrw6m8y1fhSb35G7w /FS1o4e0SpM3wbQFeHs5UjOT1OESTM85O3TlUKOrRWC9p9iLZDwRnJYfOaCULHJSJEd2BHUEXcS/ WiOKXnhr2NDTg9yL3fk78uGrjcQZ22Gm2KrasFh9koiD0qWYPZdOOEeVLq9vroYz/TUbzhqb13G2 kl653k/zn/UDL/7kNn5d+rS4L4V5UV3MCfsq1Hthq/uKqI5UvDOKRCN27nHBzhdp4kVR7wbikZRx IMynI74k8WBKjF1tyt0nTzzxrHr+2I2gitPKcEkoo5OCGpfaDgQ7MCC//AL7bz9AWNHrb/sm1j0/ pDsp/66nKoUVXAoOsd+1wl7HkW2GNtc/A7tUo4adbmR+mkfUK5dyYXeJz3yL0oelViP5aGhmi9M3 0qfOhE7y+7tyVDAjq16avmO9h0a53iDUCTKL+HBQYppj+Zm5vb6dqJpWQvs1Ffxr4VAAU7QSKV5s 4qvsVgIWwixatepchxEMfZBQPw9RjXes7E1xNJvAelhhZFz/jnnFXR35D3GgaJ+9CU8sVynQTcx6 T1qwp0San6e0itVMA+iz9C0+Q74l/GMy4F3nCOx9MHKnanK5r24j7kYnfLw2SlfyY9KNB96B9kPc IYVbZmsPRLLi1fhtTNLcQ8itGWlh6q8hQ37PrTbi0Rb4r56k21ayVib478G7Jl9O2+PfOB88Eboc VYwP64QjEqxDwqRj+Q1a6i3AGCzjsKn89EFCENF7Jcvtm2HeFl3iNyBtZmqqhd9G2Eq3y1kSbFVb pvagkHdmvQ8npSv4kxYB3AwZnB2Ti6+UcAhPEbfsSik0stJJh3sfcHf507uNx3NWMbVev9WD3z9u OVS+iUC/nDKiUN0BMQBZW3eh9yYvY8OKlYzyJtz2hAsU52A/0kLXp2GzOtgdVeOYjJW9a6ciJEcD IlveYjnAdu/TsoHE+yIYgc7h1Ctvr+FOi4a2QznUSgzBNa1vtMK+2+ZGK8eN5D/6lj+CE+GkquFl zSyi4us7O/yrmj0HJF30Ge3R8SvpYvzCs2l/FRTTKEXHYwkqp8McNjMQm7krGOKqBK9LWCxbAAOb bFeiN/8/sAv5XJvy4OiMysichCHMn3xnbfQBynDQbQhj9gNVF7VPutgrw05vBCs9bi0WeJgyo8CI hYzRNdLd1E5iGDIjFzupZDPAYr8qUtV4fOrPuCWWyygg8eBVUFd4VG8KnTaAPgx4y/czmPsI6u2n mLg9X2zsBgyWVYHKEzQSGmA2i2l7yjSE7meLd67QZDCrJLIyrzMtIjz3bl1bLOHAIxLA0+b5r4ax ESY/KpK+vssFgXdQaj1/9P+TRVnpw7WxW68qxADennUnw0PiDpPkxShyUDqdxk9+NNSsgeofvNmM bLgAIE0jy9JiM2YveafpUpwMg3YBsW/EkpIemjCDbNXviTHSnbsXLgkbEOipOxnxEu8h/6njimG1 NVHrq0D4TRDARin9d+FOkp4SnocDwVlqF2RqauYxonNUV4PqQm+6zn01VH8HPp3esKIMxEri7Z/e TD8yU6vOmRpUURGEyxYmZx7KEQLrBDxIstcHSIJambGdCUvoCVHA8ZMDeQm0mRWTizpNYv1ITYcm X2CvmfcH7V3431LbSALWp3usTrYwIeTzFIJomViafWkPX/MQ8nPxxIejr6uPeTVL+rcaPW+drNPx RH7Xhaf2ZbBAvp+XTzYlhXm7E3bSZCq7FVsF8f0ew4G+f3q7a1L0tBnIy+ZuqOLipj6DCcxgOx38 mxbtmvOeelRd0jk/f0iFEFAx0+D4xOxqzexQc2nYDs+KL9W013uLSdQSlNs15/N532uHBlFc3d8/ BN7d7EWpASbsq6OC5I5J0vKrnVzNbTPzIRGazgpRb3jmOj9ItWWLERThRTCbKJiUnDflzOhPDuJ7 HrRugnSInFST4F6Az7sp0oP0E6pwccZM5pVPlZOjHmSaFtuhdcNpU4ruBVfGxpK+OGf2tpbp2DlP 6xtDlalKKWMTpL1AkoJvPMJKmYkNVcrS4wl9ngLcd7EVbwk8mbi3N3ZvtjenUU8ZTKQFdo1Bgnq7 7jDvzdqOJsYAkQ7J8UXceZKXVezIFeb7/lMecLqvfpT+B8t7wR/pAjG8z3OVeDej2FOwGdcvNq0D 0TIrcWPCCGjoiTk7pGTBRvEfW4j55VbCryVBc3jXPuxCVerPY9vkxtGFhmF6IcBJZo67sCbmm6Lz qjhtELykff+6uDbVtAMoWWY2g/9FEPnzKmCi6fl4jUk/WzaeDFlBtZ//xOah9ll64imqxSTPEwys 1YCiO5Ux4UJP6zDX4bp6L1ePGOK1wBpxnKvWgE3oFY5vJ3lV5iF+4CzrYCIndFuJolUG/tBwpMSw rnh0RNmgLpmRUDNUYIu1oywr1lNx9nh5BR3j1iQqjB222L6OECTV8gH3TDVXDY7dUDxBXnYQhqqI SdNQMpfwxPtfXyd2AaNFIBWfCPO8Fn9sNnDU1HIShrEw9m4Ql+DcbQ4RuorStFwvsgIgsNK/Wknz vi9Z83nfr3SGyf22NGvd20yKYxDP8DatQdrSXkuFFuyjeV0UkeuHgqPIyEeQcYKIoErc1AudxtU5 A5Lu/phwa3csEJ+FiHQjOcTU3jggBcNe0+xXJivzP/9fzYkadvIFmGjrYYzE3ApsA+bUciImIzmC 63SM0lp9P/X81mei5CcCqcU1b2p9h+bqXuyurUpDK9W3WEZkaCnwz3GkIa5uOcfRwRXRiODwYLoJ iiJ5Ml6xrKGrvbiwEroWE4n3N7QV2+mTU3RJfMIo20Wfu5KixW6my+GpVizhJAywlMubcww3StRs 5jmvP6UFdCBfXQYcEIn8xVioCy7vBw2chADgF3OdtWV7wwc8Ct8HFkl76m1IpSBFAFfhQeL+Jfaz mxtjhtf26qRCtHFmpiKYtBUHBnY7vHDLM3Y+sfP9wvEeXXy3brulavUqLI6rGw72KsFTQX61x0eY cVC60MMVGKWU67sJSe3XcsK8JSsOYqz2i5jjuDf4CYttdYsg53yLPSUX4MW/O3cuDoYE9OKQWFmV MKEhdZAZs96lirCRdg+zFjdjGw///uQWOe5Otq+lNFUxsXpWgBMNiiz+KOsL8zJu/fWfxN/57RRq cAAsY89QSAI4g5X10abMh9ZL3hiJ999cfEC79s+Sf9HYzgCuBuJkxWfwBCdehc6vhMOl4gVRZO5P YIL9W+Zh7544X/veDhzt2DVZtcWqNEpTlsSfsOKNpJLJb9KKvcuSGQOtCYdonZs1Wo9sMSOVGYrp ZMs0Y4yKdRBQ+Hl4BbzIU/iMggzVDeohZHc9hLmMIGQ36VmSK7rJyS5IExf5e4RyoG34dfdj31Fv BQspSazX3jRurvuItAT14THMxyZBKGpPt82B/kA5SQ+W0Jll+JPAiDkROHceWIyO6hZa9Qs4UwZF CsO34mle9c0uSBFcLycaM4SxzP3ncOJRuddydwIN5hz2ZSbvpXpQ5+NPPqt44weU0Grtlb30l785 teBn4aiSwEnty7Z5NANxVlrJoxWR/WINvtAM1q7eZ2PtEXzBAqUFFG6T1vAjaMZ5sPQS1NAay+fR 3Kz+SljvwKf8r3Pf7927J2ieW4a7zr9UpduhyxAvr2dm9hVBBbhQmcRV0A7cUQVLpqQoWy9yEhzI 6Sk7JZ8WtAgOJDlVyE9FHTFB1dKEyyTwaEiE0mdi4Phpwiv3+ieTCONH42q44diQVJ9HcssD5YZG pQTpjU7AV1GLc9QErHQpVf9UvDZPRDIniccvTTfIQoeDgqTq6Z0GI2RT+hXIAQoiq8jSkDa3+WnE rrNmtTG2lJX/QMXe9nuDW3TeCMEosBFoXoC+kO1h6IEa6DyMq6F1NE3M/+D4SjlxfQjVJPqnUDpK tF1g3xfygcG7Qkvo8yr0lXtdUE3EnYZ9sPJibtwQalghdcwRI9Erg7MzB7w2w3BrEKUy3YwEtY3z s+nKJngsMi20AV4ZCm0g9d616Cl/4BEIlaPKMJKl7Zx2pZV5de3s7OVCS9f+SpfpD7x6zXiyfJ78 j836mDZrelFoK4bScT5F2NMBInJPDHeRpjJSx0XdrPPthblWZr2z1HZNakvRWM4ftnBiTVyKd1MP PKWKBOsZ1nT6EIg8wvkZIPbxp4b/uzIffjfMxPhnzXgAt2zFE99z7SPomfjPuQRMD0VPHqAnVn/M secDpcUM4fRKqmvko5ObWydcTk963RnUns4Nhfk/PvTZWtZ9RooqMWAKkQlUJdYAWOOR/atvpMbU yKdNUHfzwNZ0z7lC3UnMoAiotCVbvFBICCNLP9/LNsgirCnntgtVSHDAAc+Phzb0QodZA8Dp3oOP 0IqfCnWCl5mvmHlU6LI6+4z1WJTm56qWY0K9owLtoMiGL2OlGfzgQO/GDyxPrKD6a8Ak+VmsSDuA jY0p/sblo4VevqJqlQVjnh2rpGePcC4VjMPJG2YU6axcfstapyY2UT45ehOniHlBTRdnISxEeptT sxb/7vz6pijkLebxx0EBakFUV1BaGdkurRgmajiWDDfk0CifpsKiTBDuRJ/9Iwt9xwNJlJCiIa8i DJhYcka3Rhqn2Xv06v/zu4+Z5sk0GfrV8Mo5IlGKM5J3wJ4p0OjSvD2vL+bigjNEwDWMvOx8ot+N 4C+wqadiRkWCVjXF9Xk+K361ovuKLqFe8ezaHP873UpdXJdyF5V1toh7m31Sblh8prEuYUOcpi7/ sRzoprQIk4I0II4E1nNK95MtasAOR3KPoE2OBRTKq1TOKYwUo3xAkNmLsaZCYBgyVe+vYM2AJqXt +KfINZKWyslR0pzA3BfaGqoXhA8mpdaAnXF0FJ+wkX+e8bZr/An7vpeIBUGjGtvNq6sC3C/dz63o zaQvQNPgLRoEoHgwc68sdgWgrgkDWFngZ7TJqmdS+Lo5rpckODKWYE8vZu8HCATr5/oGyJyfblCh T9fRfyWWkpbPyj8XzL51eYVteNkUc686SiSS8gD9ghdFDJOq/O4jfAIejgcTh1qPwspn+apjhkmY 5RFsQGspg30MVu7I5stCWFTN/8zTulvRmo8BQHpVAmSe02EvsDpEhkKNIbqQBcaWJrFHSSSggMHk WC/OojjLGkZotXAqJ7G5FtZrXjpwJu2RtgBbZX0eBCJxlXQV7ZpGTUCPDQjN7tv6MPuxBa2hobzn N7RbVpXghWVqYOH2+4OOlQn5BW+DT5/RruoEsZcYChZRPXvLSxsr911ykIT78G357MMFCJW09R6u hzFlkWO64y5LNh4iNFtf5nPtuMJAwDx+g0IpOfnQnTJiMsEvHfv5qCWaai5czOgshv/H+t5+2ZzJ Ws5aFzL8n0d0JMjGgoWoU8uKSaSRNUXC9e/fsjzTHuOamTvDrxn6vDPBnwTWzeNijFt2nTi61v+p zgEZTUstg+28l4cbNeuPum8P76nBsj+1jDI0jgsxBPyUgNbvoBOewke2NVwcA5zONH8Hb2k5JyxQ HKM1fvG51v95WQ7q3NgDRCRrx2ROZ3MmfGiOMZ6BDDFir61nqJ95Q0JWqyXJiXFvszuYrh4kkyon jJXk21pXt0+fS1m3I7p3XYdi+vPmgyIqW0jX9QkDkSo749vNbi3PPohdH0yW7KizaZHcmV/U5Hc1 UA3taZKk4cChovIIo44MYdNe3+1uO5R5aIK6gknn5KJl1bos0iBItHQd+s7DcuKR2+Mdki5IwNo0 CzUm35ED/fUMHD4VJ4P6ph4txWrBA/Htq3v2CLfz1MIAHM0hLDVkooOHDeB5ixq7/2H1oBSHiSPt 9CgfnjqEhy4VwW6z1OMJhxeC5XAGktdTat3uIcAdBMLmw98ZzLFL3/vadP0xxTk/q38bwQ2NELUq YS/nghc/+WQqmTBCWo4aDQmNfy3Mvz2rYe1zQ/6HmyrdX+h1/H0EAaT+XvMSoH8gU1t7NlzXPGUJ sMxFR4bQlcnetFCg9Bq1has7uM1sTzABhkHkXVbfllvhb4VRsPsoUjkmcUE8uu+u0bdAogx0wiCG dLKppfYvu+pDBBbYE9oJRM9YN9dnu1vtNP30FTk281JqHJvYYHpj04AEpu60aMaskIc3IAkgUkmz xyLj5O7csFsTBAngXXiL3+hWX6xDpQegeF2P5nz0lfMzLswMCN/oeRU56857oGIPKwlwZ3P9diAc tWmDb7CJTKJGhFVFFZVsoTum7mrshvTgLYuFZRkw7h+ibjW2Ef/P54iUTBL1HQdu9epPuRw7hnVz 8TIlCuhlWlUeyDquIhkETCsllLUzP/CNirU52Q8CPGrHduOfVaWGIDaiHLnucuthfOA/Q34BQ4ig EJ4G8p8/7moB1mfnfcVzEwuAjjYXdlfow9N4yldygTdcgp+oyuJo368JN1Mc/3wXt+nxDXhAWqAL Qp6V+9LPuv6kRdLkolBT+BwRGQFVOkL6qWGQtBRrCSlU6W92VqEjeR2KYQzwOaZD4tLzPgeu/+4/ 4NIQq4eaV7/SyXxsElOdN6vSdTFK3U1VnAyTBgxAux2n+VglwQxRDFCOyWv6WhdS5Ko1dMF4YlEt IJv68YUURC5IXDCX02qqGqz5k6IvXg6+3NbbGsCXR3SvxvS0mQgIvMgVF9/v5K8DCvT1qba/d7yX f17Oli7u2aByarSANltCPVjsJdjvclfQUJ2zKdU3g4mu31k0jqORmU4yuMi9tKi6j7rJ/xvuym1K 91PGwyw4XgIDgsj/ttacIEYdWlId/VMpfgNNKsnMPDh8u5pQR+/evgcR5LvDQtn3ac1ZjshLAsT4 LwDtYilZU91zQIu7Tu5sWkw39U2BG/icvttbC1qmrTvPUH+AXV3BEEIvtMPO9mWy4hULR2XrAZTw oRHnRBmaOfQpDDo5tEMxQqlF3Y+tqzjcEo/0ek48MgbGadwRYvewfVPuqZJTH9JGhG1/x9a/853n nIHwr7vaxhq5WxxDHkagkRjmRujWG+tzl2YW6+L+CVpFY2i4dvKyzAg8NlHGmE7+7RED+m1a7Wyh rteNJJlHxbPa08JVDJ8i3bkZlp/CetS73Dbo7NEWo+Xh1Eiok3DeAluXB4Sba6p4g8NYeO9PiyWF mn2Wgc+kTxfBaZsr5TIp2zPJuB/8HQXLr+7PySbRLLDbkDZT8kQOrryeimeqKr7l8HAePgYAh4RO 8/CQ60w/XYe263U2FgJxhxCDsRV/d9WbqquZDNnUaX9zDcEV/Qro5Re+iXax4dyJODDS4xsTsxI8 Ruzorqk2/4YOvG49vXpOVaxzUiH1sggCKvifAk6RCoab73qONnVIIagrKjA0u9xIQeDBpwHjg/YW QqKR7FgqgCyUWJwtvrV9oJjhRMnCvm2CtKjkKbII/Ix85zyhhITTuDF5rPYYI9haDgfAVZAz6exX //TS3MVDI1sHgo9pLWZOhsAYRXiQfCbG3QmWcz93NCuuxudwSEmcnkp74zsBhk1fmjhZX1HD1o+d 4njAtJ/CyKHtYOLxa69s0uAlMY/RVhgecmgrBlR3IwlbF2F+QAn5d4fMXzX3otGFzRoA8dgI6tHp U+viU+579HGbnqdv+0EO052LEOLfCHIExU/Ie7QkRSLtArkdHdTbCevvWNMCngDDiw9OimS1JU8K 6tHk28dbog2xL+6KqdeIUg73qA5cybMOKrcwV2vqhKp1qlg2WKtnWYO/Nz1j5eWaic9255EI9FpK TjErzCk7kDboWBZmwIQ2rCi+8GweT60mtr2iJUcKI9PPxfRab0I1PGp4s/JmKnTzNvS/joS7+82Q J3N3Ma7vvo60kVROwP1qEEUOttc9yQELE5cFkW0TzM5Pz2j0HPHgB2A/r7l7UsZqA/AGPlFHvCIL ssqPL/4a3LyONfe++7DKBMLLh6qGF8oy6N72Z7EOz/ri9gswwdahuj+kfDmh6yQlZsjR42hlxoA6 ZwMxG/ll4X6lDGaFz7J/mDX+dg8AchSI6U+tP9tXavOZ7LFViPWShMC2moEdHNag49qH3DfxScfb Q6uxJdvVOtNwgedMxeHh/e2bs3ouZ7UrvMoYaGdni3Bfjr7kyh2KV0IdNT3AYFhfW5hJu9lW/zIO i/xnYzsiNY8nbVDi3jW+gwk8fd1g9FiTYHEYaOlS5p+Xc/IS9bvn8ZvdCw6gFR7NqQ5MBWTtArB5 hxLlBuw5lV978GFlC8TJ2aoib8xGS6cN2Qxd/LzAh89Mf8DfcZFdFNQ9hU7mWV7XVy9imwNuQWq/ C6+m2ojZc+R7UJcHHmhD91veYzbuxx13qygFB3PPUzz/CMYeV+Ru/XemQGWbIWuEowx7gzHKrSaB zCbY+6WlqJBGi7y72PFQo83q/+RwGMzd6qmyt9ResfKCiS3C42ET06PxSkUi4DUT1ODBFsiSr4rK SO9VpC8PvuXkROQ3HJ5OyVkGHssKzn7nS7tCvp+xKr3wb/APpmoetkuG7II4FcFoa5OfZ7u/6jE0 dhio+bYruqw0nU/o69qwPwNWaUcTJB28lrnA7m9SyJc3tatGXcFUBTixD5sHN2+EYqk90VBuDMNJ fNFcVKEoGakSPRBc4YqKCljW68iTWFO54V20nnb+SLKXhxSRraNPRJVTuTkvGof+QFHTDgWR9Rwo 0HIkmq1nqVcy+x6RYb+VDcvvl3Ta7iZMMvWHymCpqE4O/+UNnAPt2kD8WNzVdocJHHULpDYo7hsV 47zcgXJJQk/Uuc/Mut3oKOLOYgC38S+WxfjmzApbSEMlDBeIiGX2o/hq/gkn+hYa+j0waBFN50So w1ECa1iZFtSrx3u+UYcQ/Z9ldAhaBgRyQgxDNvgsQyqnwvbXL/tN7pnXmievoPdQ9nPvcsgzQuXz aDOH0AJ8/eIKXUoCvRKNT0ooVLNbEgR3/QW7/jfGiFMedCberIvftVDXzByfSED0UOyjC1fLesvl ghNpB+/Tv1Fsw3R2MrQgXUBb5n5F3YN0HwiaknRnq8i7cnGshAFkXv0xA1oksdnCw/9o6tCRiVl0 XKOrN3UcTAjm3nvG90G7hTJ0HmZFM113unTjRwt5C+C94tqIRTDbMC/cxZc7NlywadCuzIvmx1cF UPW1E7KmTawgs7qsXMjuFSl51Jy4plZ9wCv/z5IE+9zLktmHO9p8QkVDdCDTiGi+sG85nxap8wfN V5QfQatfDyIze1aztxKHFKp+abGaClN2Snzzlvs0BbHeJPAGuZ0a3SvTiedYaXmKZ+oSY+LlPQWL lnFjbKWqBqQfvnmrcQxrpsT6y1XaspGlRphWAaP+OgI2S9BUWBTNj74CC523eOzR3Ru258GLS1wb F8c1goUReNW/DX+zV6ZwgAB+y8uThLLII/tmYOKCMuSgps17vTuNuR28pQoS7okcvpyR5cHts9Ao d7frlmpeZHUuirIIakuYTHI7uIqrrK5UHtO7Yc88obWCPvFPFawgkoTg6ebeQsCG3ysBfCSnk7h7 bjySvrkzEFtDqod4H99nFJn1M6gwOBKa+EgF3z+UE7FKnUMBUvJn5Yi4B4jOIuxyUIUnARTYPTof Xo+FpIwBCl9Y/YiAHymX3LH538qTHwYfC61gyE9nknRmkBfd9BReLeMX3UijbOlrHxVVKPD/PhTt FQv65NNCA44fQA8omWQILzpSacwdI7WgDH0VpnTVq6hKt0tswbgGn7b/zfOtlkHitV+JmdXOcc4s w6qMcFc675wmsl8IwqTuPQrNJx5bbjXjbksE6CcViYwBzsHQc349y3KHFwgK09vn2SE1Rpve/jn7 VqEHv/mq+gzaANSt9hQsZsUtvx83vwCvSvMzXUq2Dovl3o6/etQtf9ItVCTG4tMzakqq3MK75YL6 rLenAq7+m3BvHqzvhFpWYzxti2HiCruhednsUCsq26NcrzYHBCyJzwPhyNlDlbd8AwQgl6pSXBmF +Xb5em27fBF7xmjh3GR+s00cbMxhavN4wKAqKlK0rHw5gpulQE9nAVkOmzZ4HYKFOD/gVzFdCvCa 2dBu3WgJnVmcV0zVjepyyzIkmSIN2Q2MUPvyk0ctoqS/3Xz5yeaLlAPnjhfakSWoqVKZw3DPy6Rk xXBB/Kis688bPDcFpoH7I/XXvVsM1YcFmFHk4MfYFclQxxqUoF35yeJ2gr9MAUT5267lsldFEJXh 7Y7V3H3gXyAA/bfdyXdkdHNoqQ0uDV8iqrppm1jGZJMCkuWUrsbfych1ZaPFJPL1XUgwn6+vSaWV 3WCDaCaoQQC5Q+G93B4wjrlyAbpyNMsMtuPl2kop3bLqacaVnViVZ+K4WF1UDnt6u9UA7uFqhsJf 0a3o2hWbCS6mVKdnlgijTV2GwPvkAW3tB9fiszSz5ch1x+xitiXaV8x7t8plo853GC779qzoKanN RIQo+BnR7TAdaCgi+ZurcKKVmNN7bMbgNPolO6kl8Qn+QBky4hgp0pl+g9ul20xZzkpbl2grDPSX OczW7sGgNrmUzZXdi9uRy62loQ1Qgfj1x7omwxiQA+Fo4qtnG4FsAUFZAAOtk+tGOchAOTCPjmKq jMpCZSYyAqbSkldk8K3OMdiw0JG0dYewpdlqbmq6illdd/GFzArHoE7u0svIRjpnvGMdvJgAkO5H tWssQEDS1cdd2kJnJtQNzK3SUG/ldFJHllqI2QFCePXSKUIzHq0tXSnH2ZEFhlzgDG4EExLCb5vg bdaEBQKE+T0NSd6+5S4iYN+kIT9TJWzRZk0XDGG3exQa24lRbchJRT/bqwgHKAxfHxFDr6QgTuVq 2+tZf5/mZZ8WnuDQc+FjsZyKes3lPwnCgGgU/FUEaq2LYegGJYJ/qP1nmIdl+Auhpfz8/cv9ZrO1 ElO6Iqs0BOTEaKOcEBnwozTsxqniQZZhoHCPNjo3/A+MNc6/oR5mg6In9l49czrf0SJfe3XrWQEC 6FmbxLmYHL5av9IeYQov2YYrkSw9MrVy2tNceoKE2N3LyU2Rloug74Kgt3NTgHfpBFyaYsYf4o9U nfNmT2awjdOkndn3looI5nCt65ZW90cg4zJNKFRbGupcfauJySN8CcvJwss8wFiDs9YGvF4BQKfG enYD6MScaicdwqkQBQ0JdotMtndEAsML963XGVnbekyyE67N5KbM+W7jpXljqb841mYrM5TDjRHi jKtGUjD6LPQow1u+CpOBn3COaMdHxJppb56wqinK114BMPPqO+mISJRCWMOhuC1LcDZJKojBlcjo pc6UWJNrYRoGOL60iaT7AoFyF1UuljjnL3Gi5h2jOBSDEAvy9BgdH9jjHXEUygRPZe2yKn+i9rqL M5F3W/ImgLec1XKXka56ckHGWErSLl2ofweoY250rsgqTwqdgVwMaijMfBZWShk1OHSpnx81Sovd CXtPwS4qYWx7KP8nOhKzBTRt1TcrOroMeqfOUTKbgphpe1MaoQoa0VfeBMAsYDKxj3CHIa26Dmpi 9xSJn5OtiFV6mvN2TR529+UVBP0/Lk5gJEVNs9EvC91vxO7y1Vwb6aGzRol4XQU3jFzRHnLlGrkw YQ/8QaI9S9mnyanKPWT0IbBott1yPCr5LYUnmd8IO52u9Fq7yQSrXJipTqJjPUNOiAHJsJKcO1bk AO4hIjXPHo0SOh/HSConfRSa6QIu9Y/SFMAhiZe33WQxWkMV09LW2mWaevqd/ZA8Xk4mYJBAaudN 55Y4OOGt/o8pXaZEBk0zbJqgAC0GYKyFtX3Mo+pRQ8uCMxPOexXCKcW6u/GCQiyuNPMWCZxCKEqF uOUoYrEHyDXozQ+6GG6JcLqEV3AooCzQMilBzr7C5WrICFzfI2+O+s7OVMEkqAVhfSr4t6OGqp5E nc5q2BjMsq4+JjjIgeZtldPeRp922KvO4rI7mcsqlb4UuaIFWkc+3+TKAZGoy6hiuZKsamy6yle4 c3Xb0xdQ67fc4mDmCJbL8YCL2S+u0jRDzc//2lIYlZl+tMFSA03AOT4mNDdeJP02F28qxpMWCNFr 0iPXpd5foeOL07SrgLzLV+p1mRQy4MSxIHNUV4QADL5skLd8g/E+b8dNVTRGx+9ZTgDENbNs/L1C so0gm58NvpeiBbXThqBKhPlwx7slq1RGIkpeWSjz05qb4EvKmyXASOIHneEVEqp30fPM9yMiQWn9 pBcq9+C5Af6jUxBbpclRaJqj8zhb/CorF+xoFfb2WB9C9a1Die8C2p6tf9y1cGDDmkCxpfboEsr+ kXjGIcrV7kc+nsd1+Gm2CUT09lHvYq0dz6JSOj1gHj/2HtdeDMbRJ//V7BwaTbhmCASpBu786Xl6 ixFceGi5E2nHDAk7TeVmVxS3Vb3LyJsawgrp6x/4gyBdOyaCJgX/hIfyBnGeOiWV0ORbIERsjOqp nDMI6vhkBsBTSkM+uLCL5bmo1uCamRaATkDerXN63yvzM+hyd6O/UQTgnyNDBwdgb5n7iyAHj2Wn iFpoSLz1NzNxiwaKPCpv6W6SDAslMHr81Kh3oVEuHb7At7VurIjpUlSQe3nTwFmTHte/SqoiyZ82 heGoBTmmayZbGWyKPf3tbXPludqmVA5x5Xm/TZHhvJYF821vRr/983A5zzI+40XUUmYuMmgh+pWN Wpz5+HucfknWbnLF1iKrllumHPWgP314dG4SXjHnz83UTm1L+vraaaxtUEIf0VcupIuA8t5YcWnh NIcKPAojdgCxWGgwc3fsmlk/80D7O+XSQE7niUiHkVgYtgwN8nz6QVUzMDH0JuWPr54XmxvG6Nd2 RQrMhlk1kcD3LAvrNb7VBwKQJMshtCPKGViG9o55epkDcmYZfYQehajx4MbNLYEISed4slDkFZPI cWUH9TmJXyBvsugGLa478lmDSRWQMKQNMMDpH8dvEeVYrnhg1K/S6kaw6424OPs8H9+vwkWMrb2q PZUGSvCDv9HHpcTSy8Fhd2h7H7u1xeWx/21XUJjwHmv3jUzqP6OWEmQDadfE2OytMWbrSjq+0m60 sC/GtDMKohAzct3dK23PRHd7yvcBLLdnUofU29igcql0ILJuwKJOSNjg0V8S0aHrZV/hjinKcogU LdVFE5Rb1VsxchfNZaBaucmni8L4cJMYOK0ljW5kWU/oxJGjjZ72Cb2U2EDkLdsfSfmN8Hrk1eFe hb0hjYUgtqivqfc6/irVuKQFBB2dpES4EdPk7DvRM57jhEjNWluRsynguHMLperzFdx8IEAr7ENf vIP06MRFrQXx1ipl6vxaQgpVxm9C4D0py3ked9P42iRhrBOjBc5pIRvKki9j/n6y6j7n1ty1BAbe sEPx+tRa2gUONol1zh62wzBR4X+O0yoETwW9E0M1S/Bx7CgGIrUfYb7QzBGKG0NnJrhf6mvKp+/n Qd5l65OSukf42o4KP3QzhaQb2n5HaWyQq7DSY8uwgmtQ8P5Qc2ulPgxShv1EBfVBeQidTdbCrA6H f0yCLguAMjc1THFJy2C6msxw60VNZ/kKFGheo14aHDx0QvoaXJKha7M/NPW3heYKp2VnX9wAkNDV Ry7SMJCeFUwC1F2TyO+xI0g7X1z2ft+YGTA6QO+ILAIKrxix/KoiGYkX/LJNKrdKiNQ5A+A0QEBC mEpkQqRtW9uuISONlmoF0pRyVhI1yHmZEAVGQfgtoSnuPFysayQ3ZcNKuUY1PoYHApLWTgLMDLpd zeyOMMSxq6uW+QNulA23OIikbEO/huSr+069FvG9pIwtIfYqvyEoKSgyxnOILL50nUyuJWE01ONg mkYO35fWYWOcfRcQFsPClsSRwEPOfKHjlqW+WqitOLO6UUec+6xmdHPkRkAfYC1laR7scxmwmiG2 Lu4czURYbV/PeuCNOGEEXZ9pIldB1hgxnehSDd42/BcFcH0C/hUJmx2OeRqJ4UYxxbhn9OGhdwHg GqhkJPj5/LllLzxuDrWHCXI4KCip44bu5FQUIV9A4w3TGalgp/zTttpWy0CSOPRYGEx967U8QCqJ Rz0+g8A13vKNqkdPnUFGxyU3Mm9AQ925p5mzvA0dBHE6XbJ5g6K6e9rD95RlsjjDVWEZeBEubVkZ f4sowI+hDrRl6w+zvQtmpMDETncwFe6QN1A3hgUWAIp6GsgBFs5xLfwoNfVNcdtafCxcBlTSSj8g mqFM8IOVEcXF/F97663TbnAIj7JJo412Y1PGw84tt3sr58R3ETRiFhe/cKiLIoapbFK+bbv19A6b VAvZa12nsJv70+ng12Bp1BDj4mqzW1+87pGjWRTVmrVxY/HRGlJKZXESglQVi9IHXZkHgw0FMCz4 70mI57ZDEHwx7epJyfBohI/GiQKE+WC0FtmYHmr4SXuSbqs9GJnnhbkMti7T8m25VOeBRxZ/obV2 kIBOiRxpDbgRjOYWYSIKuMZhOQ+jXRF7pJQSEx8v+QJACHo1u/vvRepK1puwUvWk9GEAJ/CUS+OT h7mxeFFphgB9EA80JBSL4ukutFTLwDkPhxSQYF+9zSwb+eAuquiuBJPwFMqzxVZPDUD1w0UkqKYg JbkU+uuoDwBddx6iJCzGIbwZqSn3sicp4rII+j5dKe/pLVUI+uxRWKuKfjBP4B7SE4ADZkGvfs/I FBSKErXpPOUBptjojxMHEPFZYbI2eMLTlxBfx3OlvIsc8mFMWa556DnoUCZgqrjS5c6lrfsPBIgp xMCrlgMsPxojrNKDhexo4mVfjhEUViA4uCCTBljF9HyvIb6tKz+AbCUFobJegw8aI0z+WLlll+mQ eXuzeAeaf9hzC04wXk/M1UgibPetIjE7V2P2SrFg0mpI9UXUgzyORcm/s52LSnQ1rdtJgsw5rMdc xVcqSgiJ8PJVAIx4C85ARDXGiWP+PLPKrjvuMssaCc/YiLIxjtYGqNX/OV6chFEU/iEhPkkNxWf7 FEt1zLFqwnf0Ft8zUzhzsL5cczOxN77mGU4PZAYY4nEKQ7jgMpZZeKN271p8bCwIXd02ncs9+flV z+FYg1i06UEh4FCBOe2TWpZWRL85zS73twAvw7CkxwkBQCMIQtqLq7uha9nfGkm6dV7jkERDVPi1 MHzC0zaSaIfnvkKJek/1p2eQ8xHdct2aYdSc5UMiGcMgLF2TQysYYqk9StRyJi5Xhr48Leq8j200 zfFszBYlbBRYfQReQOGyL7ZMDsWQc+xqniPhDW8drbdMoD/iCl6TnFFsbR5oTY78CdN9mnTldhSx lVp9DwyPoe5qo/yUO3owO2VELBZ6qT6o2+NDg97mutU5MEVu8EgpSOymkbk3HYPtkkgLgYkTeeQW tVWE3nkU/YFkK+zFbGhgXtfd8teF2fvt6zIO007QJYNqLq7L9y+omwLUVFtW1z39gQ7ArM6J0ZmF 7tg8d+cxtO5UdZNQfkuOMjipEo8qpc4nUAqmzkGz9rGn2U/ahhIRD/I9xtPv2BuhO22bEyQPK/ID MOSZL8LNmcqnoazbuWKlNGkkG19aOXcA+6YEZWyCGayexFW1F9Zhgc9o9CyNatU1gW6tyFcurlhs 1rXeOFxDTvTY418Et5XzZtSfCb2BF2ot6bDW3U7nCotelU0EOSuu2Wy7tXGYZCH3MPrRRNDKr67F p1VtPjbEjzOzEoen8JFYiYUGOhJdv9VeRWYBA124cAc8WhFXyeBFH67oFjb566ftZeZ/pgd6ZK6j VBl+EgRyrcrEroKhg4wOBfcj4cdiptwRYn5K+agjHNpnAiQbCQoPx9nykgeHAPIAyB6n8PgkiDa4 mPVGLeRXFH9B5FRr2xHsn484zz52ur8uuR+BQdE3iWByR6iy2r6PZIsnEGmBueOXwmXaGPBCAtme QCG1wfM+aEf/y3LkNtgarN6TcQL1bweasaDC6o9gqiV6RWk1isDyTWSRR0MuTv7pIceWU55UnFiw urBhI85b6zh5I7DE4TaxVCnxk63vAtg0GUu+1AQPmtu1nQvGHhk5zXfdNpRmegxcKcxH1iI3mdNb tMGBPl19+RDzIWkrmSOCUsf56Wp/YYAhIb+ahHRBCMaaXrA4jTtAz4W8vk0gw4aSP0xs5RAlW0+m Spl6R4vvJtZI+0zTaz5aGXlRRvbdYIidRNpEFftQ8qYocZ/qtstZ14Efhp+UWeyHwyduzLMhMQvM +EOoFZfLadrHLE8bNFgPWVoc+pms0LHJocd+iqAIALMEHbkwGrdDXNoWSeLT72ybD1fTwCfbSO2b PHSaz22XGRE9J9LU7s7eFhgs2hrjMg5DxLPWP49iUmeKY4vSuYuUPV8AYAUeh1Zys8DkZdK7Htqn RWtRVhR5YoQhQ8F0ozPQOSNlhRJQJh+PU9YK3SPkIH8dKSOdhymTIK42HqkfM0Uq+Ak/0MJALaBN gqmO7ulrYSgcsADSnHQmvAuBtlEz59b/E7ul4oj+FYZ5kNIZ2mbjxZbTwrZccGWpGPtazDsXAd7K 9S4Zpxfx2MiFLfMLKxVL85tuB4MzZwNqtrMT9jtt/WwPSLnw/Dp7DGqqA/OpcWc5k/viZ92UCvFE aiXfGpHEkakcNQNGB3L9ZFTZ3jLFEsNmR/7xeZxZGFcl9G/hUbCyGVFnEsvVqmN1vrLd/FQkvqqV liD4Zc35FS3cOHCGVxX3icsoHd5nbxsCbpcRODAkOt+8906yXvu9skAh9J7AfGPT7gEvGfNYDpBR 28GxOwIukEBSmvU/zqaeFlsF05sPsOsd0iEtqOKjntWa1rzRNDRFx8QajMdZBHjdvNUerStVjLpR 9XgUwviIucIi7DaYklfPuabVXl2DkquUwf3ZDa1aoh8AI0ByUNiRq16Snm6WQtjF/9kupFFVmk6E ugU3KxkJQFi8pPgbV8GFEIgKJP26tGx9Gl5vlNkNwbQlMHwUUw95Cs+C0lJwtu8UawNTS4n7T0Dc ghsT3rw44rSlwlaFdumoG9pthZdpmvQw9e5sfa6HyyW4UVvVtPiKhxxlaC/rcBqqOUPBDzsUAVTd 5eTQ/yC4QzmLYre6To2SoAxd6MC+8BcKDHm5FAmJ7JQn3gDBJ+JC9Z/GPAz9agvi8d6WLk3APhRa Ek1Z1d6qHDZ1P6IOMMYz39HuvYd1vn3N9m6O3C44Qa1u/Tqblf9t1U60ngHIIa3gwtQTGZ6+ZTK4 +irn3u3V5wJlRwOX7kdN7xVQPaZA/SnKHnjUwxoc8DEs9T0a9ipQWN+FYCAnIi5BXedZhzXK6NgZ 93qbTOjEXSzZebvAOe6+buVQV5I+W+w28AckcXXeWUM7Q5VzVZVRmVTESBMsxVeTjU5ddGgwrb8O d40DL2ZhS9Q/cQEPzLSu5FKHLmD00luWMGaaYqJWECgGIu3oLU84fpD3vopQA5WziyES0HgiegqK OG08EgjB7ijPY69umLjHjMFdJ82Ynb6Rw8l84se2xHs9QAiAi72sGVi/2jBAAT5gumQLc2upismy gGLqPa9OqJ9CwEC+Lyc7i9zk3kB1gTgEqDW7PvCnIYSajuR1gKN0D2X8MaEYUcr53/sInw2/UZFL 04qzV+Kv6wvPg2g7oNOOhUSD8wmviah/vYtV9ah9N+BsXttq2KNCNFUdtvg0gvjFpR6GoSzksebY GHl7bHlfhFY++G6f/3s7WrcExeuVm79VphZtKQtsJOVIlVB5dGOjkla7jsPhliM4Uegv1gQxXfe3 wcFOFq4dboZEBzAinGVymNItz0lDEs0OLE0GaDElhwtR3KzYwfDF35jF9AejmwgkrKTa8IxPRV8N jKNigvtd+d+K6mSXRmRMBdXsqWGgeHQvVtn8bNKBTFHe0oyRzQt3CvxdcAylNOU5v3gNCeMIfSdf evY6yxKpLskGL0YrIQQhZOpgu/bxcjHhUDBUqSa2YRKRdkSiWyqWUzO9j6GouULKZsagOkSOIMIU zvnHNh25KETsi6yQQi8unkMRLjdyDLneAooYgzQPVE8SXLRs8jASHtlHS1nXZCQAX1qk5A6GphdU /R3zBAveoKWqFB/JaueXTttC774fxB5rPXdHo7jzXCiD3tAqCqhbSAZgk/UPFBVt5qnzR0l4fL7E h+EAdt/9qcfuX2x6gbq1ZA675JziFj5KCaeTwI/Na6IpNw8EMnjqtPu1rAiVgWo9VIsR/Lre5OII spR+Ten1X5Z3BG3SHfFQ9cRnlg94Lu9ZvtKNnq/HktMsD/mZMVX1KaTZYw4jWcVbevTmE/bPVmtL 681f6lTr8n94CSJxQ2SGMASUpFNqR8VMMPhgrIXvtRxw2ELOtCP8Ck9lfT/aIapnKesKdiO4HAU0 9MtvayW0Lego3UHkPKr897t25D4+pw3QpS7oBVFaYT/8wf+9GLTrhE5PgnQa6RurPjjzWIiJC9I7 O7cR4Pbwf4dnV5V7i8NhLTj7YMWzwaU/tIJwaFzbdFcrgqn8Tb0kRQQsLPJtz366QLRkpFov5jhU N42d489e3O3bigSl7G5TZIszS6Jxjzv0/E4OJjTKao9lnN48t/IjiEOr4HppyX368DrzeY5yfNfZ 4pDHDDxf1CnsOt5ZCSi+oGeGE/3fPHaGD4akPDwuZZWd8CCPA6STrXQKtoPYs6HY+gpLL7TdJnAC TbxhKvIUiGwaezmCUsfi6JI4/DyRZdP0EA4PPUKMKE1tYo7FQ1lEoMCjGaZ271UBU1/iSXLrZBLv OAvPrDoyxAdVT8ha9znATBlY5Nc8Gyejyy8I17FZBGYO386HCWroLS/f+HLqioAwIivQSjKt8tdp bT4IDCgKQAc3YTTlF7HSEArhtNmIY7DfAxbynih94AqpZ+sN7V6vX6+KdVBHqCw6IQuo9XqE8l0J zG9A1v6mGEHjLClYdDylICNnnYvw7m5ychLD7INE5HPgodIlJIWIZVr4PKhwtgEqGMfDq2k9iWe8 0B+bMIU+3MvY3X0qc5h8teHsMRn0076nT5DNbEI+jHAwHkEb3bjop6SkeAVDGKUc78AKbje9UGEU TmYoHy97bu9omNvx5GiLNh32/CvxH7D/rmAWPdYR/WKUTbtO15VGnDZq2XUP14xFYUmU4e0F59jc hLagfqoS/guARXFZYIyTqvfw5faAsL3uuGupXJwvDC1AbbHzTlaB/gZ5VuzoWSM1WD0SwDXIouLV xlYTMSRBsM/elWtkakSs0ALdZezH4r+Ol95NFvhhJ02yN5Edr76INKzlxMQ1nl0fzOPpffq1S6pL Np/Ys6SGNSytDjyeYmQ1cb5ssAzCN11EODopWRE1X2xfAgwQt4Q/abaCkkz29mJmpWHw5rxT27S/ AN9aFHpCCB9ZkKfpT8UGxLw4dqku6aIR+nGt+3A2sjXt9VSGUZQLWKJ0GEJejD+uEmmlov8n6yI0 rTnm1gISq5bCJr2xnCsYX3kf/G+togxK/EEvyDrC/6duZ+Zoi7qkbEOl+vQncJdRZrr8yyDFX9/o ko/llswaGJxc9lgjvAl881DDoU7/hTi/d/E/bmzQ+3MATWyQx+P6HI8cwxMxsPFHij9y9bXapk+n DkVinLG/4Zthp7yekVdSJ95N5j3R1IgtuyJfegf/zC+UgbG5BpzpnPWTgw0CRX3hW70StMo7PC/S b8+h13bG+ie59GXUdS+FnoFGGO6MaH4C2zm5TMNtBOIK6FrjFmbjUWfVmQgpuliIlTVO8rBS0Wgy jpY32Zyju38IjMQd98SIRsHhv/6CpeH5J9AbNE3bbf2Rhx1d7l/m9FmJ/B1El517xOkDt7C41ach I/Ds1ncphAajHuTCI1Wi1cIW5vgvM5VHkGASHPZ7zc/QH/56EoYxrJ8sMaZF5wxb2QqafCu6drM7 PkHp40+uADCkpKdH+OthKN6UX54S1LpUw7m4SBjBndcz2v3OCMEhzXoABaLN4To2yqEi/2vjFr2B ptPqUxNtrd0aimHBIZXEmNhy4XMELhumtDsEs/Pup8p8R3xzJyoDImKI2kAQU4ymfnogay6H7hJZ oAW+amCIRdr2flyrlIkDCqMO8alGwjclHAo8RhNl0QY5rbWGB8u9kduhPgG+8ur2RqtxXBDT0Fkp fmS8GGtFcP6fcS6W7TbBzP28OveAv1c3QO410F3jkholB4Iaf9xMM8yi+1ASvW/1vcM8F5YffqoM sKDTnkkEP1fptvdkrShuk22DRFPwo7fYo1GG89Y+GyTmG1c5DtJtKiQ8Dhcx3slWdxAqy+lsjMzG dPj3W8FB0xBGEUY4qlye2gpE1qaBd7u50/aNPUCEGV4qOep/wFdPJRdsqcX+KgGeQmMgTbJsem5/ HJwrEN2bNNCcA0V8mdMqXayO3916lQmiAbyWSV7fbJuIFgg9YBokgZOypdgVmHlMcZGecrfM/IAE 4xbZlpxhyBjzwr24WNuu0hMunPe5Bw731vvR+A9Uty1GDmvjm4EkCwveATG8vj+z0Kfa+2nfPfWe 00SQIAIHYa/Dn+clnuBLbyiK4SwgsUmU8fgksdC/A4tbCc8pels0b5Ir/i6AbgoZphXsk8/M2+Yh VKCynjtbYWwivEGGPX3eVLO5p5TuzbQQ/G/MX1bbvFSOIZa0EftXySYorRAYxoN+orZxR5ZxE4yI j+at3m5EYingKF+ufnyQLx1nvfZ8lLX8G4GW13eTUngY5mXWj5nBxKFJXxdkVKZW2GjNayWMAjmV u51byxHdZRGmm8BxJ79U+0XLrHzd2v17Bn1/Pln5Or2tkJVwR3Bcpuetz77U7hY+ww8dkAZoOlfp KyANzDorbBmso8sSHG3k+kJtUc+nyZVU7C0SuR3o95sXki9fGtoMOK52d3mBfbVxFJeewG8qawJ/ QZ36mvhKGxKsfpqmzKyj0LldEr10XKzJ/zlAg5X+yNY87efNmFby1hMKjrTqeZxfFHGG6kjUxDDn iuSnhlJ0b7gZAe9O8wLe5d1KPnlR3ra1FEILji0Tt5ZWgjXupTdJ5FuXtMYzXCHWnh5iHhI8a5Gv /muEirldajL5Ynh4GZTif/HrRTB6PARoMDscQNO1aJmitJI7vjBGOtyLMhn2aajXkxrDklLnnXlB h++Sy+SZ8W078JohytJAHzeq+E9ZCVOqP0ooQaySS+BPLjTuBAJBMMoJkjfZxPIlFDvukj1/E8VY d43505wvOvnzmVjnuR79MqLS2SvxCrQbeE6HGvyeudVAXEWrJ41uNtrZ9741HYgzPBXTJZ7ztfCZ G8jSvZOll3r66jcoMqIaQ6IhDMLUP7hV+A1Q02cV4kWnLmrt6YkJ5qF/WKsBYhbyqKiGwfAorlDP myg6wRdM9wmVxmpwA/z8UgDoKFeQKv6/HgpOwCJc2qJMS9vyOXzVgOAJQvhQJvvZ3uL2V1P8f51x thjmgf/4WXSAYpFYs+IghccBbopnuJj/J4KiXCEq8SFz48VHbVgRIA3fyOaOeFplTO++I98KUat2 yhopDB+NZ+biWz6Az10DIThSjhBDX9bSEipLFmmX+KJaN69VR6+b4tzkC45j5p/JoqpB/NmglTS6 OtPhxcgxUI0RuOSii64X02Bc3rorSVKIuFolon53IVoXZMpAo4IEeWTT96z9m69MVYwQ9O9ie9z/ hAATkuAKvS6nLOSvHRhTQh09/Qura1iHZizdMhkeBIR2nT8rqUr1Rn9rBmPoJfG+H5CrERTAqp9I z2H9gzyjt/6UR5gUBRrn2dvNzWIr8vDqsOJb2eYqpTGHRyy+Cl+axGiBU69KWsBZ7mpcDqTbsr+o fEO9AnMYIg52fFD7AET+FVOnJa7YPAx4T8wNdb6+RwTY94KCwhvIHFfUwfvVoDgSOiht84xgDFl9 nn717TSC9C5Y8s86zB4TwxKUBDAhoPswoZVWXq3roM9wDyfUdUWLZmaj84crNn046TTTVmq0I3LW EPOU3elv5wosdtDs1KaXzWVcMJKtpdQuP/j4mhgiJZd5AzzTgsZnxfKhFo5LsGWEioLX0aQWDpiR cJgnPppK3zt/1HLqkqIblJdw6ih7oj2P6bxrBwgCxT2IPbJGIwYxzlwalFIcDDmZBT4rXDxie3sy f31Gtl3u4Tg6kJ7bm556WOdQh0XemXS67Lmwrw0L0D5r3VMafdd3H/t7O1icwC9nnaRUMqyG/TdV UfuzH5A7p28zRirhLARBzxoV/aJAUlGLW5b7fzEyMOAKB4/lKrSsIa1ZmjEb+fVKEWDtndwYXAbF ZBFeg8xfIMgUpwinnJt4TDBFBO9ZzrrpU2xGvhQEMXEmlpOn8pF6RoaqF9SwoL8WmenbhqUjmtQm aCHgzOJ1VjRkE7YIkp1Oq3pHCPPEGvQuLt2dFjIVu+RBKNRiK/14POmSpcDHYTXquJgb8t+gNzb+ cwOYb94rAfwlK1lqAe78U1XB3prWoePa/OUm5eUqgqtBkvJouBwmumpTP3Ef6C72mrAjr4NlUMp0 7ADut1N/P2o2Pxd7IPVWCiYMQFPF2E1/YswKdGM4mrmBwm9Fa1/ls9QINhFgBoormTw3ZSnfmC8Z ATXcz4VfCnR3z+2uAvhINdOdC6P2qKPWe1Eisy22lWZYwG/MPKRF+c0ErcRTs1gd8AMAhr8FQzso WaYAEuXfI7NBRombQaoYyRcms101X78lx+JhbQfrFWfOzf5CXvPzzvczogfcIk6cj3gjrS4En263 51sv3g5zOS/LIUk/FxCAdLja0Y1+jhqv+dkH817XhF9ibIdfDloH6QVlR32yJselwEr0gRkN5vyu nW5thdBkvkj46GaP+skh8/MzpDGr8swQXAtfXgfv4YMWPCkJMMVRLcBFCbKTmb7YMwEs0seOiT9d nHX7zcNWVAd/4HtAPZOqYxhFZPD5PJ5RBTLTqRXLB99HyxXHD6otkHEoSTNK3LmDXMUG2vr3ewr7 s7k+PvKNaXJs09mpPkfapBOfE7rCVfFONNPyeGUvms/6c3IRRjBhHrc/3+XvB93+w2Q7dcp16wsW a11B5Ub4PtYT1634Xqn8ojowSkiTQRP168s+k8LtmmK+t/DR0Knbqn1rO3as/aKod8MAc3X/t574 EEezBu+CUzchilTMQN6nMCAoV8qv7240lpL9OgUC3tke2yTbWgMq+cqeUA4vtRnI2Q269jWi5hYW CNZ6s+OdtFS/r5T619UAG3xGyuFtrTudnXTLev6iAuEKW/qFiLuFf0tT1PSCVVBoofhLC5lysrWh LOWp6ytUU0iNjpBjPQ8XrppURRz5WiQlZYITuvWrz5VRWpKWEwmKZQDLTcnEH+IOt49LPfmLgGUM HJkPBgMktiTO8H24Q/s3JBnDVOxp+/YDYCtcDDfvzF2rwHbL4czcgLN3f/n6LVzZ5Tja6QUPf5U0 OdsTlADAluHgQvT3eJj3gA415YvezcHUR0OICgB5+Sv0/0OJMT/F4sypYcBfVh+AZojwFcKgd1TB ARStpwjaEt4QJCqWIVuZXSENKu8P7rNFoXQ6BzF08F26Ugc0J7K4vATQyDUqAWW91iTt5Ab8pE98 4+uMVcn+bf9Io/vUHY/R5fObFp8TRPj+vEtu5wHNXyZF1H5J2QSfF94harcmSIDalBS9WeCpOLY/ U4suTqifloYMVkog60qjf6f/5Ir8HXMiXwNKemKDXYHMLE+sTtoMQHLZMw5Vpoi3XgJ/37AV/Vwg AlNnN8e8yMyo/qRDbUoS3nVT/eEFLAsB4hmSn7WKFPMyDtx5s3HVf8shSBVml1lk18sWc0yiVMVg BswA2SVvITG6emPUnAAJlLr0QyM4eIxqQ9BPUdrj0zdjzN/zcp3TE/8+q6iAihLZIADJSGJwDHQP wZM7rm8QWb8w7t4l0izBT6TOuf7M37anSxkZ9sqqyVoj0qfJXWgOE7EP/fCXZ1/gxVmfj6vA/+7h TqNaYYsvLHnTYm0MWCpRDqIugCfzSV060SrCTdOiNVwThZ8kYlDCgR0l8KljzF6h6TJRiy5E57Ak 8L00BEtq75kaRHL0pHM4YHU+2nZ8NVzEqsyVN6AZy67YXFfpcA0eU+PXuZ3ZXm9ePL9ADoQi7LYG WUBqYFDkIxQyPWAZxD2xMIa3BCKBI2yvGczBWpMrF9sUpdJYiuatjFCG7RpjU/Y44ntdAaXsP8Bj 9jq5xpe7iF2idl41fMg1L0foNdvRQwyQQfjE/cBvss7XtqR50R3uc0hdlnBeWWq/ZiiAv0xOqD1j DPQoPrm0sDNZnfxSYWYGa3/BhM2RUiY6U8umisVFq1hrDYH13QQ1YGQ5X7yb5mV2N52dSo7yeQX4 Q8ok8YJEFmu2OIJlPmUcc5Qiz32mMYNjy/AlMOdkF7Vzzprerjm6TiMT3O265jfLhfgReyaMjzLe xq9zH4Nia4lFHQZAVnfq9luJPY1yQ+CpN8B2eG+ATldOGSHxFlm5sydpNwze9/khc7jIGJ5JtiRc fl77SOe6IwungC9UTn/9sQoxiZv7RJoPG5YDrJlz497mQVhhIzeqiZeJAH9RaZ/D900T9PT2oiJr aazGUs7CflUy+0DMy8ePuSQMb1O2c4HUeo62j2YWyim1J741WbRaxHIhjWJcozujPrXOivaXEm19 6LPnDr2RqIV75UJxpiEItzer0b9uxRWnP/AYt+gQxrvl1pKjKKYveztp/wcLLDzup9IvmtA9PN5Y qHkYpdWd7e7laUPQZFyza+I3j5MObnVxiPdKOgAXmr75SbxNs1zHVoNFFrCF4IYQ6vrcFIKeiGzT 7giiDHSip/Q52gZP6UKNCAj3cYnaoYdgi+Hgot/FB9yfJJb+cVfYbSEhq37g7oXVYA7mvbGtZrtw Mx8AkHCw491fyskL0p9AAgz0ZCPjweiFn/y8P2CPan+wUim+ciXRTMbAY9ydAb2QWLv17PEhvJK4 gOyvN/04SthbDt+cK5YC2ydMRGiXhhBe0PAAF59pDf2XiHRyfcYzhu35/f7iBjJHZPMW+aVkMUUZ hUJaEsOVWG4y4UYIPckdCDqsQmXNka2xPlqcJIabo08K3uE0bR9RFBkXS2n+QsCqeNn4mNyf+FRw IV0o0kSktiDkjmY5i1guaMU3S2AMz2w0xlVu9R0Oeo4HZ2eZBXECsBLGwBpGwoE2xzamDiaC7lzU 3MiH18g+rvkZBqZdaVKeRG6j0iVy2NJk4Y7l2RrjyM5YUDEjaG4qFyWmBDAk0az2dF67rD9Omv+R YQ3xQxgbSQLjzh+ctV3CRst37Ea1wd5kz2xOWLixM9sfrXTlOXAcStqNqL7w44CDPTi26hdPFpLU BgiXyKPPKWq2yDAd5+9s/sEooSWGXuZwFso3kKrqnPKtEa7SRyCKlB+KrP+1oRo9rh8kB4LQoc0l E0JMpNg7IyiCrcSJVaTGPNBdKiBhvc/d0dw/6f+qgASxmOk0YtUsWMIzWsY4kDNxjuB6b6MgF5Ft W17u5QXqKoYe06jRF5V/eHZZw3uRd8WIaiotL3J1FWfliT8BitJwxZ5NmLHdMNqMEpy3Id9PMd/j t/yVZcWsfad/iVNN3hBgEoXee3EKCe4x/ld/vckfZqdpOQK6ayhsXujE7CkrNfTaFNcADUlviY+2 /I4Km+G+HkEgBjmskOC5TRqUOTggcKWvfCjIQLJ9eUOjYGoPLVuHCt0ifMmVKEe8pMz1inWviauo 3zmJUf3EMuLYgeQVOzIxP0qObD0o6iLIkwLbKaSPntW1AGcvIf8pXSMY+IUk+vgq+AUvTtFM5yht nROVgVKijZo77WvcWzxixd7GlL5iVlKm0Xb2q/qzcrKK7J3WuZDWOAU7RmcgkaO4Z8RwIIhd2IO+ EmCAsq7YuPtjnHQ2yj3nutfkrLEeqThflKspIjchAjgSv8ONTb2I/U6qzE22yzaUNzqZugSPZvDS FJtxyVY5VcrBlm1E/UivL5P5eK78dFxRz3sQf1vnlm01QA/eQClrMOMn5gxfxBUl2IG6H/iRl29a nGxE4YEjdg3vXTLpNBjOyVezsiPCPbocXNJ9iewAxqEfaTGUUbDDPl+OWh2Uw8HE5cACBtf6Wdqf cvfU1vpvufxAIgpGQV7c5HrdwMdthiG1bC/YiGEzKqbp38Asw2xWggoYVHiM+dTh3Py27aU2RRIE i7SITHg5rLVYLcoOEkc412mKco21hrkXOnfa6QQUnWIC9zrOXz0s7CsTRUxO9n0n/cE560LMlsXz HaSVEIaRjdXHhcnv41xDCY8zp93+zeFnOX1FRB0ymhUlJEPVfMZ7NJClW+REQsrvpRpbgpaXMSpC J6tuFEKEwYQ+VpItpHxYKFXojl3jiI5BRtiC53SKk49xRfupRu8bA4geiZxFu2YdVAfyYaHKlQTp 3dzOeAi8oHuoQcuQHDugFrOfPkXD0Ai+EM+GOioQCtlbOJzwPy1G2ff89s2tiG08loFvJus+K/cS CmJ8sX/6LKu0UZa09LUPeKMR7TDohjSDFCcZc/6X1exOmaIBTuLBbR6wrzzXdTavyNVk3fWxvNKD Vn73S7/RIdalrTDIqvb9v07XEvcnOKPqHHs9s+gPFsIwWwTk8Smvx1Txk4oXDQo/7iasM3M6x5q/ 16GI/VufEo4Y2zPMgMYnBf39aSqaa3Ji2pFx2ER7vxSZRASqNBhYV/UNxLtwyTujDU/p2TRXGIwW KaEuohXIK6BT1aaZaFM9NS23LLzOVGHB1z8QDyMDMQJgk4H3LoDxJInKn076KQPU6VilvPpciKuI 7dNkXEOUGVefobyRD2yXUNUkq7i43jI6ZTGcOFssy9ja8Z36clvB7evmTzs9ZVd9jy+NO6M1mK+5 7/ouoPShJ6YmSt33iwikXNJe0xX7hTQSy5WZ8EdGkQ9zSPyx0DFu/IcfCp+ijP5eppVOy3rsipHj vg0mRwpAmw7Hrc9/rwZqRme5oFLzMYxEwWOChfid5YTPQdIyzPYH4kx8hlOlGrx+mo7vgCpfTldy FG1CBCes6ifsG2FO0goEADTzrr07Az7hMp+yFZBZgedfiwpXznhKhIK06iT9RPKcPTN+X7fNqmMT rHCu8+A31D4+9JRJZhO/S25LeAgKxeAGdV0OpuNlVz2PLjFOy/Aj7elGP9QuNXXVvZbEz0TszVin 3cVS3IJAELzW4/7u1/SiMQp6Y7n1bTzVWjl+US+g7w0CsTIU7itmiUQ997c/eWLclsefqeetkTsg PQT4gnGLwb38yw2M2D75cP9jG32vPAQRDwlKLAVBdAufQCzGvfg1rnfGEcMO/MiiYTwZMUVj9Nn7 7QkM3/fN6y0AYnrSyhdMN2y6TVChE8z9+0ehCXUpxbb9Kcb1S0YIuAsEgyBZ6MmRcWMEUXdKQ2dk IGApF+VORe7sTNvmGfdXjZojIDmxkgqahsxDs6ugmGamp7tWTS3cD5Ns8LQau2LZ9R8+dw5uH+5l nGf58rLWyutJ3NHMs7s8n81IPHHPMqy/NKjFwWtDODyjrhtUDZp9jUvWHksTPHYRaiwYuiZwiQ7l XKtG8qLPjtPMqfwcT1nYjyupH4VGOC13jiFBxkZ3f/6KLAzLX+2lxXPB2qePKABgx2eV9AA4Asy5 PFatDMeCgWZ/A0Sk7eyXA7jZ7ILeHU4i62Ii5bPVqatoPweW7ymRYIuq77cp1J7Zx1S/n1N6tZzh p7DmuiyhpYW2p1DDVHw/HtVYQs+lquIlJzdV9tqTUJ2VybNa8vAwR5wxFvLtld87JvzkJzTYCkzF 26QOwfhWhLe0rB0KINKKWFw5ocwyA6H0Cs0uBtJGaGJD1FUMFMrVQGZtJvUfHqdGSfkwaxQISdFj QGSEPIrrNM8Or+2K8wEZYgBJqKhBzqG7gmsf8HDL7L+eRBGWI5sek8C+dt9shmdMghnkn4xv77zx QfppkYizHkXj+Sw7db5WAvRwDAYrQKQ/HFnEU9z01W57hPwX7OvuaT/GWaUuYftOx2oAbAOWOrhz P5Not1izZ1eYErE8iSjmFWku34ZlYZMo2Cl7Q3JBvDmmr2QwxPjex385VROenczwM+y6S1fROCEE 1nxJcASE7huHgD05bC4gZG6i+gF45bC5pXx00WpgFeEXGq56fc2Sa1uRyb2o8HlOdbmdk2nayUyI O6BCi2tmG8cepuKSkBW9jKfChq+D8bN1RAD1ysO8pMOClVvZcJs6Qyjz+uEoy++HXTGtoS1zq8JL EtJTjDlpw0rpsfPYvJU8Omk194XNQ9WPHeWN9/YSK+fZ4oWeiZ540OBn1gxdk7XfzbYOW5BYJ5m2 cc7KXQqHq3NBicP3BhRJiu6t2Tx1W1vYNSM61d9MOYDzWZn8g5VPy/hbUWbCK9mnE2JFlZgxw1c5 v2iXnWvVIL/CPQxd8B0KnZ6MhJc9+KypQ62W1U0SXtcAbGaJW5S02P5babIhNADu9o1BElV53n9Z yLUrCIO5rf5szhPWmqz8atiX47ZlRF/E/CCTVODuLdm32ZsMYjdkofhQ66xAVXtDv6sfZsDAqQZ+ oDmfQOht8xOGhsy8gunwIVBYtzbjf95YtrWeZ/9D3UG+jiN/wJmbtFfHIz2Pc8B74vMYjhj2EHoo BklIB2N/wrDSfKfdQZfE+56WD/AY9tmK0UqRKgAoY9CCXBdKKnkaJyq/Rl/iaZNTHXQnVKk7MbGQ 0AfzOOu7nXvqbB5LdFXZYkcsXor1LhR+8LYte3IAkhoeB/wcdBjsXhld9OqOlqPdNjGJqo5sWWaW BmpV37Id+xCwTokEKjq0uRjSwf57Wp1RoBM1jZnZPER1rcJldU690KZLA+eHv2VcJo/F5zRWR8sz IhCM1qgfjBqEXxnEIKAAH5kqUHgD8CeIb2ypsYs6a4vPVFFkNeC4FWK2LhnN8tlRD4NyITToPn3Q kKP2P7ulnkHcR17+EHGPSPTYIZ7y5mZw1Vy6TcPBmgAf19P9VtU9unVTzd0DfrKx1nPxlLcepMfh yVxKE7P75Wz3xvyN2PR950LBFSpDfMjUDkXCMqj5DfekIOThzVSXkLrmRwZVZdyeVXqN60EVVNaE S3HDBsuZs80XVEj3JobIVNWGboYbLZq2gZ8Ax7C6w1JIdaRl7aI/NOzvRarGC0xK5lwY0Y2SxOMK /hWWLCJigh1MViTZQ9r5SYdlilisDfDOP83YduNiWU7YvLPXysA/vlyYR0jySgNLz0ZfFZALVm5V NaCq8wO47om7aFfRhn2InltzLECSncD/yXqlHV8kBC/YVqbrr/+sxH8CLqVQX9dd4Jax3RK05VW7 LjgqmpF4MHamJVzyUPizh8yayOc/BlDNLfprhWgdRIVU00JYTI9LSMi6OeMPQLfS/WLklJ5i4EMf 92s5epRd1vI++Y1Mfw7gyIaZUaJPFMBJ5tKZ9XkBVehflK4Qt81zzLGzJz6yhrYr1d5/e01ZbaUf J7ZowEKrz4mTAxSgl6PPQYkx950A3rsMMFYFlhWt989jY6N4FHg1usdCxxcwu/tKgF78ZEFHyXAs u/vx99rbsfCwMrDK2Iiba8w/oqgz1GB4l4YvtN1KzRJQSkdRH36sfnKcWFGeotjRmnHSFaIlh/Mn 4c28Z4xEPM+rIsSvOWKp+blqOm1PLz4yT83xIcbE7SXYqEFEc5lvEDGjF3ClwluP1RJXMeQh0T+D 1AaUmUmqS2GqhU+ZbOqUjPtL99Zpx8B0U7RmLx4Cx/DqPMlzVCTIaGB67SbbddFV0feXhaSb/kPo ujfVfw4xTnjzjxyRE32Pqx6JDfOtYUJy2WLMGew39TVAQHt1pw7FBLoOF/7rNHXLhMdyyXTQe7Nk bLKQCuFP3/DAytrU9Xl7WW7PnJVg0JBb1V3PN5jQoHIxJVVMoruxucmYNl8mkmPRWicQNav6rvhH 6QMiyskQZbWIciOKqHH67P06GMQZXfZ6x+xsBaOdVeJ18+QocpYHQDGFwHc16GsoZ4mn02kIhJME u1PCMSb8E1B2yQv3hZtTXzh9rNhfudAno6oFaDkXWBGNN/VI3HWY7eAP5JhvOR69aP7oswbv9Ysi RQ2M503E/i5wJbF8/q/RjqeIwWsapoGOiUPD0Ko53khQF6tNjye2zAX2w7rgdDMAZ97BiRfxZmw/ 0HLKmcgQ2pX/Vg42o/lE3Yak8BVYfQHgo+WRvU6ZJ0BNcu9M5jUmWGZlRwgbJYCDS9S5fTAC4Z2s plFzfJF1sLI3B197TFuH/jYvpV3VhkSigtQO/R4XFvVx+IVA1CHO95dcnByr6RRIsBVWrVfRm9r7 9DI4WAs0qfVA/1Nnm+yladjUfFfUqg4cTqsPmBXoiw3q1/2VcxvY/z+u6mgbQZg5hV4lVBd1Tstr NOL8NVmOK/KDy4DGLQp9hlmPD4P58Eey55vN1tOp/q2vxEj6IssR2v7aJyAK7pEtAKOx18oE5bYH ZIeedaSBV8r1MaZq+LBe4i5iYGSG0EsFn0Wq1kDVxDKsUqGGX1FjZmeDeQ2oGdNFteF3u8McM8zP TdhsJ2YolT8lFFVxw1eiUbMJxru4XX5LdxGcJfD9ZJaO7egQNOirxFQDVxjSSYavwKOv/wbthXnJ sYgI3Z3wzHWGAnEZCEz2Drr9J3juj9xk6CcqnimMMokeZrJ0r8vS2BdhH5yB5nBOK2SU0lHB1cWY hGkC6nFkeUosXbFTUF/THzzeDBrBsy3THebpNK4qnBP92nPzEd9069HIEDxCi49HQDwOyQaOhdlm wsxVuT1hy+dVdhBc1thW92A/rftYbuzA49s770QFruhlVw6xCdQShFuvwN6v7WWdMj7Xxhg53Naz YUOIGIeneIzVHI+7xXY8vWVbyN81qfSK8lYMtkonX+pzSKapPr9nNUnIOt7Gg7lYnCBAMSiFxbP8 +CphFsNzjJOuBXfCwJVg1iYwoMIuwluFEh6BZj0iBCx4p7Sadf4R7N8hky14Q5nf2IUzTtx1EoHx x5hMyEGVFnpD169IFKVwg4wRUNXzKsLcEn4DUMSUtJ4Bs/YYN/KPpOu6QDOlMGtJM3cd3AFlvnfL il3S9HFAl8HXuHHN8Z8UzSh+rXpzedTo/EqtwT/v86LW+KPHhfY/lvS03kpaPgOsefFCU71x7lXf xji9jngOJDQc0ekxI1/L6DjSg4Sx3MCgD+BTRqkqLg1oX9zyGn6Y8V+t6iyY6MvVUTGHv9SkNmmc EM+ehZIZZdg164H29Pg8tpIHn7PX4lSuQ/lt+Bo2xRPGCHzaU5FONhb2dk8aIpKdA90fxOyDg+yr Lo+wU8vEivWs+ehhlpfskemuPJiBbQcE1H6tAIyqIIxiqs0XlR/bMxh/9Fs9ZersOmsP3cHzNS5V ndA7K4iJ3iOct5UhUcCoR2UCGgxpZuCEpPJKlZggXktNmFZ3YbTKViErgtMc+rheLLExq0tX3Tsl qsb8Vluisu3y2pSJlhdD3kgS8qi1heR7pqv4DUiakEP/BMTb+e5FURdukz7oTP/Y/5krVi9n1wSp xlYJP7jX59RHhCJF37RDKHOmE/RsHfyvdwgQnq83pLA+WF0OVQFDkhlz5CVZf2E0Lx7Ervyk4frG 5KBuVX2zfODncQcK7ATHDDjsYI3HUd9ErpNwR2CeqUG0o/EHSta76bv7TCDWMapeUCrk+j8HKbGF 8p1/n0HdE2Cm0VlQJ447uIMGmJ4BgZL+k8b2yJYAuYsH53t30bWZjRnA+haxKu9f2bLEoCz1UNMS or1hwdYxoZDRIhOwywzXCsnXVtlp8MCKAwmLOGamqnxI/H/TrAbY5QAJV0OiGKuG1ZR0et9ZS0A6 NTSz1eXrffSF0kf+eZnrMXZMv5aWeFgnUCthtftUkzwPyIugN69xWo2aT2wDFJRBWSmnQ4Ymq7ZB WyOgtvYhtOUKLJPsoYwSpdiBQUY8/56dIrsMl+s2Z9/t50VDvrytn1ozxT15fHECdCFw2bnny8vG jUQg76BWceY/1DBkBSMJL6Z2l0QPI9ph1AdP9gli8YJfhCmf/P3yRmns3tREmtn+iP5VygA7OWKd Gc8GXiPCsXyXH9ctL4ofsV6uEZO3VFloRt2kcEEfGZtAsK+YYok4AClPjj0lrIsn2AwNGrLTzjMz mE0afh6DjjeaVg2iFUxzdQtlF35sMjWTPXzl8PPLEI/uDx2bi3m1FdF98dgVJu8htY9m+dLX9xB4 Q6upuQg8xdKfpLOeSsnX6LeUcv3toByetmvihKY9jBGsHDTMS+RB+8B/v2HQCCU2dyNaJaeSQuvr Ovvhht6EsMyBSL2z/0BCHITEhS4lkGsKCOww+sKOruPImoI2cYfzav9naiwwAVL7inXCyvnBkolM q/ARqBCzULQ2OXZSFPtqZHCDrwwEcSBHS6/GzCp44Kjku/2H8L5R8WrWG8bfsS+iklJ4w8cUkg91 scU6E+BBgoFXE1Kz0EJRQfvJm1i7hfGPE2iUBUyz+ICQmvZ7o3UQNgKx4ktLJJ8LEQq+IFUjtnq3 Z6K6n826m1qdKrJf0pag+GAKB3xCPBtuLN1OGBkNYeGgmvqX7vtxpErkbIHgOi2NPTYnNUNojnvs fyTmYeTiLjo0W950bffyL1Bas2YKpDBpp827owMHux05lJduUNOJoBrReN71oNqAED3b/ANleGkY 8BcWr42hMghQLh0LMngLW4qktWLT5tzAzKUK9yvEeeOtC6DtPjoak2JJN7yAgBPYg0GBxVmgY2Ni OHljknxSukh13ISNdBSs+wkq6kVsgkJbOAZoHJig4HeVXOr1u+kJl7OcOZTa5s9jJEVUaZIXJqxg HZPMV9kvob1YOheR/DF72Ne56NNy/W/HZLeh9sLQUcPW7XxFvN7wK0n5iWkmJYcq3FDeF1c8v1oT n0s7BmxwpO0dzB2YK7hU/UwmiR+EXbJSblFyd6LfEboSUhXJoxfoHwTrp1aPzAhqIyksMoRCKGSj gP4HIpAObB6U2f4yfvlLg9D7AB5jISHvLg3cVsHH2929ChEIXa0HLZYR50iYNzzB19QwVpjJ/URv ldL7djGSfNrTNfe8qBXGqtq/YhTmOmXEyT1FT10GZIkgxQY5w/CIcHur5RWxtayDuMaXWWQ+/L8i eIFl7zP5J1WBEWMqi+y/FskGRHlL/cPykwaT4CBpBJf4eoEQgYeMGOa+SoLSZRVK1nKRhlRBuUEh QyBvVoc0dszniDvRflVU2Q1+N+nRoxDPvDCNoq0HklHqjL7W46M439qHzxLcWmbaXpTJb5Jam0e0 yzmCAU1aOLkC67DGMdeQAVvRGYr/SnGlJ9eHPl8MT4GGnGcNYsnhutRp0i5O+QpMVXBdOOibqXH2 Dj60MZiiAuUXgTF1okB/5TIh5A6aXxyqJgl8rp2RmRk6MYU3veSNJIq+PueBeCp8B9Wio7UZOIqZ HMVp/0NHtX+JQEhbEndO3PR8ii2VHytugszEvRno55lujM86Bp/C0HwmP/pQsUvvH8PatQ2mo1DL U0PnXAt5r450sRiKx2fBCt/kvNrLqLn0YX6WvvYJhpQtIn6Y00FNlcsqiDKXaX/rFFCHD/V35jHc BnLwX7322P7Qj1jxiKe+W1Yc4zgbWEcUBSEYXjvUhXZ30x2Aiwn+H3nupCYb8V7vbRWezpgykZuu fEbtuX9iuhBasCl8SwShco7i4pkXk/ikN+Tgf1cOf3CgtWGZMm59e1GIov61vDJrYRmBJHjP24FC H4NDc824ObnO69nrbEi/mC7vYcuYpW+Ivr6Q3quCEwvJhmjpa0tbJd9Oa5fyOleKHOLWa84brA4G Qg0i0XkS/v5n7Be42YsD+B47IboRxeT7DSDNMAOvvADMjUPbkIS2iWzcaoyUT3oOosJJ+DMI1zPK ul8ez1w07JiDqylwFRGfYzxr78Fvcc+FTlegBLDdyoh6l+H5LwUJuz4tp2NY3Eq+tlJfKAuOqpq8 iXpZur1O2+mBK0JURdwGz1al5a6JbPMVTRE+FuVeOOFM873WFTIqrgvy1qcZiGSNhk1RMywfWQO/ +2Lwk0I8rUN7gP9Fo8sJqcad2A1HSTZu1v+bwn66QPgRa3MMY9zMO5ictK8BKPxLtDJuIHS5YF/T YXYMstFIn7HsqD5X4la4atD10eBDO2BjwSZf6PtSRNMxqKDP/iRgTfi4N3Fw7NRdE171ko+rSyvP ye5MAqp3zjdI8oth7/eKZVtkroNLxsLWRGB+AoBMx8FPjrPC0+zENoww1mHD5oG2BY/rybIXLPRc B/WzMJZ4dLmLBt4Xwde9Fdy9ZDWBYs4+GfZYBykSTG6CDBFUEmXSnMdZPaIqc0iFTmy4BhS6oj8b noZMJQB1BTe6gKIGpCDpwHJRbzN86mBIDgRPM8bXcGBVrnKbUXvHPLpqXjJtM9f6Xsx3JPqBdxp7 dx7nvINBtUEko2PTRiqM6sWEQ62YA7fa+cxCMeFYEAtqFpovV7/Yk9XD98r9nJviUQY7NtXGt1XS JACF4Jhn4KEJws01CJ36SwoCxt7f0+3w2zFi7IiBFQ6KZsca7L+bGpnuGJ+klGqsRtSMoGjgkeRn mq8DBuSmEroKW3OGBkJjEDxGQ2Mn1Zj2Pq34LKTi3+coDSiD0wODGgRUVROpAf67TQzaENU0GJgx TANnVbHDZs3OMaDRtlnzm9+k/SeE7PMRAJM2XBW9KNN5yh6TYFEPoc15qUzLF8PEPDEoLouNHa05 rkpuu085GNih1yfcjekI0RxE5hBLgS3Ypunsm0d9eZUnOuHt87Ie1Aaqci95jrR68o/IN69j9NEC SvYewJpt1g4o8JDA5NoIFHhU4giEdXYKVoYSgZtleE64abLad54hWItYAwXbtG0XQ2QMleyYcBEp B1yPOSJKxjLjFDX9CVR5Fwli37y/OWgW0SqGoKDTUahmszrutoo/TJqLThlrkrAJv65XEsEmT4K1 lNNktTVmxgYUeqweyvYcko/cLFuNn6SQIxpmDj7sfTaWDqM0HIIw/IwJW2bsEq9jNzYIu1eMYLAz 1MH3hW5P+hznfworcwU7r0Az7fw+oIWwrk0xnGFijLYibBewuaF358gU0Uk40VQEB6nudgoi1dGO N2cMMLZGDas3uZTt8Xk5qKH3+hg7EX77rCXL4dX5M8KRomoyX1igEQjrKQlOkbawC92nTrjb5wDi 6LXirT0rITm0BUcQsdlx6H00lp6MnYFcoVNTlg+GRJvE6dpDYFKZev+bo5SwD56J5gQAfEbnKEMC phQuLfyOnbVCW8DCwo/pKvfphMd0lEe8o+97md4n6Rd14hsOvzldGrJZxBZvs+XnHxI2lKRdietB DyzcvfRrHwKW3igEkXu7CysN3qMkiC513jk/JIsYPJegC806r/sKorhvx/B27DBxjy0b+8eMU9Z5 SD0qeWtx1+dZI+2PzMMahgYpRE3TmX1o1dfHZnv7TmAblzxXsnJ5LryzJYo8Sjf6WB9KcsBO4OXA 7BncIlyLfEw0B9HvYzCR2taDkK3h6D88HVPopHSmVbPIwDqQF4fbnaG6aH7VWCTCELiqyibwl03H iGn+aPz7AGIM155OZ1aML3TD4jhyXY6l/V2qCPK3XZtIJaynuN1UDeUfUgGc/9+zPrWQg1yyU/W8 AmVb5JxU8z6tlD6jNn8zmEP3icigbg/5TpYv7wxIlHJRkz1AyHf94ABJaO1iogTQXe3Cc4mhvQNU GIHE3exIKfSp9oiCY2mUTP4ZBZuW/+N5kaPqxYK6XcTKlmXGHCXV+yCi2X8qpwpJ6aCC0cwQRyqv JVc2E+LaSan7TOSx0/Ethiv/H0D2kxvekds9bHG07bewLgbBcZoTrZouTi8Di98YIpgDbGepBXbP U76I81bmhw9yw3MFXdZwkbGfD+jq2Txs5BCl4KBBEf+tvgDPcIJ+buPCz4GEStu9RTHZwNXRizJs aSJ574q+UK7bHr1B8a3eHXvBhcWH4exdfBTJx55HZAbHE+qo3i63uIq8HSt1nnF6kV3wDehfL86i Nm/i3Cz7n+p8Dd++Gw2YME/YX2zCuZygrjhov3mOK+5gExOhAx5j8imXhjtOXYLGDs1bktA+EIrc YvTf58MMbC5jYuM20zes+g== `protect end_protected
mit
GOOD-Stuff/srio_test
srio_test.cache/ip/78a53aabfa0cdc31/dbg_ila_sim_netlist.vhdl
1
4920526
null
mit
GOOD-Stuff/srio_test
srio_test.srcs/sources_1/ip/ila_0/ila_0_stub.vhdl
2
2438
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Fri Sep 22 16:52:26 2017 -- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -- C:/Projects/srio_test/srio_test/srio_test.srcs/sources_1/ip/ila_0/ila_0_stub.vhdl -- Design : ila_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7k325tffg676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ila_0 is Port ( clk : in STD_LOGIC; probe0 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe1 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe2 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe3 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe4 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe5 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe6 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe7 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe8 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe9 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe10 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe11 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe12 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe13 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe14 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe15 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe16 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe17 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe18 : in STD_LOGIC_VECTOR ( 7 downto 0 ); probe19 : in STD_LOGIC_VECTOR ( 7 downto 0 ); probe20 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end ila_0; architecture stub of ila_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,probe0[63:0],probe1[63:0],probe2[0:0],probe3[0:0],probe4[0:0],probe5[0:0],probe6[0:0],probe7[63:0],probe8[0:0],probe9[0:0],probe10[0:0],probe11[0:0],probe12[63:0],probe13[0:0],probe14[0:0],probe15[0:0],probe16[0:0],probe17[0:0],probe18[7:0],probe19[7:0],probe20[0:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "ila,Vivado 2016.3"; begin end;
mit
GOOD-Stuff/srio_test
srio_test.cache/ip/c5918a3d400116a5/ila_0_stub.vhdl
1
2585
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Fri Sep 22 16:52:24 2017 -- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ ila_0_stub.vhdl -- Design : ila_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7k325tffg676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( clk : in STD_LOGIC; probe0 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe1 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe2 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe3 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe4 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe5 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe6 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe7 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe8 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe9 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe10 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe11 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe12 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe13 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe14 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe15 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe16 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe17 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe18 : in STD_LOGIC_VECTOR ( 7 downto 0 ); probe19 : in STD_LOGIC_VECTOR ( 7 downto 0 ); probe20 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,probe0[63:0],probe1[63:0],probe2[0:0],probe3[0:0],probe4[0:0],probe5[0:0],probe6[0:0],probe7[63:0],probe8[0:0],probe9[0:0],probe10[0:0],probe11[0:0],probe12[63:0],probe13[0:0],probe14[0:0],probe15[0:0],probe16[0:0],probe17[0:0],probe18[7:0],probe19[7:0],probe20[0:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "ila,Vivado 2016.3"; begin end;
mit
GOOD-Stuff/srio_test
srio_test.cache/ip/1307ce7a11e7d807/vio_0_stub.vhdl
1
1701
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Thu Sep 14 10:23:02 2017 -- Host : PC4719 running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ vio_0_stub.vhdl -- Design : vio_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7k325tffg676-2 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( clk : in STD_LOGIC; probe_in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in1 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in2 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in3 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_out0 : out STD_LOGIC_VECTOR ( 0 to 0 ); probe_out1 : out STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,probe_in0[0:0],probe_in1[0:0],probe_in2[0:0],probe_in3[0:0],probe_out0[0:0],probe_out1[0:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "vio,Vivado 2016.3"; begin end;
mit
superboy0712/MIPS
testbench/IFID_register_tb.vhd
1
1765
LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.numeric_std.all; ENTITY IFID_register_tb IS END IFID_register_tb; ARCHITECTURE behavior OF IFID_register_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT IFID_register port (Clk, reset : in std_logic; instruction_i, pc_i: in std_logic_vector(31 downto 0); instruction_o, pc_o : out std_logic_vector(31 downto 0)); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal instruction_i, pc_i : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal instruction_o, pc_o : std_logic_vector(31 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: IFID_register PORT MAP ( clk => clk, reset => reset, instruction_i => instruction_i, instruction_o => instruction_o, pc_i => pc_i, pc_o => pc_o ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here -- write some data wait for clk_period/2; pc_i <= x"1000_1000"; instruction_i <= x"0101_0101"; wait for clk_period; pc_i <= x"FEDC_BA98"; instruction_i <= x"F00F_F00F"; wait for clk_period*2; assert false report "end of simulation" severity failure; wait; end process; END;
mit
GOOD-Stuff/srio_test
srio_test.cache/ip/01b1ddbaf2a615e4/ila_0_stub.vhdl
1
1615
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Tue Sep 19 16:38:23 2017 -- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ ila_0_stub.vhdl -- Design : ila_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7k325tffg676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( clk : in STD_LOGIC; probe0 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe1 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe2 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe3 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe4 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,probe0[63:0],probe1[63:0],probe2[0:0],probe3[0:0],probe4[0:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "ila,Vivado 2016.3"; begin end;
mit
GOOD-Stuff/srio_test
srio_test.cache/ip/fa0e15bef86d4695/dbg_ila_stub.vhdl
1
1921
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Mon Sep 25 09:34:51 2017 -- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dbg_ila_stub.vhdl -- Design : dbg_ila -- Purpose : Stub declaration of top-level module interface -- Device : xc7k325tffg676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( clk : in STD_LOGIC; probe0 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe1 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe2 : in STD_LOGIC_VECTOR ( 31 downto 0 ); probe3 : in STD_LOGIC_VECTOR ( 31 downto 0 ); probe4 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe5 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe6 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe7 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe8 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe9 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,probe0[63:0],probe1[63:0],probe2[31:0],probe3[31:0],probe4[0:0],probe5[0:0],probe6[0:0],probe7[0:0],probe8[0:0],probe9[0:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "ila,Vivado 2016.3"; begin end;
mit
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
Dilation/ip/Dilation/fp_sqrt_s5.vhd
10
96933
----------------------------------------------------------------------------- -- Altera DSP Builder Advanced Flow Tools Release Version 13.1 -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing device programming or simulation files), and -- any associated documentation or information are expressly subject to the -- terms and conditions of the Altera Program License Subscription Agreement, -- Altera MegaCore Function License Agreement, or other applicable license -- agreement, including, without limitation, that your use is for the sole -- purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. ----------------------------------------------------------------------------- -- VHDL created from fp_sqrt_s5 -- VHDL created on Thu Mar 7 14:37:27 2013 library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; use std.TextIO.all; use work.dspba_library_package.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; LIBRARY lpm; USE lpm.lpm_components.all; entity fp_sqrt_s5 is port ( a : in std_logic_vector(31 downto 0); en : in std_logic_vector(0 downto 0); q : out std_logic_vector(31 downto 0); clk : in std_logic; areset : in std_logic ); end; architecture normal of fp_sqrt_s5 is attribute altera_attribute : string; attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410"; signal VCC_q : std_logic_vector (0 downto 0); signal cstAllOWE_uid9_fpSqrtTest_q : std_logic_vector (7 downto 0); signal cstAllZWF_uid10_fpSqrtTest_q : std_logic_vector (22 downto 0); signal cstAllZWE_uid11_fpSqrtTest_q : std_logic_vector (7 downto 0); signal sBias_uid25_fpSqrtTest_q : std_logic_vector (7 downto 0); signal sBiasM1_uid28_fpSqrtTest_q : std_logic_vector (7 downto 0); signal expRMux_uid33_fpSqrtTest_s : std_logic_vector (0 downto 0); signal expRMux_uid33_fpSqrtTest_q : std_logic_vector (7 downto 0); signal fracNaN_uid52_fpSqrtTest_q : std_logic_vector (22 downto 0); signal prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_a : std_logic_vector (11 downto 0); signal prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_b : std_logic_vector (11 downto 0); signal prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_s1 : std_logic_vector (23 downto 0); signal prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_pr : SIGNED (24 downto 0); signal prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_q : std_logic_vector (23 downto 0); signal prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_a : std_logic_vector (15 downto 0); signal prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_b : std_logic_vector (22 downto 0); signal prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_s1 : std_logic_vector (38 downto 0); signal prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_pr : SIGNED (39 downto 0); signal prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_q : std_logic_vector (38 downto 0); signal memoryC0_uid59_sqrtTableGenerator_lutmem_reset0 : std_logic; signal memoryC0_uid59_sqrtTableGenerator_lutmem_ia : std_logic_vector (28 downto 0); signal memoryC0_uid59_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0); signal memoryC0_uid59_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0); signal memoryC0_uid59_sqrtTableGenerator_lutmem_iq : std_logic_vector (28 downto 0); signal memoryC0_uid59_sqrtTableGenerator_lutmem_q : std_logic_vector (28 downto 0); signal memoryC1_uid60_sqrtTableGenerator_lutmem_reset0 : std_logic; signal memoryC1_uid60_sqrtTableGenerator_lutmem_ia : std_logic_vector (20 downto 0); signal memoryC1_uid60_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0); signal memoryC1_uid60_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0); signal memoryC1_uid60_sqrtTableGenerator_lutmem_iq : std_logic_vector (20 downto 0); signal memoryC1_uid60_sqrtTableGenerator_lutmem_q : std_logic_vector (20 downto 0); signal memoryC2_uid61_sqrtTableGenerator_lutmem_reset0 : std_logic; signal memoryC2_uid61_sqrtTableGenerator_lutmem_ia : std_logic_vector (11 downto 0); signal memoryC2_uid61_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0); signal memoryC2_uid61_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0); signal memoryC2_uid61_sqrtTableGenerator_lutmem_iq : std_logic_vector (11 downto 0); signal memoryC2_uid61_sqrtTableGenerator_lutmem_q : std_logic_vector (11 downto 0); signal reg_fracSelIn_uid46_fpSqrtTest_0_to_fracSel_uid47_fpSqrtTest_0_q : std_logic_vector (3 downto 0); signal reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid61_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0); signal reg_yT1_uid62_sqrtPolynomialEvaluator_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_0_q : std_logic_vector (11 downto 0); signal reg_memoryC2_uid61_sqrtTableGenerator_lutmem_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_1_q : std_logic_vector (11 downto 0); signal reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0); signal reg_memoryC1_uid60_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_0_q : std_logic_vector (20 downto 0); signal reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_q : std_logic_vector (15 downto 0); signal reg_s1_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_1_q : std_logic_vector (22 downto 0); signal reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0); signal reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_0_q : std_logic_vector (28 downto 0); signal ld_fracX_uid7_fpSqrtTest_b_to_FracX15dto0_uid37_fpSqrtTest_a_q : std_logic_vector (22 downto 0); signal ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_q : std_logic_vector (1 downto 0); signal ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_q : std_logic_vector (0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0_a_q : std_logic_vector (7 downto 0); signal ld_fracX_uid7_fpSqrtTest_b_to_FracX15dto0_uid37_fpSqrtTest_a_inputreg_q : std_logic_vector (22 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_inputreg_q : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_reset0 : std_logic; signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ia : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_iq : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_q : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt_q : std_logic_vector(2 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt_i : unsigned(2 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdreg_q : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_mem_top_q : std_logic_vector (3 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmpReg_q : std_logic_vector (0 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve : boolean; attribute preserve of ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q : signal is true; signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_inputreg_q : std_logic_vector (15 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_reset0 : std_logic; signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_ia : std_logic_vector (15 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_aa : std_logic_vector (0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_ab : std_logic_vector (0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_iq : std_logic_vector (15 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_q : std_logic_vector (15 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt_q : std_logic_vector(0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i : unsigned(0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdreg_q : std_logic_vector (0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_cmpReg_q : std_logic_vector (0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_sticky_ena_q : signal is true; signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_inputreg_q : std_logic_vector (7 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0 : std_logic; signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ia : std_logic_vector (7 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_iq : std_logic_vector (7 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_q : std_logic_vector (7 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q : std_logic_vector(2 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i : unsigned(2 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq : std_logic; signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q : std_logic_vector (2 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_mem_top_q : std_logic_vector (3 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmpReg_q : std_logic_vector (0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q : signal is true; signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux_q : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_notEnable_a : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_notEnable_q : std_logic_vector(0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux_q : std_logic_vector (0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q : std_logic_vector (2 downto 0); signal expX_uid6_fpSqrtTest_in : std_logic_vector (30 downto 0); signal expX_uid6_fpSqrtTest_b : std_logic_vector (7 downto 0); signal fracX_uid7_fpSqrtTest_in : std_logic_vector (22 downto 0); signal fracX_uid7_fpSqrtTest_b : std_logic_vector (22 downto 0); signal signX_uid8_fpSqrtTest_in : std_logic_vector (31 downto 0); signal signX_uid8_fpSqrtTest_b : std_logic_vector (0 downto 0); signal expXIsZero_uid13_fpSqrtTest_a : std_logic_vector(7 downto 0); signal expXIsZero_uid13_fpSqrtTest_b : std_logic_vector(7 downto 0); signal expXIsZero_uid13_fpSqrtTest_q : std_logic_vector(0 downto 0); signal expXIsMax_uid15_fpSqrtTest_a : std_logic_vector(7 downto 0); signal expXIsMax_uid15_fpSqrtTest_b : std_logic_vector(7 downto 0); signal expXIsMax_uid15_fpSqrtTest_q : std_logic_vector(0 downto 0); signal fracXIsZero_uid17_fpSqrtTest_a : std_logic_vector(22 downto 0); signal fracXIsZero_uid17_fpSqrtTest_b : std_logic_vector(22 downto 0); signal fracXIsZero_uid17_fpSqrtTest_q : std_logic_vector(0 downto 0); signal exc_I_uid18_fpSqrtTest_a : std_logic_vector(0 downto 0); signal exc_I_uid18_fpSqrtTest_b : std_logic_vector(0 downto 0); signal exc_I_uid18_fpSqrtTest_q : std_logic_vector(0 downto 0); signal expEvenSig_uid26_fpSqrtTest_a : std_logic_vector(8 downto 0); signal expEvenSig_uid26_fpSqrtTest_b : std_logic_vector(8 downto 0); signal expEvenSig_uid26_fpSqrtTest_o : std_logic_vector (8 downto 0); signal expEvenSig_uid26_fpSqrtTest_q : std_logic_vector (8 downto 0); signal expOddSig_uid29_fpSqrtTest_a : std_logic_vector(8 downto 0); signal expOddSig_uid29_fpSqrtTest_b : std_logic_vector(8 downto 0); signal expOddSig_uid29_fpSqrtTest_o : std_logic_vector (8 downto 0); signal expOddSig_uid29_fpSqrtTest_q : std_logic_vector (8 downto 0); signal minInf_uid43_fpSqrtTest_a : std_logic_vector(0 downto 0); signal minInf_uid43_fpSqrtTest_b : std_logic_vector(0 downto 0); signal minInf_uid43_fpSqrtTest_q : std_logic_vector(0 downto 0); signal fracSel_uid47_fpSqrtTest_q : std_logic_vector(1 downto 0); signal expRPostExc_uid51_fpSqrtTest_s : std_logic_vector (1 downto 0); signal expRPostExc_uid51_fpSqrtTest_q : std_logic_vector (7 downto 0); signal negZero_uid56_fpSqrtTest_a : std_logic_vector(0 downto 0); signal negZero_uid56_fpSqrtTest_b : std_logic_vector(0 downto 0); signal negZero_uid56_fpSqrtTest_q : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_a : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_b : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_q : std_logic_vector(0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_q : std_logic_vector(0 downto 0); signal prodXYTruncFR_uid76_pT1_uid63_sqrtPolynomialEvaluator_in : std_logic_vector (23 downto 0); signal prodXYTruncFR_uid76_pT1_uid63_sqrtPolynomialEvaluator_b : std_logic_vector (12 downto 0); signal prodXYTruncFR_uid79_pT2_uid69_sqrtPolynomialEvaluator_in : std_logic_vector (38 downto 0); signal prodXYTruncFR_uid79_pT2_uid69_sqrtPolynomialEvaluator_b : std_logic_vector (23 downto 0); signal FracX15dto0_uid37_fpSqrtTest_in : std_logic_vector (15 downto 0); signal FracX15dto0_uid37_fpSqrtTest_b : std_logic_vector (15 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmp_a : std_logic_vector(3 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmp_b : std_logic_vector(3 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmp_q : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_a : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_b : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_q : std_logic_vector(0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_nor_a : std_logic_vector(0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_nor_b : std_logic_vector(0 downto 0); signal ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_nor_q : std_logic_vector(0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmp_a : std_logic_vector(3 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmp_b : std_logic_vector(3 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmp_q : std_logic_vector(0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_a : std_logic_vector(0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_b : std_logic_vector(0 downto 0); signal ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_q : std_logic_vector(0 downto 0); signal expX0_uid31_fpSqrtTest_in : std_logic_vector (0 downto 0); signal expX0_uid31_fpSqrtTest_b : std_logic_vector (0 downto 0); signal fracXAddr_uid35_fpSqrtTest_in : std_logic_vector (22 downto 0); signal fracXAddr_uid35_fpSqrtTest_b : std_logic_vector (6 downto 0); signal InvSignX_uid40_fpSqrtTest_a : std_logic_vector(0 downto 0); signal InvSignX_uid40_fpSqrtTest_q : std_logic_vector(0 downto 0); signal InvExpXIsZero_uid23_fpSqrtTest_a : std_logic_vector(0 downto 0); signal InvExpXIsZero_uid23_fpSqrtTest_q : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid19_fpSqrtTest_a : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid19_fpSqrtTest_q : std_logic_vector(0 downto 0); signal InvExc_I_uid22_fpSqrtTest_a : std_logic_vector(0 downto 0); signal InvExc_I_uid22_fpSqrtTest_q : std_logic_vector(0 downto 0); signal inInfAndNotNeg_uid41_fpSqrtTest_a : std_logic_vector(0 downto 0); signal inInfAndNotNeg_uid41_fpSqrtTest_b : std_logic_vector(0 downto 0); signal inInfAndNotNeg_uid41_fpSqrtTest_q : std_logic_vector(0 downto 0); signal expREven_uid27_fpSqrtTest_in : std_logic_vector (8 downto 0); signal expREven_uid27_fpSqrtTest_b : std_logic_vector (7 downto 0); signal expROdd_uid30_fpSqrtTest_in : std_logic_vector (8 downto 0); signal expROdd_uid30_fpSqrtTest_b : std_logic_vector (7 downto 0); signal lowRangeB_uid64_sqrtPolynomialEvaluator_in : std_logic_vector (0 downto 0); signal lowRangeB_uid64_sqrtPolynomialEvaluator_b : std_logic_vector (0 downto 0); signal highBBits_uid65_sqrtPolynomialEvaluator_in : std_logic_vector (12 downto 0); signal highBBits_uid65_sqrtPolynomialEvaluator_b : std_logic_vector (11 downto 0); signal lowRangeB_uid70_sqrtPolynomialEvaluator_in : std_logic_vector (1 downto 0); signal lowRangeB_uid70_sqrtPolynomialEvaluator_b : std_logic_vector (1 downto 0); signal highBBits_uid71_sqrtPolynomialEvaluator_in : std_logic_vector (23 downto 0); signal highBBits_uid71_sqrtPolynomialEvaluator_b : std_logic_vector (21 downto 0); signal yT1_uid62_sqrtPolynomialEvaluator_in : std_logic_vector (15 downto 0); signal yT1_uid62_sqrtPolynomialEvaluator_b : std_logic_vector (11 downto 0); signal expOddSelect_uid32_fpSqrtTest_a : std_logic_vector(0 downto 0); signal expOddSelect_uid32_fpSqrtTest_q : std_logic_vector(0 downto 0); signal addrTable_uid36_fpSqrtTest_q : std_logic_vector (7 downto 0); signal exc_N_uid20_fpSqrtTest_a : std_logic_vector(0 downto 0); signal exc_N_uid20_fpSqrtTest_b : std_logic_vector(0 downto 0); signal exc_N_uid20_fpSqrtTest_q : std_logic_vector(0 downto 0); signal sumAHighB_uid66_sqrtPolynomialEvaluator_a : std_logic_vector(21 downto 0); signal sumAHighB_uid66_sqrtPolynomialEvaluator_b : std_logic_vector(21 downto 0); signal sumAHighB_uid66_sqrtPolynomialEvaluator_o : std_logic_vector (21 downto 0); signal sumAHighB_uid66_sqrtPolynomialEvaluator_q : std_logic_vector (21 downto 0); signal sumAHighB_uid72_sqrtPolynomialEvaluator_a : std_logic_vector(29 downto 0); signal sumAHighB_uid72_sqrtPolynomialEvaluator_b : std_logic_vector(29 downto 0); signal sumAHighB_uid72_sqrtPolynomialEvaluator_o : std_logic_vector (29 downto 0); signal sumAHighB_uid72_sqrtPolynomialEvaluator_q : std_logic_vector (29 downto 0); signal InvExc_N_uid21_fpSqrtTest_a : std_logic_vector(0 downto 0); signal InvExc_N_uid21_fpSqrtTest_q : std_logic_vector(0 downto 0); signal s1_uid64_uid67_sqrtPolynomialEvaluator_q : std_logic_vector (22 downto 0); signal s2_uid70_uid73_sqrtPolynomialEvaluator_q : std_logic_vector (31 downto 0); signal exc_R_uid24_fpSqrtTest_a : std_logic_vector(0 downto 0); signal exc_R_uid24_fpSqrtTest_b : std_logic_vector(0 downto 0); signal exc_R_uid24_fpSqrtTest_c : std_logic_vector(0 downto 0); signal exc_R_uid24_fpSqrtTest_q : std_logic_vector(0 downto 0); signal fracR_uid39_fpSqrtTest_in : std_logic_vector (28 downto 0); signal fracR_uid39_fpSqrtTest_b : std_logic_vector (22 downto 0); signal minReg_uid42_fpSqrtTest_a : std_logic_vector(0 downto 0); signal minReg_uid42_fpSqrtTest_b : std_logic_vector(0 downto 0); signal minReg_uid42_fpSqrtTest_q : std_logic_vector(0 downto 0); signal fracRPostExc_uid55_fpSqrtTest_s : std_logic_vector (1 downto 0); signal fracRPostExc_uid55_fpSqrtTest_q : std_logic_vector (22 downto 0); signal excRNaN_uid44_fpSqrtTest_a : std_logic_vector(0 downto 0); signal excRNaN_uid44_fpSqrtTest_b : std_logic_vector(0 downto 0); signal excRNaN_uid44_fpSqrtTest_c : std_logic_vector(0 downto 0); signal excRNaN_uid44_fpSqrtTest_q : std_logic_vector(0 downto 0); signal RSqrt_uid57_fpSqrtTest_q : std_logic_vector (31 downto 0); signal join_uid45_fpSqrtTest_q : std_logic_vector (2 downto 0); signal fracSelIn_uid46_fpSqrtTest_q : std_logic_vector (3 downto 0); begin --GND(CONSTANT,0) --xIn(GPIN,3)@0 --signX_uid8_fpSqrtTest(BITSELECT,7)@0 signX_uid8_fpSqrtTest_in <= a; signX_uid8_fpSqrtTest_b <= signX_uid8_fpSqrtTest_in(31 downto 31); --cstAllZWE_uid11_fpSqrtTest(CONSTANT,10) cstAllZWE_uid11_fpSqrtTest_q <= "00000000"; --expX_uid6_fpSqrtTest(BITSELECT,5)@0 expX_uid6_fpSqrtTest_in <= a(30 downto 0); expX_uid6_fpSqrtTest_b <= expX_uid6_fpSqrtTest_in(30 downto 23); --expXIsZero_uid13_fpSqrtTest(LOGICAL,12)@0 expXIsZero_uid13_fpSqrtTest_a <= expX_uid6_fpSqrtTest_b; expXIsZero_uid13_fpSqrtTest_b <= cstAllZWE_uid11_fpSqrtTest_q; expXIsZero_uid13_fpSqrtTest_q <= "1" when expXIsZero_uid13_fpSqrtTest_a = expXIsZero_uid13_fpSqrtTest_b else "0"; --negZero_uid56_fpSqrtTest(LOGICAL,55)@0 negZero_uid56_fpSqrtTest_a <= expXIsZero_uid13_fpSqrtTest_q; negZero_uid56_fpSqrtTest_b <= signX_uid8_fpSqrtTest_b; negZero_uid56_fpSqrtTest_q <= negZero_uid56_fpSqrtTest_a and negZero_uid56_fpSqrtTest_b; --ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c(DELAY,149)@0 ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 11 ) PORT MAP ( xin => negZero_uid56_fpSqrtTest_q, xout => ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_q, ena => en(0), clk => clk, aclr => areset ); --VCC(CONSTANT,1) VCC_q <= "1"; --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_notEnable(LOGICAL,192) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_notEnable_a <= en; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_notEnable_q <= not ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_notEnable_a; --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor(LOGICAL,193) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_a <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_notEnable_q; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_b <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_q <= not (ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_a or ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_b); --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_mem_top(CONSTANT,189) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_mem_top_q <= "0111"; --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmp(LOGICAL,190) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmp_a <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_mem_top_q; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux_q); ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmp_q <= "1" when ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmp_a = ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmp_b else "0"; --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmpReg(REG,191) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmpReg_q <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmp_q; END IF; END IF; END PROCESS; --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena(REG,194) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_nor_q = "1") THEN ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_cmpReg_q; END IF; END IF; END PROCESS; --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd(LOGICAL,195) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_a <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_sticky_ena_q; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_b <= en; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_q <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_a and ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_b; --cstAllOWE_uid9_fpSqrtTest(CONSTANT,8) cstAllOWE_uid9_fpSqrtTest_q <= "11111111"; --sBiasM1_uid28_fpSqrtTest(CONSTANT,27) sBiasM1_uid28_fpSqrtTest_q <= "01111110"; --expOddSig_uid29_fpSqrtTest(ADD,28)@0 expOddSig_uid29_fpSqrtTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpSqrtTest_b); expOddSig_uid29_fpSqrtTest_b <= STD_LOGIC_VECTOR("0" & sBiasM1_uid28_fpSqrtTest_q); expOddSig_uid29_fpSqrtTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expOddSig_uid29_fpSqrtTest_a) + UNSIGNED(expOddSig_uid29_fpSqrtTest_b)); expOddSig_uid29_fpSqrtTest_q <= expOddSig_uid29_fpSqrtTest_o(8 downto 0); --expROdd_uid30_fpSqrtTest(BITSELECT,29)@0 expROdd_uid30_fpSqrtTest_in <= expOddSig_uid29_fpSqrtTest_q; expROdd_uid30_fpSqrtTest_b <= expROdd_uid30_fpSqrtTest_in(8 downto 1); --sBias_uid25_fpSqrtTest(CONSTANT,24) sBias_uid25_fpSqrtTest_q <= "01111111"; --expEvenSig_uid26_fpSqrtTest(ADD,25)@0 expEvenSig_uid26_fpSqrtTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpSqrtTest_b); expEvenSig_uid26_fpSqrtTest_b <= STD_LOGIC_VECTOR("0" & sBias_uid25_fpSqrtTest_q); expEvenSig_uid26_fpSqrtTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expEvenSig_uid26_fpSqrtTest_a) + UNSIGNED(expEvenSig_uid26_fpSqrtTest_b)); expEvenSig_uid26_fpSqrtTest_q <= expEvenSig_uid26_fpSqrtTest_o(8 downto 0); --expREven_uid27_fpSqrtTest(BITSELECT,26)@0 expREven_uid27_fpSqrtTest_in <= expEvenSig_uid26_fpSqrtTest_q; expREven_uid27_fpSqrtTest_b <= expREven_uid27_fpSqrtTest_in(8 downto 1); --expX0_uid31_fpSqrtTest(BITSELECT,30)@0 expX0_uid31_fpSqrtTest_in <= expX_uid6_fpSqrtTest_b(0 downto 0); expX0_uid31_fpSqrtTest_b <= expX0_uid31_fpSqrtTest_in(0 downto 0); --expOddSelect_uid32_fpSqrtTest(LOGICAL,31)@0 expOddSelect_uid32_fpSqrtTest_a <= expX0_uid31_fpSqrtTest_b; expOddSelect_uid32_fpSqrtTest_q <= not expOddSelect_uid32_fpSqrtTest_a; --expRMux_uid33_fpSqrtTest(MUX,32)@0 expRMux_uid33_fpSqrtTest_s <= expOddSelect_uid32_fpSqrtTest_q; expRMux_uid33_fpSqrtTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN expRMux_uid33_fpSqrtTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE expRMux_uid33_fpSqrtTest_s IS WHEN "0" => expRMux_uid33_fpSqrtTest_q <= expREven_uid27_fpSqrtTest_b; WHEN "1" => expRMux_uid33_fpSqrtTest_q <= expROdd_uid30_fpSqrtTest_b; WHEN OTHERS => expRMux_uid33_fpSqrtTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --InvExc_N_uid21_fpSqrtTest(LOGICAL,20)@0 InvExc_N_uid21_fpSqrtTest_a <= exc_N_uid20_fpSqrtTest_q; InvExc_N_uid21_fpSqrtTest_q <= not InvExc_N_uid21_fpSqrtTest_a; --cstAllZWF_uid10_fpSqrtTest(CONSTANT,9) cstAllZWF_uid10_fpSqrtTest_q <= "00000000000000000000000"; --fracX_uid7_fpSqrtTest(BITSELECT,6)@0 fracX_uid7_fpSqrtTest_in <= a(22 downto 0); fracX_uid7_fpSqrtTest_b <= fracX_uid7_fpSqrtTest_in(22 downto 0); --fracXIsZero_uid17_fpSqrtTest(LOGICAL,16)@0 fracXIsZero_uid17_fpSqrtTest_a <= fracX_uid7_fpSqrtTest_b; fracXIsZero_uid17_fpSqrtTest_b <= cstAllZWF_uid10_fpSqrtTest_q; fracXIsZero_uid17_fpSqrtTest_q <= "1" when fracXIsZero_uid17_fpSqrtTest_a = fracXIsZero_uid17_fpSqrtTest_b else "0"; --expXIsMax_uid15_fpSqrtTest(LOGICAL,14)@0 expXIsMax_uid15_fpSqrtTest_a <= expX_uid6_fpSqrtTest_b; expXIsMax_uid15_fpSqrtTest_b <= cstAllOWE_uid9_fpSqrtTest_q; expXIsMax_uid15_fpSqrtTest_q <= "1" when expXIsMax_uid15_fpSqrtTest_a = expXIsMax_uid15_fpSqrtTest_b else "0"; --exc_I_uid18_fpSqrtTest(LOGICAL,17)@0 exc_I_uid18_fpSqrtTest_a <= expXIsMax_uid15_fpSqrtTest_q; exc_I_uid18_fpSqrtTest_b <= fracXIsZero_uid17_fpSqrtTest_q; exc_I_uid18_fpSqrtTest_q <= exc_I_uid18_fpSqrtTest_a and exc_I_uid18_fpSqrtTest_b; --InvExc_I_uid22_fpSqrtTest(LOGICAL,21)@0 InvExc_I_uid22_fpSqrtTest_a <= exc_I_uid18_fpSqrtTest_q; InvExc_I_uid22_fpSqrtTest_q <= not InvExc_I_uid22_fpSqrtTest_a; --InvExpXIsZero_uid23_fpSqrtTest(LOGICAL,22)@0 InvExpXIsZero_uid23_fpSqrtTest_a <= expXIsZero_uid13_fpSqrtTest_q; InvExpXIsZero_uid23_fpSqrtTest_q <= not InvExpXIsZero_uid23_fpSqrtTest_a; --exc_R_uid24_fpSqrtTest(LOGICAL,23)@0 exc_R_uid24_fpSqrtTest_a <= InvExpXIsZero_uid23_fpSqrtTest_q; exc_R_uid24_fpSqrtTest_b <= InvExc_I_uid22_fpSqrtTest_q; exc_R_uid24_fpSqrtTest_c <= InvExc_N_uid21_fpSqrtTest_q; exc_R_uid24_fpSqrtTest_q <= exc_R_uid24_fpSqrtTest_a and exc_R_uid24_fpSqrtTest_b and exc_R_uid24_fpSqrtTest_c; --minReg_uid42_fpSqrtTest(LOGICAL,41)@0 minReg_uid42_fpSqrtTest_a <= exc_R_uid24_fpSqrtTest_q; minReg_uid42_fpSqrtTest_b <= signX_uid8_fpSqrtTest_b; minReg_uid42_fpSqrtTest_q <= minReg_uid42_fpSqrtTest_a and minReg_uid42_fpSqrtTest_b; --minInf_uid43_fpSqrtTest(LOGICAL,42)@0 minInf_uid43_fpSqrtTest_a <= exc_I_uid18_fpSqrtTest_q; minInf_uid43_fpSqrtTest_b <= signX_uid8_fpSqrtTest_b; minInf_uid43_fpSqrtTest_q <= minInf_uid43_fpSqrtTest_a and minInf_uid43_fpSqrtTest_b; --InvFracXIsZero_uid19_fpSqrtTest(LOGICAL,18)@0 InvFracXIsZero_uid19_fpSqrtTest_a <= fracXIsZero_uid17_fpSqrtTest_q; InvFracXIsZero_uid19_fpSqrtTest_q <= not InvFracXIsZero_uid19_fpSqrtTest_a; --exc_N_uid20_fpSqrtTest(LOGICAL,19)@0 exc_N_uid20_fpSqrtTest_a <= expXIsMax_uid15_fpSqrtTest_q; exc_N_uid20_fpSqrtTest_b <= InvFracXIsZero_uid19_fpSqrtTest_q; exc_N_uid20_fpSqrtTest_q <= exc_N_uid20_fpSqrtTest_a and exc_N_uid20_fpSqrtTest_b; --excRNaN_uid44_fpSqrtTest(LOGICAL,43)@0 excRNaN_uid44_fpSqrtTest_a <= exc_N_uid20_fpSqrtTest_q; excRNaN_uid44_fpSqrtTest_b <= minInf_uid43_fpSqrtTest_q; excRNaN_uid44_fpSqrtTest_c <= minReg_uid42_fpSqrtTest_q; excRNaN_uid44_fpSqrtTest_q <= excRNaN_uid44_fpSqrtTest_a or excRNaN_uid44_fpSqrtTest_b or excRNaN_uid44_fpSqrtTest_c; --InvSignX_uid40_fpSqrtTest(LOGICAL,39)@0 InvSignX_uid40_fpSqrtTest_a <= signX_uid8_fpSqrtTest_b; InvSignX_uid40_fpSqrtTest_q <= not InvSignX_uid40_fpSqrtTest_a; --inInfAndNotNeg_uid41_fpSqrtTest(LOGICAL,40)@0 inInfAndNotNeg_uid41_fpSqrtTest_a <= exc_I_uid18_fpSqrtTest_q; inInfAndNotNeg_uid41_fpSqrtTest_b <= InvSignX_uid40_fpSqrtTest_q; inInfAndNotNeg_uid41_fpSqrtTest_q <= inInfAndNotNeg_uid41_fpSqrtTest_a and inInfAndNotNeg_uid41_fpSqrtTest_b; --join_uid45_fpSqrtTest(BITJOIN,44)@0 join_uid45_fpSqrtTest_q <= excRNaN_uid44_fpSqrtTest_q & inInfAndNotNeg_uid41_fpSqrtTest_q & expXIsZero_uid13_fpSqrtTest_q; --fracSelIn_uid46_fpSqrtTest(BITJOIN,45)@0 fracSelIn_uid46_fpSqrtTest_q <= signX_uid8_fpSqrtTest_b & join_uid45_fpSqrtTest_q; --reg_fracSelIn_uid46_fpSqrtTest_0_to_fracSel_uid47_fpSqrtTest_0(REG,83)@0 reg_fracSelIn_uid46_fpSqrtTest_0_to_fracSel_uid47_fpSqrtTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fracSelIn_uid46_fpSqrtTest_0_to_fracSel_uid47_fpSqrtTest_0_q <= "0000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fracSelIn_uid46_fpSqrtTest_0_to_fracSel_uid47_fpSqrtTest_0_q <= fracSelIn_uid46_fpSqrtTest_q; END IF; END IF; END PROCESS; --fracSel_uid47_fpSqrtTest(LOOKUP,46)@1 fracSel_uid47_fpSqrtTest: PROCESS (reg_fracSelIn_uid46_fpSqrtTest_0_to_fracSel_uid47_fpSqrtTest_0_q) BEGIN -- Begin reserved scope level CASE (reg_fracSelIn_uid46_fpSqrtTest_0_to_fracSel_uid47_fpSqrtTest_0_q) IS WHEN "0000" => fracSel_uid47_fpSqrtTest_q <= "01"; WHEN "0001" => fracSel_uid47_fpSqrtTest_q <= "00"; WHEN "0010" => fracSel_uid47_fpSqrtTest_q <= "10"; WHEN "0011" => fracSel_uid47_fpSqrtTest_q <= "00"; WHEN "0100" => fracSel_uid47_fpSqrtTest_q <= "11"; WHEN "0101" => fracSel_uid47_fpSqrtTest_q <= "00"; WHEN "0110" => fracSel_uid47_fpSqrtTest_q <= "10"; WHEN "0111" => fracSel_uid47_fpSqrtTest_q <= "00"; WHEN "1000" => fracSel_uid47_fpSqrtTest_q <= "11"; WHEN "1001" => fracSel_uid47_fpSqrtTest_q <= "00"; WHEN "1010" => fracSel_uid47_fpSqrtTest_q <= "11"; WHEN "1011" => fracSel_uid47_fpSqrtTest_q <= "11"; WHEN "1100" => fracSel_uid47_fpSqrtTest_q <= "11"; WHEN "1101" => fracSel_uid47_fpSqrtTest_q <= "11"; WHEN "1110" => fracSel_uid47_fpSqrtTest_q <= "11"; WHEN "1111" => fracSel_uid47_fpSqrtTest_q <= "11"; WHEN OTHERS => fracSel_uid47_fpSqrtTest_q <= (others => '-'); END CASE; -- End reserved scope level END PROCESS; --expRPostExc_uid51_fpSqrtTest(MUX,50)@1 expRPostExc_uid51_fpSqrtTest_s <= fracSel_uid47_fpSqrtTest_q; expRPostExc_uid51_fpSqrtTest: PROCESS (expRPostExc_uid51_fpSqrtTest_s, en, cstAllZWE_uid11_fpSqrtTest_q, expRMux_uid33_fpSqrtTest_q, cstAllOWE_uid9_fpSqrtTest_q, cstAllOWE_uid9_fpSqrtTest_q) BEGIN CASE expRPostExc_uid51_fpSqrtTest_s IS WHEN "00" => expRPostExc_uid51_fpSqrtTest_q <= cstAllZWE_uid11_fpSqrtTest_q; WHEN "01" => expRPostExc_uid51_fpSqrtTest_q <= expRMux_uid33_fpSqrtTest_q; WHEN "10" => expRPostExc_uid51_fpSqrtTest_q <= cstAllOWE_uid9_fpSqrtTest_q; WHEN "11" => expRPostExc_uid51_fpSqrtTest_q <= cstAllOWE_uid9_fpSqrtTest_q; WHEN OTHERS => expRPostExc_uid51_fpSqrtTest_q <= (others => '0'); END CASE; END PROCESS; --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_inputreg(DELAY,183) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_inputreg : dspba_delay GENERIC MAP ( width => 8, depth => 1 ) PORT MAP ( xin => expRPostExc_uid51_fpSqrtTest_q, xout => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt(COUNTER,185) -- every=1, low=0, high=7, step=1, init=1 ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt_i <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt_i + 1; END IF; END IF; END PROCESS; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt_i,3)); --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdreg(REG,186) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdreg_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdreg_q <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux(MUX,187) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux_s <= en; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux: PROCESS (ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux_s, ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdreg_q, ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt_q) BEGIN CASE ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux_s IS WHEN "0" => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux_q <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdreg_q; WHEN "1" => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux_q <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdcnt_q; WHEN OTHERS => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem(DUALMEM,184) ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ia <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_inputreg_q; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_aa <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdreg_q; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ab <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_rdmux_q; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 8, widthad_a => 3, numwords_a => 8, width_b => 8, widthad_b => 3, numwords_b => 8, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_reset0, clock1 => clk, address_b => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_iq, address_a => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_aa, data_a => ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_ia ); ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_reset0 <= areset; ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_q <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_iq(7 downto 0); --fracNaN_uid52_fpSqrtTest(CONSTANT,51) fracNaN_uid52_fpSqrtTest_q <= "00000000000000000000001"; --fracXAddr_uid35_fpSqrtTest(BITSELECT,34)@0 fracXAddr_uid35_fpSqrtTest_in <= fracX_uid7_fpSqrtTest_b; fracXAddr_uid35_fpSqrtTest_b <= fracXAddr_uid35_fpSqrtTest_in(22 downto 16); --addrTable_uid36_fpSqrtTest(BITJOIN,35)@0 addrTable_uid36_fpSqrtTest_q <= expOddSelect_uid32_fpSqrtTest_q & fracXAddr_uid35_fpSqrtTest_b; --reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid61_sqrtTableGenerator_lutmem_0(REG,84)@0 reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid61_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid61_sqrtTableGenerator_lutmem_0_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid61_sqrtTableGenerator_lutmem_0_q <= addrTable_uid36_fpSqrtTest_q; END IF; END IF; END PROCESS; --memoryC2_uid61_sqrtTableGenerator_lutmem(DUALMEM,82)@1 memoryC2_uid61_sqrtTableGenerator_lutmem_ia <= (others => '0'); memoryC2_uid61_sqrtTableGenerator_lutmem_aa <= (others => '0'); memoryC2_uid61_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid36_fpSqrtTest_0_to_memoryC2_uid61_sqrtTableGenerator_lutmem_0_q; memoryC2_uid61_sqrtTableGenerator_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 12, widthad_a => 8, numwords_a => 256, width_b => 12, widthad_b => 8, numwords_b => 256, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_sqrt_s5_memoryC2_uid61_sqrtTableGenerator_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC2_uid61_sqrtTableGenerator_lutmem_reset0, clock0 => clk, address_b => memoryC2_uid61_sqrtTableGenerator_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC2_uid61_sqrtTableGenerator_lutmem_iq, address_a => memoryC2_uid61_sqrtTableGenerator_lutmem_aa, data_a => memoryC2_uid61_sqrtTableGenerator_lutmem_ia ); memoryC2_uid61_sqrtTableGenerator_lutmem_reset0 <= areset; memoryC2_uid61_sqrtTableGenerator_lutmem_q <= memoryC2_uid61_sqrtTableGenerator_lutmem_iq(11 downto 0); --reg_memoryC2_uid61_sqrtTableGenerator_lutmem_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_1(REG,86)@3 reg_memoryC2_uid61_sqrtTableGenerator_lutmem_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC2_uid61_sqrtTableGenerator_lutmem_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_1_q <= "000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC2_uid61_sqrtTableGenerator_lutmem_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_1_q <= memoryC2_uid61_sqrtTableGenerator_lutmem_q; END IF; END IF; END PROCESS; --ld_fracX_uid7_fpSqrtTest_b_to_FracX15dto0_uid37_fpSqrtTest_a_inputreg(DELAY,182) ld_fracX_uid7_fpSqrtTest_b_to_FracX15dto0_uid37_fpSqrtTest_a_inputreg : dspba_delay GENERIC MAP ( width => 23, depth => 1 ) PORT MAP ( xin => fracX_uid7_fpSqrtTest_b, xout => ld_fracX_uid7_fpSqrtTest_b_to_FracX15dto0_uid37_fpSqrtTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_fracX_uid7_fpSqrtTest_b_to_FracX15dto0_uid37_fpSqrtTest_a(DELAY,123)@0 ld_fracX_uid7_fpSqrtTest_b_to_FracX15dto0_uid37_fpSqrtTest_a : dspba_delay GENERIC MAP ( width => 23, depth => 2 ) PORT MAP ( xin => ld_fracX_uid7_fpSqrtTest_b_to_FracX15dto0_uid37_fpSqrtTest_a_inputreg_q, xout => ld_fracX_uid7_fpSqrtTest_b_to_FracX15dto0_uid37_fpSqrtTest_a_q, ena => en(0), clk => clk, aclr => areset ); --FracX15dto0_uid37_fpSqrtTest(BITSELECT,36)@3 FracX15dto0_uid37_fpSqrtTest_in <= ld_fracX_uid7_fpSqrtTest_b_to_FracX15dto0_uid37_fpSqrtTest_a_q(15 downto 0); FracX15dto0_uid37_fpSqrtTest_b <= FracX15dto0_uid37_fpSqrtTest_in(15 downto 0); --yT1_uid62_sqrtPolynomialEvaluator(BITSELECT,61)@3 yT1_uid62_sqrtPolynomialEvaluator_in <= FracX15dto0_uid37_fpSqrtTest_b; yT1_uid62_sqrtPolynomialEvaluator_b <= yT1_uid62_sqrtPolynomialEvaluator_in(15 downto 4); --reg_yT1_uid62_sqrtPolynomialEvaluator_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_0(REG,85)@3 reg_yT1_uid62_sqrtPolynomialEvaluator_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yT1_uid62_sqrtPolynomialEvaluator_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_0_q <= "000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yT1_uid62_sqrtPolynomialEvaluator_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_0_q <= yT1_uid62_sqrtPolynomialEvaluator_b; END IF; END IF; END PROCESS; --prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator(MULT,74)@4 prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_a),13)) * SIGNED(prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_b); prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_a <= (others => '0'); prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_b <= (others => '0'); prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_a <= reg_yT1_uid62_sqrtPolynomialEvaluator_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_0_q; prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_b <= reg_memoryC2_uid61_sqrtTableGenerator_lutmem_0_to_prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_1_q; prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_pr,24)); END IF; END IF; END PROCESS; prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_q <= prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid76_pT1_uid63_sqrtPolynomialEvaluator(BITSELECT,75)@7 prodXYTruncFR_uid76_pT1_uid63_sqrtPolynomialEvaluator_in <= prodXY_uid75_pT1_uid63_sqrtPolynomialEvaluator_q; prodXYTruncFR_uid76_pT1_uid63_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid76_pT1_uid63_sqrtPolynomialEvaluator_in(23 downto 11); --highBBits_uid65_sqrtPolynomialEvaluator(BITSELECT,64)@7 highBBits_uid65_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid76_pT1_uid63_sqrtPolynomialEvaluator_b; highBBits_uid65_sqrtPolynomialEvaluator_b <= highBBits_uid65_sqrtPolynomialEvaluator_in(12 downto 1); --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0_a(DELAY,176)@0 ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0_a : dspba_delay GENERIC MAP ( width => 8, depth => 3 ) PORT MAP ( xin => addrTable_uid36_fpSqrtTest_q, xout => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0_a_q, ena => en(0), clk => clk, aclr => areset ); --reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0(REG,87)@3 reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0_a_q; END IF; END IF; END PROCESS; --memoryC1_uid60_sqrtTableGenerator_lutmem(DUALMEM,81)@4 memoryC1_uid60_sqrtTableGenerator_lutmem_ia <= (others => '0'); memoryC1_uid60_sqrtTableGenerator_lutmem_aa <= (others => '0'); memoryC1_uid60_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid36_fpSqrtTest_0_to_memoryC1_uid60_sqrtTableGenerator_lutmem_0_q; memoryC1_uid60_sqrtTableGenerator_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 21, widthad_a => 8, numwords_a => 256, width_b => 21, widthad_b => 8, numwords_b => 256, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_sqrt_s5_memoryC1_uid60_sqrtTableGenerator_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC1_uid60_sqrtTableGenerator_lutmem_reset0, clock0 => clk, address_b => memoryC1_uid60_sqrtTableGenerator_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC1_uid60_sqrtTableGenerator_lutmem_iq, address_a => memoryC1_uid60_sqrtTableGenerator_lutmem_aa, data_a => memoryC1_uid60_sqrtTableGenerator_lutmem_ia ); memoryC1_uid60_sqrtTableGenerator_lutmem_reset0 <= areset; memoryC1_uid60_sqrtTableGenerator_lutmem_q <= memoryC1_uid60_sqrtTableGenerator_lutmem_iq(20 downto 0); --reg_memoryC1_uid60_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_0(REG,88)@6 reg_memoryC1_uid60_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC1_uid60_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_0_q <= "000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC1_uid60_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_0_q <= memoryC1_uid60_sqrtTableGenerator_lutmem_q; END IF; END IF; END PROCESS; --sumAHighB_uid66_sqrtPolynomialEvaluator(ADD,65)@7 sumAHighB_uid66_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((21 downto 21 => reg_memoryC1_uid60_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_0_q(20)) & reg_memoryC1_uid60_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid66_sqrtPolynomialEvaluator_0_q); sumAHighB_uid66_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((21 downto 12 => highBBits_uid65_sqrtPolynomialEvaluator_b(11)) & highBBits_uid65_sqrtPolynomialEvaluator_b); sumAHighB_uid66_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid66_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid66_sqrtPolynomialEvaluator_b)); sumAHighB_uid66_sqrtPolynomialEvaluator_q <= sumAHighB_uid66_sqrtPolynomialEvaluator_o(21 downto 0); --lowRangeB_uid64_sqrtPolynomialEvaluator(BITSELECT,63)@7 lowRangeB_uid64_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid76_pT1_uid63_sqrtPolynomialEvaluator_b(0 downto 0); lowRangeB_uid64_sqrtPolynomialEvaluator_b <= lowRangeB_uid64_sqrtPolynomialEvaluator_in(0 downto 0); --s1_uid64_uid67_sqrtPolynomialEvaluator(BITJOIN,66)@7 s1_uid64_uid67_sqrtPolynomialEvaluator_q <= sumAHighB_uid66_sqrtPolynomialEvaluator_q & lowRangeB_uid64_sqrtPolynomialEvaluator_b; --reg_s1_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_1(REG,90)@7 reg_s1_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_s1_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_s1_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_1_q <= s1_uid64_uid67_sqrtPolynomialEvaluator_q; END IF; END IF; END PROCESS; --ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_nor(LOGICAL,204) ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_nor_a <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_notEnable_q; ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_nor_b <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_sticky_ena_q; ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_nor_q <= not (ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_nor_a or ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_nor_b); --ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_cmpReg(REG,202) ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_cmpReg_q <= VCC_q; END IF; END IF; END PROCESS; --ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_sticky_ena(REG,205) ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_nor_q = "1") THEN ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_sticky_ena_q <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_enaAnd(LOGICAL,206) ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_enaAnd_a <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_sticky_ena_q; ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_enaAnd_b <= en; ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_enaAnd_q <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_enaAnd_a and ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_enaAnd_b; --ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_inputreg(DELAY,196) ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_inputreg : dspba_delay GENERIC MAP ( width => 16, depth => 1 ) PORT MAP ( xin => FracX15dto0_uid37_fpSqrtTest_b, xout => ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt(COUNTER,198) -- every=1, low=0, high=1, step=1, init=1 ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,1); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i + 1; END IF; END IF; END PROCESS; ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt_i,1)); --ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdreg(REG,199) ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdreg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdreg_q <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux(MUX,200) ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux_s <= en; ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux: PROCESS (ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux_s, ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdreg_q, ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt_q) BEGIN CASE ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux_s IS WHEN "0" => ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux_q <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdreg_q; WHEN "1" => ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux_q <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdcnt_q; WHEN OTHERS => ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem(DUALMEM,197) ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_ia <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_inputreg_q; ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_aa <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdreg_q; ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_ab <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_rdmux_q; ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 16, widthad_a => 1, numwords_a => 2, width_b => 16, widthad_b => 1, numwords_b => 2, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_reset0, clock1 => clk, address_b => ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_iq, address_a => ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_aa, data_a => ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_ia ); ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_reset0 <= areset; ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_q <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_iq(15 downto 0); --reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0(REG,89)@7 reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_q <= "0000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_q <= ld_FracX15dto0_uid37_fpSqrtTest_b_to_reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_a_replace_mem_q; END IF; END IF; END PROCESS; --prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator(MULT,77)@8 prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_a),17)) * SIGNED(prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_b); prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_a <= (others => '0'); prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_b <= (others => '0'); prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_a <= reg_FracX15dto0_uid37_fpSqrtTest_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_0_q; prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_b <= reg_s1_uid64_uid67_sqrtPolynomialEvaluator_0_to_prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_1_q; prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_pr,39)); END IF; END IF; END PROCESS; prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_q <= prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid79_pT2_uid69_sqrtPolynomialEvaluator(BITSELECT,78)@11 prodXYTruncFR_uid79_pT2_uid69_sqrtPolynomialEvaluator_in <= prodXY_uid78_pT2_uid69_sqrtPolynomialEvaluator_q; prodXYTruncFR_uid79_pT2_uid69_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid79_pT2_uid69_sqrtPolynomialEvaluator_in(38 downto 15); --highBBits_uid71_sqrtPolynomialEvaluator(BITSELECT,70)@11 highBBits_uid71_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid79_pT2_uid69_sqrtPolynomialEvaluator_b; highBBits_uid71_sqrtPolynomialEvaluator_b <= highBBits_uid71_sqrtPolynomialEvaluator_in(23 downto 2); --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor(LOGICAL,217) ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_a <= ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_notEnable_q; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_b <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_q <= not (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_a or ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_b); --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_mem_top(CONSTANT,213) ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_mem_top_q <= "0100"; --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmp(LOGICAL,214) ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmp_a <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_mem_top_q; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q); ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmp_q <= "1" when ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmp_a = ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmp_b else "0"; --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmpReg(REG,215) ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmpReg_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmp_q; END IF; END IF; END PROCESS; --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena(REG,218) ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_nor_q = "1") THEN ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd(LOGICAL,219) ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_a <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_sticky_ena_q; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_b <= en; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_a and ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_b; --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_inputreg(DELAY,207) ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_inputreg : dspba_delay GENERIC MAP ( width => 8, depth => 1 ) PORT MAP ( xin => addrTable_uid36_fpSqrtTest_q, xout => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt(COUNTER,209) -- every=1, low=0, high=4, step=1, init=1 ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,3); ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i = 3 THEN ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '1'; ELSE ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '0'; END IF; IF (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq = '1') THEN ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i - 4; ELSE ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i,3)); --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdreg(REG,210) ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux(MUX,211) ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s <= en; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux: PROCESS (ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s, ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q, ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q) BEGIN CASE ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s IS WHEN "0" => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q; WHEN "1" => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q; WHEN OTHERS => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem(DUALMEM,208) ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ia <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_inputreg_q; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_aa <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ab <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 8, widthad_a => 3, numwords_a => 5, width_b => 8, widthad_b => 3, numwords_b => 5, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0, clock1 => clk, address_b => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_iq, address_a => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_aa, data_a => ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_ia ); ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0 <= areset; ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_iq(7 downto 0); --reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0(REG,91)@7 reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_q <= ld_addrTable_uid36_fpSqrtTest_q_to_reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_a_replace_mem_q; END IF; END IF; END PROCESS; --memoryC0_uid59_sqrtTableGenerator_lutmem(DUALMEM,80)@8 memoryC0_uid59_sqrtTableGenerator_lutmem_ia <= (others => '0'); memoryC0_uid59_sqrtTableGenerator_lutmem_aa <= (others => '0'); memoryC0_uid59_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid36_fpSqrtTest_0_to_memoryC0_uid59_sqrtTableGenerator_lutmem_0_q; memoryC0_uid59_sqrtTableGenerator_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 29, widthad_a => 8, numwords_a => 256, width_b => 29, widthad_b => 8, numwords_b => 256, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_sqrt_s5_memoryC0_uid59_sqrtTableGenerator_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC0_uid59_sqrtTableGenerator_lutmem_reset0, clock0 => clk, address_b => memoryC0_uid59_sqrtTableGenerator_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC0_uid59_sqrtTableGenerator_lutmem_iq, address_a => memoryC0_uid59_sqrtTableGenerator_lutmem_aa, data_a => memoryC0_uid59_sqrtTableGenerator_lutmem_ia ); memoryC0_uid59_sqrtTableGenerator_lutmem_reset0 <= areset; memoryC0_uid59_sqrtTableGenerator_lutmem_q <= memoryC0_uid59_sqrtTableGenerator_lutmem_iq(28 downto 0); --reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_0(REG,92)@10 reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_0_q <= "00000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_0_q <= memoryC0_uid59_sqrtTableGenerator_lutmem_q; END IF; END IF; END PROCESS; --sumAHighB_uid72_sqrtPolynomialEvaluator(ADD,71)@11 sumAHighB_uid72_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((29 downto 29 => reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_0_q(28)) & reg_memoryC0_uid59_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid72_sqrtPolynomialEvaluator_0_q); sumAHighB_uid72_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((29 downto 22 => highBBits_uid71_sqrtPolynomialEvaluator_b(21)) & highBBits_uid71_sqrtPolynomialEvaluator_b); sumAHighB_uid72_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid72_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid72_sqrtPolynomialEvaluator_b)); sumAHighB_uid72_sqrtPolynomialEvaluator_q <= sumAHighB_uid72_sqrtPolynomialEvaluator_o(29 downto 0); --lowRangeB_uid70_sqrtPolynomialEvaluator(BITSELECT,69)@11 lowRangeB_uid70_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid79_pT2_uid69_sqrtPolynomialEvaluator_b(1 downto 0); lowRangeB_uid70_sqrtPolynomialEvaluator_b <= lowRangeB_uid70_sqrtPolynomialEvaluator_in(1 downto 0); --s2_uid70_uid73_sqrtPolynomialEvaluator(BITJOIN,72)@11 s2_uid70_uid73_sqrtPolynomialEvaluator_q <= sumAHighB_uid72_sqrtPolynomialEvaluator_q & lowRangeB_uid70_sqrtPolynomialEvaluator_b; --fracR_uid39_fpSqrtTest(BITSELECT,38)@11 fracR_uid39_fpSqrtTest_in <= s2_uid70_uid73_sqrtPolynomialEvaluator_q(28 downto 0); fracR_uid39_fpSqrtTest_b <= fracR_uid39_fpSqrtTest_in(28 downto 6); --ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b(DELAY,143)@1 ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b : dspba_delay GENERIC MAP ( width => 2, depth => 10 ) PORT MAP ( xin => fracSel_uid47_fpSqrtTest_q, xout => ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_q, ena => en(0), clk => clk, aclr => areset ); --fracRPostExc_uid55_fpSqrtTest(MUX,54)@11 fracRPostExc_uid55_fpSqrtTest_s <= ld_fracSel_uid47_fpSqrtTest_q_to_fracRPostExc_uid55_fpSqrtTest_b_q; fracRPostExc_uid55_fpSqrtTest: PROCESS (fracRPostExc_uid55_fpSqrtTest_s, en, cstAllZWF_uid10_fpSqrtTest_q, fracR_uid39_fpSqrtTest_b, cstAllZWF_uid10_fpSqrtTest_q, fracNaN_uid52_fpSqrtTest_q) BEGIN CASE fracRPostExc_uid55_fpSqrtTest_s IS WHEN "00" => fracRPostExc_uid55_fpSqrtTest_q <= cstAllZWF_uid10_fpSqrtTest_q; WHEN "01" => fracRPostExc_uid55_fpSqrtTest_q <= fracR_uid39_fpSqrtTest_b; WHEN "10" => fracRPostExc_uid55_fpSqrtTest_q <= cstAllZWF_uid10_fpSqrtTest_q; WHEN "11" => fracRPostExc_uid55_fpSqrtTest_q <= fracNaN_uid52_fpSqrtTest_q; WHEN OTHERS => fracRPostExc_uid55_fpSqrtTest_q <= (others => '0'); END CASE; END PROCESS; --RSqrt_uid57_fpSqrtTest(BITJOIN,56)@11 RSqrt_uid57_fpSqrtTest_q <= ld_negZero_uid56_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_c_q & ld_expRPostExc_uid51_fpSqrtTest_q_to_RSqrt_uid57_fpSqrtTest_b_replace_mem_q & fracRPostExc_uid55_fpSqrtTest_q; --xOut(GPOUT,4)@11 q <= RSqrt_uid57_fpSqrtTest_q; end normal;
mit
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
bin_Dilation_Operation/ip/Dilation/fpc_library_package_sv.vhd
10
48066
-- (C) 2010 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; use std.TextIO.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** FPC_LIBRARY_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** ADSPB instantiated functions. Provides *** --*** interface between ADSPB tool's types *** --*** and hcc library elements *** --*** *** --*** 25/07/09 SWP *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** PACKAGE fpc_library_package IS constant m_fpOutputScale : integer := 0; -- -ni: Fully pre-normalize single precision multipliers constant m_fpRoundConvert : integer := 0; -- -rc: all conversions between signed and unsigned numbers constant m_fpDoubleSpeed : integer := 1; -- -ds: Pipeline longer additions constant m_fpOutputPipe : integer := 1; -- -op: Optimize away registers on simple internal output nodes constant m_fpNormalisationSpeed : integer := 3; -- -ns: Normalization block performance (1,2 or 3) constant m_SingleMantissaWidth : integer := 32; -- -mm: 0=>32-bit, 1=>36-bit constant m_fpShiftSpeed : integer := 1; -- -ps: Remove pipelines out of large alignments function deviceFamilyA5( f : string ) return integer; function deviceFamily( f : string ) return integer; function deviceFamilyS3( f : string ) return integer; function sIEEE_2_real (arg : STD_LOGIC_VECTOR(31 DOWNTO 0)) return REAL; function sNorm_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL; function sInternal_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL; function sInternalSM_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL; function dIEEE_2_real (arg : STD_LOGIC_VECTOR(63 DOWNTO 0)) return REAL; function dNorm_2_real (arg : STD_LOGIC_VECTOR(69 DOWNTO 0)) return REAL; function dInternal_2_real (arg : STD_LOGIC_VECTOR(79 DOWNTO 0)) return REAL; function vIEEE_2_real (arg : STD_LOGIC_VECTOR; expWidth : INTEGER; fracWidth : INTEGER) return REAL; function sIEEEisEqual (a, b : STD_LOGIC_VECTOR(31 DOWNTO 0); threshold : REAL := 0.001; zero_threshold : REAL := 0.0000001) return BOOLEAN; function dIEEEisEqual (a, b : STD_LOGIC_VECTOR(63 DOWNTO 0); threshold : REAL := 0.000001; zero_threshold : REAL := 0.0000000001) return BOOLEAN; function vIEEEisEqual (a, b : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER; threshold : REAL := 0.001; zero_threshold : REAL := 0.0000001) return BOOLEAN; function vIEEEisExactEqual (a, b : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN; function vIEEEisSubnormal (a : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN; function vIEEEisZero (a : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN; --*************************************************** --*** Single Precision *** --*************************************************** COMPONENT fp_mult_sNorm_2_sInternal GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_mult_sNorm_2_sNorm GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_mult_sNorm_2_sIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_mult_sIEEE_2_sInternal IS GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_mult_sIEEE_2_sInternalSM GENERIC ( m_family : string; m_dotopt : positive ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_div_sNorm_2_sInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_div_sNorm_2_sIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_addsub_sInternal_2_sInternal GENERIC ( addsub_resetval : STD_LOGIC ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; add_sub : IN STD_LOGIC_VECTOR (0 DOWNTO 0); dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_addsub_sInternalSM_2_sInternal GENERIC ( addsub_resetval : STD_LOGIC ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; add_sub : IN STD_LOGIC_VECTOR (0 DOWNTO 0); dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_exp_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_log_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_recip_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_recipSqRt_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_sin_sIEEE_2_sIEEE IS GENERIC (m_family : string); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_cos_sIEEE_2_sIEEE IS GENERIC (m_family : string); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_tan_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_asin_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_acos_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_atan_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sIEEE_2_sNorm PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sIEEE_2_dIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sIEEE_2_sInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dIEEE_2_sInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sIEEE_2_dInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sInternal_2_sNorm PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sInternal_2_sIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sInternal_2_fixed GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sNorm_2_sIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sNorm_2_sInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sInternal_2_dInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sNorm_2_fixed GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0) ); END COMPONENT; --*************************************************** --*** Double Precision *** --*************************************************** COMPONENT fp_mult_dNorm_2_dInternal GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT fp_mult_dNorm_2_dIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_div_dNorm_2_dIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_div_dNorm_2_dInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT fp_addsub_dInternal_2_dInternal GENERIC ( addsub_resetval : STD_LOGIC ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; add_sub : IN STD_LOGIC_VECTOR (0 DOWNTO 0); dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT fp_exp_dIEEE_2_dIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_log_dIEEE_2_dIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_recip_dIEEE_2_dIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_recipSqRt_dIEEE_2_dIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_ldexp_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_ldexp_dIEEE_2_dIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dIEEE_2_dNorm PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (69 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dIEEE_2_dInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dInternal_2_dNorm PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (69 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dInternal_2_dIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT cast_fixed_2_sNorm GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_fixed_2_sInternal GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_fixed_2_sIEEE GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT cast_fixed_2_dIEEE GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT cast_fixed_2_dInternal IS GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sIEEE_2_Fixed GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dIEEE_2_Fixed GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dInternal_2_Fixed GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dInternal_2_sIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_abs_sIEEE_2_sIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dInternal_2_sInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_abs_dIEEE_2_dIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_norm_sInternal_2_sInternal IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_norm_dInternal_2_dInternal IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_sNorm_2_sNorm IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_sInternal_2_sInternal IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_dIEEE_2_dIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_dNorm_2_dNorm IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_dInternal_2_dInternal IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; END fpc_library_package; PACKAGE BODY fpc_library_package is function sIEEE_2_real (arg : STD_LOGIC_VECTOR(31 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 8; variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; if arg(31) = '0' then sign := 1.0; else sign := -1.0; end if; frac := REAL(to_integer (UNSIGNED(arg(22 DOWNTO 0)))) / (2.0 ** 23); expon := to_integer (UNSIGNED(arg (30 downto 23))); exp := expon - expon_base; if exp > expon_base then sign := sign * 9.999e+307; -- NaN or Inf elsif expon = 0 then sign := 0.0; -- denormalized rounded to zero else sign := sign * (2.0 ** exp) * (1.0 + frac); end if; return sign; end sIEEE_2_real; function sNorm_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 8; -- the binary point is at 8 even though there are 2 extra bits for overflow variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; frac := REAL(to_integer (SIGNED(arg(41 DOWNTO 10)))) / (2.0 ** 30); -- SS.FFFFF...FF expon := to_integer (UNSIGNED(arg (9 downto 0))); exp := expon - expon_base; sign := (2.0 ** exp) * frac; return sign; end sNorm_2_real; function sInternal_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 8; -- the binary point is at 8 even though there are 2 extra bits for overflow variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; frac := REAL(to_integer (SIGNED(arg(41 DOWNTO 10)))) / (2.0 ** 26); -- SSSSSS.FFF...FF expon := to_integer (UNSIGNED(arg (9 downto 0))); exp := expon - expon_base; sign := (2.0 ** exp) * frac; return sign; end sInternal_2_real; function sInternalSM_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 8; -- the binary point is at 8 even though there are 2 extra bits for overflow variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; frac := REAL(to_integer (UNSIGNED(arg(42 DOWNTO 10)))) / (2.0 ** 26); -- SSSSSS.FFF...FF expon := to_integer (UNSIGNED(arg (9 downto 0))); exp := expon - expon_base; sign := (2.0 ** exp) * frac; return sign; end sInternalSM_2_real; function dIEEE_2_real (arg : STD_LOGIC_VECTOR(63 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 11; variable frac : REAL := 0.0; -- Fraction variable fraclo : REAL := 0.0; -- Fraction (low order bits) variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; if arg(63) = '0' then sign := 1.0; else sign := -1.0; end if; frac := REAL(to_integer (SIGNED('0' & arg(51 DOWNTO 21)))) / (2.0 ** 31); -- ignore low bits to fit within VHDL types fraclo := REAL(to_integer (SIGNED('0' & arg(20 DOWNTO 0)))) / (2.0 ** 52); expon := to_integer (SIGNED('0' & arg (62 downto 52))); exp := expon - expon_base; -- Fatal error (vsim-3421) if outside range -1e+308 +1e+308 which can still happen if exp = 1023 if exp >= 1023 then sign := sign * 9.999e+307; elsif expon = 0 then sign := 0.0; -- ignore denormalized mantissa else sign := sign * (2.0 ** exp) * (1.0 + frac + fraclo); end if; return sign; end dIEEE_2_real; function dNorm_2_real (arg : STD_LOGIC_VECTOR(69 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 11; -- the binary point is at 10 even though there are 2 extra bits for overflow variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; frac := REAL(to_integer (SIGNED(arg(66 DOWNTO 35)))) / (2.0 ** 30); -- SS.FFFFF...FF expon := to_integer (UNSIGNED(arg (12 downto 0))); exp := expon - expon_base; if exp >= 1024 then sign := 0.0; else sign := (2.0 ** exp) * frac; end if; return sign; end dNorm_2_real; function dInternal_2_real (arg : STD_LOGIC_VECTOR(79 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 11; -- the binary point is at 10 even though there are 2 extra bits for overflow variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; variable sign_bit : STD_LOGIC; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; frac := REAL(to_integer (SIGNED(arg(76 DOWNTO 45)))) / (2.0 ** 26); -- SSSSSS.FFF...FF expon := to_integer (UNSIGNED(arg (12 downto 0))); exp := expon - expon_base; sign_bit := arg(76); if exp >= 1024 then -- perhaps -- or (arg(74) /= sign_bit and exp >= 1023) or (arg(74) /= sign_bit and arg(75) /= sign_bit and exp >= 1022) then sign := 0.0; else sign := (2.0 ** exp) * frac; end if; return sign; end dInternal_2_real; function vIEEE_2_real (arg : STD_LOGIC_VECTOR; expWidth : INTEGER; fracWidth : INTEGER) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable exponBase : INTEGER; -- exponent offset variable frac : REAL := 0.0; -- Fraction variable fraclo : REAL := 0.0; -- Fraction (low order bits) variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; exponBase := 2**(expWidth-1) -1; if arg(arg'high) = '0' then sign := 1.0; else sign := -1.0; end if; if fracWidth > 31 then frac := REAL(to_integer(UNSIGNED(arg((fracWidth - 1) DOWNTO (fracWidth - 31))))) / (2.0 ** 31); fraclo := REAL(to_integer(UNSIGNED(arg((fracWidth - 32) DOWNTO 0)))) / (2.0 ** fracWidth); else frac := REAL(to_integer (UNSIGNED(arg((fracWidth - 1) DOWNTO 0)))) / (2.0 ** fracWidth); fraclo := 0.0; end if; expon := to_integer (UNSIGNED(arg ((arg'high - 1) downto fracWidth))); exp := expon - exponBase; if exp > exponBase or exp >= 1023 then sign := sign * 9.999e+307; -- NaN or Inf elsif expon = 0 then sign := 0.0; -- denormalized rounded to zero else sign := sign * (2.0 ** exp) * (1.0 + frac + fraclo); end if; return sign; end vIEEE_2_real; function sIEEEisNan (a : STD_LOGIC_VECTOR(31 DOWNTO 0)) return BOOLEAN is begin return a(30 downto 23) = "11111111" and a(22 downto 0) /= "00000000000000000000000"; end sIEEEisNan; function sIEEEisInf (a : STD_LOGIC_VECTOR(31 DOWNTO 0)) return BOOLEAN is begin -- ignore sign bit since this returns true for -inf and +inf if a(30 downto 0) = "1111111100000000000000000000000" then --if a(30 downto 23) = "11111111" then return TRUE; else return FALSE; end if; end sIEEEisInf; function sIEEEisNegative (a : STD_LOGIC_VECTOR(31 DOWNTO 0)) return BOOLEAN is begin return a(31) = '1'; end sIEEEisNegative; function sIEEEisEqual (a, b : STD_LOGIC_VECTOR(31 DOWNTO 0); threshold : REAL := 0.001; zero_threshold : REAL := 0.0000001) return BOOLEAN is variable a_real : REAL; variable b_real : REAL; variable max_real : REAL; begin -- if either contains XUZ etc then mismatch if is_x(a) or is_x(b) then return FALSE; end if; -- treat all NaNs as equal if sIEEEisNan(a) and sIEEEisNan(b) then return TRUE; end if; -- if they're both infinite then they match assuming the sign is right if sIEEEisInf(a) and sIEEEisInf(b) then return sIEEEisNegative(a) = sIEEEisNegative(b); end if; -- if only one is infinite then mismatch if sIEEEisInf(a) or sIEEEisInf(b) then return FALSE; end if; a_real := sIEEE_2_real(a); b_real := sIEEE_2_real(b); -- find the max of the two numbers if abs(a_real) > abs(b_real) then max_real := abs(a_real); else max_real := abs(b_real); end if; -- if the max number is less than the zero threshold (then so is the other) and so we declare them to be "equal" if max_real < zero_threshold then return TRUE; end if; -- now we're comparing two numbers that aren't too close to zero so we can compare them by scaling the threshold by -- the largest of the two if abs(a_real - b_real) > threshold * max_real then return FALSE; -- significant difference else return TRUE; -- match end if; end sIEEEisEqual; function dIEEEisNan (a : STD_LOGIC_VECTOR(63 DOWNTO 0)) return BOOLEAN is begin return a(62 downto 52) = "11111111111" and a(51 downto 0) /= "0000000000000000000000000000000000000000000000000000"; end dIEEEisNan; function dIEEEisInf (a : STD_LOGIC_VECTOR(63 DOWNTO 0)) return BOOLEAN is begin -- ignore sign bit since this returns true for -inf and +inf if a(62 downto 0) = "111111111110000000000000000000000000000000000000000000000000000" then --if a(62 downto 52) = "11111111111" then return TRUE; else return FALSE; end if; end dIEEEisInf; function dIEEEisNegative (a : STD_LOGIC_VECTOR(63 DOWNTO 0)) return BOOLEAN is begin return a(63) = '1'; end dIEEEisNegative; function dIEEEisEqual (a, b : STD_LOGIC_VECTOR(63 DOWNTO 0); threshold : REAL := 0.000001; zero_threshold : REAL := 0.0000000001) return BOOLEAN is variable a_real : REAL; variable b_real : REAL; variable max_real : REAL; begin -- if either contains XUZ etc then mismatch if is_x(a) or is_x(b) then return FALSE; end if; -- treat all NaNs as equal if dIEEEisNan(a) and dIEEEisNan(b) then return TRUE; end if; -- if they're both infinite then they match assuming the sign is right if dIEEEisInf(a) and dIEEEisInf(b) then return dIEEEisNegative(a) = dIEEEisNegative(b); end if; -- if only one is infinite then mismatch if dIEEEisInf(a) or dIEEEisInf(b) then return FALSE; end if; a_real := dIEEE_2_real(a); b_real := dIEEE_2_real(b); -- find the max of the two numbers if abs(a_real) > abs(b_real) then max_real := abs(a_real); else max_real := abs(b_real); end if; -- if the max number is less than the zero threshold (then so is the other) and so we declare them to be "equal" if max_real < zero_threshold then return TRUE; end if; -- now we're comparing two numbers that aren't too close to zero so we can compare them by scaling the threshold by -- the largest of the two if abs(a_real - b_real) > threshold * max_real then return FALSE; -- significant difference else return TRUE; -- match end if; end dIEEEisEqual; function vIEEEisNan (arg : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN is variable expon : INTEGER; variable expmax : INTEGER; variable frac : INTEGER; begin expon := to_integer (UNSIGNED(arg ((arg'high - 1) downto fracWidth))); expmax := 2**expWidth - 1; if (expon /= expmax) then return FALSE; end if; if fracWidth > 31 then frac := to_integer(UNSIGNED(arg((fracWidth - 1) DOWNTO (fracWidth - 31)))); if (frac /= 0) then return TRUE; end if; frac := to_integer(UNSIGNED(arg((fracWidth - 32) DOWNTO 0))); return (frac /= 0); end if; frac := to_integer (UNSIGNED(arg((fracWidth - 1) DOWNTO 0))); return (frac /= 0); end vIEEEisNan; function vIEEEisInf (arg : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN is variable expon : INTEGER; variable expmax : INTEGER; variable frac : INTEGER; begin -- ignore sign bit since this returns true for -inf and +inf expon := to_integer (UNSIGNED(arg ((arg'high - 1) downto fracWidth))); expmax := 2**expWidth - 1; if (expon /= expmax) then return FALSE; end if; if fracWidth > 31 then frac := to_integer(UNSIGNED(arg((fracWidth - 1) DOWNTO (fracWidth - 31)))); if (frac /= 0) then return FALSE; end if; frac := to_integer(UNSIGNED(arg((fracWidth - 32) DOWNTO 0))); return (frac = 0); end if; frac := to_integer (UNSIGNED(arg((fracWidth - 1) DOWNTO 0))); return (frac = 0); end vIEEEisInf; function vIEEEisNegative (arg : STD_LOGIC_VECTOR; we, wf : INTEGER) return BOOLEAN is begin return arg(arg'high) = '1'; end vIEEEisNegative; function vIEEEisEqual (a, b : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER; threshold : REAL := 0.001; zero_threshold : REAL := 0.0000001) return BOOLEAN is variable a_real : REAL; variable b_real : REAL; variable max_real : REAL; begin -- if either contains XUZ etc then mismatch if is_x(a) or is_x(b) then return FALSE; end if; -- treat all NaNs as equal if vIEEEisNan(a, expWidth, fracWidth) and vIEEEisNan(b, expWidth, fracWidth) then return TRUE; end if; -- if they're both infinite then they match assuming the sign is right if vIEEEisInf(a, expWidth, fracWidth) and vIEEEisInf(b, expWidth, fracWidth) then return vIEEEisNegative(a, expWidth, fracWidth) = vIEEEisNegative(b, expWidth, fracWidth); end if; -- if only one is infinite then mismatch if vIEEEisInf(a, expWidth, fracWidth) or vIEEEisInf(b, expWidth, fracWidth) then return FALSE; end if; a_real := vIEEE_2_real(a, expWidth, fracWidth); b_real := vIEEE_2_real(b, expWidth, fracWidth); -- find the max of the two numbers if abs(a_real) > abs(b_real) then max_real := abs(a_real); else max_real := abs(b_real); end if; -- if the max number is less than the zero threshold (then so is the other) and so we declare them to be "equal" if max_real < zero_threshold then return TRUE; end if; -- now we're comparing two numbers that aren't too close to zero so we can compare them by scaling the threshold by -- the largest of the two if abs(a_real - b_real) > threshold * max_real then return FALSE; -- significant difference else return TRUE; -- match end if; end vIEEEisEqual; function vIEEEisExactEqual (a, b : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN is begin -- if either contains XUZ etc then mismatch if is_x(a) or is_x(b) then return FALSE; end if; -- treat all NaNs as equal if vIEEEisNan(a, expWidth, fracWidth) and vIEEEisNan(b, expWidth, fracWidth) then return TRUE; end if; -- if they're both infinite then they match assuming the sign is right if vIEEEisInf(a, expWidth, fracWidth) and vIEEEisInf(b, expWidth, fracWidth) then return vIEEEisNegative(a, expWidth, fracWidth) = vIEEEisNegative(b, expWidth, fracWidth); end if; -- if only one is infinite then mismatch if vIEEEisInf(a, expWidth, fracWidth) or vIEEEisInf(b, expWidth, fracWidth) then return FALSE; end if; if (vIEEEisSubnormal(a, expWidth, fracWidth) or vIEEEisZero(a, expWidth, fracWidth)) and (vIEEEisSubnormal(a, expWidth, fracWidth) or vIEEEisZero(a, expWidth, fracWidth)) then return vIEEEisNegative(a, expWidth, fracWidth) = vIEEEisNegative(b, expWidth, fracWidth); end if; if (a = b) then return TRUE; end if; return FALSE; end vIEEEisExactEqual; function vIEEEisSubnormal (a : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN is variable fracA: integer; variable expA : integer; begin -- if either contains XUZ etc then mismatch if is_x(a) then return FALSE; end if; fracA := to_integer (UNSIGNED(a(fracWidth-1 downto 0))); expA := to_integer (UNSIGNED(a(expWidth+fracWidth-1 downto fracWidth))); if (expA = 0 and fracA /= 0) then return TRUE; end if; return FALSE; end vIEEEisSubnormal; function vIEEEisZero (a : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN is variable fracA: integer; variable expA : integer; begin -- if either contains XUZ etc then mismatch if is_x(a) then return FALSE; end if; fracA := to_integer (UNSIGNED(a(fracWidth-1 downto 0))); expA := to_integer (UNSIGNED(a(expWidth+fracWidth-1 downto fracWidth))); if (expA = 0 and fracA = 0) then return TRUE; end if; return FALSE; end vIEEEisZero; FUNCTION deviceFamilyA5 ( f : string ) RETURN integer IS BEGIN ASSERT f = "Stratix II" or f = "Stratix III" or f = "Stratix IV" or f = "Stratix V" or f = "Arria V" REPORT "fpc library : unknown device family" SEVERITY failure; IF f = "Stratix II" THEN RETURN 0; ELSIF f = "Stratix V" THEN RETURN 2; ELSIF f = "Arria V" THEN RETURN 3; END IF; RETURN 1; -- "Stratix III" and "Stratix IV" END FUNCTION deviceFamilyA5; FUNCTION deviceFamily ( f : string ) RETURN integer IS BEGIN ASSERT f = "Stratix II" or f = "Stratix III" or f = "Stratix IV" or f = "Stratix V" or f = "Arria V" REPORT "fpc library : unknown device family" SEVERITY failure; IF f = "Stratix II" THEN RETURN 0; ELSIF f = "Stratix V" or f = "Arria V" THEN RETURN 2; END IF; RETURN 1; -- "Stratix III" and "Stratix IV" END FUNCTION deviceFamily; FUNCTION deviceFamilyS3 ( f : string ) RETURN integer IS BEGIN ASSERT f = "Stratix II" or f = "Stratix III" or f = "Stratix IV" or f = "Stratix V" or f = "Arria V" REPORT "fpc library : unknown device family" SEVERITY failure; IF f = "Stratix II" THEN RETURN 0; END IF; RETURN 1; -- "Stratix III" and "Stratix IV" -- "Stratix V" also though many FPC components have not yet been optimized for this family END FUNCTION deviceFamilyS3; END fpc_library_package;
mit
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
Dilation/ip/Dilation/fpc_library_package_sv.vhd
10
48066
-- (C) 2010 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; use std.TextIO.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** FPC_LIBRARY_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** ADSPB instantiated functions. Provides *** --*** interface between ADSPB tool's types *** --*** and hcc library elements *** --*** *** --*** 25/07/09 SWP *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** PACKAGE fpc_library_package IS constant m_fpOutputScale : integer := 0; -- -ni: Fully pre-normalize single precision multipliers constant m_fpRoundConvert : integer := 0; -- -rc: all conversions between signed and unsigned numbers constant m_fpDoubleSpeed : integer := 1; -- -ds: Pipeline longer additions constant m_fpOutputPipe : integer := 1; -- -op: Optimize away registers on simple internal output nodes constant m_fpNormalisationSpeed : integer := 3; -- -ns: Normalization block performance (1,2 or 3) constant m_SingleMantissaWidth : integer := 32; -- -mm: 0=>32-bit, 1=>36-bit constant m_fpShiftSpeed : integer := 1; -- -ps: Remove pipelines out of large alignments function deviceFamilyA5( f : string ) return integer; function deviceFamily( f : string ) return integer; function deviceFamilyS3( f : string ) return integer; function sIEEE_2_real (arg : STD_LOGIC_VECTOR(31 DOWNTO 0)) return REAL; function sNorm_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL; function sInternal_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL; function sInternalSM_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL; function dIEEE_2_real (arg : STD_LOGIC_VECTOR(63 DOWNTO 0)) return REAL; function dNorm_2_real (arg : STD_LOGIC_VECTOR(69 DOWNTO 0)) return REAL; function dInternal_2_real (arg : STD_LOGIC_VECTOR(79 DOWNTO 0)) return REAL; function vIEEE_2_real (arg : STD_LOGIC_VECTOR; expWidth : INTEGER; fracWidth : INTEGER) return REAL; function sIEEEisEqual (a, b : STD_LOGIC_VECTOR(31 DOWNTO 0); threshold : REAL := 0.001; zero_threshold : REAL := 0.0000001) return BOOLEAN; function dIEEEisEqual (a, b : STD_LOGIC_VECTOR(63 DOWNTO 0); threshold : REAL := 0.000001; zero_threshold : REAL := 0.0000000001) return BOOLEAN; function vIEEEisEqual (a, b : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER; threshold : REAL := 0.001; zero_threshold : REAL := 0.0000001) return BOOLEAN; function vIEEEisExactEqual (a, b : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN; function vIEEEisSubnormal (a : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN; function vIEEEisZero (a : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN; --*************************************************** --*** Single Precision *** --*************************************************** COMPONENT fp_mult_sNorm_2_sInternal GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_mult_sNorm_2_sNorm GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_mult_sNorm_2_sIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_mult_sIEEE_2_sInternal IS GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_mult_sIEEE_2_sInternalSM GENERIC ( m_family : string; m_dotopt : positive ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_div_sNorm_2_sInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_div_sNorm_2_sIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_addsub_sInternal_2_sInternal GENERIC ( addsub_resetval : STD_LOGIC ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; add_sub : IN STD_LOGIC_VECTOR (0 DOWNTO 0); dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_addsub_sInternalSM_2_sInternal GENERIC ( addsub_resetval : STD_LOGIC ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; add_sub : IN STD_LOGIC_VECTOR (0 DOWNTO 0); dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_exp_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_log_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_recip_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_recipSqRt_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_sin_sIEEE_2_sIEEE IS GENERIC (m_family : string); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_cos_sIEEE_2_sIEEE IS GENERIC (m_family : string); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_tan_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_asin_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_acos_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_atan_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sIEEE_2_sNorm PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sIEEE_2_dIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sIEEE_2_sInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dIEEE_2_sInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sIEEE_2_dInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sInternal_2_sNorm PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sInternal_2_sIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sInternal_2_fixed GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sNorm_2_sIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sNorm_2_sInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sInternal_2_dInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sNorm_2_fixed GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0) ); END COMPONENT; --*************************************************** --*** Double Precision *** --*************************************************** COMPONENT fp_mult_dNorm_2_dInternal GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT fp_mult_dNorm_2_dIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_div_dNorm_2_dIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_div_dNorm_2_dInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (69 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (69 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT fp_addsub_dInternal_2_dInternal GENERIC ( addsub_resetval : STD_LOGIC ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; add_sub : IN STD_LOGIC_VECTOR (0 DOWNTO 0); dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT fp_exp_dIEEE_2_dIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_log_dIEEE_2_dIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_recip_dIEEE_2_dIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_recipSqRt_dIEEE_2_dIEEE GENERIC ( m_family : string ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_ldexp_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_ldexp_dIEEE_2_dIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dIEEE_2_dNorm PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (69 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dIEEE_2_dInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dInternal_2_dNorm PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (69 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dInternal_2_dIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT cast_fixed_2_sNorm GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_fixed_2_sInternal GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT cast_fixed_2_sIEEE GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT cast_fixed_2_dIEEE GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT cast_fixed_2_dInternal IS GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT cast_sIEEE_2_Fixed GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dIEEE_2_Fixed GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dInternal_2_Fixed GENERIC ( unsigned : integer; iWidth : integer; fWidth : integer ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (iWidth+fWidth-1 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dInternal_2_sIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_abs_sIEEE_2_sIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT cast_dInternal_2_sInternal PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_abs_dIEEE_2_dIEEE PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_norm_sInternal_2_sInternal IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_norm_dInternal_2_dInternal IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_sIEEE_2_sIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_sNorm_2_sNorm IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_sInternal_2_sInternal IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (44 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (44 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_dIEEE_2_dIEEE IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_dNorm_2_dNorm IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; COMPONENT fp_negate_dInternal_2_dInternal IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; clk_en : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (79 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (79 DOWNTO 0) ); END COMPONENT; END fpc_library_package; PACKAGE BODY fpc_library_package is function sIEEE_2_real (arg : STD_LOGIC_VECTOR(31 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 8; variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; if arg(31) = '0' then sign := 1.0; else sign := -1.0; end if; frac := REAL(to_integer (UNSIGNED(arg(22 DOWNTO 0)))) / (2.0 ** 23); expon := to_integer (UNSIGNED(arg (30 downto 23))); exp := expon - expon_base; if exp > expon_base then sign := sign * 9.999e+307; -- NaN or Inf elsif expon = 0 then sign := 0.0; -- denormalized rounded to zero else sign := sign * (2.0 ** exp) * (1.0 + frac); end if; return sign; end sIEEE_2_real; function sNorm_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 8; -- the binary point is at 8 even though there are 2 extra bits for overflow variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; frac := REAL(to_integer (SIGNED(arg(41 DOWNTO 10)))) / (2.0 ** 30); -- SS.FFFFF...FF expon := to_integer (UNSIGNED(arg (9 downto 0))); exp := expon - expon_base; sign := (2.0 ** exp) * frac; return sign; end sNorm_2_real; function sInternal_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 8; -- the binary point is at 8 even though there are 2 extra bits for overflow variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; frac := REAL(to_integer (SIGNED(arg(41 DOWNTO 10)))) / (2.0 ** 26); -- SSSSSS.FFF...FF expon := to_integer (UNSIGNED(arg (9 downto 0))); exp := expon - expon_base; sign := (2.0 ** exp) * frac; return sign; end sInternal_2_real; function sInternalSM_2_real (arg : STD_LOGIC_VECTOR(44 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 8; -- the binary point is at 8 even though there are 2 extra bits for overflow variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; frac := REAL(to_integer (UNSIGNED(arg(42 DOWNTO 10)))) / (2.0 ** 26); -- SSSSSS.FFF...FF expon := to_integer (UNSIGNED(arg (9 downto 0))); exp := expon - expon_base; sign := (2.0 ** exp) * frac; return sign; end sInternalSM_2_real; function dIEEE_2_real (arg : STD_LOGIC_VECTOR(63 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 11; variable frac : REAL := 0.0; -- Fraction variable fraclo : REAL := 0.0; -- Fraction (low order bits) variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; if arg(63) = '0' then sign := 1.0; else sign := -1.0; end if; frac := REAL(to_integer (SIGNED('0' & arg(51 DOWNTO 21)))) / (2.0 ** 31); -- ignore low bits to fit within VHDL types fraclo := REAL(to_integer (SIGNED('0' & arg(20 DOWNTO 0)))) / (2.0 ** 52); expon := to_integer (SIGNED('0' & arg (62 downto 52))); exp := expon - expon_base; -- Fatal error (vsim-3421) if outside range -1e+308 +1e+308 which can still happen if exp = 1023 if exp >= 1023 then sign := sign * 9.999e+307; elsif expon = 0 then sign := 0.0; -- ignore denormalized mantissa else sign := sign * (2.0 ** exp) * (1.0 + frac + fraclo); end if; return sign; end dIEEE_2_real; function dNorm_2_real (arg : STD_LOGIC_VECTOR(69 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 11; -- the binary point is at 10 even though there are 2 extra bits for overflow variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; frac := REAL(to_integer (SIGNED(arg(66 DOWNTO 35)))) / (2.0 ** 30); -- SS.FFFFF...FF expon := to_integer (UNSIGNED(arg (12 downto 0))); exp := expon - expon_base; if exp >= 1024 then sign := 0.0; else sign := (2.0 ** exp) * frac; end if; return sign; end dNorm_2_real; function dInternal_2_real (arg : STD_LOGIC_VECTOR(79 DOWNTO 0)) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable exponent_width : INTEGER := 11; -- the binary point is at 10 even though there are 2 extra bits for overflow variable frac : REAL := 0.0; -- Fraction variable expon : INTEGER; variable sign_bit : STD_LOGIC; begin if is_x(arg) then return 0.0; end if; expon_base := 2**(exponent_width-1) -1; frac := REAL(to_integer (SIGNED(arg(76 DOWNTO 45)))) / (2.0 ** 26); -- SSSSSS.FFF...FF expon := to_integer (UNSIGNED(arg (12 downto 0))); exp := expon - expon_base; sign_bit := arg(76); if exp >= 1024 then -- perhaps -- or (arg(74) /= sign_bit and exp >= 1023) or (arg(74) /= sign_bit and arg(75) /= sign_bit and exp >= 1022) then sign := 0.0; else sign := (2.0 ** exp) * frac; end if; return sign; end dInternal_2_real; function vIEEE_2_real (arg : STD_LOGIC_VECTOR; expWidth : INTEGER; fracWidth : INTEGER) return REAL is variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable exponBase : INTEGER; -- exponent offset variable frac : REAL := 0.0; -- Fraction variable fraclo : REAL := 0.0; -- Fraction (low order bits) variable expon : INTEGER; begin if is_x(arg) then return 0.0; end if; exponBase := 2**(expWidth-1) -1; if arg(arg'high) = '0' then sign := 1.0; else sign := -1.0; end if; if fracWidth > 31 then frac := REAL(to_integer(UNSIGNED(arg((fracWidth - 1) DOWNTO (fracWidth - 31))))) / (2.0 ** 31); fraclo := REAL(to_integer(UNSIGNED(arg((fracWidth - 32) DOWNTO 0)))) / (2.0 ** fracWidth); else frac := REAL(to_integer (UNSIGNED(arg((fracWidth - 1) DOWNTO 0)))) / (2.0 ** fracWidth); fraclo := 0.0; end if; expon := to_integer (UNSIGNED(arg ((arg'high - 1) downto fracWidth))); exp := expon - exponBase; if exp > exponBase or exp >= 1023 then sign := sign * 9.999e+307; -- NaN or Inf elsif expon = 0 then sign := 0.0; -- denormalized rounded to zero else sign := sign * (2.0 ** exp) * (1.0 + frac + fraclo); end if; return sign; end vIEEE_2_real; function sIEEEisNan (a : STD_LOGIC_VECTOR(31 DOWNTO 0)) return BOOLEAN is begin return a(30 downto 23) = "11111111" and a(22 downto 0) /= "00000000000000000000000"; end sIEEEisNan; function sIEEEisInf (a : STD_LOGIC_VECTOR(31 DOWNTO 0)) return BOOLEAN is begin -- ignore sign bit since this returns true for -inf and +inf if a(30 downto 0) = "1111111100000000000000000000000" then --if a(30 downto 23) = "11111111" then return TRUE; else return FALSE; end if; end sIEEEisInf; function sIEEEisNegative (a : STD_LOGIC_VECTOR(31 DOWNTO 0)) return BOOLEAN is begin return a(31) = '1'; end sIEEEisNegative; function sIEEEisEqual (a, b : STD_LOGIC_VECTOR(31 DOWNTO 0); threshold : REAL := 0.001; zero_threshold : REAL := 0.0000001) return BOOLEAN is variable a_real : REAL; variable b_real : REAL; variable max_real : REAL; begin -- if either contains XUZ etc then mismatch if is_x(a) or is_x(b) then return FALSE; end if; -- treat all NaNs as equal if sIEEEisNan(a) and sIEEEisNan(b) then return TRUE; end if; -- if they're both infinite then they match assuming the sign is right if sIEEEisInf(a) and sIEEEisInf(b) then return sIEEEisNegative(a) = sIEEEisNegative(b); end if; -- if only one is infinite then mismatch if sIEEEisInf(a) or sIEEEisInf(b) then return FALSE; end if; a_real := sIEEE_2_real(a); b_real := sIEEE_2_real(b); -- find the max of the two numbers if abs(a_real) > abs(b_real) then max_real := abs(a_real); else max_real := abs(b_real); end if; -- if the max number is less than the zero threshold (then so is the other) and so we declare them to be "equal" if max_real < zero_threshold then return TRUE; end if; -- now we're comparing two numbers that aren't too close to zero so we can compare them by scaling the threshold by -- the largest of the two if abs(a_real - b_real) > threshold * max_real then return FALSE; -- significant difference else return TRUE; -- match end if; end sIEEEisEqual; function dIEEEisNan (a : STD_LOGIC_VECTOR(63 DOWNTO 0)) return BOOLEAN is begin return a(62 downto 52) = "11111111111" and a(51 downto 0) /= "0000000000000000000000000000000000000000000000000000"; end dIEEEisNan; function dIEEEisInf (a : STD_LOGIC_VECTOR(63 DOWNTO 0)) return BOOLEAN is begin -- ignore sign bit since this returns true for -inf and +inf if a(62 downto 0) = "111111111110000000000000000000000000000000000000000000000000000" then --if a(62 downto 52) = "11111111111" then return TRUE; else return FALSE; end if; end dIEEEisInf; function dIEEEisNegative (a : STD_LOGIC_VECTOR(63 DOWNTO 0)) return BOOLEAN is begin return a(63) = '1'; end dIEEEisNegative; function dIEEEisEqual (a, b : STD_LOGIC_VECTOR(63 DOWNTO 0); threshold : REAL := 0.000001; zero_threshold : REAL := 0.0000000001) return BOOLEAN is variable a_real : REAL; variable b_real : REAL; variable max_real : REAL; begin -- if either contains XUZ etc then mismatch if is_x(a) or is_x(b) then return FALSE; end if; -- treat all NaNs as equal if dIEEEisNan(a) and dIEEEisNan(b) then return TRUE; end if; -- if they're both infinite then they match assuming the sign is right if dIEEEisInf(a) and dIEEEisInf(b) then return dIEEEisNegative(a) = dIEEEisNegative(b); end if; -- if only one is infinite then mismatch if dIEEEisInf(a) or dIEEEisInf(b) then return FALSE; end if; a_real := dIEEE_2_real(a); b_real := dIEEE_2_real(b); -- find the max of the two numbers if abs(a_real) > abs(b_real) then max_real := abs(a_real); else max_real := abs(b_real); end if; -- if the max number is less than the zero threshold (then so is the other) and so we declare them to be "equal" if max_real < zero_threshold then return TRUE; end if; -- now we're comparing two numbers that aren't too close to zero so we can compare them by scaling the threshold by -- the largest of the two if abs(a_real - b_real) > threshold * max_real then return FALSE; -- significant difference else return TRUE; -- match end if; end dIEEEisEqual; function vIEEEisNan (arg : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN is variable expon : INTEGER; variable expmax : INTEGER; variable frac : INTEGER; begin expon := to_integer (UNSIGNED(arg ((arg'high - 1) downto fracWidth))); expmax := 2**expWidth - 1; if (expon /= expmax) then return FALSE; end if; if fracWidth > 31 then frac := to_integer(UNSIGNED(arg((fracWidth - 1) DOWNTO (fracWidth - 31)))); if (frac /= 0) then return TRUE; end if; frac := to_integer(UNSIGNED(arg((fracWidth - 32) DOWNTO 0))); return (frac /= 0); end if; frac := to_integer (UNSIGNED(arg((fracWidth - 1) DOWNTO 0))); return (frac /= 0); end vIEEEisNan; function vIEEEisInf (arg : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN is variable expon : INTEGER; variable expmax : INTEGER; variable frac : INTEGER; begin -- ignore sign bit since this returns true for -inf and +inf expon := to_integer (UNSIGNED(arg ((arg'high - 1) downto fracWidth))); expmax := 2**expWidth - 1; if (expon /= expmax) then return FALSE; end if; if fracWidth > 31 then frac := to_integer(UNSIGNED(arg((fracWidth - 1) DOWNTO (fracWidth - 31)))); if (frac /= 0) then return FALSE; end if; frac := to_integer(UNSIGNED(arg((fracWidth - 32) DOWNTO 0))); return (frac = 0); end if; frac := to_integer (UNSIGNED(arg((fracWidth - 1) DOWNTO 0))); return (frac = 0); end vIEEEisInf; function vIEEEisNegative (arg : STD_LOGIC_VECTOR; we, wf : INTEGER) return BOOLEAN is begin return arg(arg'high) = '1'; end vIEEEisNegative; function vIEEEisEqual (a, b : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER; threshold : REAL := 0.001; zero_threshold : REAL := 0.0000001) return BOOLEAN is variable a_real : REAL; variable b_real : REAL; variable max_real : REAL; begin -- if either contains XUZ etc then mismatch if is_x(a) or is_x(b) then return FALSE; end if; -- treat all NaNs as equal if vIEEEisNan(a, expWidth, fracWidth) and vIEEEisNan(b, expWidth, fracWidth) then return TRUE; end if; -- if they're both infinite then they match assuming the sign is right if vIEEEisInf(a, expWidth, fracWidth) and vIEEEisInf(b, expWidth, fracWidth) then return vIEEEisNegative(a, expWidth, fracWidth) = vIEEEisNegative(b, expWidth, fracWidth); end if; -- if only one is infinite then mismatch if vIEEEisInf(a, expWidth, fracWidth) or vIEEEisInf(b, expWidth, fracWidth) then return FALSE; end if; a_real := vIEEE_2_real(a, expWidth, fracWidth); b_real := vIEEE_2_real(b, expWidth, fracWidth); -- find the max of the two numbers if abs(a_real) > abs(b_real) then max_real := abs(a_real); else max_real := abs(b_real); end if; -- if the max number is less than the zero threshold (then so is the other) and so we declare them to be "equal" if max_real < zero_threshold then return TRUE; end if; -- now we're comparing two numbers that aren't too close to zero so we can compare them by scaling the threshold by -- the largest of the two if abs(a_real - b_real) > threshold * max_real then return FALSE; -- significant difference else return TRUE; -- match end if; end vIEEEisEqual; function vIEEEisExactEqual (a, b : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN is begin -- if either contains XUZ etc then mismatch if is_x(a) or is_x(b) then return FALSE; end if; -- treat all NaNs as equal if vIEEEisNan(a, expWidth, fracWidth) and vIEEEisNan(b, expWidth, fracWidth) then return TRUE; end if; -- if they're both infinite then they match assuming the sign is right if vIEEEisInf(a, expWidth, fracWidth) and vIEEEisInf(b, expWidth, fracWidth) then return vIEEEisNegative(a, expWidth, fracWidth) = vIEEEisNegative(b, expWidth, fracWidth); end if; -- if only one is infinite then mismatch if vIEEEisInf(a, expWidth, fracWidth) or vIEEEisInf(b, expWidth, fracWidth) then return FALSE; end if; if (vIEEEisSubnormal(a, expWidth, fracWidth) or vIEEEisZero(a, expWidth, fracWidth)) and (vIEEEisSubnormal(a, expWidth, fracWidth) or vIEEEisZero(a, expWidth, fracWidth)) then return vIEEEisNegative(a, expWidth, fracWidth) = vIEEEisNegative(b, expWidth, fracWidth); end if; if (a = b) then return TRUE; end if; return FALSE; end vIEEEisExactEqual; function vIEEEisSubnormal (a : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN is variable fracA: integer; variable expA : integer; begin -- if either contains XUZ etc then mismatch if is_x(a) then return FALSE; end if; fracA := to_integer (UNSIGNED(a(fracWidth-1 downto 0))); expA := to_integer (UNSIGNED(a(expWidth+fracWidth-1 downto fracWidth))); if (expA = 0 and fracA /= 0) then return TRUE; end if; return FALSE; end vIEEEisSubnormal; function vIEEEisZero (a : STD_LOGIC_VECTOR; expWidth, fracWidth : INTEGER) return BOOLEAN is variable fracA: integer; variable expA : integer; begin -- if either contains XUZ etc then mismatch if is_x(a) then return FALSE; end if; fracA := to_integer (UNSIGNED(a(fracWidth-1 downto 0))); expA := to_integer (UNSIGNED(a(expWidth+fracWidth-1 downto fracWidth))); if (expA = 0 and fracA = 0) then return TRUE; end if; return FALSE; end vIEEEisZero; FUNCTION deviceFamilyA5 ( f : string ) RETURN integer IS BEGIN ASSERT f = "Stratix II" or f = "Stratix III" or f = "Stratix IV" or f = "Stratix V" or f = "Arria V" REPORT "fpc library : unknown device family" SEVERITY failure; IF f = "Stratix II" THEN RETURN 0; ELSIF f = "Stratix V" THEN RETURN 2; ELSIF f = "Arria V" THEN RETURN 3; END IF; RETURN 1; -- "Stratix III" and "Stratix IV" END FUNCTION deviceFamilyA5; FUNCTION deviceFamily ( f : string ) RETURN integer IS BEGIN ASSERT f = "Stratix II" or f = "Stratix III" or f = "Stratix IV" or f = "Stratix V" or f = "Arria V" REPORT "fpc library : unknown device family" SEVERITY failure; IF f = "Stratix II" THEN RETURN 0; ELSIF f = "Stratix V" or f = "Arria V" THEN RETURN 2; END IF; RETURN 1; -- "Stratix III" and "Stratix IV" END FUNCTION deviceFamily; FUNCTION deviceFamilyS3 ( f : string ) RETURN integer IS BEGIN ASSERT f = "Stratix II" or f = "Stratix III" or f = "Stratix IV" or f = "Stratix V" or f = "Arria V" REPORT "fpc library : unknown device family" SEVERITY failure; IF f = "Stratix II" THEN RETURN 0; END IF; RETURN 1; -- "Stratix III" and "Stratix IV" -- "Stratix V" also though many FPC components have not yet been optimized for this family END FUNCTION deviceFamilyS3; END fpc_library_package;
mit
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
Sobel/ip/Sobel/dp_exp_core.vhd
10
24362
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** DOUBLE PRECISION EXPONENT(e) - CORE *** --*** *** --*** DP_EXP_CORE.VHD *** --*** *** --*** Function: Double Precision Exponent Core *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 24/04/09 - SIII/SIV multiplier support *** --*** *** --*** *** --*************************************************** --*************************************************** --*** Notes: *** --*** SII Latency = 19 + 2*doublespeed *** --*** SIII/IV Latency = 17 *** --*************************************************** ENTITY dp_exp_core IS GENERIC ( doublespeed : integer := 0; -- 0/1 doubleaccuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4) synthesize : integer := 1 -- 0/1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aasgn : IN STD_LOGIC; aaman : IN STD_LOGIC_VECTOR (52 DOWNTO 1); aaexp : IN STD_LOGIC_VECTOR (11 DOWNTO 1); ccman : OUT STD_LOGIC_VECTOR (54 DOWNTO 1); ccexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); rangeerror : OUT STD_LOGIC ); END dp_exp_core; ARCHITECTURE rtl OF dp_exp_core IS --SII mullatency = doublespeed+5, SIII/IV mullatency = 4 constant mullatency : positive := doublespeed+5 - device*(1+doublespeed); constant ranlatency : positive := 15+2*doublespeed-device*(2+2*doublespeed); type expcalcfftype IS ARRAY ((ranlatency-4) DOWNTO 1) OF STD_LOGIC_VECTOR (11 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (54 DOWNTO 1); -- INPUT BLOCK & SHIFTER signal signff : STD_LOGIC_VECTOR (ranlatency+3 DOWNTO 1); signal aamanff, aamandelff : STD_LOGIC_VECTOR (52 DOWNTO 1); signal aaexpff : STD_LOGIC_VECTOR (11 DOWNTO 1); signal leftshift, rightshift : STD_LOGIC_VECTOR (12 DOWNTO 1); signal leftshiftff, rightshiftff : STD_LOGIC_VECTOR (10 DOWNTO 1); signal cmpexp : STD_LOGIC_VECTOR(11 DOWNTO 1); signal bigexpff : STD_LOGIC_VECTOR(2 DOWNTO 1); signal smallrightshift : STD_LOGIC; signal selshiftff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal powerbus : STD_LOGIC_VECTOR (64 DOWNTO 1); signal leftone, lefttwo : STD_LOGIC_VECTOR (64 DOWNTO 1); signal rightone, righttwo, rightthree : STD_LOGIC_VECTOR (64 DOWNTO 1); signal leftff, rightff : STD_LOGIC_VECTOR (64 DOWNTO 1); signal powerff : STD_LOGIC_VECTOR (65 DOWNTO 1); signal decimalleft : STD_LOGIC_VECTOR (11 DOWNTO 1); signal decimalright : STD_LOGIC_VECTOR (10 DOWNTO 1); signal fractionalleft, fractionalright : STD_LOGIC_VECTOR (54 DOWNTO 1); -- TABLES signal addlutposff, addlutnegff : STD_LOGIC_VECTOR (10 DOWNTO 1); signal addluttwoff, addlutthrff : STD_LOGIC_VECTOR (10 DOWNTO 1); signal lutposmanff, lutnegmanff : STD_LOGIC_VECTOR (54 DOWNTO 1); signal luttwomanff, lutthrmanff : STD_LOGIC_VECTOR (54 DOWNTO 1); signal lutposexpff, lutnegexpff : STD_LOGIC_VECTOR (11 DOWNTO 1); signal luttwoexpff : STD_LOGIC; signal manpos, manneg, mantwo, manthr : STD_LOGIC_VECTOR (52 DOWNTO 1); signal exppos, expneg : STD_LOGIC_VECTOR (11 DOWNTO 1); signal exptwo : STD_LOGIC; signal lutonemanff, luttwomandelff : STD_LOGIC_VECTOR (54 DOWNTO 1); signal lutoneexpff : STD_LOGIC_VECTOR (11 DOWNTO 1); signal luttwoexpdelff : STD_LOGIC; signal expcalcff : expcalcfftype; -- OVER & UNDERFLOW signal powercheck : STD_LOGIC_VECTOR (11 DOWNTO 1); signal rangeff : STD_LOGIC_VECTOR (ranlatency DOWNTO 1); -- TAYLOR SERIES signal fraction : STD_LOGIC_VECTOR (36 DOWNTO 1); signal xterm : STD_LOGIC_VECTOR (33 DOWNTO 1); signal xsquareterm : STD_LOGIC_VECTOR (12 DOWNTO 1); signal approxff : STD_LOGIC_VECTOR (54 DOWNTO 1); signal lutthrmandel : STD_LOGIC_VECTOR (54 DOWNTO 1); -- MULTIPLY signal resultone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultonedel : STD_LOGIC_VECTOR (54 DOWNTO 1); signal resulttwo, resultthr : STD_LOGIC_VECTOR (72 DOWNTO 1); -- NORMALIZE signal normshift : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoutff : STD_LOGIC_VECTOR (54 DOWNTO 1); signal expout, expoutff : STD_LOGIC_VECTOR (11 DOWNTO 1); component dp_explutpos PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1); manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1); exponent : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); end component; component dp_explutneg PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1); manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1); exponent : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); end component; component dp_explut10 PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1); manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1); exponent : OUT STD_LOGIC ); end component; component dp_explut20 PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1); manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1) ); end component; component fp_fxmul GENERIC ( widthaa : positive := 18; widthbb : positive := 18; widthcc : positive := 36; pipes : positive := 1; accuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4) synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1); databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1); result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1) ); end component; component fp_del GENERIC ( width : positive := 64; pipes : positive := 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; BEGIN gza: FOR k IN 1 TO 54 GENERATE zerovec(k) <= '0'; END GENERATE; --******************* --*** INPUT BLOCK *** --******************* psa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO (ranlatency+3) LOOP signff(k) <= '0'; END LOOP; FOR k IN 1 TO 52 LOOP aamanff(k) <= '0'; aamandelff(k) <= '0'; END LOOP; FOR k IN 1 TO 11 LOOP aaexpff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP leftshiftff(k) <= '0'; rightshiftff(k) <= '0'; END LOOP; selshiftff <= "00"; FOR k IN 1 TO 64 LOOP leftff(k) <= '0'; rightff(k) <= '0'; powerff(k) <= '0'; END LOOP; powerff(65) <= '0'; bigexpff <= "00"; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN signff(1) <= aasgn; FOR k IN 2 TO (ranlatency+3) LOOP signff(k) <= signff(k-1); END LOOP; aamanff <= aaman; aamandelff <= aamanff; aaexpff <= aaexp; leftshiftff <= leftshift(10 DOWNTO 1); rightshiftff <= rightshift(10 DOWNTO 1); selshiftff(1) <= leftshift(12); selshiftff(2) <= selshiftff(1); -- level 3 leftff <= lefttwo; -- mask out right barrel shifter output when shifting by 64 or more positions FOR k IN 1 TO 64 LOOP rightff(k) <= rightthree(k) AND smallrightshift; END LOOP; -- overflow of left shifter matters only when the left shifted mantissa result is to be used bigexpff(2) <= bigexpff(1) AND NOT(selshiftff(2)); bigexpff(1) <= NOT(cmpexp(11)); -- level 4 FOR k IN 1 TO 54 LOOP powerff(k) <= (fractionalleft(k) AND NOT(selshiftff(2))) OR (fractionalright(k) AND selshiftff(2)); END LOOP; FOR k IN 1 TO 10 LOOP powerff(k+54) <= (decimalleft(k) AND NOT(selshiftff(2))) OR (decimalright(k) AND selshiftff(2)); END LOOP; powerff(65) <= (decimalleft(11) AND NOT(selshiftff(2))); -- overflow bit required to catch exp(-1023.frac) case END IF; END IF; END PROCESS; leftshift <= ('0' & aaexpff) - "001111111111"; rightshift <= "001111111111" - ('0' & aaexpff); powerbus <= "0000000001" & aamandelff & "00"; decimalleft <= ('0' & leftff(64 DOWNTO 55)) + ("0000000000" & signff(3)); -- decimalleft may overflow to bit 11 when exp(x), -1024 < x <= -1023 decimalright <= rightff(64 DOWNTO 55) + ("000000000" & signff(3)); gfa: FOR k IN 1 TO 54 GENERATE fractionalleft(k) <= leftff(k) XOR signff(3); fractionalright(k) <= rightff(k) XOR signff(3); END GENERATE; --********************** --*** BARREL SHIFTER *** --********************** leftone(1) <= powerbus(1) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1)); leftone(2) <= (powerbus(2) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1))) OR (powerbus(1) AND NOT(leftshiftff(2)) AND leftshiftff(1)); leftone(3) <= (powerbus(3) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1))) OR (powerbus(2) AND NOT(leftshiftff(2)) AND leftshiftff(1)) OR (powerbus(1) AND leftshiftff(2) AND NOT(leftshiftff(1))); gla: FOR k IN 4 TO 64 GENERATE leftone(k) <= (powerbus(k) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1))) OR (powerbus(k-1) AND NOT(leftshiftff(2)) AND leftshiftff(1)) OR (powerbus(k-2) AND leftshiftff(2) AND NOT(leftshiftff(1))) OR (powerbus(k-3) AND leftshiftff(2) AND leftshiftff(1)); END GENERATE; glb: FOR k IN 1 TO 4 GENERATE lefttwo(k) <= leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3)); END GENERATE; glc: FOR k IN 5 TO 8 GENERATE lefttwo(k) <= (leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3))) OR (leftone(k-4) AND NOT(leftshiftff(4)) AND leftshiftff(3)); END GENERATE; gld: FOR k IN 9 TO 12 GENERATE lefttwo(k) <= (leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3))) OR (leftone(k-4) AND NOT(leftshiftff(4)) AND leftshiftff(3)) OR (leftone(k-8) AND leftshiftff(4) AND NOT(leftshiftff(3))); END GENERATE; gle: FOR k IN 13 TO 64 GENERATE lefttwo(k) <= (leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3))) OR (leftone(k-4) AND NOT(leftshiftff(4)) AND leftshiftff(3)) OR (leftone(k-8) AND leftshiftff(4) AND NOT(leftshiftff(3))) OR (leftone(k-12) AND leftshiftff(4) AND leftshiftff(3)); END GENERATE; cmpexp <= ('0' & leftshiftff) - "00000001010"; -- detect when left barrel shifter overflows (i.e. leftshiftff > 9) gra: FOR k IN 1 TO 61 GENERATE rightone(k) <= (powerbus(k) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1))) OR (powerbus(k+1) AND NOT(rightshiftff(2)) AND rightshiftff(1)) OR (powerbus(k+2) AND rightshiftff(2) AND NOT(rightshiftff(1))) OR (powerbus(k+3) AND rightshiftff(2) AND rightshiftff(1)); END GENERATE; rightone(62) <= (powerbus(62) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1))) OR (powerbus(63) AND NOT(rightshiftff(2)) AND rightshiftff(1)) OR (powerbus(64) AND rightshiftff(2) AND NOT(rightshiftff(1))); rightone(63) <= (powerbus(63) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1))) OR (powerbus(64) AND NOT(rightshiftff(2)) AND rightshiftff(1)); rightone(64) <= powerbus(64) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1)); grb: FOR k IN 1 TO 52 GENERATE righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3))) OR (rightone(k+4) AND NOT(rightshiftff(4)) AND rightshiftff(3)) OR (rightone(k+8) AND rightshiftff(4) AND NOT(rightshiftff(3))) OR (rightone(k+12) AND rightshiftff(4) AND rightshiftff(3)); END GENERATE; grc: FOR k IN 53 TO 56 GENERATE righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3))) OR (rightone(k+4) AND NOT(rightshiftff(4)) AND rightshiftff(3)) OR (rightone(k+8) AND rightshiftff(4) AND NOT(rightshiftff(3))); END GENERATE; grd: FOR k IN 57 TO 60 GENERATE righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3))) OR (rightone(k+4) AND NOT(rightshiftff(4)) AND rightshiftff(3)); END GENERATE; gre: FOR k IN 61 TO 64 GENERATE righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3))); END GENERATE; grf: FOR k IN 1 TO 16 GENERATE rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5))) OR (righttwo(k+16) AND NOT(rightshiftff(6)) AND rightshiftff(5)) OR (righttwo(k+32) AND rightshiftff(6) AND NOT(rightshiftff(5))) OR (righttwo(k+48) AND rightshiftff(6) AND rightshiftff(5)); END GENERATE; grg: FOR k IN 17 TO 32 GENERATE rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5))) OR (righttwo(k+16) AND NOT(rightshiftff(6)) AND rightshiftff(5)) OR (righttwo(k+32) AND rightshiftff(6) AND NOT(rightshiftff(5))); END GENERATE; grh: FOR k IN 33 TO 48 GENERATE rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5))) OR (righttwo(k+16) AND NOT(rightshiftff(6)) AND rightshiftff(5)); END GENERATE; gri: FOR k IN 49 TO 64 GENERATE rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5))); END GENERATE; -- is rightshiftff < 64, otherwise right barrel shifter output will be masked out smallrightshift <= NOT(rightshiftff(7) OR rightshiftff(8) OR rightshiftff(9) OR rightshiftff(10)); --****************************************** --*** TABLES - NO RESET, FORCE TO MEMORY *** --****************************************** -- level: 4 in, 6 out pla: PROCESS (sysclk) BEGIN IF (rising_edge(sysclk)) THEN IF (enable = '1') THEN addlutposff <= powerff(64 DOWNTO 55); addlutnegff <= powerff(64 DOWNTO 55); addluttwoff <= powerff(54 DOWNTO 45); addlutthrff <= powerff(44 DOWNTO 35); lutposmanff <= '1' & manpos & '0'; lutposexpff <= exppos; lutnegmanff <= '1' & manneg & '0'; lutnegexpff <= expneg; luttwomanff <= '1' & mantwo & '0'; luttwoexpff <= exptwo; lutthrmanff <= '1' & manthr & '0'; END IF; END IF; END PROCESS; declut: dp_explutpos PORT MAP (add=>addlutposff, manhi=>manpos(52 DOWNTO 29),manlo=>manpos(28 DOWNTO 1),exponent=>exppos); neglut: dp_explutneg PORT MAP (add=>addlutnegff, manhi=>manneg(52 DOWNTO 29),manlo=>manneg(28 DOWNTO 1),exponent=>expneg); frachilut: dp_explut10 PORT MAP (add=>addluttwoff, manhi=>mantwo(52 DOWNTO 29),manlo=>mantwo(28 DOWNTO 1),exponent=>exptwo); fraclolut: dp_explut20 PORT MAP (add=>addlutthrff, manhi=>manthr(52 DOWNTO 29),manlo=>manthr(28 DOWNTO 1)); -- level: 6 in, 7 out pma: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 54 LOOP lutonemanff(k) <= '0'; luttwomandelff(k) <= '0'; END LOOP; FOR k IN 1 TO 11 LOOP lutoneexpff(k) <= '0'; END LOOP; luttwoexpdelff <= '0'; FOR k IN 1 TO (ranlatency-4) LOOP expcalcff(k)(11 DOWNTO 1) <= "00000000000"; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN FOR k IN 1 TO 54 LOOP lutonemanff(k) <= (lutposmanff(k) AND NOT(signff(6))) OR (lutnegmanff(k) AND signff(6)); END LOOP; luttwomandelff <= luttwomanff; FOR k IN 1 TO 11 LOOP lutoneexpff(k) <= (lutposexpff(k) AND NOT(signff(6))) OR (lutnegexpff(k) AND signff(6)); END LOOP; luttwoexpdelff <= luttwoexpff; -- level: 8 in -- SII: 19+2*doublespeed out -- SII: 17+2*doublespeed out expcalcff(1)(11 DOWNTO 1) <= lutoneexpff + ("0000000000" & luttwoexpdelff); FOR k IN 2 TO (ranlatency-4) LOOP expcalcff(k)(11 DOWNTO 1) <= expcalcff(k-1)(11 DOWNTO 1); END LOOP; END IF; END IF; END PROCESS; --************************************** --*** PREDICT OVERFLOW AND UNDERFLOW *** --************************************** -- overflow or underflow if power > 709 -- overflow or underflow if power != 0 and explut = 0 powercheck <= powerff(65 DOWNTO 55) - "1011000110"; -- 710 -- level 4 in -- SII: level 19+2 out -- SIII/IV: level 17 out ppca: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO ranlatency LOOP rangeff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN rangeff(1) <= bigexpff(2) OR NOT(powercheck(11)); -- exp(x) -> 0 or Inf, when abs(x)>=710 or has overflowed the left shifter FOR k IN 2 TO (ranlatency-1) LOOP rangeff(k) <= rangeff(k-1); END LOOP; rangeff(ranlatency) <= rangeff(ranlatency-1) AND NOT(signff(ranlatency+3)); -- overflow only if input x is large and positive, exp(x) -> Inf END IF; END IF; END PROCESS; --*********************** --*** TAYLOR's SERIES *** --*********************** -- approximation : sequence = 1 + x + x^2/2 + x^3/6 + x^4/24 -- but x^3/6 term is about 62 bits down, so just try 1 + x + x^2/2 -- ('1' & zero) + (zero(21:1) & x(34:2)) + (zero(42:1) & square(72:61)) fraction <= powerff(34 DOWNTO 1) & "00"; -- level: 4 in, 7 out mulsqr: fp_fxmul GENERIC MAP (widthaa=>36,widthbb=>36,widthcc=>12, pipes=>3,device=>device,synthesize=>synthesize) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, dataaa=>fraction,databb=>fraction, result=>xsquareterm); delfrac: fp_del GENERIC MAP (width=>33,pipes=>3) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, aa=>powerff(34 DOWNTO 2), cc=>xterm); delthr: fp_del GENERIC MAP (width=>54,pipes=>2) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, aa=>lutthrmanff, cc=>lutthrmandel); -- level 8 pta: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 54 LOOP approxff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN approxff <= ('1' & zerovec(20 DOWNTO 1) & xterm) + (zerovec(42 DOWNTO 1) & xsquareterm); END IF; END IF; END PROCESS; --************************************* --*** MULTIPLY ALL EXP(X) SUBRANGES *** --************************************* -- SII level in 7, level out 12+speed -- SIII/IV level in 7, level out 11 mulone: fp_fxmul GENERIC MAP (widthaa=>54,widthbb=>54,widthcc=>72, pipes=>mullatency,accuracy=>doubleaccuracy, device=>device,synthesize=>synthesize) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, dataaa=>lutonemanff,databb=>luttwomandelff, result=>resultone); -- SII level in 12+speed, level out 13+speed -- SIII/IV level in 11, level out 12 delone: fp_del GENERIC MAP (width=>54,pipes=>1) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, aa=>resultone(72 DOWNTO 19), cc=>resultonedel); -- SII level in 8, level out 13+speed -- SIII/IV level in 8, level out 12 multwo: fp_fxmul GENERIC MAP (widthaa=>54,widthbb=>54,widthcc=>72, pipes=>mullatency,accuracy=>doubleaccuracy, device=>device,synthesize=>synthesize) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, dataaa=>lutthrmandel,databb=>approxff, result=>resulttwo); -- SII level in 13+speed, level out 18+2*speed -- SIII/IV level in 12, level out 16 multhr: fp_fxmul GENERIC MAP (widthaa=>54,widthbb=>54,widthcc=>72, pipes=>mullatency,accuracy=>doubleaccuracy, device=>device,synthesize=>synthesize) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, dataaa=>resultonedel,databb=>resulttwo(72 DOWNTO 19), result=>resultthr); --************************ --*** NORMALIZE OUTPUT *** --************************ pns: PROCESS (resultthr) BEGIN CASE resultthr(72 DOWNTO 69) IS WHEN "0000" => normshift <= "11"; WHEN "0001" => normshift <= "11"; WHEN "0010" => normshift <= "10"; WHEN "0011" => normshift <= "10"; WHEN "0100" => normshift <= "01"; WHEN "0101" => normshift <= "01"; WHEN "0110" => normshift <= "01"; WHEN "0111" => normshift <= "01"; WHEN "1000" => normshift <= "00"; WHEN "1001" => normshift <= "00"; WHEN "1010" => normshift <= "00"; WHEN "1011" => normshift <= "00"; WHEN "1100" => normshift <= "00"; WHEN "1101" => normshift <= "00"; WHEN "1110" => normshift <= "00"; WHEN "1111" => normshift <= "00"; WHEN others => normshift <= "00"; END CASE; END PROCESS; pna: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 54 LOOP manoutff(k) <= '0'; END LOOP; FOR k IN 1 TO 11 LOOP expoutff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN -- SII level in 18+2*doublespeed, level out 19+2*doublespeed -- SIII/IV level in 16, level out 17 FOR k IN 1 TO 54 LOOP manoutff(k) <= (resultthr(k+18) AND NOT(normshift(2)) AND NOT(normshift(1))) OR (resultthr(k+17) AND NOT(normshift(2)) AND normshift(1)) OR (resultthr(k+16) AND normshift(2) AND NOT(normshift(1))) OR (resultthr(k+15) AND normshift(2) AND normshift(1)); END LOOP; FOR k IN 1 TO 11 LOOP expoutff(k) <= expout(k) AND NOT(rangeff(ranlatency-1) AND signff(ranlatency+3)); END LOOP; -- IEEE exponent field is set to zero when x = large negative, exp(x) -> 0 END IF; END IF; END PROCESS; expout <= expcalcff(ranlatency-4)(11 DOWNTO 1) - ("000000000" & normshift) + "00000000011"; --*************** --*** OUTPUTS *** --*************** ccman <= manoutff; ccexp <= expoutff; rangeerror <= rangeff(ranlatency); END rtl;
mit
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
Sobel/ip/Sobel/fp_cospi_s5.vhd
10
259954
----------------------------------------------------------------------------- -- Altera DSP Builder Advanced Flow Tools Release Version 13.1 -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing device programming or simulation files), and -- any associated documentation or information are expressly subject to the -- terms and conditions of the Altera Program License Subscription Agreement, -- Altera MegaCore Function License Agreement, or other applicable license -- agreement, including, without limitation, that your use is for the sole -- purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. ----------------------------------------------------------------------------- -- VHDL created from fp_cospi_s5 -- VHDL created on Wed Feb 27 15:22:33 2013 library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; use std.TextIO.all; use work.dspba_library_package.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; LIBRARY lpm; USE lpm.lpm_components.all; entity fp_cospi_s5 is port ( a : in std_logic_vector(31 downto 0); en : in std_logic_vector(0 downto 0); q : out std_logic_vector(31 downto 0); clk : in std_logic; areset : in std_logic ); end; architecture normal of fp_cospi_s5 is attribute altera_attribute : string; attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410"; signal GND_q : std_logic_vector (0 downto 0); signal VCC_q : std_logic_vector (0 downto 0); signal cstAllOWE_uid8_fpCosPiTest_q : std_logic_vector (7 downto 0); signal cstAllZWF_uid9_fpCosPiTest_q : std_logic_vector (22 downto 0); signal cstBias_uid10_fpCosPiTest_q : std_logic_vector (7 downto 0); signal cstBiasM1_uid11_fpCosPiTest_q : std_logic_vector (7 downto 0); signal cstBiasPwF_uid12_fpCosPiTest_q : std_logic_vector (7 downto 0); signal biasMwShiftMO_uid13_fpCosPiTest_q : std_logic_vector (7 downto 0); signal cst01pWShift_uid14_fpCosPiTest_q : std_logic_vector (12 downto 0); signal cstZwSwF_uid15_fpCosPiTest_q : std_logic_vector (34 downto 0); signal cstAllZWE_uid21_fpCosPiTest_q : std_logic_vector (7 downto 0); signal rangeReducedFxPX_uid51_fpCosPiTest_s : std_logic_vector (0 downto 0); signal rangeReducedFxPX_uid51_fpCosPiTest_q : std_logic_vector (35 downto 0); signal fracRPostExc1_uid86_fpCosPiTest_s : std_logic_vector (0 downto 0); signal fracRPostExc1_uid86_fpCosPiTest_q : std_logic_vector (22 downto 0); signal oneFracRPostExc2_uid87_fpCosPiTest_q : std_logic_vector (22 downto 0); signal expRPostExc1_uid91_fpCosPiTest_s : std_logic_vector (0 downto 0); signal expRPostExc1_uid91_fpCosPiTest_q : std_logic_vector (7 downto 0); signal signRComp_uid99_fpCosPiTest_a : std_logic_vector(0 downto 0); signal signRComp_uid99_fpCosPiTest_b : std_logic_vector(0 downto 0); signal signRComp_uid99_fpCosPiTest_c : std_logic_vector(0 downto 0); signal signRComp_uid99_fpCosPiTest_q : std_logic_vector(0 downto 0); signal signR_uid101_fpCosPiTest_a : std_logic_vector(0 downto 0); signal signR_uid101_fpCosPiTest_b : std_logic_vector(0 downto 0); signal signR_uid101_fpCosPiTest_q : std_logic_vector(0 downto 0); signal leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest_q : std_logic_vector (15 downto 0); signal leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest_q : std_logic_vector (31 downto 0); signal leftShiftStage0Idx3_uid111_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest_q : std_logic_vector (3 downto 0); signal leftShiftStage1Idx3Pad12_uid120_fxpX_uid41_fpCosPiTest_q : std_logic_vector (11 downto 0); signal leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest_q : std_logic_vector (1 downto 0); signal leftShiftStage2Idx3Pad3_uid131_fxpX_uid41_fpCosPiTest_q : std_logic_vector (2 downto 0); signal mO_uid140_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (28 downto 0); signal memoryC2_uid209_sinPiZTableGenerator_q : std_logic_vector(13 downto 0); signal prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_a : std_logic_vector (23 downto 0); signal prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_b : std_logic_vector (24 downto 0); signal prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_s1 : std_logic_vector (48 downto 0); signal prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_pr : UNSIGNED (48 downto 0); signal prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_q : std_logic_vector (48 downto 0); signal prodXY_uid226_pT1_uid211_sinPiZPolyEval_a : std_logic_vector (13 downto 0); signal prodXY_uid226_pT1_uid211_sinPiZPolyEval_b : std_logic_vector (13 downto 0); signal prodXY_uid226_pT1_uid211_sinPiZPolyEval_s1 : std_logic_vector (27 downto 0); signal prodXY_uid226_pT1_uid211_sinPiZPolyEval_pr : SIGNED (28 downto 0); signal prodXY_uid226_pT1_uid211_sinPiZPolyEval_q : std_logic_vector (27 downto 0); signal prodXY_uid229_pT2_uid217_sinPiZPolyEval_a : std_logic_vector (15 downto 0); signal prodXY_uid229_pT2_uid217_sinPiZPolyEval_b : std_logic_vector (22 downto 0); signal prodXY_uid229_pT2_uid217_sinPiZPolyEval_s1 : std_logic_vector (38 downto 0); signal prodXY_uid229_pT2_uid217_sinPiZPolyEval_pr : SIGNED (39 downto 0); signal prodXY_uid229_pT2_uid217_sinPiZPolyEval_q : std_logic_vector (38 downto 0); signal reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1_q : std_logic_vector (1 downto 0); signal reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2_q : std_logic_vector (36 downto 0); signal reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3_q : std_logic_vector (36 downto 0); signal reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4_q : std_logic_vector (36 downto 0); signal reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5_q : std_logic_vector (36 downto 0); signal reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1_q : std_logic_vector (1 downto 0); signal reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q : std_logic_vector (35 downto 0); signal reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q : std_logic_vector (0 downto 0); signal reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2_q : std_logic_vector (0 downto 0); signal reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3_q : std_logic_vector (0 downto 0); signal reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4_q : std_logic_vector (0 downto 0); signal reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5_q : std_logic_vector (0 downto 0); signal reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0_q : std_logic_vector (36 downto 0); signal reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0_q : std_logic_vector (35 downto 0); signal reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q : std_logic_vector (31 downto 0); signal reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3_q : std_logic_vector (31 downto 0); signal reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q : std_logic_vector (15 downto 0); signal reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3_q : std_logic_vector (15 downto 0); signal reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q : std_logic_vector (3 downto 0); signal reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3_q : std_logic_vector (3 downto 0); signal reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3_q : std_logic_vector (0 downto 0); signal reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1_q : std_logic_vector (1 downto 0); signal reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2_q : std_logic_vector (34 downto 0); signal reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3_q : std_logic_vector (34 downto 0); signal reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4_q : std_logic_vector (34 downto 0); signal reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5_q : std_logic_vector (34 downto 0); signal reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1_q : std_logic_vector (1 downto 0); signal reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q : std_logic_vector (6 downto 0); signal reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q : std_logic_vector (13 downto 0); signal reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_q : std_logic_vector (15 downto 0); signal reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1_q : std_logic_vector (22 downto 0); signal reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_q : std_logic_vector (23 downto 0); signal reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1_q : std_logic_vector (24 downto 0); signal reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q : std_logic_vector (5 downto 0); signal reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1_q : std_logic_vector (7 downto 0); signal reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q : std_logic_vector (0 downto 0); signal reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q : std_logic_vector (1 downto 0); signal ld_FxpXFrac35_uid44_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_b_q : std_logic_vector (0 downto 0); signal ld_fxpXFrac_uid43_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_c_q : std_logic_vector (35 downto 0); signal ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q_to_bigCond_uid85_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_bigCond_uid85_fpCosPiTest_q_to_fracRPostExc1_uid86_fpCosPiTest_b_q : std_logic_vector (0 downto 0); signal ld_reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q_to_fracRPostExc_uid88_fpCosPiTest_b_q : std_logic_vector (0 downto 0); signal ld_xIsHalf_uid83_fpCosPiTest_q_to_expRPostExc1_uid91_fpCosPiTest_b_q : std_logic_vector (0 downto 0); signal ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b_q : std_logic_vector (0 downto 0); signal ld_rInfOrNaN_uid92_fpCosPiTest_q_to_join_uid94_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_xParityXorHalfParity_uid96_fpCosPiTest_q_to_signRComp_uid99_fpCosPiTest_c_q : std_logic_vector (0 downto 0); signal ld_fxpXFracHalf_uid46_fpCosPiTest_q_to_InvFxpXFracHalf_uid100_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_signR_uid101_fpCosPiTest_q_to_R_uid102_fpCosPiTest_c_q : std_logic_vector (0 downto 0); signal ld_vCount_uid147_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_e_q : std_logic_vector (0 downto 0); signal ld_vCount_uid139_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_f_q : std_logic_vector (0 downto 0); signal ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_q : std_logic_vector (18 downto 0); signal ld_vStage_uid141_lzcZ_uid56_fpCosPiTest_b_to_leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_b_q : std_logic_vector (2 downto 0); signal ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_q : std_logic_vector (34 downto 0); signal ld_reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_a_q : std_logic_vector (13 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_reset0 : std_logic; signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ia : std_logic_vector (5 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_iq : std_logic_vector (5 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_q : std_logic_vector (5 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_q : std_logic_vector(2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i : unsigned(2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_eq : std_logic; signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q : std_logic_vector (2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_mem_top_q : std_logic_vector (3 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve : boolean; attribute preserve of ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q : signal is true; signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_reset0 : std_logic; signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ia : std_logic_vector (1 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_aa : std_logic_vector (3 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ab : std_logic_vector (3 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_iq : std_logic_vector (1 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_q : std_logic_vector (1 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_q : std_logic_vector(3 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i : unsigned(3 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_eq : std_logic; signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q : std_logic_vector (3 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_mem_top_q : std_logic_vector (4 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q : signal is true; signal ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_inputreg_q : std_logic_vector (18 downto 0); signal ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_inputreg_q : std_logic_vector (34 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_reset0 : std_logic; signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ia : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_iq : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_q : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q : signal is true; signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_reset0 : std_logic; signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ia : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_aa : std_logic_vector (1 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ab : std_logic_vector (1 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_iq : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_q : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_q : std_logic_vector(1 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i : unsigned(1 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_eq : std_logic; signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q : std_logic_vector (1 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_mem_top_q : std_logic_vector (2 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q : signal is true; signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_inputreg_q : std_logic_vector (15 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_reset0 : std_logic; signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ia : std_logic_vector (15 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_aa : std_logic_vector (1 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ab : std_logic_vector (1 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_iq : std_logic_vector (15 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_q : std_logic_vector (15 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q : signal is true; signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_inputreg_q : std_logic_vector (23 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_reset0 : std_logic; signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ia : std_logic_vector (23 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_aa : std_logic_vector (1 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ab : std_logic_vector (1 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_iq : std_logic_vector (23 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_q : std_logic_vector (23 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q : signal is true; signal pad_o_uid16_uid47_fpCosPiTest_q : std_logic_vector (36 downto 0); signal pad_half_uid17_uid52_fpCosPiTest_q : std_logic_vector (35 downto 0); signal bigCond_uid85_fpCosPiTest_a : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_b : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_c : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_d : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_f : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_g : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_q : std_logic_vector(0 downto 0); signal InvCosXIsOne_uid77_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvCosXIsOne_uid77_fpCosPiTest_q : std_logic_vector(0 downto 0); signal InvXEvenInt_uid81_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvXEvenInt_uid81_fpCosPiTest_q : std_logic_vector(0 downto 0); signal InvFxpXFracHalf_uid100_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvFxpXFracHalf_uid100_fpCosPiTest_q : std_logic_vector(0 downto 0); signal cstHalfwSwFP1_uid18_fpCosPiTest_q : std_logic_vector (35 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q : std_logic_vector (2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_a : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q : std_logic_vector (3 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q : std_logic_vector (1 downto 0); signal expX_uid6_fpCosPiTest_in : std_logic_vector (30 downto 0); signal expX_uid6_fpCosPiTest_b : std_logic_vector (7 downto 0); signal fracX_uid7_fpCosPiTest_in : std_logic_vector (22 downto 0); signal fracX_uid7_fpCosPiTest_b : std_logic_vector (22 downto 0); signal expXIsZero_uid23_fpCosPiTest_a : std_logic_vector(7 downto 0); signal expXIsZero_uid23_fpCosPiTest_b : std_logic_vector(7 downto 0); signal expXIsZero_uid23_fpCosPiTest_q : std_logic_vector(0 downto 0); signal expXIsMax_uid25_fpCosPiTest_a : std_logic_vector(7 downto 0); signal expXIsMax_uid25_fpCosPiTest_b : std_logic_vector(7 downto 0); signal expXIsMax_uid25_fpCosPiTest_q : std_logic_vector(0 downto 0); signal fracXIsZero_uid27_fpCosPiTest_a : std_logic_vector(22 downto 0); signal fracXIsZero_uid27_fpCosPiTest_b : std_logic_vector(22 downto 0); signal fracXIsZero_uid27_fpCosPiTest_q : std_logic_vector(0 downto 0); signal exc_I_uid28_fpCosPiTest_a : std_logic_vector(0 downto 0); signal exc_I_uid28_fpCosPiTest_b : std_logic_vector(0 downto 0); signal exc_I_uid28_fpCosPiTest_q : std_logic_vector(0 downto 0); signal xEvenInt_uid35_fpCosPiTest_a : std_logic_vector(10 downto 0); signal xEvenInt_uid35_fpCosPiTest_b : std_logic_vector(10 downto 0); signal xEvenInt_uid35_fpCosPiTest_o : std_logic_vector (10 downto 0); signal xEvenInt_uid35_fpCosPiTest_cin : std_logic_vector (0 downto 0); signal xEvenInt_uid35_fpCosPiTest_c : std_logic_vector (0 downto 0); signal cosXIsOne_uid36_fpCosPiTest_a : std_logic_vector(10 downto 0); signal cosXIsOne_uid36_fpCosPiTest_b : std_logic_vector(10 downto 0); signal cosXIsOne_uid36_fpCosPiTest_o : std_logic_vector (10 downto 0); signal cosXIsOne_uid36_fpCosPiTest_cin : std_logic_vector (0 downto 0); signal cosXIsOne_uid36_fpCosPiTest_c : std_logic_vector (0 downto 0); signal shiftValFxPX_uid38_fpCosPiTest_a : std_logic_vector(8 downto 0); signal shiftValFxPX_uid38_fpCosPiTest_b : std_logic_vector(8 downto 0); signal shiftValFxPX_uid38_fpCosPiTest_o : std_logic_vector (8 downto 0); signal shiftValFxPX_uid38_fpCosPiTest_q : std_logic_vector (8 downto 0); signal fxpXFracZero_uid45_fpCosPiTest_a : std_logic_vector(35 downto 0); signal fxpXFracZero_uid45_fpCosPiTest_b : std_logic_vector(35 downto 0); signal fxpXFracZero_uid45_fpCosPiTest_q : std_logic_vector(0 downto 0); signal fxpXFracHalf_uid46_fpCosPiTest_a : std_logic_vector(35 downto 0); signal fxpXFracHalf_uid46_fpCosPiTest_b : std_logic_vector(35 downto 0); signal fxpXFracHalf_uid46_fpCosPiTest_q : std_logic_vector(0 downto 0); signal oMFxpXFrac_uid47_fpCosPiTest_a : std_logic_vector(37 downto 0); signal oMFxpXFrac_uid47_fpCosPiTest_b : std_logic_vector(37 downto 0); signal oMFxpXFrac_uid47_fpCosPiTest_o : std_logic_vector (37 downto 0); signal oMFxpXFrac_uid47_fpCosPiTest_q : std_logic_vector (37 downto 0); signal z_halfMRRFxPXE_uid52_fpCosPiTest_a : std_logic_vector(36 downto 0); signal z_halfMRRFxPXE_uid52_fpCosPiTest_b : std_logic_vector(36 downto 0); signal z_halfMRRFxPXE_uid52_fpCosPiTest_o : std_logic_vector (36 downto 0); signal z_halfMRRFxPXE_uid52_fpCosPiTest_q : std_logic_vector (36 downto 0); signal expHardCase_uid59_fpCosPiTest_a : std_logic_vector(8 downto 0); signal expHardCase_uid59_fpCosPiTest_b : std_logic_vector(8 downto 0); signal expHardCase_uid59_fpCosPiTest_o : std_logic_vector (8 downto 0); signal expHardCase_uid59_fpCosPiTest_q : std_logic_vector (8 downto 0); signal fracZCosNotOne_uid78_fpCosPiTest_a : std_logic_vector(0 downto 0); signal fracZCosNotOne_uid78_fpCosPiTest_b : std_logic_vector(0 downto 0); signal fracZCosNotOne_uid78_fpCosPiTest_q : std_logic_vector(0 downto 0); signal evenIntCosNotOneFZ_uid79_fpCosPiTest_a : std_logic_vector(0 downto 0); signal evenIntCosNotOneFZ_uid79_fpCosPiTest_b : std_logic_vector(0 downto 0); signal evenIntCosNotOneFZ_uid79_fpCosPiTest_q : std_logic_vector(0 downto 0); signal xIsInt_uid80_fpCosPiTest_a : std_logic_vector(0 downto 0); signal xIsInt_uid80_fpCosPiTest_b : std_logic_vector(0 downto 0); signal xIsInt_uid80_fpCosPiTest_q : std_logic_vector(0 downto 0); signal xIsHalf_uid83_fpCosPiTest_a : std_logic_vector(0 downto 0); signal xIsHalf_uid83_fpCosPiTest_b : std_logic_vector(0 downto 0); signal xIsHalf_uid83_fpCosPiTest_c : std_logic_vector(0 downto 0); signal xIsHalf_uid83_fpCosPiTest_d : std_logic_vector(0 downto 0); signal xIsHalf_uid83_fpCosPiTest_q : std_logic_vector(0 downto 0); signal fracRPostExc_uid88_fpCosPiTest_s : std_logic_vector (0 downto 0); signal fracRPostExc_uid88_fpCosPiTest_q : std_logic_vector (22 downto 0); signal xIntOrXZOrCosOne_uid93_fpCosPiTest_a : std_logic_vector(0 downto 0); signal xIntOrXZOrCosOne_uid93_fpCosPiTest_b : std_logic_vector(0 downto 0); signal xIntOrXZOrCosOne_uid93_fpCosPiTest_c : std_logic_vector(0 downto 0); signal xIntOrXZOrCosOne_uid93_fpCosPiTest_q : std_logic_vector(0 downto 0); signal expRPostExc_uid95_fpCosPiTest_s : std_logic_vector (1 downto 0); signal expRPostExc_uid95_fpCosPiTest_q : std_logic_vector (7 downto 0); signal leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal vCount_uid139_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(31 downto 0); signal vCount_uid139_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(31 downto 0); signal vCount_uid139_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal vStagei_uid144_lzcZ_uid56_fpCosPiTest_s : std_logic_vector (0 downto 0); signal vStagei_uid144_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (31 downto 0); signal vCount_uid147_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(15 downto 0); signal vCount_uid147_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(15 downto 0); signal vCount_uid147_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal vStagei_uid150_lzcZ_uid56_fpCosPiTest_s : std_logic_vector (0 downto 0); signal vStagei_uid150_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (15 downto 0); signal vCount_uid159_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(3 downto 0); signal vCount_uid159_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(3 downto 0); signal vCount_uid159_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal vStagei_uid162_lzcZ_uid56_fpCosPiTest_s : std_logic_vector (0 downto 0); signal vStagei_uid162_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (3 downto 0); signal leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal memoryC0_uid207_sinPiZTableGenerator_q : std_logic_vector(28 downto 0); signal memoryC1_uid208_sinPiZTableGenerator_q : std_logic_vector(20 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_q : std_logic_vector(0 downto 0); signal leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_in : std_logic_vector (48 downto 0); signal prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_b : std_logic_vector (25 downto 0); signal prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_in : std_logic_vector (27 downto 0); signal prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_b : std_logic_vector (14 downto 0); signal prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_in : std_logic_vector (38 downto 0); signal prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_b : std_logic_vector (23 downto 0); signal join_uid94_fpCosPiTest_q : std_logic_vector (1 downto 0); signal R_uid102_fpCosPiTest_q : std_logic_vector (31 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_a : std_logic_vector(3 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_b : std_logic_vector(3 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_q : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_a : std_logic_vector(4 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_b : std_logic_vector(4 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_q : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_a : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_b : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_q : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_a : std_logic_vector(2 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_b : std_logic_vector(2 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_q : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_a : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_b : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_q : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_a : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_b : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_q : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_a : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_b : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_q : std_logic_vector(0 downto 0); signal oFracX_uid37_uid37_fpCosPiTest_q : std_logic_vector (23 downto 0); signal InvExpXIsZero_uid33_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvExpXIsZero_uid33_fpCosPiTest_q : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid29_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid29_fpCosPiTest_q : std_logic_vector(0 downto 0); signal InvExc_I_uid32_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvExc_I_uid32_fpCosPiTest_q : std_logic_vector(0 downto 0); signal fxpShifterBits_uid40_fpCosPiTest_in : std_logic_vector (5 downto 0); signal fxpShifterBits_uid40_fpCosPiTest_b : std_logic_vector (5 downto 0); signal oMFxpXFrac_uid49_fpCosPiTest_in : std_logic_vector (35 downto 0); signal oMFxpXFrac_uid49_fpCosPiTest_b : std_logic_vector (35 downto 0); signal z_uid54_fpCosPiTest_in : std_logic_vector (34 downto 0); signal z_uid54_fpCosPiTest_b : std_logic_vector (34 downto 0); signal expP_uid60_fpCosPiTest_in : std_logic_vector (7 downto 0); signal expP_uid60_fpCosPiTest_b : std_logic_vector (7 downto 0); signal LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_in : std_logic_vector (35 downto 0); signal LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_b : std_logic_vector (35 downto 0); signal LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_in : std_logic_vector (34 downto 0); signal LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_b : std_logic_vector (34 downto 0); signal LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_in : std_logic_vector (33 downto 0); signal LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_b : std_logic_vector (33 downto 0); signal rVStage_uid146_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (31 downto 0); signal rVStage_uid146_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (15 downto 0); signal vStage_uid148_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (15 downto 0); signal vStage_uid148_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (15 downto 0); signal rVStage_uid152_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (15 downto 0); signal rVStage_uid152_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (7 downto 0); signal vStage_uid154_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (7 downto 0); signal vStage_uid154_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (7 downto 0); signal rVStage_uid164_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (3 downto 0); signal rVStage_uid164_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (1 downto 0); signal vStage_uid166_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (1 downto 0); signal vStage_uid166_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (1 downto 0); signal LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (33 downto 0); signal LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (33 downto 0); signal LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (32 downto 0); signal LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (32 downto 0); signal LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (31 downto 0); signal LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (31 downto 0); signal normBit_uid67_fpCosPiTest_in : std_logic_vector (25 downto 0); signal normBit_uid67_fpCosPiTest_b : std_logic_vector (0 downto 0); signal highRes_uid68_fpCosPiTest_in : std_logic_vector (24 downto 0); signal highRes_uid68_fpCosPiTest_b : std_logic_vector (23 downto 0); signal lowRes_uid69_fpCosPiTest_in : std_logic_vector (23 downto 0); signal lowRes_uid69_fpCosPiTest_b : std_logic_vector (23 downto 0); signal lowRangeB_uid212_sinPiZPolyEval_in : std_logic_vector (0 downto 0); signal lowRangeB_uid212_sinPiZPolyEval_b : std_logic_vector (0 downto 0); signal highBBits_uid213_sinPiZPolyEval_in : std_logic_vector (14 downto 0); signal highBBits_uid213_sinPiZPolyEval_b : std_logic_vector (13 downto 0); signal lowRangeB_uid218_sinPiZPolyEval_in : std_logic_vector (1 downto 0); signal lowRangeB_uid218_sinPiZPolyEval_b : std_logic_vector (1 downto 0); signal highBBits_uid219_sinPiZPolyEval_in : std_logic_vector (23 downto 0); signal highBBits_uid219_sinPiZPolyEval_b : std_logic_vector (21 downto 0); signal oFracXExt_uid39_fpCosPiTest_q : std_logic_vector (36 downto 0); signal exc_N_uid30_fpCosPiTest_a : std_logic_vector(0 downto 0); signal exc_N_uid30_fpCosPiTest_b : std_logic_vector(0 downto 0); signal exc_N_uid30_fpCosPiTest_q : std_logic_vector(0 downto 0); signal leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_in : std_logic_vector (5 downto 0); signal leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_in : std_logic_vector (3 downto 0); signal leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_in : std_logic_vector (1 downto 0); signal leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_b : std_logic_vector (1 downto 0); signal zAddr_uid62_fpCosPiTest_in : std_logic_vector (34 downto 0); signal zAddr_uid62_fpCosPiTest_b : std_logic_vector (6 downto 0); signal zPPolyEval_uid63_fpCosPiTest_in : std_logic_vector (27 downto 0); signal zPPolyEval_uid63_fpCosPiTest_b : std_logic_vector (15 downto 0); signal rVStage_uid138_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (34 downto 0); signal rVStage_uid138_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (31 downto 0); signal vStage_uid141_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (2 downto 0); signal vStage_uid141_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (2 downto 0); signal X18dto0_uid176_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (18 downto 0); signal X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (18 downto 0); signal leftShiftStage2Idx1_uid127_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage2Idx2_uid130_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage2Idx3_uid133_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal vCount_uid153_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(7 downto 0); signal vCount_uid153_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(7 downto 0); signal vCount_uid153_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal vStagei_uid156_lzcZ_uid56_fpCosPiTest_s : std_logic_vector (0 downto 0); signal vStagei_uid156_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (7 downto 0); signal vCount_uid165_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(1 downto 0); signal vCount_uid165_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(1 downto 0); signal vCount_uid165_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal vStagei_uid168_lzcZ_uid56_fpCosPiTest_s : std_logic_vector (0 downto 0); signal vStagei_uid168_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (1 downto 0); signal leftShiftStage2Idx1_uid197_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal leftShiftStage2Idx2_uid200_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal leftShiftStage2Idx3_uid203_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal fracRCompPreRnd_uid70_fpCosPiTest_s : std_logic_vector (0 downto 0); signal fracRCompPreRnd_uid70_fpCosPiTest_q : std_logic_vector (23 downto 0); signal rndExpUpdate_uid72_uid73_fpCosPiTest_q : std_logic_vector (24 downto 0); signal sumAHighB_uid214_sinPiZPolyEval_a : std_logic_vector(21 downto 0); signal sumAHighB_uid214_sinPiZPolyEval_b : std_logic_vector(21 downto 0); signal sumAHighB_uid214_sinPiZPolyEval_o : std_logic_vector (21 downto 0); signal sumAHighB_uid214_sinPiZPolyEval_q : std_logic_vector (21 downto 0); signal sumAHighB_uid220_sinPiZPolyEval_a : std_logic_vector(29 downto 0); signal sumAHighB_uid220_sinPiZPolyEval_b : std_logic_vector(29 downto 0); signal sumAHighB_uid220_sinPiZPolyEval_o : std_logic_vector (29 downto 0); signal sumAHighB_uid220_sinPiZPolyEval_q : std_logic_vector (29 downto 0); signal X20dto0_uid106_fxpX_uid41_fpCosPiTest_in : std_logic_vector (20 downto 0); signal X20dto0_uid106_fxpX_uid41_fpCosPiTest_b : std_logic_vector (20 downto 0); signal X4dto0_uid109_fxpX_uid41_fpCosPiTest_in : std_logic_vector (4 downto 0); signal X4dto0_uid109_fxpX_uid41_fpCosPiTest_b : std_logic_vector (4 downto 0); signal InvExc_N_uid31_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvExc_N_uid31_fpCosPiTest_q : std_logic_vector(0 downto 0); signal excRNaN_uid84_fpCosPiTest_a : std_logic_vector(0 downto 0); signal excRNaN_uid84_fpCosPiTest_b : std_logic_vector(0 downto 0); signal excRNaN_uid84_fpCosPiTest_q : std_logic_vector(0 downto 0); signal yT1_uid210_sinPiZPolyEval_in : std_logic_vector (15 downto 0); signal yT1_uid210_sinPiZPolyEval_b : std_logic_vector (13 downto 0); signal cStage_uid142_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (31 downto 0); signal leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal rVStage_uid158_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (7 downto 0); signal rVStage_uid158_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (3 downto 0); signal vStage_uid160_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (3 downto 0); signal vStage_uid160_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (3 downto 0); signal rVStage_uid170_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (1 downto 0); signal rVStage_uid170_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (0 downto 0); signal leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal expFracPreRnd_uid71_uid71_fpCosPiTest_q : std_logic_vector (31 downto 0); signal expFracComp_uid74_fpCosPiTest_a : std_logic_vector(32 downto 0); signal expFracComp_uid74_fpCosPiTest_b : std_logic_vector(32 downto 0); signal expFracComp_uid74_fpCosPiTest_o : std_logic_vector (32 downto 0); signal expFracComp_uid74_fpCosPiTest_q : std_logic_vector (32 downto 0); signal s1_uid212_uid215_sinPiZPolyEval_q : std_logic_vector (22 downto 0); signal s2_uid218_uid221_sinPiZPolyEval_q : std_logic_vector (31 downto 0); signal leftShiftStage0Idx1_uid107_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage0Idx2_uid110_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal exc_R_uid34_fpCosPiTest_a : std_logic_vector(0 downto 0); signal exc_R_uid34_fpCosPiTest_b : std_logic_vector(0 downto 0); signal exc_R_uid34_fpCosPiTest_c : std_logic_vector(0 downto 0); signal exc_R_uid34_fpCosPiTest_q : std_logic_vector(0 downto 0); signal rInfOrNaN_uid92_fpCosPiTest_a : std_logic_vector(0 downto 0); signal rInfOrNaN_uid92_fpCosPiTest_b : std_logic_vector(0 downto 0); signal rInfOrNaN_uid92_fpCosPiTest_q : std_logic_vector(0 downto 0); signal FxpX36_uid42_fpCosPiTest_in : std_logic_vector (36 downto 0); signal FxpX36_uid42_fpCosPiTest_b : std_logic_vector (0 downto 0); signal fxpXFrac_uid43_fpCosPiTest_in : std_logic_vector (35 downto 0); signal fxpXFrac_uid43_fpCosPiTest_b : std_logic_vector (35 downto 0); signal vCount_uid171_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(0 downto 0); signal vCount_uid171_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(0 downto 0); signal vCount_uid171_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal alignedZLow_uid58_fpCosPiTest_in : std_logic_vector (34 downto 0); signal alignedZLow_uid58_fpCosPiTest_b : std_logic_vector (23 downto 0); signal fracRComp_uid75_fpCosPiTest_in : std_logic_vector (23 downto 0); signal fracRComp_uid75_fpCosPiTest_b : std_logic_vector (22 downto 0); signal expRComp_uid76_fpCosPiTest_in : std_logic_vector (31 downto 0); signal expRComp_uid76_fpCosPiTest_b : std_logic_vector (7 downto 0); signal fxpSinRes_uid65_fpCosPiTest_in : std_logic_vector (29 downto 0); signal fxpSinRes_uid65_fpCosPiTest_b : std_logic_vector (24 downto 0); signal leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal FxpXFrac35_uid44_fpCosPiTest_in : std_logic_vector (35 downto 0); signal FxpXFrac35_uid44_fpCosPiTest_b : std_logic_vector (0 downto 0); signal r_uid172_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (5 downto 0); signal LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_in : std_logic_vector (32 downto 0); signal LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_b : std_logic_vector (32 downto 0); signal LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_in : std_logic_vector (28 downto 0); signal LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_b : std_logic_vector (28 downto 0); signal LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_in : std_logic_vector (24 downto 0); signal LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_b : std_logic_vector (24 downto 0); signal xParityXorHalfParity_uid96_fpCosPiTest_a : std_logic_vector(0 downto 0); signal xParityXorHalfParity_uid96_fpCosPiTest_b : std_logic_vector(0 downto 0); signal xParityXorHalfParity_uid96_fpCosPiTest_q : std_logic_vector(0 downto 0); signal leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (5 downto 0); signal leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (3 downto 0); signal leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (1 downto 0); signal leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (30 downto 0); signal LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (30 downto 0); signal LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (26 downto 0); signal LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (26 downto 0); signal LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (22 downto 0); signal LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (22 downto 0); signal leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); begin --xIn(GPIN,3)@0 --LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest(BITSELECT,131)@1 LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_in <= leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q(33 downto 0); LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_in(33 downto 0); --leftShiftStage2Idx3Pad3_uid131_fxpX_uid41_fpCosPiTest(CONSTANT,130) leftShiftStage2Idx3Pad3_uid131_fxpX_uid41_fpCosPiTest_q <= "000"; --leftShiftStage2Idx3_uid133_fxpX_uid41_fpCosPiTest(BITJOIN,132)@1 leftShiftStage2Idx3_uid133_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_b & leftShiftStage2Idx3Pad3_uid131_fxpX_uid41_fpCosPiTest_q; --LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest(BITSELECT,128)@1 LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_in <= leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q(34 downto 0); LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_in(34 downto 0); --leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest(CONSTANT,127) leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest_q <= "00"; --leftShiftStage2Idx2_uid130_fxpX_uid41_fpCosPiTest(BITJOIN,129)@1 leftShiftStage2Idx2_uid130_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_b & leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest_q; --LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest(BITSELECT,125)@1 LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_in <= leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q(35 downto 0); LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_in(35 downto 0); --GND(CONSTANT,0) GND_q <= "0"; --leftShiftStage2Idx1_uid127_fxpX_uid41_fpCosPiTest(BITJOIN,126)@1 leftShiftStage2Idx1_uid127_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_b & GND_q; --leftShiftStage0Idx3_uid111_fxpX_uid41_fpCosPiTest(CONSTANT,110) leftShiftStage0Idx3_uid111_fxpX_uid41_fpCosPiTest_q <= "0000000000000000000000000000000000000"; --X4dto0_uid109_fxpX_uid41_fpCosPiTest(BITSELECT,108)@0 X4dto0_uid109_fxpX_uid41_fpCosPiTest_in <= oFracXExt_uid39_fpCosPiTest_q(4 downto 0); X4dto0_uid109_fxpX_uid41_fpCosPiTest_b <= X4dto0_uid109_fxpX_uid41_fpCosPiTest_in(4 downto 0); --leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest(CONSTANT,107) leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest_q <= "00000000000000000000000000000000"; --leftShiftStage0Idx2_uid110_fxpX_uid41_fpCosPiTest(BITJOIN,109)@0 leftShiftStage0Idx2_uid110_fxpX_uid41_fpCosPiTest_q <= X4dto0_uid109_fxpX_uid41_fpCosPiTest_b & leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest_q; --X20dto0_uid106_fxpX_uid41_fpCosPiTest(BITSELECT,105)@0 X20dto0_uid106_fxpX_uid41_fpCosPiTest_in <= oFracXExt_uid39_fpCosPiTest_q(20 downto 0); X20dto0_uid106_fxpX_uid41_fpCosPiTest_b <= X20dto0_uid106_fxpX_uid41_fpCosPiTest_in(20 downto 0); --leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest(CONSTANT,104) leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest_q <= "0000000000000000"; --leftShiftStage0Idx1_uid107_fxpX_uid41_fpCosPiTest(BITJOIN,106)@0 leftShiftStage0Idx1_uid107_fxpX_uid41_fpCosPiTest_q <= X20dto0_uid106_fxpX_uid41_fpCosPiTest_b & leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest_q; --cst01pWShift_uid14_fpCosPiTest(CONSTANT,13) cst01pWShift_uid14_fpCosPiTest_q <= "0000000000000"; --VCC(CONSTANT,1) VCC_q <= "1"; --fracX_uid7_fpCosPiTest(BITSELECT,6)@0 fracX_uid7_fpCosPiTest_in <= a(22 downto 0); fracX_uid7_fpCosPiTest_b <= fracX_uid7_fpCosPiTest_in(22 downto 0); --oFracX_uid37_uid37_fpCosPiTest(BITJOIN,36)@0 oFracX_uid37_uid37_fpCosPiTest_q <= VCC_q & fracX_uid7_fpCosPiTest_b; --oFracXExt_uid39_fpCosPiTest(BITJOIN,38)@0 oFracXExt_uid39_fpCosPiTest_q <= cst01pWShift_uid14_fpCosPiTest_q & oFracX_uid37_uid37_fpCosPiTest_q; --biasMwShiftMO_uid13_fpCosPiTest(CONSTANT,12) biasMwShiftMO_uid13_fpCosPiTest_q <= "01110010"; --expX_uid6_fpCosPiTest(BITSELECT,5)@0 expX_uid6_fpCosPiTest_in <= a(30 downto 0); expX_uid6_fpCosPiTest_b <= expX_uid6_fpCosPiTest_in(30 downto 23); --shiftValFxPX_uid38_fpCosPiTest(SUB,37)@0 shiftValFxPX_uid38_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpCosPiTest_b); shiftValFxPX_uid38_fpCosPiTest_b <= STD_LOGIC_VECTOR("0" & biasMwShiftMO_uid13_fpCosPiTest_q); shiftValFxPX_uid38_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(shiftValFxPX_uid38_fpCosPiTest_a) - UNSIGNED(shiftValFxPX_uid38_fpCosPiTest_b)); shiftValFxPX_uid38_fpCosPiTest_q <= shiftValFxPX_uid38_fpCosPiTest_o(8 downto 0); --fxpShifterBits_uid40_fpCosPiTest(BITSELECT,39)@0 fxpShifterBits_uid40_fpCosPiTest_in <= shiftValFxPX_uid38_fpCosPiTest_q(5 downto 0); fxpShifterBits_uid40_fpCosPiTest_b <= fxpShifterBits_uid40_fpCosPiTest_in(5 downto 0); --leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest(BITSELECT,111)@0 leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_in <= fxpShifterBits_uid40_fpCosPiTest_b; leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_b <= leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_in(5 downto 4); --leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest(MUX,112)@0 leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_s <= leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_b; leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest: PROCESS (leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_s, en, oFracXExt_uid39_fpCosPiTest_q, leftShiftStage0Idx1_uid107_fxpX_uid41_fpCosPiTest_q, leftShiftStage0Idx2_uid110_fxpX_uid41_fpCosPiTest_q, leftShiftStage0Idx3_uid111_fxpX_uid41_fpCosPiTest_q) BEGIN CASE leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_s IS WHEN "00" => leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q <= oFracXExt_uid39_fpCosPiTest_q; WHEN "01" => leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q <= leftShiftStage0Idx1_uid107_fxpX_uid41_fpCosPiTest_q; WHEN "10" => leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q <= leftShiftStage0Idx2_uid110_fxpX_uid41_fpCosPiTest_q; WHEN "11" => leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q <= leftShiftStage0Idx3_uid111_fxpX_uid41_fpCosPiTest_q; WHEN OTHERS => leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest(BITSELECT,120)@0 LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_in <= leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q(24 downto 0); LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_in(24 downto 0); --leftShiftStage1Idx3Pad12_uid120_fxpX_uid41_fpCosPiTest(CONSTANT,119) leftShiftStage1Idx3Pad12_uid120_fxpX_uid41_fpCosPiTest_q <= "000000000000"; --leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest(BITJOIN,121)@0 leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_b & leftShiftStage1Idx3Pad12_uid120_fxpX_uid41_fpCosPiTest_q; --reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5(REG,235)@0 reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5_q <= leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_q; END IF; END IF; END PROCESS; --LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest(BITSELECT,117)@0 LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_in <= leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q(28 downto 0); LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_in(28 downto 0); --cstAllZWE_uid21_fpCosPiTest(CONSTANT,20) cstAllZWE_uid21_fpCosPiTest_q <= "00000000"; --leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest(BITJOIN,118)@0 leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_b & cstAllZWE_uid21_fpCosPiTest_q; --reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4(REG,234)@0 reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4_q <= leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_q; END IF; END IF; END PROCESS; --LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest(BITSELECT,114)@0 LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_in <= leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q(32 downto 0); LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_in(32 downto 0); --leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest(CONSTANT,113) leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest_q <= "0000"; --leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest(BITJOIN,115)@0 leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_b & leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest_q; --reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3(REG,233)@0 reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3_q <= leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_q; END IF; END IF; END PROCESS; --reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2(REG,232)@0 reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2_q <= leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q; END IF; END IF; END PROCESS; --leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest(BITSELECT,122)@0 leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_in <= fxpShifterBits_uid40_fpCosPiTest_b(3 downto 0); leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_b <= leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_in(3 downto 2); --reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1(REG,231)@0 reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1_q <= leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_b; END IF; END IF; END PROCESS; --leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest(MUX,123)@1 leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_s <= reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1_q; leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest: PROCESS (leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_s, en, reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2_q, reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3_q, reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4_q, reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5_q) BEGIN CASE leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_s IS WHEN "00" => leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q <= reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2_q; WHEN "01" => leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q <= reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3_q; WHEN "10" => leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q <= reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4_q; WHEN "11" => leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q <= reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5_q; WHEN OTHERS => leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest(BITSELECT,133)@0 leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_in <= fxpShifterBits_uid40_fpCosPiTest_b(1 downto 0); leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_b <= leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_in(1 downto 0); --reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1(REG,236)@0 reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1_q <= leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_b; END IF; END IF; END PROCESS; --leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest(MUX,134)@1 leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_s <= reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1_q; leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest: PROCESS (leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_s, en, leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q, leftShiftStage2Idx1_uid127_fxpX_uid41_fpCosPiTest_q, leftShiftStage2Idx2_uid130_fxpX_uid41_fpCosPiTest_q, leftShiftStage2Idx3_uid133_fxpX_uid41_fpCosPiTest_q) BEGIN CASE leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_s IS WHEN "00" => leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q <= leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q; WHEN "01" => leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q <= leftShiftStage2Idx1_uid127_fxpX_uid41_fpCosPiTest_q; WHEN "10" => leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q <= leftShiftStage2Idx2_uid130_fxpX_uid41_fpCosPiTest_q; WHEN "11" => leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q <= leftShiftStage2Idx3_uid133_fxpX_uid41_fpCosPiTest_q; WHEN OTHERS => leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --fxpXFrac_uid43_fpCosPiTest(BITSELECT,42)@1 fxpXFrac_uid43_fpCosPiTest_in <= leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q(35 downto 0); fxpXFrac_uid43_fpCosPiTest_b <= fxpXFrac_uid43_fpCosPiTest_in(35 downto 0); --FxpXFrac35_uid44_fpCosPiTest(BITSELECT,43)@1 FxpXFrac35_uid44_fpCosPiTest_in <= fxpXFrac_uid43_fpCosPiTest_b; FxpXFrac35_uid44_fpCosPiTest_b <= FxpXFrac35_uid44_fpCosPiTest_in(35 downto 35); --FxpX36_uid42_fpCosPiTest(BITSELECT,41)@1 FxpX36_uid42_fpCosPiTest_in <= leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q; FxpX36_uid42_fpCosPiTest_b <= FxpX36_uid42_fpCosPiTest_in(36 downto 36); --xParityXorHalfParity_uid96_fpCosPiTest(LOGICAL,95)@1 xParityXorHalfParity_uid96_fpCosPiTest_a <= FxpX36_uid42_fpCosPiTest_b; xParityXorHalfParity_uid96_fpCosPiTest_b <= FxpXFrac35_uid44_fpCosPiTest_b; xParityXorHalfParity_uid96_fpCosPiTest_q <= xParityXorHalfParity_uid96_fpCosPiTest_a xor xParityXorHalfParity_uid96_fpCosPiTest_b; --ld_xParityXorHalfParity_uid96_fpCosPiTest_q_to_signRComp_uid99_fpCosPiTest_c(DELAY,369)@1 ld_xParityXorHalfParity_uid96_fpCosPiTest_q_to_signRComp_uid99_fpCosPiTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => xParityXorHalfParity_uid96_fpCosPiTest_q, xout => ld_xParityXorHalfParity_uid96_fpCosPiTest_q_to_signRComp_uid99_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --cstBiasPwF_uid12_fpCosPiTest(CONSTANT,11) cstBiasPwF_uid12_fpCosPiTest_q <= "10010110"; --xEvenInt_uid35_fpCosPiTest(COMPARE,34)@0 xEvenInt_uid35_fpCosPiTest_cin <= GND_q; xEvenInt_uid35_fpCosPiTest_a <= STD_LOGIC_VECTOR("00" & cstBiasPwF_uid12_fpCosPiTest_q) & '0'; xEvenInt_uid35_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & expX_uid6_fpCosPiTest_b) & xEvenInt_uid35_fpCosPiTest_cin(0); xEvenInt_uid35_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(xEvenInt_uid35_fpCosPiTest_a) - UNSIGNED(xEvenInt_uid35_fpCosPiTest_b)); xEvenInt_uid35_fpCosPiTest_c(0) <= xEvenInt_uid35_fpCosPiTest_o(10); --ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a(DELAY,335)@0 ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => xEvenInt_uid35_fpCosPiTest_c, xout => ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --InvXEvenInt_uid81_fpCosPiTest(LOGICAL,80)@2 InvXEvenInt_uid81_fpCosPiTest_a <= ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a_q; InvXEvenInt_uid81_fpCosPiTest_q <= not InvXEvenInt_uid81_fpCosPiTest_a; --cosXIsOne_uid36_fpCosPiTest(COMPARE,35)@0 cosXIsOne_uid36_fpCosPiTest_cin <= GND_q; cosXIsOne_uid36_fpCosPiTest_a <= STD_LOGIC_VECTOR("00" & expX_uid6_fpCosPiTest_b) & '0'; cosXIsOne_uid36_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & biasMwShiftMO_uid13_fpCosPiTest_q) & cosXIsOne_uid36_fpCosPiTest_cin(0); cosXIsOne_uid36_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(cosXIsOne_uid36_fpCosPiTest_a) - UNSIGNED(cosXIsOne_uid36_fpCosPiTest_b)); cosXIsOne_uid36_fpCosPiTest_c(0) <= cosXIsOne_uid36_fpCosPiTest_o(10); --ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a(DELAY,332)@0 ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => cosXIsOne_uid36_fpCosPiTest_c, xout => ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --InvCosXIsOne_uid77_fpCosPiTest(LOGICAL,76)@2 InvCosXIsOne_uid77_fpCosPiTest_a <= ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a_q; InvCosXIsOne_uid77_fpCosPiTest_q <= not InvCosXIsOne_uid77_fpCosPiTest_a; --signRComp_uid99_fpCosPiTest(LOGICAL,98)@2 signRComp_uid99_fpCosPiTest_a <= InvCosXIsOne_uid77_fpCosPiTest_q; signRComp_uid99_fpCosPiTest_b <= InvXEvenInt_uid81_fpCosPiTest_q; signRComp_uid99_fpCosPiTest_c <= ld_xParityXorHalfParity_uid96_fpCosPiTest_q_to_signRComp_uid99_fpCosPiTest_c_q; signRComp_uid99_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN signRComp_uid99_fpCosPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1' AND en = "1") THEN signRComp_uid99_fpCosPiTest_q <= signRComp_uid99_fpCosPiTest_a and signRComp_uid99_fpCosPiTest_b and signRComp_uid99_fpCosPiTest_c; END IF; END PROCESS; --cstZwSwF_uid15_fpCosPiTest(CONSTANT,14) cstZwSwF_uid15_fpCosPiTest_q <= "00000000000000000000000000000000000"; --cstHalfwSwFP1_uid18_fpCosPiTest(BITJOIN,17)@2 cstHalfwSwFP1_uid18_fpCosPiTest_q <= VCC_q & cstZwSwF_uid15_fpCosPiTest_q; --reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1(REG,237)@1 reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q <= "000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q <= fxpXFrac_uid43_fpCosPiTest_b; END IF; END IF; END PROCESS; --fxpXFracHalf_uid46_fpCosPiTest(LOGICAL,45)@2 fxpXFracHalf_uid46_fpCosPiTest_a <= reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q; fxpXFracHalf_uid46_fpCosPiTest_b <= cstHalfwSwFP1_uid18_fpCosPiTest_q; fxpXFracHalf_uid46_fpCosPiTest_q <= "1" when fxpXFracHalf_uid46_fpCosPiTest_a = fxpXFracHalf_uid46_fpCosPiTest_b else "0"; --ld_fxpXFracHalf_uid46_fpCosPiTest_q_to_InvFxpXFracHalf_uid100_fpCosPiTest_a(DELAY,370)@2 ld_fxpXFracHalf_uid46_fpCosPiTest_q_to_InvFxpXFracHalf_uid100_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => fxpXFracHalf_uid46_fpCosPiTest_q, xout => ld_fxpXFracHalf_uid46_fpCosPiTest_q_to_InvFxpXFracHalf_uid100_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --InvFxpXFracHalf_uid100_fpCosPiTest(LOGICAL,99)@3 InvFxpXFracHalf_uid100_fpCosPiTest_a <= ld_fxpXFracHalf_uid46_fpCosPiTest_q_to_InvFxpXFracHalf_uid100_fpCosPiTest_a_q; InvFxpXFracHalf_uid100_fpCosPiTest_q <= not InvFxpXFracHalf_uid100_fpCosPiTest_a; --signR_uid101_fpCosPiTest(LOGICAL,100)@3 signR_uid101_fpCosPiTest_a <= InvFxpXFracHalf_uid100_fpCosPiTest_q; signR_uid101_fpCosPiTest_b <= signRComp_uid99_fpCosPiTest_q; signR_uid101_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN signR_uid101_fpCosPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1' AND en = "1") THEN signR_uid101_fpCosPiTest_q <= signR_uid101_fpCosPiTest_a and signR_uid101_fpCosPiTest_b; END IF; END PROCESS; --ld_signR_uid101_fpCosPiTest_q_to_R_uid102_fpCosPiTest_c(DELAY,375)@4 ld_signR_uid101_fpCosPiTest_q_to_R_uid102_fpCosPiTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 13 ) PORT MAP ( xin => signR_uid101_fpCosPiTest_q, xout => ld_signR_uid101_fpCosPiTest_q_to_R_uid102_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --cstBias_uid10_fpCosPiTest(CONSTANT,9) cstBias_uid10_fpCosPiTest_q <= "01111111"; --cstAllOWE_uid8_fpCosPiTest(CONSTANT,7) cstAllOWE_uid8_fpCosPiTest_q <= "11111111"; --pad_o_uid16_uid47_fpCosPiTest(BITJOIN,46)@1 pad_o_uid16_uid47_fpCosPiTest_q <= VCC_q & STD_LOGIC_VECTOR((35 downto 1 => GND_q(0)) & GND_q); --reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0(REG,244)@1 reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0_q <= pad_o_uid16_uid47_fpCosPiTest_q; END IF; END IF; END PROCESS; --oMFxpXFrac_uid47_fpCosPiTest(SUB,47)@2 oMFxpXFrac_uid47_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0_q); oMFxpXFrac_uid47_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q); oMFxpXFrac_uid47_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(oMFxpXFrac_uid47_fpCosPiTest_a) - UNSIGNED(oMFxpXFrac_uid47_fpCosPiTest_b)); oMFxpXFrac_uid47_fpCosPiTest_q <= oMFxpXFrac_uid47_fpCosPiTest_o(37 downto 0); --oMFxpXFrac_uid49_fpCosPiTest(BITSELECT,48)@2 oMFxpXFrac_uid49_fpCosPiTest_in <= oMFxpXFrac_uid47_fpCosPiTest_q(35 downto 0); oMFxpXFrac_uid49_fpCosPiTest_b <= oMFxpXFrac_uid49_fpCosPiTest_in(35 downto 0); --ld_fxpXFrac_uid43_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_c(DELAY,308)@1 ld_fxpXFrac_uid43_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_c : dspba_delay GENERIC MAP ( width => 36, depth => 1 ) PORT MAP ( xin => fxpXFrac_uid43_fpCosPiTest_b, xout => ld_fxpXFrac_uid43_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --ld_FxpXFrac35_uid44_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_b(DELAY,307)@1 ld_FxpXFrac35_uid44_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => FxpXFrac35_uid44_fpCosPiTest_b, xout => ld_FxpXFrac35_uid44_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --rangeReducedFxPX_uid51_fpCosPiTest(MUX,50)@2 rangeReducedFxPX_uid51_fpCosPiTest_s <= ld_FxpXFrac35_uid44_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_b_q; rangeReducedFxPX_uid51_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN rangeReducedFxPX_uid51_fpCosPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE rangeReducedFxPX_uid51_fpCosPiTest_s IS WHEN "0" => rangeReducedFxPX_uid51_fpCosPiTest_q <= ld_fxpXFrac_uid43_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_c_q; WHEN "1" => rangeReducedFxPX_uid51_fpCosPiTest_q <= oMFxpXFrac_uid49_fpCosPiTest_b; WHEN OTHERS => rangeReducedFxPX_uid51_fpCosPiTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --pad_half_uid17_uid52_fpCosPiTest(BITJOIN,51)@2 pad_half_uid17_uid52_fpCosPiTest_q <= VCC_q & STD_LOGIC_VECTOR((34 downto 1 => GND_q(0)) & GND_q); --reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0(REG,246)@2 reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0_q <= "000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0_q <= pad_half_uid17_uid52_fpCosPiTest_q; END IF; END IF; END PROCESS; --z_halfMRRFxPXE_uid52_fpCosPiTest(SUB,52)@3 z_halfMRRFxPXE_uid52_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0_q); z_halfMRRFxPXE_uid52_fpCosPiTest_b <= STD_LOGIC_VECTOR("0" & rangeReducedFxPX_uid51_fpCosPiTest_q); z_halfMRRFxPXE_uid52_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(z_halfMRRFxPXE_uid52_fpCosPiTest_a) - UNSIGNED(z_halfMRRFxPXE_uid52_fpCosPiTest_b)); z_halfMRRFxPXE_uid52_fpCosPiTest_q <= z_halfMRRFxPXE_uid52_fpCosPiTest_o(36 downto 0); --z_uid54_fpCosPiTest(BITSELECT,53)@3 z_uid54_fpCosPiTest_in <= z_halfMRRFxPXE_uid52_fpCosPiTest_q(34 downto 0); z_uid54_fpCosPiTest_b <= z_uid54_fpCosPiTest_in(34 downto 0); --zAddr_uid62_fpCosPiTest(BITSELECT,61)@3 zAddr_uid62_fpCosPiTest_in <= z_uid54_fpCosPiTest_b; zAddr_uid62_fpCosPiTest_b <= zAddr_uid62_fpCosPiTest_in(34 downto 28); --reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0(REG,263)@3 reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q <= "0000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q <= zAddr_uid62_fpCosPiTest_b; END IF; END IF; END PROCESS; --memoryC2_uid209_sinPiZTableGenerator(LOOKUP,208)@4 memoryC2_uid209_sinPiZTableGenerator: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN memoryC2_uid209_sinPiZTableGenerator_q <= "10101101010011"; ELSIF (clk'EVENT AND clk = '1' AND en = "1") THEN CASE (reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q) IS WHEN "0000000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101010011"; WHEN "0000001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101010100"; WHEN "0000010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101010111"; WHEN "0000011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101011001"; WHEN "0000100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101011011"; WHEN "0000101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101011100"; WHEN "0000110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101011111"; WHEN "0000111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101100010"; WHEN "0001000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101100110"; WHEN "0001001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101101011"; WHEN "0001010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101110000"; WHEN "0001011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101110101"; WHEN "0001100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101111011"; WHEN "0001101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110000000"; WHEN "0001110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110000111"; WHEN "0001111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110001110"; WHEN "0010000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110010011"; WHEN "0010001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110011110"; WHEN "0010010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110100110"; WHEN "0010011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110101111"; WHEN "0010100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110111000"; WHEN "0010101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111000011"; WHEN "0010110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111001100"; WHEN "0010111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111010111"; WHEN "0011000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111100011"; WHEN "0011001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111101110"; WHEN "0011010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111111011"; WHEN "0011011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110000001001"; WHEN "0011100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110000010101"; WHEN "0011101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110000100000"; WHEN "0011110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110000110001"; WHEN "0011111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110001000000"; WHEN "0100000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110001001101"; WHEN "0100001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110001011110"; WHEN "0100010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110001101100"; WHEN "0100011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110001111111"; WHEN "0100100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110010001111"; WHEN "0100101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110010100001"; WHEN "0100110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110010110011"; WHEN "0100111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110011000101"; WHEN "0101000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110011010110"; WHEN "0101001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110011101011"; WHEN "0101010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110011111111"; WHEN "0101011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110100010010"; WHEN "0101100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110100100101"; WHEN "0101101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110100111011"; WHEN "0101110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110101001110"; WHEN "0101111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110101100111"; WHEN "0110000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110101111100"; WHEN "0110001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110110010010"; WHEN "0110010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110110100110"; WHEN "0110011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110111000000"; WHEN "0110100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110111010101"; WHEN "0110101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110111110000"; WHEN "0110110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111000000110"; WHEN "0110111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111000100010"; WHEN "0111000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111000111001"; WHEN "0111001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111001010100"; WHEN "0111010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111001101111"; WHEN "0111011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111010001001"; WHEN "0111100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111010100011"; WHEN "0111101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111010111100"; WHEN "0111110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111011011001"; WHEN "0111111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111011110111"; WHEN "1000000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111100010100"; WHEN "1000001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111100110001"; WHEN "1000010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111101001101"; WHEN "1000011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111101101010"; WHEN "1000100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111110001000"; WHEN "1000101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111110100101"; WHEN "1000110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111111000101"; WHEN "1000111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111111100011"; WHEN "1001000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000000000011"; WHEN "1001001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000000100011"; WHEN "1001010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000001000100"; WHEN "1001011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000001100010"; WHEN "1001100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000010000100"; WHEN "1001101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000010100010"; WHEN "1001110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000011000110"; WHEN "1001111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000011101000"; WHEN "1010000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000100001010"; WHEN "1010001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000100101101"; WHEN "1010010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000101010001"; WHEN "1010011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000101110010"; WHEN "1010100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000110010100"; WHEN "1010101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000110111011"; WHEN "1010110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000111011010"; WHEN "1010111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001000000001"; WHEN "1011000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001000100110"; WHEN "1011001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001001001011"; WHEN "1011010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001001101101"; WHEN "1011011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001010010101"; WHEN "1011100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001010111100"; WHEN "1011101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001011100000"; WHEN "1011110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001100000101"; WHEN "1011111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001100101110"; WHEN "1100000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001101010100"; WHEN "1100001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001101111010"; WHEN "1100010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001110100010"; WHEN "1100011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001111001001"; WHEN "1100100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001111110001"; WHEN "1100101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010000010110"; WHEN "1100110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010000111111"; WHEN "1100111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010001101001"; WHEN "1101000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010010010010"; WHEN "1101001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010010111101"; WHEN "1101010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010011100001"; WHEN "1101011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010100001100"; WHEN "1101100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010100110111"; WHEN "1101101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010101100001"; WHEN "1101110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010110001011"; WHEN "1101111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010110110011"; WHEN "1110000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010111011111"; WHEN "1110001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011000001010"; WHEN "1110010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011000110100"; WHEN "1110011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011001011111"; WHEN "1110100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011010001010"; WHEN "1110101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011010110110"; WHEN "1110110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011011100011"; WHEN "1110111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011100001111"; WHEN "1111000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011100111001"; WHEN "1111001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011101100011"; WHEN "1111010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011110010001"; WHEN "1111011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011110111011"; WHEN "1111100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011111101000"; WHEN "1111101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11100000010101"; WHEN "1111110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11100001000010"; WHEN "1111111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11100001110000"; WHEN OTHERS => memoryC2_uid209_sinPiZTableGenerator_q <= (others => '-'); END CASE; END IF; END PROCESS; --zPPolyEval_uid63_fpCosPiTest(BITSELECT,62)@3 zPPolyEval_uid63_fpCosPiTest_in <= z_uid54_fpCosPiTest_b(27 downto 0); zPPolyEval_uid63_fpCosPiTest_b <= zPPolyEval_uid63_fpCosPiTest_in(27 downto 12); --yT1_uid210_sinPiZPolyEval(BITSELECT,209)@3 yT1_uid210_sinPiZPolyEval_in <= zPPolyEval_uid63_fpCosPiTest_b; yT1_uid210_sinPiZPolyEval_b <= yT1_uid210_sinPiZPolyEval_in(15 downto 2); --reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0(REG,264)@3 reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q <= "00000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q <= yT1_uid210_sinPiZPolyEval_b; END IF; END IF; END PROCESS; --ld_reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_a(DELAY,499)@4 ld_reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_a : dspba_delay GENERIC MAP ( width => 14, depth => 1 ) PORT MAP ( xin => reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q, xout => ld_reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_a_q, ena => en(0), clk => clk, aclr => areset ); --prodXY_uid226_pT1_uid211_sinPiZPolyEval(MULT,225)@5 prodXY_uid226_pT1_uid211_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid226_pT1_uid211_sinPiZPolyEval_a),15)) * SIGNED(prodXY_uid226_pT1_uid211_sinPiZPolyEval_b); prodXY_uid226_pT1_uid211_sinPiZPolyEval_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid226_pT1_uid211_sinPiZPolyEval_a <= (others => '0'); prodXY_uid226_pT1_uid211_sinPiZPolyEval_b <= (others => '0'); prodXY_uid226_pT1_uid211_sinPiZPolyEval_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid226_pT1_uid211_sinPiZPolyEval_a <= ld_reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_a_q; prodXY_uid226_pT1_uid211_sinPiZPolyEval_b <= memoryC2_uid209_sinPiZTableGenerator_q; prodXY_uid226_pT1_uid211_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid226_pT1_uid211_sinPiZPolyEval_pr,28)); END IF; END IF; END PROCESS; prodXY_uid226_pT1_uid211_sinPiZPolyEval: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid226_pT1_uid211_sinPiZPolyEval_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid226_pT1_uid211_sinPiZPolyEval_q <= prodXY_uid226_pT1_uid211_sinPiZPolyEval_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval(BITSELECT,226)@8 prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_in <= prodXY_uid226_pT1_uid211_sinPiZPolyEval_q; prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_b <= prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_in(27 downto 13); --highBBits_uid213_sinPiZPolyEval(BITSELECT,212)@8 highBBits_uid213_sinPiZPolyEval_in <= prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_b; highBBits_uid213_sinPiZPolyEval_b <= highBBits_uid213_sinPiZPolyEval_in(14 downto 1); --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable(LOGICAL,557) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_a <= en; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q <= not ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_a; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor(LOGICAL,596) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_b <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_q <= not (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_a or ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_b); --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_mem_top(CONSTANT,592) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_mem_top_q <= "010"; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp(LOGICAL,593) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_a <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_mem_top_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q); ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_q <= "1" when ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_a = ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_b else "0"; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg(REG,594) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_q; END IF; END IF; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena(REG,597) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_q = "1") THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd(LOGICAL,598) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_a <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_b <= en; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_a and ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_b; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt(COUNTER,588) -- every=1, low=0, high=2, step=1, init=1 ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,2); ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i = 1 THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_eq <= '1'; ELSE ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0'; END IF; IF (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_eq = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i - 2; ELSE ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i,2)); --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg(REG,589) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux(MUX,590) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_s <= en; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux: PROCESS (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_s, ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q, ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_q) BEGIN CASE ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_s IS WHEN "0" => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q; WHEN "1" => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_q; WHEN OTHERS => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem(DUALMEM,587) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_reset0 <= areset; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ia <= reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_aa <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ab <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 7, widthad_a => 2, numwords_a => 3, width_b => 7, widthad_b => 2, numwords_b => 3, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_reset0, clock1 => clk, address_b => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_iq, address_a => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_aa, data_a => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ia ); ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_iq(6 downto 0); --memoryC1_uid208_sinPiZTableGenerator(LOOKUP,207)@8 memoryC1_uid208_sinPiZTableGenerator: PROCESS (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_q) BEGIN -- Begin reserved scope level CASE (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_q) IS WHEN "0000000" => memoryC1_uid208_sinPiZTableGenerator_q <= "000000000000000000001"; WHEN "0000001" => memoryC1_uid208_sinPiZTableGenerator_q <= "111111101011010101010"; WHEN "0000010" => memoryC1_uid208_sinPiZTableGenerator_q <= "111111010110101010001"; WHEN "0000011" => memoryC1_uid208_sinPiZTableGenerator_q <= "111111000001111111100"; WHEN "0000100" => memoryC1_uid208_sinPiZTableGenerator_q <= "111110101101010101010"; WHEN "0000101" => memoryC1_uid208_sinPiZTableGenerator_q <= "111110011000101011101"; WHEN "0000110" => memoryC1_uid208_sinPiZTableGenerator_q <= "111110000100000010101"; WHEN "0000111" => memoryC1_uid208_sinPiZTableGenerator_q <= "111101101111011010001"; WHEN "0001000" => memoryC1_uid208_sinPiZTableGenerator_q <= "111101011010110010101"; WHEN "0001001" => memoryC1_uid208_sinPiZTableGenerator_q <= "111101000110001011111"; WHEN "0001010" => memoryC1_uid208_sinPiZTableGenerator_q <= "111100110001100110010"; WHEN "0001011" => memoryC1_uid208_sinPiZTableGenerator_q <= "111100011101000010000"; WHEN "0001100" => memoryC1_uid208_sinPiZTableGenerator_q <= "111100001000011110111"; WHEN "0001101" => memoryC1_uid208_sinPiZTableGenerator_q <= "111011110011111101011"; WHEN "0001110" => memoryC1_uid208_sinPiZTableGenerator_q <= "111011011111011101011"; WHEN "0001111" => memoryC1_uid208_sinPiZTableGenerator_q <= "111011001010111110111"; WHEN "0010000" => memoryC1_uid208_sinPiZTableGenerator_q <= "111010110110100010101"; WHEN "0010001" => memoryC1_uid208_sinPiZTableGenerator_q <= "111010100010000111100"; WHEN "0010010" => memoryC1_uid208_sinPiZTableGenerator_q <= "111010001101101111000"; WHEN "0010011" => memoryC1_uid208_sinPiZTableGenerator_q <= "111001111001011000011"; WHEN "0010100" => memoryC1_uid208_sinPiZTableGenerator_q <= "111001100101000100010"; WHEN "0010101" => memoryC1_uid208_sinPiZTableGenerator_q <= "111001010000110010001"; WHEN "0010110" => memoryC1_uid208_sinPiZTableGenerator_q <= "111000111100100010111"; WHEN "0010111" => memoryC1_uid208_sinPiZTableGenerator_q <= "111000101000010110001"; WHEN "0011000" => memoryC1_uid208_sinPiZTableGenerator_q <= "111000010100001011111"; WHEN "0011001" => memoryC1_uid208_sinPiZTableGenerator_q <= "111000000000000100110"; WHEN "0011010" => memoryC1_uid208_sinPiZTableGenerator_q <= "110111101100000000011"; WHEN "0011011" => memoryC1_uid208_sinPiZTableGenerator_q <= "110111010111111111000"; WHEN "0011100" => memoryC1_uid208_sinPiZTableGenerator_q <= "110111000100000001000"; WHEN "0011101" => memoryC1_uid208_sinPiZTableGenerator_q <= "110110110000000110101"; WHEN "0011110" => memoryC1_uid208_sinPiZTableGenerator_q <= "110110011100001110111"; WHEN "0011111" => memoryC1_uid208_sinPiZTableGenerator_q <= "110110001000011011000"; WHEN "0100000" => memoryC1_uid208_sinPiZTableGenerator_q <= "110101110100101011010"; WHEN "0100001" => memoryC1_uid208_sinPiZTableGenerator_q <= "110101100000111110101"; WHEN "0100010" => memoryC1_uid208_sinPiZTableGenerator_q <= "110101001101010110010"; WHEN "0100011" => memoryC1_uid208_sinPiZTableGenerator_q <= "110100111001110001011"; WHEN "0100100" => memoryC1_uid208_sinPiZTableGenerator_q <= "110100100110010001001"; WHEN "0100101" => memoryC1_uid208_sinPiZTableGenerator_q <= "110100010010110100110"; WHEN "0100110" => memoryC1_uid208_sinPiZTableGenerator_q <= "110011111111011100110"; WHEN "0100111" => memoryC1_uid208_sinPiZTableGenerator_q <= "110011101100001001010"; WHEN "0101000" => memoryC1_uid208_sinPiZTableGenerator_q <= "110011011000111010011"; WHEN "0101001" => memoryC1_uid208_sinPiZTableGenerator_q <= "110011000101101111111"; WHEN "0101010" => memoryC1_uid208_sinPiZTableGenerator_q <= "110010110010101010010"; WHEN "0101011" => memoryC1_uid208_sinPiZTableGenerator_q <= "110010011111101001101"; WHEN "0101100" => memoryC1_uid208_sinPiZTableGenerator_q <= "110010001100101110000"; WHEN "0101101" => memoryC1_uid208_sinPiZTableGenerator_q <= "110001111001110111001"; WHEN "0101110" => memoryC1_uid208_sinPiZTableGenerator_q <= "110001100111000110000"; WHEN "0101111" => memoryC1_uid208_sinPiZTableGenerator_q <= "110001010100011001011"; WHEN "0110000" => memoryC1_uid208_sinPiZTableGenerator_q <= "110001000001110010110"; WHEN "0110001" => memoryC1_uid208_sinPiZTableGenerator_q <= "110000101111010001100"; WHEN "0110010" => memoryC1_uid208_sinPiZTableGenerator_q <= "110000011100110110001"; WHEN "0110011" => memoryC1_uid208_sinPiZTableGenerator_q <= "110000001010011111111"; WHEN "0110100" => memoryC1_uid208_sinPiZTableGenerator_q <= "101111111000010000000"; WHEN "0110101" => memoryC1_uid208_sinPiZTableGenerator_q <= "101111100110000101100"; WHEN "0110110" => memoryC1_uid208_sinPiZTableGenerator_q <= "101111010100000001100"; WHEN "0110111" => memoryC1_uid208_sinPiZTableGenerator_q <= "101111000010000011001"; WHEN "0111000" => memoryC1_uid208_sinPiZTableGenerator_q <= "101110110000001011100"; WHEN "0111001" => memoryC1_uid208_sinPiZTableGenerator_q <= "101110011110011001110"; WHEN "0111010" => memoryC1_uid208_sinPiZTableGenerator_q <= "101110001100101110101"; WHEN "0111011" => memoryC1_uid208_sinPiZTableGenerator_q <= "101101111011001010001"; WHEN "0111100" => memoryC1_uid208_sinPiZTableGenerator_q <= "101101101001101100010"; WHEN "0111101" => memoryC1_uid208_sinPiZTableGenerator_q <= "101101011000010101010"; WHEN "0111110" => memoryC1_uid208_sinPiZTableGenerator_q <= "101101000111000100101"; WHEN "0111111" => memoryC1_uid208_sinPiZTableGenerator_q <= "101100110101111010111"; WHEN "1000000" => memoryC1_uid208_sinPiZTableGenerator_q <= "101100100100111000010"; WHEN "1000001" => memoryC1_uid208_sinPiZTableGenerator_q <= "101100010011111100111"; WHEN "1000010" => memoryC1_uid208_sinPiZTableGenerator_q <= "101100000011001000111"; WHEN "1000011" => memoryC1_uid208_sinPiZTableGenerator_q <= "101011110010011100000"; WHEN "1000100" => memoryC1_uid208_sinPiZTableGenerator_q <= "101011100001110110100"; WHEN "1000101" => memoryC1_uid208_sinPiZTableGenerator_q <= "101011010001011000100"; WHEN "1000110" => memoryC1_uid208_sinPiZTableGenerator_q <= "101011000001000001110"; WHEN "1000111" => memoryC1_uid208_sinPiZTableGenerator_q <= "101010110000110011000"; WHEN "1001000" => memoryC1_uid208_sinPiZTableGenerator_q <= "101010100000101011111"; WHEN "1001001" => memoryC1_uid208_sinPiZTableGenerator_q <= "101010010000101100100"; WHEN "1001010" => memoryC1_uid208_sinPiZTableGenerator_q <= "101010000000110101001"; WHEN "1001011" => memoryC1_uid208_sinPiZTableGenerator_q <= "101001110001000110000"; WHEN "1001100" => memoryC1_uid208_sinPiZTableGenerator_q <= "101001100001011110101"; WHEN "1001101" => memoryC1_uid208_sinPiZTableGenerator_q <= "101001010001111111111"; WHEN "1001110" => memoryC1_uid208_sinPiZTableGenerator_q <= "101001000010101000110"; WHEN "1001111" => memoryC1_uid208_sinPiZTableGenerator_q <= "101000110011011010001"; WHEN "1010000" => memoryC1_uid208_sinPiZTableGenerator_q <= "101000100100010100001"; WHEN "1010001" => memoryC1_uid208_sinPiZTableGenerator_q <= "101000010101010110100"; WHEN "1010010" => memoryC1_uid208_sinPiZTableGenerator_q <= "101000000110100001011"; WHEN "1010011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100111110111110101011"; WHEN "1010100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100111101001010010000"; WHEN "1010101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100111011010110110110"; WHEN "1010110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100111001100100101100"; WHEN "1010111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100110111110011100011"; WHEN "1011000" => memoryC1_uid208_sinPiZTableGenerator_q <= "100110110000011100011"; WHEN "1011001" => memoryC1_uid208_sinPiZTableGenerator_q <= "100110100010100101110"; WHEN "1011010" => memoryC1_uid208_sinPiZTableGenerator_q <= "100110010100111000101"; WHEN "1011011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100110000111010100001"; WHEN "1011100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100101111001111001000"; WHEN "1011101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100101101100100111111"; WHEN "1011110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100101011111100000000"; WHEN "1011111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100101010010100001001"; WHEN "1100000" => memoryC1_uid208_sinPiZTableGenerator_q <= "100101000101101100011"; WHEN "1100001" => memoryC1_uid208_sinPiZTableGenerator_q <= "100100111001000001011"; WHEN "1100010" => memoryC1_uid208_sinPiZTableGenerator_q <= "100100101100011111111"; WHEN "1100011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100100100000001000010"; WHEN "1100100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100100010011111010101"; WHEN "1100101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100100000111110111001"; WHEN "1100110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011111011111101010"; WHEN "1100111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011110000001101010"; WHEN "1101000" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011100100100111101"; WHEN "1101001" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011011001001011111"; WHEN "1101010" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011001101111011010"; WHEN "1101011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011000010110100001"; WHEN "1101100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010110111110111010"; WHEN "1101101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010101101000101000"; WHEN "1101110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010100010011101001"; WHEN "1101111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010011000000000001"; WHEN "1110000" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010001101101101010"; WHEN "1110001" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010000011100100111"; WHEN "1110010" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001111001100111100"; WHEN "1110011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001101111110100101"; WHEN "1110100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001100110001100100"; WHEN "1110101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001011100101111001"; WHEN "1110110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001010011011100011"; WHEN "1110111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001001010010100101"; WHEN "1111000" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001000001011000000"; WHEN "1111001" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000111000100110100"; WHEN "1111010" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000101111111111100"; WHEN "1111011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000100111100011111"; WHEN "1111100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000011111010011000"; WHEN "1111101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000010111001101010"; WHEN "1111110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000001111010010101"; WHEN "1111111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000000111100011001"; WHEN OTHERS => memoryC1_uid208_sinPiZTableGenerator_q <= (others => '-'); END CASE; -- End reserved scope level END PROCESS; --sumAHighB_uid214_sinPiZPolyEval(ADD,213)@8 sumAHighB_uid214_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((21 downto 21 => memoryC1_uid208_sinPiZTableGenerator_q(20)) & memoryC1_uid208_sinPiZTableGenerator_q); sumAHighB_uid214_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((21 downto 14 => highBBits_uid213_sinPiZPolyEval_b(13)) & highBBits_uid213_sinPiZPolyEval_b); sumAHighB_uid214_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid214_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid214_sinPiZPolyEval_b)); sumAHighB_uid214_sinPiZPolyEval_q <= sumAHighB_uid214_sinPiZPolyEval_o(21 downto 0); --lowRangeB_uid212_sinPiZPolyEval(BITSELECT,211)@8 lowRangeB_uid212_sinPiZPolyEval_in <= prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_b(0 downto 0); lowRangeB_uid212_sinPiZPolyEval_b <= lowRangeB_uid212_sinPiZPolyEval_in(0 downto 0); --s1_uid212_uid215_sinPiZPolyEval(BITJOIN,214)@8 s1_uid212_uid215_sinPiZPolyEval_q <= sumAHighB_uid214_sinPiZPolyEval_q & lowRangeB_uid212_sinPiZPolyEval_b; --reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1(REG,267)@8 reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1_q <= "00000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1_q <= s1_uid212_uid215_sinPiZPolyEval_q; END IF; END IF; END PROCESS; --ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor(LOGICAL,609) ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_b <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_q <= not (ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_a or ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_b); --ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena(REG,610) ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_q = "1") THEN ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd(LOGICAL,611) ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_a <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_b <= en; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_q <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_a and ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_b; --ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_inputreg(DELAY,599) ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_inputreg : dspba_delay GENERIC MAP ( width => 16, depth => 1 ) PORT MAP ( xin => zPPolyEval_uid63_fpCosPiTest_b, xout => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem(DUALMEM,600) ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_reset0 <= areset; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ia <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_inputreg_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_aa <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ab <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 16, widthad_a => 2, numwords_a => 3, width_b => 16, widthad_b => 2, numwords_b => 3, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_reset0, clock1 => clk, address_b => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_iq, address_a => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_aa, data_a => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ia ); ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_q <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_iq(15 downto 0); --reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0(REG,266)@8 reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_q <= "0000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_q <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_q; END IF; END IF; END PROCESS; --prodXY_uid229_pT2_uid217_sinPiZPolyEval(MULT,228)@9 prodXY_uid229_pT2_uid217_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid229_pT2_uid217_sinPiZPolyEval_a),17)) * SIGNED(prodXY_uid229_pT2_uid217_sinPiZPolyEval_b); prodXY_uid229_pT2_uid217_sinPiZPolyEval_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid229_pT2_uid217_sinPiZPolyEval_a <= (others => '0'); prodXY_uid229_pT2_uid217_sinPiZPolyEval_b <= (others => '0'); prodXY_uid229_pT2_uid217_sinPiZPolyEval_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid229_pT2_uid217_sinPiZPolyEval_a <= reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_q; prodXY_uid229_pT2_uid217_sinPiZPolyEval_b <= reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1_q; prodXY_uid229_pT2_uid217_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid229_pT2_uid217_sinPiZPolyEval_pr,39)); END IF; END IF; END PROCESS; prodXY_uid229_pT2_uid217_sinPiZPolyEval: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid229_pT2_uid217_sinPiZPolyEval_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid229_pT2_uid217_sinPiZPolyEval_q <= prodXY_uid229_pT2_uid217_sinPiZPolyEval_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval(BITSELECT,229)@12 prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_in <= prodXY_uid229_pT2_uid217_sinPiZPolyEval_q; prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_b <= prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_in(38 downto 15); --highBBits_uid219_sinPiZPolyEval(BITSELECT,218)@12 highBBits_uid219_sinPiZPolyEval_in <= prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_b; highBBits_uid219_sinPiZPolyEval_b <= highBBits_uid219_sinPiZPolyEval_in(23 downto 2); --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor(LOGICAL,584) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_b <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_q <= not (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_a or ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_b); --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_mem_top(CONSTANT,554) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_mem_top_q <= "0110"; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp(LOGICAL,555) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_mem_top_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q); ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_q <= "1" when ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_a = ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_b else "0"; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg(REG,556) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_q; END IF; END IF; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena(REG,585) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_q = "1") THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd(LOGICAL,586) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_a <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_b <= en; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_a and ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_b; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt(COUNTER,550) -- every=1, low=0, high=6, step=1, init=1 ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3); ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i = 5 THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_eq <= '1'; ELSE ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_eq <= '0'; END IF; IF (ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_eq = '1') THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i - 6; ELSE ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i,3)); --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg(REG,551) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux(MUX,552) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_s <= en; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux: PROCESS (ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_s, ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q, ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_q) BEGIN CASE ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_s IS WHEN "0" => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q; WHEN "1" => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_q; WHEN OTHERS => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem(DUALMEM,575) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_reset0 <= areset; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ia <= reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_aa <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ab <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 7, widthad_a => 3, numwords_a => 7, width_b => 7, widthad_b => 3, numwords_b => 7, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_reset0, clock1 => clk, address_b => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_iq, address_a => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_aa, data_a => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ia ); ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_iq(6 downto 0); --memoryC0_uid207_sinPiZTableGenerator(LOOKUP,206)@12 memoryC0_uid207_sinPiZTableGenerator: PROCESS (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_q) BEGIN -- Begin reserved scope level CASE (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_q) IS WHEN "0000000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100100001111110110101110"; WHEN "0000001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100100001110100100000010"; WHEN "0000010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100100001010101100000000"; WHEN "0000011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100100000100001110101000"; WHEN "0000100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100011111011001011111101"; WHEN "0000101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100011101111100100000010"; WHEN "0000110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100011100001010110111011"; WHEN "0000111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100011010000100100101111"; WHEN "0001000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100010111101001101100010"; WHEN "0001001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100010100111010001011101"; WHEN "0001010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100010001110110000100111"; WHEN "0001011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100001110011101011001001"; WHEN "0001100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100001010110000001001110"; WHEN "0001101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100000110101110011000000"; WHEN "0001110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100000010011000000101011"; WHEN "0001111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011111101101101010011101"; WHEN "0010000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011111000101110000100010"; WHEN "0010001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011110011011010011001011"; WHEN "0010010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011101101110010010100101"; WHEN "0010011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011100111110101111000011"; WHEN "0010100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011100001100101000110101"; WHEN "0010101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011011011000000000001111"; WHEN "0010110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011010100000110101100011"; WHEN "0010111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011001100111001001000110"; WHEN "0011000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011000101010111011001110"; WHEN "0011001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010111101100001100010000"; WHEN "0011010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010110101010111100100100"; WHEN "0011011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010101100111001100100010"; WHEN "0011100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010100100000111100100011"; WHEN "0011101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010011011000001101000000"; WHEN "0011110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010010001100111110010110"; WHEN "0011111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010000111111010000111111"; WHEN "0100000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001111101111000101010111"; WHEN "0100001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001110011100011011111110"; WHEN "0100010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001101000111010101010001"; WHEN "0100011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001011101111110001110000"; WHEN "0100100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001010010101110001111010"; WHEN "0100101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001000111001010110010010"; WHEN "0100110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100000111011010011111011001"; WHEN "0100111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100000101111001001101110010"; WHEN "0101000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100000100010101100010000001"; WHEN "0101001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100000010101111011100101011"; WHEN "0101010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100000001000110111110010101"; WHEN "0101011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011111111011100000111100110"; WHEN "0101100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011111101101110111001000101"; WHEN "0101101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011111011111111010011011011"; WHEN "0101110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011111010001101010111001111"; WHEN "0101111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011111000011001000101001110"; WHEN "0110000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011110110100010011110000000"; WHEN "0110001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011110100101001100010010010"; WHEN "0110010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011110010101110010010110000"; WHEN "0110011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011110000110000110000001000"; WHEN "0110100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011101110110000111011000111"; WHEN "0110101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011101100101110110100011101"; WHEN "0110110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011101010101010011100111001"; WHEN "0110111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011101000100011110101001100"; WHEN "0111000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011100110011010111110000111"; WHEN "0111001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011100100001111111000011101"; WHEN "0111010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011100010000010100101000000"; WHEN "0111011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011011111110011000100100100"; WHEN "0111100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011011101100001010111111110"; WHEN "0111101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011011011001101100000000011"; WHEN "0111110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011011000110111011101101010"; WHEN "0111111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011010110011111010001101001"; WHEN "1000000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011010100000100111100111000"; WHEN "1000001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011010001101000100000001111"; WHEN "1000010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011001111001001111100100111"; WHEN "1000011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011001100101001010010111011"; WHEN "1000100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011001010000110100100000101"; WHEN "1000101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011000111100001110001000001"; WHEN "1000110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011000100111010111010101011"; WHEN "1000111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011000010010010000001111111"; WHEN "1001000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010111111100111000111111011"; WHEN "1001001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010111100111010001101011110"; WHEN "1001010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010111010001011010011100110"; WHEN "1001011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010110111011010011011010011"; WHEN "1001100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010110100100111100101100110"; WHEN "1001101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010110001110010110011011111"; WHEN "1001110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010101110111100000110000001"; WHEN "1001111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010101100000011011110001110"; WHEN "1010000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010101001001000111101001000"; WHEN "1010001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010100110001100100011110100"; WHEN "1010010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010100011001110010011010110"; WHEN "1010011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010100000001110001100110010"; WHEN "1010100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010011101001100010001001111"; WHEN "1010101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010011010001000100001110100"; WHEN "1010110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010010111000010111111100101"; WHEN "1010111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010010011111011101011101100"; WHEN "1011000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010010000110010100111010001"; WHEN "1011001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010001101100111110011011011"; WHEN "1011010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010001010011011010001010100"; WHEN "1011011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010000111001101000010000111"; WHEN "1011100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010000011111101000110111110"; WHEN "1011101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010000000101011100001000010"; WHEN "1011110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001111101011000010001100001"; WHEN "1011111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001111010000011011001100111"; WHEN "1100000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001110110101100111010011111"; WHEN "1100001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001110011010100110101010111"; WHEN "1100010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001101111111011001011011101"; WHEN "1100011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001101100011111111101111111"; WHEN "1100100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001101001000011001110001011"; WHEN "1100101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001100101100100111101010001"; WHEN "1100110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001100010000101001100100001"; WHEN "1100111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001011110100011111101001011"; WHEN "1101000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001011011000001010000011111"; WHEN "1101001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001010111011101000111101111"; WHEN "1101010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001010011110111100100001011"; WHEN "1101011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001010000010000100111000111"; WHEN "1101100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001001100101000010001110101"; WHEN "1101101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001001000111110100101100111"; WHEN "1101110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001000101010011100011110001"; WHEN "1101111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001000001100111001101100110"; WHEN "1110000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000111101111001100100011011"; WHEN "1110001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000111010001010101001100101"; WHEN "1110010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000110110011010011110010111"; WHEN "1110011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000110010101001000100001000"; WHEN "1110100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000101110110110011100001101"; WHEN "1110101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000101011000010100111111100"; WHEN "1110110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000100111001101101000101100"; WHEN "1110111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000100011010111011111110011"; WHEN "1111000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000011111100000001110101000"; WHEN "1111001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000011011100111110110100010"; WHEN "1111010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000010111101110011000111010"; WHEN "1111011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000010011110011110111000111"; WHEN "1111100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000001111111000010010100010"; WHEN "1111101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000001011111011101100100011"; WHEN "1111110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000000111111110000110100011"; WHEN "1111111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000000011111111100001111011"; WHEN OTHERS => memoryC0_uid207_sinPiZTableGenerator_q <= (others => '-'); END CASE; -- End reserved scope level END PROCESS; --sumAHighB_uid220_sinPiZPolyEval(ADD,219)@12 sumAHighB_uid220_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((29 downto 29 => memoryC0_uid207_sinPiZTableGenerator_q(28)) & memoryC0_uid207_sinPiZTableGenerator_q); sumAHighB_uid220_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((29 downto 22 => highBBits_uid219_sinPiZPolyEval_b(21)) & highBBits_uid219_sinPiZPolyEval_b); sumAHighB_uid220_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid220_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid220_sinPiZPolyEval_b)); sumAHighB_uid220_sinPiZPolyEval_q <= sumAHighB_uid220_sinPiZPolyEval_o(29 downto 0); --lowRangeB_uid218_sinPiZPolyEval(BITSELECT,217)@12 lowRangeB_uid218_sinPiZPolyEval_in <= prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_b(1 downto 0); lowRangeB_uid218_sinPiZPolyEval_b <= lowRangeB_uid218_sinPiZPolyEval_in(1 downto 0); --s2_uid218_uid221_sinPiZPolyEval(BITJOIN,220)@12 s2_uid218_uid221_sinPiZPolyEval_q <= sumAHighB_uid220_sinPiZPolyEval_q & lowRangeB_uid218_sinPiZPolyEval_b; --fxpSinRes_uid65_fpCosPiTest(BITSELECT,64)@12 fxpSinRes_uid65_fpCosPiTest_in <= s2_uid218_uid221_sinPiZPolyEval_q(29 downto 0); fxpSinRes_uid65_fpCosPiTest_b <= fxpSinRes_uid65_fpCosPiTest_in(29 downto 5); --reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1(REG,270)@12 reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1_q <= "0000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1_q <= fxpSinRes_uid65_fpCosPiTest_b; END IF; END IF; END PROCESS; --ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor(LOGICAL,622) ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_b <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_q <= not (ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_a or ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_b); --ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena(REG,623) ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_q = "1") THEN ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd(LOGICAL,624) ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_a <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_b <= en; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_q <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_a and ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_b; --LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest(BITSELECT,201)@7 LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q(31 downto 0); LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_in(31 downto 0); --leftShiftStage2Idx3_uid203_alignedZ_uid57_fpCosPiTest(BITJOIN,202)@7 leftShiftStage2Idx3_uid203_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_b & leftShiftStage2Idx3Pad3_uid131_fxpX_uid41_fpCosPiTest_q; --LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest(BITSELECT,198)@7 LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q(32 downto 0); LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_in(32 downto 0); --leftShiftStage2Idx2_uid200_alignedZ_uid57_fpCosPiTest(BITJOIN,199)@7 leftShiftStage2Idx2_uid200_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_b & leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest_q; --LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest(BITSELECT,195)@7 LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q(33 downto 0); LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_in(33 downto 0); --leftShiftStage2Idx1_uid197_alignedZ_uid57_fpCosPiTest(BITJOIN,196)@7 leftShiftStage2Idx1_uid197_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_b & GND_q; --vStage_uid141_lzcZ_uid56_fpCosPiTest(BITSELECT,140)@3 vStage_uid141_lzcZ_uid56_fpCosPiTest_in <= z_uid54_fpCosPiTest_b(2 downto 0); vStage_uid141_lzcZ_uid56_fpCosPiTest_b <= vStage_uid141_lzcZ_uid56_fpCosPiTest_in(2 downto 0); --ld_vStage_uid141_lzcZ_uid56_fpCosPiTest_b_to_leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_b(DELAY,450)@3 ld_vStage_uid141_lzcZ_uid56_fpCosPiTest_b_to_leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 3, depth => 3 ) PORT MAP ( xin => vStage_uid141_lzcZ_uid56_fpCosPiTest_b, xout => ld_vStage_uid141_lzcZ_uid56_fpCosPiTest_b_to_leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest(BITJOIN,179)@6 leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_q <= ld_vStage_uid141_lzcZ_uid56_fpCosPiTest_b_to_leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_b_q & leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest_q; --X18dto0_uid176_alignedZ_uid57_fpCosPiTest(BITSELECT,175)@3 X18dto0_uid176_alignedZ_uid57_fpCosPiTest_in <= z_uid54_fpCosPiTest_b(18 downto 0); X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b <= X18dto0_uid176_alignedZ_uid57_fpCosPiTest_in(18 downto 0); --ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_inputreg(DELAY,573) ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_inputreg : dspba_delay GENERIC MAP ( width => 19, depth => 1 ) PORT MAP ( xin => X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b, xout => ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b(DELAY,449)@3 ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 19, depth => 2 ) PORT MAP ( xin => ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_inputreg_q, xout => ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest(BITJOIN,176)@6 leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_q <= ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_q & leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest_q; --ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_inputreg(DELAY,574) ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_inputreg : dspba_delay GENERIC MAP ( width => 35, depth => 1 ) PORT MAP ( xin => z_uid54_fpCosPiTest_b, xout => ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c(DELAY,453)@3 ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c : dspba_delay GENERIC MAP ( width => 35, depth => 2 ) PORT MAP ( xin => ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_inputreg_q, xout => ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --rVStage_uid138_lzcZ_uid56_fpCosPiTest(BITSELECT,137)@3 rVStage_uid138_lzcZ_uid56_fpCosPiTest_in <= z_uid54_fpCosPiTest_b; rVStage_uid138_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid138_lzcZ_uid56_fpCosPiTest_in(34 downto 3); --reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1(REG,247)@3 reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q <= "00000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q <= rVStage_uid138_lzcZ_uid56_fpCosPiTest_b; END IF; END IF; END PROCESS; --vCount_uid139_lzcZ_uid56_fpCosPiTest(LOGICAL,138)@4 vCount_uid139_lzcZ_uid56_fpCosPiTest_a <= reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q; vCount_uid139_lzcZ_uid56_fpCosPiTest_b <= leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest_q; vCount_uid139_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid139_lzcZ_uid56_fpCosPiTest_a = vCount_uid139_lzcZ_uid56_fpCosPiTest_b else "0"; --ld_vCount_uid139_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_f(DELAY,447)@4 ld_vCount_uid139_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_f : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => vCount_uid139_lzcZ_uid56_fpCosPiTest_q, xout => ld_vCount_uid139_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_f_q, ena => en(0), clk => clk, aclr => areset ); --mO_uid140_lzcZ_uid56_fpCosPiTest(CONSTANT,139) mO_uid140_lzcZ_uid56_fpCosPiTest_q <= "11111111111111111111111111111"; --cStage_uid142_lzcZ_uid56_fpCosPiTest(BITJOIN,141)@3 cStage_uid142_lzcZ_uid56_fpCosPiTest_q <= vStage_uid141_lzcZ_uid56_fpCosPiTest_b & mO_uid140_lzcZ_uid56_fpCosPiTest_q; --reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3(REG,249)@3 reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3_q <= "00000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3_q <= cStage_uid142_lzcZ_uid56_fpCosPiTest_q; END IF; END IF; END PROCESS; --vStagei_uid144_lzcZ_uid56_fpCosPiTest(MUX,143)@4 vStagei_uid144_lzcZ_uid56_fpCosPiTest_s <= vCount_uid139_lzcZ_uid56_fpCosPiTest_q; vStagei_uid144_lzcZ_uid56_fpCosPiTest: PROCESS (vStagei_uid144_lzcZ_uid56_fpCosPiTest_s, en, reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q, reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3_q) BEGIN CASE vStagei_uid144_lzcZ_uid56_fpCosPiTest_s IS WHEN "0" => vStagei_uid144_lzcZ_uid56_fpCosPiTest_q <= reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q; WHEN "1" => vStagei_uid144_lzcZ_uid56_fpCosPiTest_q <= reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3_q; WHEN OTHERS => vStagei_uid144_lzcZ_uid56_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --rVStage_uid146_lzcZ_uid56_fpCosPiTest(BITSELECT,145)@4 rVStage_uid146_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid144_lzcZ_uid56_fpCosPiTest_q; rVStage_uid146_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid146_lzcZ_uid56_fpCosPiTest_in(31 downto 16); --reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1(REG,250)@4 reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q <= "0000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q <= rVStage_uid146_lzcZ_uid56_fpCosPiTest_b; END IF; END IF; END PROCESS; --vCount_uid147_lzcZ_uid56_fpCosPiTest(LOGICAL,146)@5 vCount_uid147_lzcZ_uid56_fpCosPiTest_a <= reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q; vCount_uid147_lzcZ_uid56_fpCosPiTest_b <= leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest_q; vCount_uid147_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid147_lzcZ_uid56_fpCosPiTest_a = vCount_uid147_lzcZ_uid56_fpCosPiTest_b else "0"; --ld_vCount_uid147_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_e(DELAY,446)@5 ld_vCount_uid147_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_e : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => vCount_uid147_lzcZ_uid56_fpCosPiTest_q, xout => ld_vCount_uid147_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_e_q, ena => en(0), clk => clk, aclr => areset ); --vStage_uid148_lzcZ_uid56_fpCosPiTest(BITSELECT,147)@4 vStage_uid148_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid144_lzcZ_uid56_fpCosPiTest_q(15 downto 0); vStage_uid148_lzcZ_uid56_fpCosPiTest_b <= vStage_uid148_lzcZ_uid56_fpCosPiTest_in(15 downto 0); --reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3(REG,252)@4 reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3_q <= "0000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3_q <= vStage_uid148_lzcZ_uid56_fpCosPiTest_b; END IF; END IF; END PROCESS; --vStagei_uid150_lzcZ_uid56_fpCosPiTest(MUX,149)@5 vStagei_uid150_lzcZ_uid56_fpCosPiTest_s <= vCount_uid147_lzcZ_uid56_fpCosPiTest_q; vStagei_uid150_lzcZ_uid56_fpCosPiTest: PROCESS (vStagei_uid150_lzcZ_uid56_fpCosPiTest_s, en, reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q, reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3_q) BEGIN CASE vStagei_uid150_lzcZ_uid56_fpCosPiTest_s IS WHEN "0" => vStagei_uid150_lzcZ_uid56_fpCosPiTest_q <= reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q; WHEN "1" => vStagei_uid150_lzcZ_uid56_fpCosPiTest_q <= reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3_q; WHEN OTHERS => vStagei_uid150_lzcZ_uid56_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --rVStage_uid152_lzcZ_uid56_fpCosPiTest(BITSELECT,151)@5 rVStage_uid152_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid150_lzcZ_uid56_fpCosPiTest_q; rVStage_uid152_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid152_lzcZ_uid56_fpCosPiTest_in(15 downto 8); --vCount_uid153_lzcZ_uid56_fpCosPiTest(LOGICAL,152)@5 vCount_uid153_lzcZ_uid56_fpCosPiTest_a <= rVStage_uid152_lzcZ_uid56_fpCosPiTest_b; vCount_uid153_lzcZ_uid56_fpCosPiTest_b <= cstAllZWE_uid21_fpCosPiTest_q; vCount_uid153_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid153_lzcZ_uid56_fpCosPiTest_a = vCount_uid153_lzcZ_uid56_fpCosPiTest_b else "0"; --reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3(REG,256)@5 reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3_q <= vCount_uid153_lzcZ_uid56_fpCosPiTest_q; END IF; END IF; END PROCESS; --vStage_uid154_lzcZ_uid56_fpCosPiTest(BITSELECT,153)@5 vStage_uid154_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid150_lzcZ_uid56_fpCosPiTest_q(7 downto 0); vStage_uid154_lzcZ_uid56_fpCosPiTest_b <= vStage_uid154_lzcZ_uid56_fpCosPiTest_in(7 downto 0); --vStagei_uid156_lzcZ_uid56_fpCosPiTest(MUX,155)@5 vStagei_uid156_lzcZ_uid56_fpCosPiTest_s <= vCount_uid153_lzcZ_uid56_fpCosPiTest_q; vStagei_uid156_lzcZ_uid56_fpCosPiTest: PROCESS (vStagei_uid156_lzcZ_uid56_fpCosPiTest_s, en, rVStage_uid152_lzcZ_uid56_fpCosPiTest_b, vStage_uid154_lzcZ_uid56_fpCosPiTest_b) BEGIN CASE vStagei_uid156_lzcZ_uid56_fpCosPiTest_s IS WHEN "0" => vStagei_uid156_lzcZ_uid56_fpCosPiTest_q <= rVStage_uid152_lzcZ_uid56_fpCosPiTest_b; WHEN "1" => vStagei_uid156_lzcZ_uid56_fpCosPiTest_q <= vStage_uid154_lzcZ_uid56_fpCosPiTest_b; WHEN OTHERS => vStagei_uid156_lzcZ_uid56_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --rVStage_uid158_lzcZ_uid56_fpCosPiTest(BITSELECT,157)@5 rVStage_uid158_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid156_lzcZ_uid56_fpCosPiTest_q; rVStage_uid158_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid158_lzcZ_uid56_fpCosPiTest_in(7 downto 4); --reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1(REG,253)@5 reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q <= "0000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q <= rVStage_uid158_lzcZ_uid56_fpCosPiTest_b; END IF; END IF; END PROCESS; --vCount_uid159_lzcZ_uid56_fpCosPiTest(LOGICAL,158)@6 vCount_uid159_lzcZ_uid56_fpCosPiTest_a <= reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q; vCount_uid159_lzcZ_uid56_fpCosPiTest_b <= leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest_q; vCount_uid159_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid159_lzcZ_uid56_fpCosPiTest_a = vCount_uid159_lzcZ_uid56_fpCosPiTest_b else "0"; --vStage_uid160_lzcZ_uid56_fpCosPiTest(BITSELECT,159)@5 vStage_uid160_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid156_lzcZ_uid56_fpCosPiTest_q(3 downto 0); vStage_uid160_lzcZ_uid56_fpCosPiTest_b <= vStage_uid160_lzcZ_uid56_fpCosPiTest_in(3 downto 0); --reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3(REG,255)@5 reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3_q <= "0000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3_q <= vStage_uid160_lzcZ_uid56_fpCosPiTest_b; END IF; END IF; END PROCESS; --vStagei_uid162_lzcZ_uid56_fpCosPiTest(MUX,161)@6 vStagei_uid162_lzcZ_uid56_fpCosPiTest_s <= vCount_uid159_lzcZ_uid56_fpCosPiTest_q; vStagei_uid162_lzcZ_uid56_fpCosPiTest: PROCESS (vStagei_uid162_lzcZ_uid56_fpCosPiTest_s, en, reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q, reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3_q) BEGIN CASE vStagei_uid162_lzcZ_uid56_fpCosPiTest_s IS WHEN "0" => vStagei_uid162_lzcZ_uid56_fpCosPiTest_q <= reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q; WHEN "1" => vStagei_uid162_lzcZ_uid56_fpCosPiTest_q <= reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3_q; WHEN OTHERS => vStagei_uid162_lzcZ_uid56_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --rVStage_uid164_lzcZ_uid56_fpCosPiTest(BITSELECT,163)@6 rVStage_uid164_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid162_lzcZ_uid56_fpCosPiTest_q; rVStage_uid164_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid164_lzcZ_uid56_fpCosPiTest_in(3 downto 2); --vCount_uid165_lzcZ_uid56_fpCosPiTest(LOGICAL,164)@6 vCount_uid165_lzcZ_uid56_fpCosPiTest_a <= rVStage_uid164_lzcZ_uid56_fpCosPiTest_b; vCount_uid165_lzcZ_uid56_fpCosPiTest_b <= leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest_q; vCount_uid165_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid165_lzcZ_uid56_fpCosPiTest_a = vCount_uid165_lzcZ_uid56_fpCosPiTest_b else "0"; --vStage_uid166_lzcZ_uid56_fpCosPiTest(BITSELECT,165)@6 vStage_uid166_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid162_lzcZ_uid56_fpCosPiTest_q(1 downto 0); vStage_uid166_lzcZ_uid56_fpCosPiTest_b <= vStage_uid166_lzcZ_uid56_fpCosPiTest_in(1 downto 0); --vStagei_uid168_lzcZ_uid56_fpCosPiTest(MUX,167)@6 vStagei_uid168_lzcZ_uid56_fpCosPiTest_s <= vCount_uid165_lzcZ_uid56_fpCosPiTest_q; vStagei_uid168_lzcZ_uid56_fpCosPiTest: PROCESS (vStagei_uid168_lzcZ_uid56_fpCosPiTest_s, en, rVStage_uid164_lzcZ_uid56_fpCosPiTest_b, vStage_uid166_lzcZ_uid56_fpCosPiTest_b) BEGIN CASE vStagei_uid168_lzcZ_uid56_fpCosPiTest_s IS WHEN "0" => vStagei_uid168_lzcZ_uid56_fpCosPiTest_q <= rVStage_uid164_lzcZ_uid56_fpCosPiTest_b; WHEN "1" => vStagei_uid168_lzcZ_uid56_fpCosPiTest_q <= vStage_uid166_lzcZ_uid56_fpCosPiTest_b; WHEN OTHERS => vStagei_uid168_lzcZ_uid56_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --rVStage_uid170_lzcZ_uid56_fpCosPiTest(BITSELECT,169)@6 rVStage_uid170_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid168_lzcZ_uid56_fpCosPiTest_q; rVStage_uid170_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid170_lzcZ_uid56_fpCosPiTest_in(1 downto 1); --vCount_uid171_lzcZ_uid56_fpCosPiTest(LOGICAL,170)@6 vCount_uid171_lzcZ_uid56_fpCosPiTest_a <= rVStage_uid170_lzcZ_uid56_fpCosPiTest_b; vCount_uid171_lzcZ_uid56_fpCosPiTest_b <= GND_q; vCount_uid171_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid171_lzcZ_uid56_fpCosPiTest_a = vCount_uid171_lzcZ_uid56_fpCosPiTest_b else "0"; --r_uid172_lzcZ_uid56_fpCosPiTest(BITJOIN,171)@6 r_uid172_lzcZ_uid56_fpCosPiTest_q <= ld_vCount_uid139_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_f_q & ld_vCount_uid147_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_e_q & reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3_q & vCount_uid159_lzcZ_uid56_fpCosPiTest_q & vCount_uid165_lzcZ_uid56_fpCosPiTest_q & vCount_uid171_lzcZ_uid56_fpCosPiTest_q; --leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest(BITSELECT,181)@6 leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_in <= r_uid172_lzcZ_uid56_fpCosPiTest_q; leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_b <= leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_in(5 downto 4); --leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest(MUX,182)@6 leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_s <= leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_b; leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest: PROCESS (leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_s, en, ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_q, leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_q, leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_q, cstZwSwF_uid15_fpCosPiTest_q) BEGIN CASE leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_s IS WHEN "00" => leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q <= ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_q; WHEN "01" => leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_q; WHEN "10" => leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_q; WHEN "11" => leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q <= cstZwSwF_uid15_fpCosPiTest_q; WHEN OTHERS => leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest(BITSELECT,190)@6 LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q(22 downto 0); LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_in(22 downto 0); --leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest(BITJOIN,191)@6 leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_b & leftShiftStage1Idx3Pad12_uid120_fxpX_uid41_fpCosPiTest_q; --reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5(REG,261)@6 reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5_q <= "00000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5_q <= leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_q; END IF; END IF; END PROCESS; --LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest(BITSELECT,187)@6 LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q(26 downto 0); LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_in(26 downto 0); --leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest(BITJOIN,188)@6 leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_b & cstAllZWE_uid21_fpCosPiTest_q; --reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4(REG,260)@6 reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4_q <= "00000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4_q <= leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_q; END IF; END IF; END PROCESS; --LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest(BITSELECT,184)@6 LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q(30 downto 0); LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_in(30 downto 0); --leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest(BITJOIN,185)@6 leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_b & leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest_q; --reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3(REG,259)@6 reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3_q <= "00000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3_q <= leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_q; END IF; END IF; END PROCESS; --reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2(REG,258)@6 reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2_q <= "00000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2_q <= leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q; END IF; END IF; END PROCESS; --leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest(BITSELECT,192)@6 leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_in <= r_uid172_lzcZ_uid56_fpCosPiTest_q(3 downto 0); leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_b <= leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_in(3 downto 2); --reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1(REG,257)@6 reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1_q <= leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_b; END IF; END IF; END PROCESS; --leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest(MUX,193)@7 leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_s <= reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1_q; leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest: PROCESS (leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_s, en, reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2_q, reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3_q, reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4_q, reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5_q) BEGIN CASE leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_s IS WHEN "00" => leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q <= reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2_q; WHEN "01" => leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q <= reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3_q; WHEN "10" => leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q <= reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4_q; WHEN "11" => leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q <= reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5_q; WHEN OTHERS => leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest(BITSELECT,203)@6 leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_in <= r_uid172_lzcZ_uid56_fpCosPiTest_q(1 downto 0); leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_b <= leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_in(1 downto 0); --reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1(REG,262)@6 reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1_q <= leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_b; END IF; END IF; END PROCESS; --leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest(MUX,204)@7 leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_s <= reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1_q; leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest: PROCESS (leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_s, en, leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q, leftShiftStage2Idx1_uid197_alignedZ_uid57_fpCosPiTest_q, leftShiftStage2Idx2_uid200_alignedZ_uid57_fpCosPiTest_q, leftShiftStage2Idx3_uid203_alignedZ_uid57_fpCosPiTest_q) BEGIN CASE leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_s IS WHEN "00" => leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q; WHEN "01" => leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage2Idx1_uid197_alignedZ_uid57_fpCosPiTest_q; WHEN "10" => leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage2Idx2_uid200_alignedZ_uid57_fpCosPiTest_q; WHEN "11" => leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage2Idx3_uid203_alignedZ_uid57_fpCosPiTest_q; WHEN OTHERS => leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --alignedZLow_uid58_fpCosPiTest(BITSELECT,57)@7 alignedZLow_uid58_fpCosPiTest_in <= leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q; alignedZLow_uid58_fpCosPiTest_b <= alignedZLow_uid58_fpCosPiTest_in(34 downto 11); --ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_inputreg(DELAY,612) ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_inputreg : dspba_delay GENERIC MAP ( width => 24, depth => 1 ) PORT MAP ( xin => alignedZLow_uid58_fpCosPiTest_b, xout => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem(DUALMEM,613) ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_reset0 <= areset; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ia <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_inputreg_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_aa <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ab <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 24, widthad_a => 2, numwords_a => 3, width_b => 24, widthad_b => 2, numwords_b => 3, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_reset0, clock1 => clk, address_b => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_iq, address_a => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_aa, data_a => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ia ); ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_q <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_iq(23 downto 0); --reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0(REG,269)@12 reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_q <= "000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_q <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_q; END IF; END IF; END PROCESS; --prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest(MULT,222)@13 prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_pr <= UNSIGNED(prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_a) * UNSIGNED(prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_b); prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_a <= (others => '0'); prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_b <= (others => '0'); prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_a <= reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_q; prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_b <= reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1_q; prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_s1 <= STD_LOGIC_VECTOR(prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_pr); END IF; END IF; END PROCESS; prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_q <= prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest(BITSELECT,223)@16 prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_in <= prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_q; prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_b <= prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_in(48 downto 23); --normBit_uid67_fpCosPiTest(BITSELECT,66)@16 normBit_uid67_fpCosPiTest_in <= prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_b; normBit_uid67_fpCosPiTest_b <= normBit_uid67_fpCosPiTest_in(25 downto 25); --cstAllZWF_uid9_fpCosPiTest(CONSTANT,8) cstAllZWF_uid9_fpCosPiTest_q <= "00000000000000000000000"; --rndExpUpdate_uid72_uid73_fpCosPiTest(BITJOIN,72)@16 rndExpUpdate_uid72_uid73_fpCosPiTest_q <= normBit_uid67_fpCosPiTest_b & cstAllZWF_uid9_fpCosPiTest_q & VCC_q; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor(LOGICAL,558) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_b <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_q <= not (ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_a or ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_b); --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena(REG,559) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_q = "1") THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd(LOGICAL,560) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_b <= en; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_a and ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_b; --reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1(REG,271)@6 reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q <= "000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q <= r_uid172_lzcZ_uid56_fpCosPiTest_q; END IF; END IF; END PROCESS; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem(DUALMEM,549) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_reset0 <= areset; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ia <= reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_aa <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ab <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 6, widthad_a => 3, numwords_a => 7, width_b => 6, widthad_b => 3, numwords_b => 7, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_reset0, clock1 => clk, address_b => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_iq, address_a => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_aa, data_a => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ia ); ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_iq(5 downto 0); --cstBiasM1_uid11_fpCosPiTest(CONSTANT,10) cstBiasM1_uid11_fpCosPiTest_q <= "01111110"; --expHardCase_uid59_fpCosPiTest(SUB,58)@15 expHardCase_uid59_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & cstBiasM1_uid11_fpCosPiTest_q); expHardCase_uid59_fpCosPiTest_b <= STD_LOGIC_VECTOR("000" & ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_q); expHardCase_uid59_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expHardCase_uid59_fpCosPiTest_a) - UNSIGNED(expHardCase_uid59_fpCosPiTest_b)); expHardCase_uid59_fpCosPiTest_q <= expHardCase_uid59_fpCosPiTest_o(8 downto 0); --expP_uid60_fpCosPiTest(BITSELECT,59)@15 expP_uid60_fpCosPiTest_in <= expHardCase_uid59_fpCosPiTest_q(7 downto 0); expP_uid60_fpCosPiTest_b <= expP_uid60_fpCosPiTest_in(7 downto 0); --reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1(REG,272)@15 reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1_q <= expP_uid60_fpCosPiTest_b; END IF; END IF; END PROCESS; --highRes_uid68_fpCosPiTest(BITSELECT,67)@16 highRes_uid68_fpCosPiTest_in <= prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_b(24 downto 0); highRes_uid68_fpCosPiTest_b <= highRes_uid68_fpCosPiTest_in(24 downto 1); --lowRes_uid69_fpCosPiTest(BITSELECT,68)@16 lowRes_uid69_fpCosPiTest_in <= prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_b(23 downto 0); lowRes_uid69_fpCosPiTest_b <= lowRes_uid69_fpCosPiTest_in(23 downto 0); --fracRCompPreRnd_uid70_fpCosPiTest(MUX,69)@16 fracRCompPreRnd_uid70_fpCosPiTest_s <= normBit_uid67_fpCosPiTest_b; fracRCompPreRnd_uid70_fpCosPiTest: PROCESS (fracRCompPreRnd_uid70_fpCosPiTest_s, en, lowRes_uid69_fpCosPiTest_b, highRes_uid68_fpCosPiTest_b) BEGIN CASE fracRCompPreRnd_uid70_fpCosPiTest_s IS WHEN "0" => fracRCompPreRnd_uid70_fpCosPiTest_q <= lowRes_uid69_fpCosPiTest_b; WHEN "1" => fracRCompPreRnd_uid70_fpCosPiTest_q <= highRes_uid68_fpCosPiTest_b; WHEN OTHERS => fracRCompPreRnd_uid70_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --expFracPreRnd_uid71_uid71_fpCosPiTest(BITJOIN,70)@16 expFracPreRnd_uid71_uid71_fpCosPiTest_q <= reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1_q & fracRCompPreRnd_uid70_fpCosPiTest_q; --expFracComp_uid74_fpCosPiTest(ADD,73)@16 expFracComp_uid74_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & expFracPreRnd_uid71_uid71_fpCosPiTest_q); expFracComp_uid74_fpCosPiTest_b <= STD_LOGIC_VECTOR("00000000" & rndExpUpdate_uid72_uid73_fpCosPiTest_q); expFracComp_uid74_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expFracComp_uid74_fpCosPiTest_a) + UNSIGNED(expFracComp_uid74_fpCosPiTest_b)); expFracComp_uid74_fpCosPiTest_q <= expFracComp_uid74_fpCosPiTest_o(32 downto 0); --expRComp_uid76_fpCosPiTest(BITSELECT,75)@16 expRComp_uid76_fpCosPiTest_in <= expFracComp_uid74_fpCosPiTest_q(31 downto 0); expRComp_uid76_fpCosPiTest_b <= expRComp_uid76_fpCosPiTest_in(31 downto 24); --fracXIsZero_uid27_fpCosPiTest(LOGICAL,26)@0 fracXIsZero_uid27_fpCosPiTest_a <= fracX_uid7_fpCosPiTest_b; fracXIsZero_uid27_fpCosPiTest_b <= cstAllZWF_uid9_fpCosPiTest_q; fracXIsZero_uid27_fpCosPiTest_q <= "1" when fracXIsZero_uid27_fpCosPiTest_a = fracXIsZero_uid27_fpCosPiTest_b else "0"; --InvFracXIsZero_uid29_fpCosPiTest(LOGICAL,28)@0 InvFracXIsZero_uid29_fpCosPiTest_a <= fracXIsZero_uid27_fpCosPiTest_q; InvFracXIsZero_uid29_fpCosPiTest_q <= not InvFracXIsZero_uid29_fpCosPiTest_a; --expXIsMax_uid25_fpCosPiTest(LOGICAL,24)@0 expXIsMax_uid25_fpCosPiTest_a <= expX_uid6_fpCosPiTest_b; expXIsMax_uid25_fpCosPiTest_b <= cstAllOWE_uid8_fpCosPiTest_q; expXIsMax_uid25_fpCosPiTest_q <= "1" when expXIsMax_uid25_fpCosPiTest_a = expXIsMax_uid25_fpCosPiTest_b else "0"; --exc_N_uid30_fpCosPiTest(LOGICAL,29)@0 exc_N_uid30_fpCosPiTest_a <= expXIsMax_uid25_fpCosPiTest_q; exc_N_uid30_fpCosPiTest_b <= InvFracXIsZero_uid29_fpCosPiTest_q; exc_N_uid30_fpCosPiTest_q <= exc_N_uid30_fpCosPiTest_a and exc_N_uid30_fpCosPiTest_b; --InvExc_N_uid31_fpCosPiTest(LOGICAL,30)@0 InvExc_N_uid31_fpCosPiTest_a <= exc_N_uid30_fpCosPiTest_q; InvExc_N_uid31_fpCosPiTest_q <= not InvExc_N_uid31_fpCosPiTest_a; --exc_I_uid28_fpCosPiTest(LOGICAL,27)@0 exc_I_uid28_fpCosPiTest_a <= expXIsMax_uid25_fpCosPiTest_q; exc_I_uid28_fpCosPiTest_b <= fracXIsZero_uid27_fpCosPiTest_q; exc_I_uid28_fpCosPiTest_q <= exc_I_uid28_fpCosPiTest_a and exc_I_uid28_fpCosPiTest_b; --InvExc_I_uid32_fpCosPiTest(LOGICAL,31)@0 InvExc_I_uid32_fpCosPiTest_a <= exc_I_uid28_fpCosPiTest_q; InvExc_I_uid32_fpCosPiTest_q <= not InvExc_I_uid32_fpCosPiTest_a; --expXIsZero_uid23_fpCosPiTest(LOGICAL,22)@0 expXIsZero_uid23_fpCosPiTest_a <= expX_uid6_fpCosPiTest_b; expXIsZero_uid23_fpCosPiTest_b <= cstAllZWE_uid21_fpCosPiTest_q; expXIsZero_uid23_fpCosPiTest_q <= "1" when expXIsZero_uid23_fpCosPiTest_a = expXIsZero_uid23_fpCosPiTest_b else "0"; --InvExpXIsZero_uid33_fpCosPiTest(LOGICAL,32)@0 InvExpXIsZero_uid33_fpCosPiTest_a <= expXIsZero_uid23_fpCosPiTest_q; InvExpXIsZero_uid33_fpCosPiTest_q <= not InvExpXIsZero_uid33_fpCosPiTest_a; --exc_R_uid34_fpCosPiTest(LOGICAL,33)@0 exc_R_uid34_fpCosPiTest_a <= InvExpXIsZero_uid33_fpCosPiTest_q; exc_R_uid34_fpCosPiTest_b <= InvExc_I_uid32_fpCosPiTest_q; exc_R_uid34_fpCosPiTest_c <= InvExc_N_uid31_fpCosPiTest_q; exc_R_uid34_fpCosPiTest_q <= exc_R_uid34_fpCosPiTest_a and exc_R_uid34_fpCosPiTest_b and exc_R_uid34_fpCosPiTest_c; --ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a(DELAY,337)@0 ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => exc_R_uid34_fpCosPiTest_q, xout => ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --xIsHalf_uid83_fpCosPiTest(LOGICAL,82)@2 xIsHalf_uid83_fpCosPiTest_a <= ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a_q; xIsHalf_uid83_fpCosPiTest_b <= fxpXFracHalf_uid46_fpCosPiTest_q; xIsHalf_uid83_fpCosPiTest_c <= InvCosXIsOne_uid77_fpCosPiTest_q; xIsHalf_uid83_fpCosPiTest_d <= InvXEvenInt_uid81_fpCosPiTest_q; xIsHalf_uid83_fpCosPiTest_q <= xIsHalf_uid83_fpCosPiTest_a and xIsHalf_uid83_fpCosPiTest_b and xIsHalf_uid83_fpCosPiTest_c and xIsHalf_uid83_fpCosPiTest_d; --ld_xIsHalf_uid83_fpCosPiTest_q_to_expRPostExc1_uid91_fpCosPiTest_b(DELAY,355)@2 ld_xIsHalf_uid83_fpCosPiTest_q_to_expRPostExc1_uid91_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 14 ) PORT MAP ( xin => xIsHalf_uid83_fpCosPiTest_q, xout => ld_xIsHalf_uid83_fpCosPiTest_q_to_expRPostExc1_uid91_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --expRPostExc1_uid91_fpCosPiTest(MUX,90)@16 expRPostExc1_uid91_fpCosPiTest_s <= ld_xIsHalf_uid83_fpCosPiTest_q_to_expRPostExc1_uid91_fpCosPiTest_b_q; expRPostExc1_uid91_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN expRPostExc1_uid91_fpCosPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE expRPostExc1_uid91_fpCosPiTest_s IS WHEN "0" => expRPostExc1_uid91_fpCosPiTest_q <= expRComp_uid76_fpCosPiTest_b; WHEN "1" => expRPostExc1_uid91_fpCosPiTest_q <= cstAllZWE_uid21_fpCosPiTest_q; WHEN OTHERS => expRPostExc1_uid91_fpCosPiTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor(LOGICAL,570) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_b <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_q <= not (ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_a or ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_b); --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_mem_top(CONSTANT,566) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_mem_top_q <= "01100"; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp(LOGICAL,567) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_a <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_mem_top_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q); ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_q <= "1" when ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_a = ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_b else "0"; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg(REG,568) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_q; END IF; END IF; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena(REG,571) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_q = "1") THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd(LOGICAL,572) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_a <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_b <= en; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_a and ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_b; --ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b(DELAY,359)@0 ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => expXIsZero_uid23_fpCosPiTest_q, xout => ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --fxpXFracZero_uid45_fpCosPiTest(LOGICAL,44)@2 fxpXFracZero_uid45_fpCosPiTest_a <= reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q; fxpXFracZero_uid45_fpCosPiTest_b <= STD_LOGIC_VECTOR("0" & cstZwSwF_uid15_fpCosPiTest_q); fxpXFracZero_uid45_fpCosPiTest_q <= "1" when fxpXFracZero_uid45_fpCosPiTest_a = fxpXFracZero_uid45_fpCosPiTest_b else "0"; --fracZCosNotOne_uid78_fpCosPiTest(LOGICAL,77)@2 fracZCosNotOne_uid78_fpCosPiTest_a <= fxpXFracZero_uid45_fpCosPiTest_q; fracZCosNotOne_uid78_fpCosPiTest_b <= InvCosXIsOne_uid77_fpCosPiTest_q; fracZCosNotOne_uid78_fpCosPiTest_q <= fracZCosNotOne_uid78_fpCosPiTest_a and fracZCosNotOne_uid78_fpCosPiTest_b; --evenIntCosNotOneFZ_uid79_fpCosPiTest(LOGICAL,78)@2 evenIntCosNotOneFZ_uid79_fpCosPiTest_a <= ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a_q; evenIntCosNotOneFZ_uid79_fpCosPiTest_b <= fracZCosNotOne_uid78_fpCosPiTest_q; evenIntCosNotOneFZ_uid79_fpCosPiTest_q <= evenIntCosNotOneFZ_uid79_fpCosPiTest_a or evenIntCosNotOneFZ_uid79_fpCosPiTest_b; --xIsInt_uid80_fpCosPiTest(LOGICAL,79)@2 xIsInt_uid80_fpCosPiTest_a <= ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a_q; xIsInt_uid80_fpCosPiTest_b <= evenIntCosNotOneFZ_uid79_fpCosPiTest_q; xIsInt_uid80_fpCosPiTest_q <= xIsInt_uid80_fpCosPiTest_a and xIsInt_uid80_fpCosPiTest_b; --xIntOrXZOrCosOne_uid93_fpCosPiTest(LOGICAL,92)@2 xIntOrXZOrCosOne_uid93_fpCosPiTest_a <= xIsInt_uid80_fpCosPiTest_q; xIntOrXZOrCosOne_uid93_fpCosPiTest_b <= ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b_q; xIntOrXZOrCosOne_uid93_fpCosPiTest_c <= ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a_q; xIntOrXZOrCosOne_uid93_fpCosPiTest_q <= xIntOrXZOrCosOne_uid93_fpCosPiTest_a or xIntOrXZOrCosOne_uid93_fpCosPiTest_b or xIntOrXZOrCosOne_uid93_fpCosPiTest_c; --excRNaN_uid84_fpCosPiTest(LOGICAL,83)@0 excRNaN_uid84_fpCosPiTest_a <= exc_N_uid30_fpCosPiTest_q; excRNaN_uid84_fpCosPiTest_b <= exc_I_uid28_fpCosPiTest_q; excRNaN_uid84_fpCosPiTest_q <= excRNaN_uid84_fpCosPiTest_a or excRNaN_uid84_fpCosPiTest_b; --rInfOrNaN_uid92_fpCosPiTest(LOGICAL,91)@0 rInfOrNaN_uid92_fpCosPiTest_a <= GND_q; rInfOrNaN_uid92_fpCosPiTest_b <= excRNaN_uid84_fpCosPiTest_q; rInfOrNaN_uid92_fpCosPiTest_q <= rInfOrNaN_uid92_fpCosPiTest_a or rInfOrNaN_uid92_fpCosPiTest_b; --ld_rInfOrNaN_uid92_fpCosPiTest_q_to_join_uid94_fpCosPiTest_a(DELAY,361)@0 ld_rInfOrNaN_uid92_fpCosPiTest_q_to_join_uid94_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => rInfOrNaN_uid92_fpCosPiTest_q, xout => ld_rInfOrNaN_uid92_fpCosPiTest_q_to_join_uid94_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --join_uid94_fpCosPiTest(BITJOIN,93)@2 join_uid94_fpCosPiTest_q <= xIntOrXZOrCosOne_uid93_fpCosPiTest_q & ld_rInfOrNaN_uid92_fpCosPiTest_q_to_join_uid94_fpCosPiTest_a_q; --reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1(REG,274)@2 reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q <= join_uid94_fpCosPiTest_q; END IF; END IF; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt(COUNTER,562) -- every=1, low=0, high=12, step=1, init=1 ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,4); ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i = 11 THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_eq <= '1'; ELSE ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_eq <= '0'; END IF; IF (ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_eq = '1') THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i - 12; ELSE ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i,4)); --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg(REG,563) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q <= "0000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux(MUX,564) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_s <= en; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux: PROCESS (ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_s, ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q, ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_q) BEGIN CASE ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_s IS WHEN "0" => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q; WHEN "1" => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_q; WHEN OTHERS => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem(DUALMEM,561) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_reset0 <= areset; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ia <= reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_aa <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ab <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 2, widthad_a => 4, numwords_a => 13, width_b => 2, widthad_b => 4, numwords_b => 13, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_reset0, clock1 => clk, address_b => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_iq, address_a => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_aa, data_a => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ia ); ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_iq(1 downto 0); --expRPostExc_uid95_fpCosPiTest(MUX,94)@17 expRPostExc_uid95_fpCosPiTest_s <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_q; expRPostExc_uid95_fpCosPiTest: PROCESS (expRPostExc_uid95_fpCosPiTest_s, en, expRPostExc1_uid91_fpCosPiTest_q, cstAllOWE_uid8_fpCosPiTest_q, cstBias_uid10_fpCosPiTest_q, cstBias_uid10_fpCosPiTest_q) BEGIN CASE expRPostExc_uid95_fpCosPiTest_s IS WHEN "00" => expRPostExc_uid95_fpCosPiTest_q <= expRPostExc1_uid91_fpCosPiTest_q; WHEN "01" => expRPostExc_uid95_fpCosPiTest_q <= cstAllOWE_uid8_fpCosPiTest_q; WHEN "10" => expRPostExc_uid95_fpCosPiTest_q <= cstBias_uid10_fpCosPiTest_q; WHEN "11" => expRPostExc_uid95_fpCosPiTest_q <= cstBias_uid10_fpCosPiTest_q; WHEN OTHERS => expRPostExc_uid95_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --oneFracRPostExc2_uid87_fpCosPiTest(CONSTANT,86) oneFracRPostExc2_uid87_fpCosPiTest_q <= "00000000000000000000001"; --fracRComp_uid75_fpCosPiTest(BITSELECT,74)@16 fracRComp_uid75_fpCosPiTest_in <= expFracComp_uid74_fpCosPiTest_q(23 downto 0); fracRComp_uid75_fpCosPiTest_b <= fracRComp_uid75_fpCosPiTest_in(23 downto 1); --reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5(REG,243)@2 reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5_q <= xIsHalf_uid83_fpCosPiTest_q; END IF; END IF; END PROCESS; --reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4(REG,242)@2 reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4_q <= ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b_q; END IF; END IF; END PROCESS; --reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3(REG,241)@2 reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3_q <= fxpXFracHalf_uid46_fpCosPiTest_q; END IF; END IF; END PROCESS; --reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2(REG,240)@2 reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2_q <= xIsInt_uid80_fpCosPiTest_q; END IF; END IF; END PROCESS; --reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1(REG,239)@0 reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q <= cosXIsOne_uid36_fpCosPiTest_c; END IF; END IF; END PROCESS; --ld_reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q_to_bigCond_uid85_fpCosPiTest_a(DELAY,346)@1 ld_reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q_to_bigCond_uid85_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q, xout => ld_reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q_to_bigCond_uid85_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --bigCond_uid85_fpCosPiTest(LOGICAL,84)@3 bigCond_uid85_fpCosPiTest_a <= ld_reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q_to_bigCond_uid85_fpCosPiTest_a_q; bigCond_uid85_fpCosPiTest_b <= reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2_q; bigCond_uid85_fpCosPiTest_c <= reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3_q; bigCond_uid85_fpCosPiTest_d <= reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4_q; bigCond_uid85_fpCosPiTest_f <= reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5_q; bigCond_uid85_fpCosPiTest_g <= GND_q; bigCond_uid85_fpCosPiTest_q <= bigCond_uid85_fpCosPiTest_a or bigCond_uid85_fpCosPiTest_b or bigCond_uid85_fpCosPiTest_c or bigCond_uid85_fpCosPiTest_d or bigCond_uid85_fpCosPiTest_f or bigCond_uid85_fpCosPiTest_g; --ld_bigCond_uid85_fpCosPiTest_q_to_fracRPostExc1_uid86_fpCosPiTest_b(DELAY,351)@3 ld_bigCond_uid85_fpCosPiTest_q_to_fracRPostExc1_uid86_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 13 ) PORT MAP ( xin => bigCond_uid85_fpCosPiTest_q, xout => ld_bigCond_uid85_fpCosPiTest_q_to_fracRPostExc1_uid86_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --fracRPostExc1_uid86_fpCosPiTest(MUX,85)@16 fracRPostExc1_uid86_fpCosPiTest_s <= ld_bigCond_uid85_fpCosPiTest_q_to_fracRPostExc1_uid86_fpCosPiTest_b_q; fracRPostExc1_uid86_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN fracRPostExc1_uid86_fpCosPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE fracRPostExc1_uid86_fpCosPiTest_s IS WHEN "0" => fracRPostExc1_uid86_fpCosPiTest_q <= fracRComp_uid75_fpCosPiTest_b; WHEN "1" => fracRPostExc1_uid86_fpCosPiTest_q <= cstAllZWF_uid9_fpCosPiTest_q; WHEN OTHERS => fracRPostExc1_uid86_fpCosPiTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1(REG,273)@0 reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q <= excRNaN_uid84_fpCosPiTest_q; END IF; END IF; END PROCESS; --ld_reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q_to_fracRPostExc_uid88_fpCosPiTest_b(DELAY,353)@1 ld_reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q_to_fracRPostExc_uid88_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 16 ) PORT MAP ( xin => reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q, xout => ld_reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q_to_fracRPostExc_uid88_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --fracRPostExc_uid88_fpCosPiTest(MUX,87)@17 fracRPostExc_uid88_fpCosPiTest_s <= ld_reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q_to_fracRPostExc_uid88_fpCosPiTest_b_q; fracRPostExc_uid88_fpCosPiTest: PROCESS (fracRPostExc_uid88_fpCosPiTest_s, en, fracRPostExc1_uid86_fpCosPiTest_q, oneFracRPostExc2_uid87_fpCosPiTest_q) BEGIN CASE fracRPostExc_uid88_fpCosPiTest_s IS WHEN "0" => fracRPostExc_uid88_fpCosPiTest_q <= fracRPostExc1_uid86_fpCosPiTest_q; WHEN "1" => fracRPostExc_uid88_fpCosPiTest_q <= oneFracRPostExc2_uid87_fpCosPiTest_q; WHEN OTHERS => fracRPostExc_uid88_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --R_uid102_fpCosPiTest(BITJOIN,101)@17 R_uid102_fpCosPiTest_q <= ld_signR_uid101_fpCosPiTest_q_to_R_uid102_fpCosPiTest_c_q & expRPostExc_uid95_fpCosPiTest_q & fracRPostExc_uid88_fpCosPiTest_q; --xOut(GPOUT,4)@17 q <= R_uid102_fpCosPiTest_q; end normal;
mit
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
Dilation/ip/Dilation/fp_cospi_s5.vhd
10
259954
----------------------------------------------------------------------------- -- Altera DSP Builder Advanced Flow Tools Release Version 13.1 -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing device programming or simulation files), and -- any associated documentation or information are expressly subject to the -- terms and conditions of the Altera Program License Subscription Agreement, -- Altera MegaCore Function License Agreement, or other applicable license -- agreement, including, without limitation, that your use is for the sole -- purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. ----------------------------------------------------------------------------- -- VHDL created from fp_cospi_s5 -- VHDL created on Wed Feb 27 15:22:33 2013 library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; use std.TextIO.all; use work.dspba_library_package.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; LIBRARY lpm; USE lpm.lpm_components.all; entity fp_cospi_s5 is port ( a : in std_logic_vector(31 downto 0); en : in std_logic_vector(0 downto 0); q : out std_logic_vector(31 downto 0); clk : in std_logic; areset : in std_logic ); end; architecture normal of fp_cospi_s5 is attribute altera_attribute : string; attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410"; signal GND_q : std_logic_vector (0 downto 0); signal VCC_q : std_logic_vector (0 downto 0); signal cstAllOWE_uid8_fpCosPiTest_q : std_logic_vector (7 downto 0); signal cstAllZWF_uid9_fpCosPiTest_q : std_logic_vector (22 downto 0); signal cstBias_uid10_fpCosPiTest_q : std_logic_vector (7 downto 0); signal cstBiasM1_uid11_fpCosPiTest_q : std_logic_vector (7 downto 0); signal cstBiasPwF_uid12_fpCosPiTest_q : std_logic_vector (7 downto 0); signal biasMwShiftMO_uid13_fpCosPiTest_q : std_logic_vector (7 downto 0); signal cst01pWShift_uid14_fpCosPiTest_q : std_logic_vector (12 downto 0); signal cstZwSwF_uid15_fpCosPiTest_q : std_logic_vector (34 downto 0); signal cstAllZWE_uid21_fpCosPiTest_q : std_logic_vector (7 downto 0); signal rangeReducedFxPX_uid51_fpCosPiTest_s : std_logic_vector (0 downto 0); signal rangeReducedFxPX_uid51_fpCosPiTest_q : std_logic_vector (35 downto 0); signal fracRPostExc1_uid86_fpCosPiTest_s : std_logic_vector (0 downto 0); signal fracRPostExc1_uid86_fpCosPiTest_q : std_logic_vector (22 downto 0); signal oneFracRPostExc2_uid87_fpCosPiTest_q : std_logic_vector (22 downto 0); signal expRPostExc1_uid91_fpCosPiTest_s : std_logic_vector (0 downto 0); signal expRPostExc1_uid91_fpCosPiTest_q : std_logic_vector (7 downto 0); signal signRComp_uid99_fpCosPiTest_a : std_logic_vector(0 downto 0); signal signRComp_uid99_fpCosPiTest_b : std_logic_vector(0 downto 0); signal signRComp_uid99_fpCosPiTest_c : std_logic_vector(0 downto 0); signal signRComp_uid99_fpCosPiTest_q : std_logic_vector(0 downto 0); signal signR_uid101_fpCosPiTest_a : std_logic_vector(0 downto 0); signal signR_uid101_fpCosPiTest_b : std_logic_vector(0 downto 0); signal signR_uid101_fpCosPiTest_q : std_logic_vector(0 downto 0); signal leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest_q : std_logic_vector (15 downto 0); signal leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest_q : std_logic_vector (31 downto 0); signal leftShiftStage0Idx3_uid111_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest_q : std_logic_vector (3 downto 0); signal leftShiftStage1Idx3Pad12_uid120_fxpX_uid41_fpCosPiTest_q : std_logic_vector (11 downto 0); signal leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest_q : std_logic_vector (1 downto 0); signal leftShiftStage2Idx3Pad3_uid131_fxpX_uid41_fpCosPiTest_q : std_logic_vector (2 downto 0); signal mO_uid140_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (28 downto 0); signal memoryC2_uid209_sinPiZTableGenerator_q : std_logic_vector(13 downto 0); signal prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_a : std_logic_vector (23 downto 0); signal prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_b : std_logic_vector (24 downto 0); signal prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_s1 : std_logic_vector (48 downto 0); signal prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_pr : UNSIGNED (48 downto 0); signal prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_q : std_logic_vector (48 downto 0); signal prodXY_uid226_pT1_uid211_sinPiZPolyEval_a : std_logic_vector (13 downto 0); signal prodXY_uid226_pT1_uid211_sinPiZPolyEval_b : std_logic_vector (13 downto 0); signal prodXY_uid226_pT1_uid211_sinPiZPolyEval_s1 : std_logic_vector (27 downto 0); signal prodXY_uid226_pT1_uid211_sinPiZPolyEval_pr : SIGNED (28 downto 0); signal prodXY_uid226_pT1_uid211_sinPiZPolyEval_q : std_logic_vector (27 downto 0); signal prodXY_uid229_pT2_uid217_sinPiZPolyEval_a : std_logic_vector (15 downto 0); signal prodXY_uid229_pT2_uid217_sinPiZPolyEval_b : std_logic_vector (22 downto 0); signal prodXY_uid229_pT2_uid217_sinPiZPolyEval_s1 : std_logic_vector (38 downto 0); signal prodXY_uid229_pT2_uid217_sinPiZPolyEval_pr : SIGNED (39 downto 0); signal prodXY_uid229_pT2_uid217_sinPiZPolyEval_q : std_logic_vector (38 downto 0); signal reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1_q : std_logic_vector (1 downto 0); signal reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2_q : std_logic_vector (36 downto 0); signal reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3_q : std_logic_vector (36 downto 0); signal reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4_q : std_logic_vector (36 downto 0); signal reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5_q : std_logic_vector (36 downto 0); signal reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1_q : std_logic_vector (1 downto 0); signal reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q : std_logic_vector (35 downto 0); signal reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q : std_logic_vector (0 downto 0); signal reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2_q : std_logic_vector (0 downto 0); signal reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3_q : std_logic_vector (0 downto 0); signal reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4_q : std_logic_vector (0 downto 0); signal reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5_q : std_logic_vector (0 downto 0); signal reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0_q : std_logic_vector (36 downto 0); signal reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0_q : std_logic_vector (35 downto 0); signal reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q : std_logic_vector (31 downto 0); signal reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3_q : std_logic_vector (31 downto 0); signal reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q : std_logic_vector (15 downto 0); signal reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3_q : std_logic_vector (15 downto 0); signal reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q : std_logic_vector (3 downto 0); signal reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3_q : std_logic_vector (3 downto 0); signal reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3_q : std_logic_vector (0 downto 0); signal reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1_q : std_logic_vector (1 downto 0); signal reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2_q : std_logic_vector (34 downto 0); signal reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3_q : std_logic_vector (34 downto 0); signal reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4_q : std_logic_vector (34 downto 0); signal reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5_q : std_logic_vector (34 downto 0); signal reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1_q : std_logic_vector (1 downto 0); signal reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q : std_logic_vector (6 downto 0); signal reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q : std_logic_vector (13 downto 0); signal reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_q : std_logic_vector (15 downto 0); signal reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1_q : std_logic_vector (22 downto 0); signal reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_q : std_logic_vector (23 downto 0); signal reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1_q : std_logic_vector (24 downto 0); signal reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q : std_logic_vector (5 downto 0); signal reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1_q : std_logic_vector (7 downto 0); signal reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q : std_logic_vector (0 downto 0); signal reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q : std_logic_vector (1 downto 0); signal ld_FxpXFrac35_uid44_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_b_q : std_logic_vector (0 downto 0); signal ld_fxpXFrac_uid43_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_c_q : std_logic_vector (35 downto 0); signal ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q_to_bigCond_uid85_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_bigCond_uid85_fpCosPiTest_q_to_fracRPostExc1_uid86_fpCosPiTest_b_q : std_logic_vector (0 downto 0); signal ld_reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q_to_fracRPostExc_uid88_fpCosPiTest_b_q : std_logic_vector (0 downto 0); signal ld_xIsHalf_uid83_fpCosPiTest_q_to_expRPostExc1_uid91_fpCosPiTest_b_q : std_logic_vector (0 downto 0); signal ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b_q : std_logic_vector (0 downto 0); signal ld_rInfOrNaN_uid92_fpCosPiTest_q_to_join_uid94_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_xParityXorHalfParity_uid96_fpCosPiTest_q_to_signRComp_uid99_fpCosPiTest_c_q : std_logic_vector (0 downto 0); signal ld_fxpXFracHalf_uid46_fpCosPiTest_q_to_InvFxpXFracHalf_uid100_fpCosPiTest_a_q : std_logic_vector (0 downto 0); signal ld_signR_uid101_fpCosPiTest_q_to_R_uid102_fpCosPiTest_c_q : std_logic_vector (0 downto 0); signal ld_vCount_uid147_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_e_q : std_logic_vector (0 downto 0); signal ld_vCount_uid139_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_f_q : std_logic_vector (0 downto 0); signal ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_q : std_logic_vector (18 downto 0); signal ld_vStage_uid141_lzcZ_uid56_fpCosPiTest_b_to_leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_b_q : std_logic_vector (2 downto 0); signal ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_q : std_logic_vector (34 downto 0); signal ld_reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_a_q : std_logic_vector (13 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_reset0 : std_logic; signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ia : std_logic_vector (5 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_iq : std_logic_vector (5 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_q : std_logic_vector (5 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_q : std_logic_vector(2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i : unsigned(2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_eq : std_logic; signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q : std_logic_vector (2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_mem_top_q : std_logic_vector (3 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve : boolean; attribute preserve of ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q : signal is true; signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_reset0 : std_logic; signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ia : std_logic_vector (1 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_aa : std_logic_vector (3 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ab : std_logic_vector (3 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_iq : std_logic_vector (1 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_q : std_logic_vector (1 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_q : std_logic_vector(3 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i : unsigned(3 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_eq : std_logic; signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q : std_logic_vector (3 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_mem_top_q : std_logic_vector (4 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q : signal is true; signal ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_inputreg_q : std_logic_vector (18 downto 0); signal ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_inputreg_q : std_logic_vector (34 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_reset0 : std_logic; signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ia : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_iq : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_q : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q : signal is true; signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_reset0 : std_logic; signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ia : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_aa : std_logic_vector (1 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ab : std_logic_vector (1 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_iq : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_q : std_logic_vector (6 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_q : std_logic_vector(1 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i : unsigned(1 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_eq : std_logic; signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q : std_logic_vector (1 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_mem_top_q : std_logic_vector (2 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q : signal is true; signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_inputreg_q : std_logic_vector (15 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_reset0 : std_logic; signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ia : std_logic_vector (15 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_aa : std_logic_vector (1 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ab : std_logic_vector (1 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_iq : std_logic_vector (15 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_q : std_logic_vector (15 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q : signal is true; signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_inputreg_q : std_logic_vector (23 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_reset0 : std_logic; signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ia : std_logic_vector (23 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_aa : std_logic_vector (1 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ab : std_logic_vector (1 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_iq : std_logic_vector (23 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_q : std_logic_vector (23 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q : signal is true; signal pad_o_uid16_uid47_fpCosPiTest_q : std_logic_vector (36 downto 0); signal pad_half_uid17_uid52_fpCosPiTest_q : std_logic_vector (35 downto 0); signal bigCond_uid85_fpCosPiTest_a : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_b : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_c : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_d : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_f : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_g : std_logic_vector(0 downto 0); signal bigCond_uid85_fpCosPiTest_q : std_logic_vector(0 downto 0); signal InvCosXIsOne_uid77_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvCosXIsOne_uid77_fpCosPiTest_q : std_logic_vector(0 downto 0); signal InvXEvenInt_uid81_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvXEvenInt_uid81_fpCosPiTest_q : std_logic_vector(0 downto 0); signal InvFxpXFracHalf_uid100_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvFxpXFracHalf_uid100_fpCosPiTest_q : std_logic_vector(0 downto 0); signal cstHalfwSwFP1_uid18_fpCosPiTest_q : std_logic_vector (35 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q : std_logic_vector (2 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_a : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q : std_logic_vector (3 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q : std_logic_vector (1 downto 0); signal expX_uid6_fpCosPiTest_in : std_logic_vector (30 downto 0); signal expX_uid6_fpCosPiTest_b : std_logic_vector (7 downto 0); signal fracX_uid7_fpCosPiTest_in : std_logic_vector (22 downto 0); signal fracX_uid7_fpCosPiTest_b : std_logic_vector (22 downto 0); signal expXIsZero_uid23_fpCosPiTest_a : std_logic_vector(7 downto 0); signal expXIsZero_uid23_fpCosPiTest_b : std_logic_vector(7 downto 0); signal expXIsZero_uid23_fpCosPiTest_q : std_logic_vector(0 downto 0); signal expXIsMax_uid25_fpCosPiTest_a : std_logic_vector(7 downto 0); signal expXIsMax_uid25_fpCosPiTest_b : std_logic_vector(7 downto 0); signal expXIsMax_uid25_fpCosPiTest_q : std_logic_vector(0 downto 0); signal fracXIsZero_uid27_fpCosPiTest_a : std_logic_vector(22 downto 0); signal fracXIsZero_uid27_fpCosPiTest_b : std_logic_vector(22 downto 0); signal fracXIsZero_uid27_fpCosPiTest_q : std_logic_vector(0 downto 0); signal exc_I_uid28_fpCosPiTest_a : std_logic_vector(0 downto 0); signal exc_I_uid28_fpCosPiTest_b : std_logic_vector(0 downto 0); signal exc_I_uid28_fpCosPiTest_q : std_logic_vector(0 downto 0); signal xEvenInt_uid35_fpCosPiTest_a : std_logic_vector(10 downto 0); signal xEvenInt_uid35_fpCosPiTest_b : std_logic_vector(10 downto 0); signal xEvenInt_uid35_fpCosPiTest_o : std_logic_vector (10 downto 0); signal xEvenInt_uid35_fpCosPiTest_cin : std_logic_vector (0 downto 0); signal xEvenInt_uid35_fpCosPiTest_c : std_logic_vector (0 downto 0); signal cosXIsOne_uid36_fpCosPiTest_a : std_logic_vector(10 downto 0); signal cosXIsOne_uid36_fpCosPiTest_b : std_logic_vector(10 downto 0); signal cosXIsOne_uid36_fpCosPiTest_o : std_logic_vector (10 downto 0); signal cosXIsOne_uid36_fpCosPiTest_cin : std_logic_vector (0 downto 0); signal cosXIsOne_uid36_fpCosPiTest_c : std_logic_vector (0 downto 0); signal shiftValFxPX_uid38_fpCosPiTest_a : std_logic_vector(8 downto 0); signal shiftValFxPX_uid38_fpCosPiTest_b : std_logic_vector(8 downto 0); signal shiftValFxPX_uid38_fpCosPiTest_o : std_logic_vector (8 downto 0); signal shiftValFxPX_uid38_fpCosPiTest_q : std_logic_vector (8 downto 0); signal fxpXFracZero_uid45_fpCosPiTest_a : std_logic_vector(35 downto 0); signal fxpXFracZero_uid45_fpCosPiTest_b : std_logic_vector(35 downto 0); signal fxpXFracZero_uid45_fpCosPiTest_q : std_logic_vector(0 downto 0); signal fxpXFracHalf_uid46_fpCosPiTest_a : std_logic_vector(35 downto 0); signal fxpXFracHalf_uid46_fpCosPiTest_b : std_logic_vector(35 downto 0); signal fxpXFracHalf_uid46_fpCosPiTest_q : std_logic_vector(0 downto 0); signal oMFxpXFrac_uid47_fpCosPiTest_a : std_logic_vector(37 downto 0); signal oMFxpXFrac_uid47_fpCosPiTest_b : std_logic_vector(37 downto 0); signal oMFxpXFrac_uid47_fpCosPiTest_o : std_logic_vector (37 downto 0); signal oMFxpXFrac_uid47_fpCosPiTest_q : std_logic_vector (37 downto 0); signal z_halfMRRFxPXE_uid52_fpCosPiTest_a : std_logic_vector(36 downto 0); signal z_halfMRRFxPXE_uid52_fpCosPiTest_b : std_logic_vector(36 downto 0); signal z_halfMRRFxPXE_uid52_fpCosPiTest_o : std_logic_vector (36 downto 0); signal z_halfMRRFxPXE_uid52_fpCosPiTest_q : std_logic_vector (36 downto 0); signal expHardCase_uid59_fpCosPiTest_a : std_logic_vector(8 downto 0); signal expHardCase_uid59_fpCosPiTest_b : std_logic_vector(8 downto 0); signal expHardCase_uid59_fpCosPiTest_o : std_logic_vector (8 downto 0); signal expHardCase_uid59_fpCosPiTest_q : std_logic_vector (8 downto 0); signal fracZCosNotOne_uid78_fpCosPiTest_a : std_logic_vector(0 downto 0); signal fracZCosNotOne_uid78_fpCosPiTest_b : std_logic_vector(0 downto 0); signal fracZCosNotOne_uid78_fpCosPiTest_q : std_logic_vector(0 downto 0); signal evenIntCosNotOneFZ_uid79_fpCosPiTest_a : std_logic_vector(0 downto 0); signal evenIntCosNotOneFZ_uid79_fpCosPiTest_b : std_logic_vector(0 downto 0); signal evenIntCosNotOneFZ_uid79_fpCosPiTest_q : std_logic_vector(0 downto 0); signal xIsInt_uid80_fpCosPiTest_a : std_logic_vector(0 downto 0); signal xIsInt_uid80_fpCosPiTest_b : std_logic_vector(0 downto 0); signal xIsInt_uid80_fpCosPiTest_q : std_logic_vector(0 downto 0); signal xIsHalf_uid83_fpCosPiTest_a : std_logic_vector(0 downto 0); signal xIsHalf_uid83_fpCosPiTest_b : std_logic_vector(0 downto 0); signal xIsHalf_uid83_fpCosPiTest_c : std_logic_vector(0 downto 0); signal xIsHalf_uid83_fpCosPiTest_d : std_logic_vector(0 downto 0); signal xIsHalf_uid83_fpCosPiTest_q : std_logic_vector(0 downto 0); signal fracRPostExc_uid88_fpCosPiTest_s : std_logic_vector (0 downto 0); signal fracRPostExc_uid88_fpCosPiTest_q : std_logic_vector (22 downto 0); signal xIntOrXZOrCosOne_uid93_fpCosPiTest_a : std_logic_vector(0 downto 0); signal xIntOrXZOrCosOne_uid93_fpCosPiTest_b : std_logic_vector(0 downto 0); signal xIntOrXZOrCosOne_uid93_fpCosPiTest_c : std_logic_vector(0 downto 0); signal xIntOrXZOrCosOne_uid93_fpCosPiTest_q : std_logic_vector(0 downto 0); signal expRPostExc_uid95_fpCosPiTest_s : std_logic_vector (1 downto 0); signal expRPostExc_uid95_fpCosPiTest_q : std_logic_vector (7 downto 0); signal leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal vCount_uid139_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(31 downto 0); signal vCount_uid139_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(31 downto 0); signal vCount_uid139_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal vStagei_uid144_lzcZ_uid56_fpCosPiTest_s : std_logic_vector (0 downto 0); signal vStagei_uid144_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (31 downto 0); signal vCount_uid147_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(15 downto 0); signal vCount_uid147_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(15 downto 0); signal vCount_uid147_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal vStagei_uid150_lzcZ_uid56_fpCosPiTest_s : std_logic_vector (0 downto 0); signal vStagei_uid150_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (15 downto 0); signal vCount_uid159_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(3 downto 0); signal vCount_uid159_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(3 downto 0); signal vCount_uid159_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal vStagei_uid162_lzcZ_uid56_fpCosPiTest_s : std_logic_vector (0 downto 0); signal vStagei_uid162_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (3 downto 0); signal leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal memoryC0_uid207_sinPiZTableGenerator_q : std_logic_vector(28 downto 0); signal memoryC1_uid208_sinPiZTableGenerator_q : std_logic_vector(20 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_q : std_logic_vector(0 downto 0); signal leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_in : std_logic_vector (48 downto 0); signal prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_b : std_logic_vector (25 downto 0); signal prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_in : std_logic_vector (27 downto 0); signal prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_b : std_logic_vector (14 downto 0); signal prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_in : std_logic_vector (38 downto 0); signal prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_b : std_logic_vector (23 downto 0); signal join_uid94_fpCosPiTest_q : std_logic_vector (1 downto 0); signal R_uid102_fpCosPiTest_q : std_logic_vector (31 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_a : std_logic_vector(3 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_b : std_logic_vector(3 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_q : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0); signal ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_a : std_logic_vector(4 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_b : std_logic_vector(4 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_q : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0); signal ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_a : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_b : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_q : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_a : std_logic_vector(2 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_b : std_logic_vector(2 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_q : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_a : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_b : std_logic_vector(0 downto 0); signal ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_q : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_a : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_b : std_logic_vector(0 downto 0); signal ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_q : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_a : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_b : std_logic_vector(0 downto 0); signal ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_q : std_logic_vector(0 downto 0); signal oFracX_uid37_uid37_fpCosPiTest_q : std_logic_vector (23 downto 0); signal InvExpXIsZero_uid33_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvExpXIsZero_uid33_fpCosPiTest_q : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid29_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid29_fpCosPiTest_q : std_logic_vector(0 downto 0); signal InvExc_I_uid32_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvExc_I_uid32_fpCosPiTest_q : std_logic_vector(0 downto 0); signal fxpShifterBits_uid40_fpCosPiTest_in : std_logic_vector (5 downto 0); signal fxpShifterBits_uid40_fpCosPiTest_b : std_logic_vector (5 downto 0); signal oMFxpXFrac_uid49_fpCosPiTest_in : std_logic_vector (35 downto 0); signal oMFxpXFrac_uid49_fpCosPiTest_b : std_logic_vector (35 downto 0); signal z_uid54_fpCosPiTest_in : std_logic_vector (34 downto 0); signal z_uid54_fpCosPiTest_b : std_logic_vector (34 downto 0); signal expP_uid60_fpCosPiTest_in : std_logic_vector (7 downto 0); signal expP_uid60_fpCosPiTest_b : std_logic_vector (7 downto 0); signal LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_in : std_logic_vector (35 downto 0); signal LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_b : std_logic_vector (35 downto 0); signal LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_in : std_logic_vector (34 downto 0); signal LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_b : std_logic_vector (34 downto 0); signal LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_in : std_logic_vector (33 downto 0); signal LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_b : std_logic_vector (33 downto 0); signal rVStage_uid146_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (31 downto 0); signal rVStage_uid146_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (15 downto 0); signal vStage_uid148_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (15 downto 0); signal vStage_uid148_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (15 downto 0); signal rVStage_uid152_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (15 downto 0); signal rVStage_uid152_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (7 downto 0); signal vStage_uid154_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (7 downto 0); signal vStage_uid154_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (7 downto 0); signal rVStage_uid164_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (3 downto 0); signal rVStage_uid164_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (1 downto 0); signal vStage_uid166_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (1 downto 0); signal vStage_uid166_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (1 downto 0); signal LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (33 downto 0); signal LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (33 downto 0); signal LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (32 downto 0); signal LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (32 downto 0); signal LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (31 downto 0); signal LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (31 downto 0); signal normBit_uid67_fpCosPiTest_in : std_logic_vector (25 downto 0); signal normBit_uid67_fpCosPiTest_b : std_logic_vector (0 downto 0); signal highRes_uid68_fpCosPiTest_in : std_logic_vector (24 downto 0); signal highRes_uid68_fpCosPiTest_b : std_logic_vector (23 downto 0); signal lowRes_uid69_fpCosPiTest_in : std_logic_vector (23 downto 0); signal lowRes_uid69_fpCosPiTest_b : std_logic_vector (23 downto 0); signal lowRangeB_uid212_sinPiZPolyEval_in : std_logic_vector (0 downto 0); signal lowRangeB_uid212_sinPiZPolyEval_b : std_logic_vector (0 downto 0); signal highBBits_uid213_sinPiZPolyEval_in : std_logic_vector (14 downto 0); signal highBBits_uid213_sinPiZPolyEval_b : std_logic_vector (13 downto 0); signal lowRangeB_uid218_sinPiZPolyEval_in : std_logic_vector (1 downto 0); signal lowRangeB_uid218_sinPiZPolyEval_b : std_logic_vector (1 downto 0); signal highBBits_uid219_sinPiZPolyEval_in : std_logic_vector (23 downto 0); signal highBBits_uid219_sinPiZPolyEval_b : std_logic_vector (21 downto 0); signal oFracXExt_uid39_fpCosPiTest_q : std_logic_vector (36 downto 0); signal exc_N_uid30_fpCosPiTest_a : std_logic_vector(0 downto 0); signal exc_N_uid30_fpCosPiTest_b : std_logic_vector(0 downto 0); signal exc_N_uid30_fpCosPiTest_q : std_logic_vector(0 downto 0); signal leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_in : std_logic_vector (5 downto 0); signal leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_in : std_logic_vector (3 downto 0); signal leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_in : std_logic_vector (1 downto 0); signal leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_b : std_logic_vector (1 downto 0); signal zAddr_uid62_fpCosPiTest_in : std_logic_vector (34 downto 0); signal zAddr_uid62_fpCosPiTest_b : std_logic_vector (6 downto 0); signal zPPolyEval_uid63_fpCosPiTest_in : std_logic_vector (27 downto 0); signal zPPolyEval_uid63_fpCosPiTest_b : std_logic_vector (15 downto 0); signal rVStage_uid138_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (34 downto 0); signal rVStage_uid138_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (31 downto 0); signal vStage_uid141_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (2 downto 0); signal vStage_uid141_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (2 downto 0); signal X18dto0_uid176_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (18 downto 0); signal X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (18 downto 0); signal leftShiftStage2Idx1_uid127_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage2Idx2_uid130_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage2Idx3_uid133_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal vCount_uid153_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(7 downto 0); signal vCount_uid153_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(7 downto 0); signal vCount_uid153_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal vStagei_uid156_lzcZ_uid56_fpCosPiTest_s : std_logic_vector (0 downto 0); signal vStagei_uid156_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (7 downto 0); signal vCount_uid165_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(1 downto 0); signal vCount_uid165_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(1 downto 0); signal vCount_uid165_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal vStagei_uid168_lzcZ_uid56_fpCosPiTest_s : std_logic_vector (0 downto 0); signal vStagei_uid168_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (1 downto 0); signal leftShiftStage2Idx1_uid197_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal leftShiftStage2Idx2_uid200_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal leftShiftStage2Idx3_uid203_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal fracRCompPreRnd_uid70_fpCosPiTest_s : std_logic_vector (0 downto 0); signal fracRCompPreRnd_uid70_fpCosPiTest_q : std_logic_vector (23 downto 0); signal rndExpUpdate_uid72_uid73_fpCosPiTest_q : std_logic_vector (24 downto 0); signal sumAHighB_uid214_sinPiZPolyEval_a : std_logic_vector(21 downto 0); signal sumAHighB_uid214_sinPiZPolyEval_b : std_logic_vector(21 downto 0); signal sumAHighB_uid214_sinPiZPolyEval_o : std_logic_vector (21 downto 0); signal sumAHighB_uid214_sinPiZPolyEval_q : std_logic_vector (21 downto 0); signal sumAHighB_uid220_sinPiZPolyEval_a : std_logic_vector(29 downto 0); signal sumAHighB_uid220_sinPiZPolyEval_b : std_logic_vector(29 downto 0); signal sumAHighB_uid220_sinPiZPolyEval_o : std_logic_vector (29 downto 0); signal sumAHighB_uid220_sinPiZPolyEval_q : std_logic_vector (29 downto 0); signal X20dto0_uid106_fxpX_uid41_fpCosPiTest_in : std_logic_vector (20 downto 0); signal X20dto0_uid106_fxpX_uid41_fpCosPiTest_b : std_logic_vector (20 downto 0); signal X4dto0_uid109_fxpX_uid41_fpCosPiTest_in : std_logic_vector (4 downto 0); signal X4dto0_uid109_fxpX_uid41_fpCosPiTest_b : std_logic_vector (4 downto 0); signal InvExc_N_uid31_fpCosPiTest_a : std_logic_vector(0 downto 0); signal InvExc_N_uid31_fpCosPiTest_q : std_logic_vector(0 downto 0); signal excRNaN_uid84_fpCosPiTest_a : std_logic_vector(0 downto 0); signal excRNaN_uid84_fpCosPiTest_b : std_logic_vector(0 downto 0); signal excRNaN_uid84_fpCosPiTest_q : std_logic_vector(0 downto 0); signal yT1_uid210_sinPiZPolyEval_in : std_logic_vector (15 downto 0); signal yT1_uid210_sinPiZPolyEval_b : std_logic_vector (13 downto 0); signal cStage_uid142_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (31 downto 0); signal leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal rVStage_uid158_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (7 downto 0); signal rVStage_uid158_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (3 downto 0); signal vStage_uid160_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (3 downto 0); signal vStage_uid160_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (3 downto 0); signal rVStage_uid170_lzcZ_uid56_fpCosPiTest_in : std_logic_vector (1 downto 0); signal rVStage_uid170_lzcZ_uid56_fpCosPiTest_b : std_logic_vector (0 downto 0); signal leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal expFracPreRnd_uid71_uid71_fpCosPiTest_q : std_logic_vector (31 downto 0); signal expFracComp_uid74_fpCosPiTest_a : std_logic_vector(32 downto 0); signal expFracComp_uid74_fpCosPiTest_b : std_logic_vector(32 downto 0); signal expFracComp_uid74_fpCosPiTest_o : std_logic_vector (32 downto 0); signal expFracComp_uid74_fpCosPiTest_q : std_logic_vector (32 downto 0); signal s1_uid212_uid215_sinPiZPolyEval_q : std_logic_vector (22 downto 0); signal s2_uid218_uid221_sinPiZPolyEval_q : std_logic_vector (31 downto 0); signal leftShiftStage0Idx1_uid107_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage0Idx2_uid110_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal exc_R_uid34_fpCosPiTest_a : std_logic_vector(0 downto 0); signal exc_R_uid34_fpCosPiTest_b : std_logic_vector(0 downto 0); signal exc_R_uid34_fpCosPiTest_c : std_logic_vector(0 downto 0); signal exc_R_uid34_fpCosPiTest_q : std_logic_vector(0 downto 0); signal rInfOrNaN_uid92_fpCosPiTest_a : std_logic_vector(0 downto 0); signal rInfOrNaN_uid92_fpCosPiTest_b : std_logic_vector(0 downto 0); signal rInfOrNaN_uid92_fpCosPiTest_q : std_logic_vector(0 downto 0); signal FxpX36_uid42_fpCosPiTest_in : std_logic_vector (36 downto 0); signal FxpX36_uid42_fpCosPiTest_b : std_logic_vector (0 downto 0); signal fxpXFrac_uid43_fpCosPiTest_in : std_logic_vector (35 downto 0); signal fxpXFrac_uid43_fpCosPiTest_b : std_logic_vector (35 downto 0); signal vCount_uid171_lzcZ_uid56_fpCosPiTest_a : std_logic_vector(0 downto 0); signal vCount_uid171_lzcZ_uid56_fpCosPiTest_b : std_logic_vector(0 downto 0); signal vCount_uid171_lzcZ_uid56_fpCosPiTest_q : std_logic_vector(0 downto 0); signal alignedZLow_uid58_fpCosPiTest_in : std_logic_vector (34 downto 0); signal alignedZLow_uid58_fpCosPiTest_b : std_logic_vector (23 downto 0); signal fracRComp_uid75_fpCosPiTest_in : std_logic_vector (23 downto 0); signal fracRComp_uid75_fpCosPiTest_b : std_logic_vector (22 downto 0); signal expRComp_uid76_fpCosPiTest_in : std_logic_vector (31 downto 0); signal expRComp_uid76_fpCosPiTest_b : std_logic_vector (7 downto 0); signal fxpSinRes_uid65_fpCosPiTest_in : std_logic_vector (29 downto 0); signal fxpSinRes_uid65_fpCosPiTest_b : std_logic_vector (24 downto 0); signal leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal FxpXFrac35_uid44_fpCosPiTest_in : std_logic_vector (35 downto 0); signal FxpXFrac35_uid44_fpCosPiTest_b : std_logic_vector (0 downto 0); signal r_uid172_lzcZ_uid56_fpCosPiTest_q : std_logic_vector (5 downto 0); signal LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_in : std_logic_vector (32 downto 0); signal LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_b : std_logic_vector (32 downto 0); signal LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_in : std_logic_vector (28 downto 0); signal LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_b : std_logic_vector (28 downto 0); signal LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_in : std_logic_vector (24 downto 0); signal LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_b : std_logic_vector (24 downto 0); signal xParityXorHalfParity_uid96_fpCosPiTest_a : std_logic_vector(0 downto 0); signal xParityXorHalfParity_uid96_fpCosPiTest_b : std_logic_vector(0 downto 0); signal xParityXorHalfParity_uid96_fpCosPiTest_q : std_logic_vector(0 downto 0); signal leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (5 downto 0); signal leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (3 downto 0); signal leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (1 downto 0); signal leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (30 downto 0); signal LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (30 downto 0); signal LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (26 downto 0); signal LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (26 downto 0); signal LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_in : std_logic_vector (22 downto 0); signal LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_b : std_logic_vector (22 downto 0); signal leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); signal leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_q : std_logic_vector (34 downto 0); begin --xIn(GPIN,3)@0 --LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest(BITSELECT,131)@1 LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_in <= leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q(33 downto 0); LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_in(33 downto 0); --leftShiftStage2Idx3Pad3_uid131_fxpX_uid41_fpCosPiTest(CONSTANT,130) leftShiftStage2Idx3Pad3_uid131_fxpX_uid41_fpCosPiTest_q <= "000"; --leftShiftStage2Idx3_uid133_fxpX_uid41_fpCosPiTest(BITJOIN,132)@1 leftShiftStage2Idx3_uid133_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage133dto0_uid132_fxpX_uid41_fpCosPiTest_b & leftShiftStage2Idx3Pad3_uid131_fxpX_uid41_fpCosPiTest_q; --LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest(BITSELECT,128)@1 LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_in <= leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q(34 downto 0); LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_in(34 downto 0); --leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest(CONSTANT,127) leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest_q <= "00"; --leftShiftStage2Idx2_uid130_fxpX_uid41_fpCosPiTest(BITJOIN,129)@1 leftShiftStage2Idx2_uid130_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage134dto0_uid129_fxpX_uid41_fpCosPiTest_b & leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest_q; --LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest(BITSELECT,125)@1 LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_in <= leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q(35 downto 0); LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_in(35 downto 0); --GND(CONSTANT,0) GND_q <= "0"; --leftShiftStage2Idx1_uid127_fxpX_uid41_fpCosPiTest(BITJOIN,126)@1 leftShiftStage2Idx1_uid127_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage135dto0_uid126_fxpX_uid41_fpCosPiTest_b & GND_q; --leftShiftStage0Idx3_uid111_fxpX_uid41_fpCosPiTest(CONSTANT,110) leftShiftStage0Idx3_uid111_fxpX_uid41_fpCosPiTest_q <= "0000000000000000000000000000000000000"; --X4dto0_uid109_fxpX_uid41_fpCosPiTest(BITSELECT,108)@0 X4dto0_uid109_fxpX_uid41_fpCosPiTest_in <= oFracXExt_uid39_fpCosPiTest_q(4 downto 0); X4dto0_uid109_fxpX_uid41_fpCosPiTest_b <= X4dto0_uid109_fxpX_uid41_fpCosPiTest_in(4 downto 0); --leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest(CONSTANT,107) leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest_q <= "00000000000000000000000000000000"; --leftShiftStage0Idx2_uid110_fxpX_uid41_fpCosPiTest(BITJOIN,109)@0 leftShiftStage0Idx2_uid110_fxpX_uid41_fpCosPiTest_q <= X4dto0_uid109_fxpX_uid41_fpCosPiTest_b & leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest_q; --X20dto0_uid106_fxpX_uid41_fpCosPiTest(BITSELECT,105)@0 X20dto0_uid106_fxpX_uid41_fpCosPiTest_in <= oFracXExt_uid39_fpCosPiTest_q(20 downto 0); X20dto0_uid106_fxpX_uid41_fpCosPiTest_b <= X20dto0_uid106_fxpX_uid41_fpCosPiTest_in(20 downto 0); --leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest(CONSTANT,104) leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest_q <= "0000000000000000"; --leftShiftStage0Idx1_uid107_fxpX_uid41_fpCosPiTest(BITJOIN,106)@0 leftShiftStage0Idx1_uid107_fxpX_uid41_fpCosPiTest_q <= X20dto0_uid106_fxpX_uid41_fpCosPiTest_b & leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest_q; --cst01pWShift_uid14_fpCosPiTest(CONSTANT,13) cst01pWShift_uid14_fpCosPiTest_q <= "0000000000000"; --VCC(CONSTANT,1) VCC_q <= "1"; --fracX_uid7_fpCosPiTest(BITSELECT,6)@0 fracX_uid7_fpCosPiTest_in <= a(22 downto 0); fracX_uid7_fpCosPiTest_b <= fracX_uid7_fpCosPiTest_in(22 downto 0); --oFracX_uid37_uid37_fpCosPiTest(BITJOIN,36)@0 oFracX_uid37_uid37_fpCosPiTest_q <= VCC_q & fracX_uid7_fpCosPiTest_b; --oFracXExt_uid39_fpCosPiTest(BITJOIN,38)@0 oFracXExt_uid39_fpCosPiTest_q <= cst01pWShift_uid14_fpCosPiTest_q & oFracX_uid37_uid37_fpCosPiTest_q; --biasMwShiftMO_uid13_fpCosPiTest(CONSTANT,12) biasMwShiftMO_uid13_fpCosPiTest_q <= "01110010"; --expX_uid6_fpCosPiTest(BITSELECT,5)@0 expX_uid6_fpCosPiTest_in <= a(30 downto 0); expX_uid6_fpCosPiTest_b <= expX_uid6_fpCosPiTest_in(30 downto 23); --shiftValFxPX_uid38_fpCosPiTest(SUB,37)@0 shiftValFxPX_uid38_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpCosPiTest_b); shiftValFxPX_uid38_fpCosPiTest_b <= STD_LOGIC_VECTOR("0" & biasMwShiftMO_uid13_fpCosPiTest_q); shiftValFxPX_uid38_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(shiftValFxPX_uid38_fpCosPiTest_a) - UNSIGNED(shiftValFxPX_uid38_fpCosPiTest_b)); shiftValFxPX_uid38_fpCosPiTest_q <= shiftValFxPX_uid38_fpCosPiTest_o(8 downto 0); --fxpShifterBits_uid40_fpCosPiTest(BITSELECT,39)@0 fxpShifterBits_uid40_fpCosPiTest_in <= shiftValFxPX_uid38_fpCosPiTest_q(5 downto 0); fxpShifterBits_uid40_fpCosPiTest_b <= fxpShifterBits_uid40_fpCosPiTest_in(5 downto 0); --leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest(BITSELECT,111)@0 leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_in <= fxpShifterBits_uid40_fpCosPiTest_b; leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_b <= leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_in(5 downto 4); --leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest(MUX,112)@0 leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_s <= leftShiftStageSel5Dto4_uid112_fxpX_uid41_fpCosPiTest_b; leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest: PROCESS (leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_s, en, oFracXExt_uid39_fpCosPiTest_q, leftShiftStage0Idx1_uid107_fxpX_uid41_fpCosPiTest_q, leftShiftStage0Idx2_uid110_fxpX_uid41_fpCosPiTest_q, leftShiftStage0Idx3_uid111_fxpX_uid41_fpCosPiTest_q) BEGIN CASE leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_s IS WHEN "00" => leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q <= oFracXExt_uid39_fpCosPiTest_q; WHEN "01" => leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q <= leftShiftStage0Idx1_uid107_fxpX_uid41_fpCosPiTest_q; WHEN "10" => leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q <= leftShiftStage0Idx2_uid110_fxpX_uid41_fpCosPiTest_q; WHEN "11" => leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q <= leftShiftStage0Idx3_uid111_fxpX_uid41_fpCosPiTest_q; WHEN OTHERS => leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest(BITSELECT,120)@0 LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_in <= leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q(24 downto 0); LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_in(24 downto 0); --leftShiftStage1Idx3Pad12_uid120_fxpX_uid41_fpCosPiTest(CONSTANT,119) leftShiftStage1Idx3Pad12_uid120_fxpX_uid41_fpCosPiTest_q <= "000000000000"; --leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest(BITJOIN,121)@0 leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage024dto0_uid121_fxpX_uid41_fpCosPiTest_b & leftShiftStage1Idx3Pad12_uid120_fxpX_uid41_fpCosPiTest_q; --reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5(REG,235)@0 reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5_q <= leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_q; END IF; END IF; END PROCESS; --LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest(BITSELECT,117)@0 LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_in <= leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q(28 downto 0); LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_in(28 downto 0); --cstAllZWE_uid21_fpCosPiTest(CONSTANT,20) cstAllZWE_uid21_fpCosPiTest_q <= "00000000"; --leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest(BITJOIN,118)@0 leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage028dto0_uid118_fxpX_uid41_fpCosPiTest_b & cstAllZWE_uid21_fpCosPiTest_q; --reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4(REG,234)@0 reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4_q <= leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_q; END IF; END IF; END PROCESS; --LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest(BITSELECT,114)@0 LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_in <= leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q(32 downto 0); LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_b <= LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_in(32 downto 0); --leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest(CONSTANT,113) leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest_q <= "0000"; --leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest(BITJOIN,115)@0 leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_q <= LeftShiftStage032dto0_uid115_fxpX_uid41_fpCosPiTest_b & leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest_q; --reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3(REG,233)@0 reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3_q <= leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_q; END IF; END IF; END PROCESS; --reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2(REG,232)@0 reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2_q <= leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_q; END IF; END IF; END PROCESS; --leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest(BITSELECT,122)@0 leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_in <= fxpShifterBits_uid40_fpCosPiTest_b(3 downto 0); leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_b <= leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_in(3 downto 2); --reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1(REG,231)@0 reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1_q <= leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_b; END IF; END IF; END PROCESS; --leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest(MUX,123)@1 leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_s <= reg_leftShiftStageSel3Dto2_uid123_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_1_q; leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest: PROCESS (leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_s, en, reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2_q, reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3_q, reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4_q, reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5_q) BEGIN CASE leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_s IS WHEN "00" => leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q <= reg_leftShiftStage0_uid113_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_2_q; WHEN "01" => leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q <= reg_leftShiftStage1Idx1_uid116_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_3_q; WHEN "10" => leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q <= reg_leftShiftStage1Idx2_uid119_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_4_q; WHEN "11" => leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q <= reg_leftShiftStage1Idx3_uid122_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_5_q; WHEN OTHERS => leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest(BITSELECT,133)@0 leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_in <= fxpShifterBits_uid40_fpCosPiTest_b(1 downto 0); leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_b <= leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_in(1 downto 0); --reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1(REG,236)@0 reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1_q <= leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_b; END IF; END IF; END PROCESS; --leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest(MUX,134)@1 leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_s <= reg_leftShiftStageSel1Dto0_uid134_fxpX_uid41_fpCosPiTest_0_to_leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_1_q; leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest: PROCESS (leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_s, en, leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q, leftShiftStage2Idx1_uid127_fxpX_uid41_fpCosPiTest_q, leftShiftStage2Idx2_uid130_fxpX_uid41_fpCosPiTest_q, leftShiftStage2Idx3_uid133_fxpX_uid41_fpCosPiTest_q) BEGIN CASE leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_s IS WHEN "00" => leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q <= leftShiftStage1_uid124_fxpX_uid41_fpCosPiTest_q; WHEN "01" => leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q <= leftShiftStage2Idx1_uid127_fxpX_uid41_fpCosPiTest_q; WHEN "10" => leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q <= leftShiftStage2Idx2_uid130_fxpX_uid41_fpCosPiTest_q; WHEN "11" => leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q <= leftShiftStage2Idx3_uid133_fxpX_uid41_fpCosPiTest_q; WHEN OTHERS => leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --fxpXFrac_uid43_fpCosPiTest(BITSELECT,42)@1 fxpXFrac_uid43_fpCosPiTest_in <= leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q(35 downto 0); fxpXFrac_uid43_fpCosPiTest_b <= fxpXFrac_uid43_fpCosPiTest_in(35 downto 0); --FxpXFrac35_uid44_fpCosPiTest(BITSELECT,43)@1 FxpXFrac35_uid44_fpCosPiTest_in <= fxpXFrac_uid43_fpCosPiTest_b; FxpXFrac35_uid44_fpCosPiTest_b <= FxpXFrac35_uid44_fpCosPiTest_in(35 downto 35); --FxpX36_uid42_fpCosPiTest(BITSELECT,41)@1 FxpX36_uid42_fpCosPiTest_in <= leftShiftStage2_uid135_fxpX_uid41_fpCosPiTest_q; FxpX36_uid42_fpCosPiTest_b <= FxpX36_uid42_fpCosPiTest_in(36 downto 36); --xParityXorHalfParity_uid96_fpCosPiTest(LOGICAL,95)@1 xParityXorHalfParity_uid96_fpCosPiTest_a <= FxpX36_uid42_fpCosPiTest_b; xParityXorHalfParity_uid96_fpCosPiTest_b <= FxpXFrac35_uid44_fpCosPiTest_b; xParityXorHalfParity_uid96_fpCosPiTest_q <= xParityXorHalfParity_uid96_fpCosPiTest_a xor xParityXorHalfParity_uid96_fpCosPiTest_b; --ld_xParityXorHalfParity_uid96_fpCosPiTest_q_to_signRComp_uid99_fpCosPiTest_c(DELAY,369)@1 ld_xParityXorHalfParity_uid96_fpCosPiTest_q_to_signRComp_uid99_fpCosPiTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => xParityXorHalfParity_uid96_fpCosPiTest_q, xout => ld_xParityXorHalfParity_uid96_fpCosPiTest_q_to_signRComp_uid99_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --cstBiasPwF_uid12_fpCosPiTest(CONSTANT,11) cstBiasPwF_uid12_fpCosPiTest_q <= "10010110"; --xEvenInt_uid35_fpCosPiTest(COMPARE,34)@0 xEvenInt_uid35_fpCosPiTest_cin <= GND_q; xEvenInt_uid35_fpCosPiTest_a <= STD_LOGIC_VECTOR("00" & cstBiasPwF_uid12_fpCosPiTest_q) & '0'; xEvenInt_uid35_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & expX_uid6_fpCosPiTest_b) & xEvenInt_uid35_fpCosPiTest_cin(0); xEvenInt_uid35_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(xEvenInt_uid35_fpCosPiTest_a) - UNSIGNED(xEvenInt_uid35_fpCosPiTest_b)); xEvenInt_uid35_fpCosPiTest_c(0) <= xEvenInt_uid35_fpCosPiTest_o(10); --ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a(DELAY,335)@0 ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => xEvenInt_uid35_fpCosPiTest_c, xout => ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --InvXEvenInt_uid81_fpCosPiTest(LOGICAL,80)@2 InvXEvenInt_uid81_fpCosPiTest_a <= ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a_q; InvXEvenInt_uid81_fpCosPiTest_q <= not InvXEvenInt_uid81_fpCosPiTest_a; --cosXIsOne_uid36_fpCosPiTest(COMPARE,35)@0 cosXIsOne_uid36_fpCosPiTest_cin <= GND_q; cosXIsOne_uid36_fpCosPiTest_a <= STD_LOGIC_VECTOR("00" & expX_uid6_fpCosPiTest_b) & '0'; cosXIsOne_uid36_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & biasMwShiftMO_uid13_fpCosPiTest_q) & cosXIsOne_uid36_fpCosPiTest_cin(0); cosXIsOne_uid36_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(cosXIsOne_uid36_fpCosPiTest_a) - UNSIGNED(cosXIsOne_uid36_fpCosPiTest_b)); cosXIsOne_uid36_fpCosPiTest_c(0) <= cosXIsOne_uid36_fpCosPiTest_o(10); --ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a(DELAY,332)@0 ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => cosXIsOne_uid36_fpCosPiTest_c, xout => ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --InvCosXIsOne_uid77_fpCosPiTest(LOGICAL,76)@2 InvCosXIsOne_uid77_fpCosPiTest_a <= ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a_q; InvCosXIsOne_uid77_fpCosPiTest_q <= not InvCosXIsOne_uid77_fpCosPiTest_a; --signRComp_uid99_fpCosPiTest(LOGICAL,98)@2 signRComp_uid99_fpCosPiTest_a <= InvCosXIsOne_uid77_fpCosPiTest_q; signRComp_uid99_fpCosPiTest_b <= InvXEvenInt_uid81_fpCosPiTest_q; signRComp_uid99_fpCosPiTest_c <= ld_xParityXorHalfParity_uid96_fpCosPiTest_q_to_signRComp_uid99_fpCosPiTest_c_q; signRComp_uid99_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN signRComp_uid99_fpCosPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1' AND en = "1") THEN signRComp_uid99_fpCosPiTest_q <= signRComp_uid99_fpCosPiTest_a and signRComp_uid99_fpCosPiTest_b and signRComp_uid99_fpCosPiTest_c; END IF; END PROCESS; --cstZwSwF_uid15_fpCosPiTest(CONSTANT,14) cstZwSwF_uid15_fpCosPiTest_q <= "00000000000000000000000000000000000"; --cstHalfwSwFP1_uid18_fpCosPiTest(BITJOIN,17)@2 cstHalfwSwFP1_uid18_fpCosPiTest_q <= VCC_q & cstZwSwF_uid15_fpCosPiTest_q; --reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1(REG,237)@1 reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q <= "000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q <= fxpXFrac_uid43_fpCosPiTest_b; END IF; END IF; END PROCESS; --fxpXFracHalf_uid46_fpCosPiTest(LOGICAL,45)@2 fxpXFracHalf_uid46_fpCosPiTest_a <= reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q; fxpXFracHalf_uid46_fpCosPiTest_b <= cstHalfwSwFP1_uid18_fpCosPiTest_q; fxpXFracHalf_uid46_fpCosPiTest_q <= "1" when fxpXFracHalf_uid46_fpCosPiTest_a = fxpXFracHalf_uid46_fpCosPiTest_b else "0"; --ld_fxpXFracHalf_uid46_fpCosPiTest_q_to_InvFxpXFracHalf_uid100_fpCosPiTest_a(DELAY,370)@2 ld_fxpXFracHalf_uid46_fpCosPiTest_q_to_InvFxpXFracHalf_uid100_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => fxpXFracHalf_uid46_fpCosPiTest_q, xout => ld_fxpXFracHalf_uid46_fpCosPiTest_q_to_InvFxpXFracHalf_uid100_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --InvFxpXFracHalf_uid100_fpCosPiTest(LOGICAL,99)@3 InvFxpXFracHalf_uid100_fpCosPiTest_a <= ld_fxpXFracHalf_uid46_fpCosPiTest_q_to_InvFxpXFracHalf_uid100_fpCosPiTest_a_q; InvFxpXFracHalf_uid100_fpCosPiTest_q <= not InvFxpXFracHalf_uid100_fpCosPiTest_a; --signR_uid101_fpCosPiTest(LOGICAL,100)@3 signR_uid101_fpCosPiTest_a <= InvFxpXFracHalf_uid100_fpCosPiTest_q; signR_uid101_fpCosPiTest_b <= signRComp_uid99_fpCosPiTest_q; signR_uid101_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN signR_uid101_fpCosPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1' AND en = "1") THEN signR_uid101_fpCosPiTest_q <= signR_uid101_fpCosPiTest_a and signR_uid101_fpCosPiTest_b; END IF; END PROCESS; --ld_signR_uid101_fpCosPiTest_q_to_R_uid102_fpCosPiTest_c(DELAY,375)@4 ld_signR_uid101_fpCosPiTest_q_to_R_uid102_fpCosPiTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 13 ) PORT MAP ( xin => signR_uid101_fpCosPiTest_q, xout => ld_signR_uid101_fpCosPiTest_q_to_R_uid102_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --cstBias_uid10_fpCosPiTest(CONSTANT,9) cstBias_uid10_fpCosPiTest_q <= "01111111"; --cstAllOWE_uid8_fpCosPiTest(CONSTANT,7) cstAllOWE_uid8_fpCosPiTest_q <= "11111111"; --pad_o_uid16_uid47_fpCosPiTest(BITJOIN,46)@1 pad_o_uid16_uid47_fpCosPiTest_q <= VCC_q & STD_LOGIC_VECTOR((35 downto 1 => GND_q(0)) & GND_q); --reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0(REG,244)@1 reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0_q <= pad_o_uid16_uid47_fpCosPiTest_q; END IF; END IF; END PROCESS; --oMFxpXFrac_uid47_fpCosPiTest(SUB,47)@2 oMFxpXFrac_uid47_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & reg_pad_o_uid16_uid47_fpCosPiTest_0_to_oMFxpXFrac_uid47_fpCosPiTest_0_q); oMFxpXFrac_uid47_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q); oMFxpXFrac_uid47_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(oMFxpXFrac_uid47_fpCosPiTest_a) - UNSIGNED(oMFxpXFrac_uid47_fpCosPiTest_b)); oMFxpXFrac_uid47_fpCosPiTest_q <= oMFxpXFrac_uid47_fpCosPiTest_o(37 downto 0); --oMFxpXFrac_uid49_fpCosPiTest(BITSELECT,48)@2 oMFxpXFrac_uid49_fpCosPiTest_in <= oMFxpXFrac_uid47_fpCosPiTest_q(35 downto 0); oMFxpXFrac_uid49_fpCosPiTest_b <= oMFxpXFrac_uid49_fpCosPiTest_in(35 downto 0); --ld_fxpXFrac_uid43_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_c(DELAY,308)@1 ld_fxpXFrac_uid43_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_c : dspba_delay GENERIC MAP ( width => 36, depth => 1 ) PORT MAP ( xin => fxpXFrac_uid43_fpCosPiTest_b, xout => ld_fxpXFrac_uid43_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --ld_FxpXFrac35_uid44_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_b(DELAY,307)@1 ld_FxpXFrac35_uid44_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => FxpXFrac35_uid44_fpCosPiTest_b, xout => ld_FxpXFrac35_uid44_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --rangeReducedFxPX_uid51_fpCosPiTest(MUX,50)@2 rangeReducedFxPX_uid51_fpCosPiTest_s <= ld_FxpXFrac35_uid44_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_b_q; rangeReducedFxPX_uid51_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN rangeReducedFxPX_uid51_fpCosPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE rangeReducedFxPX_uid51_fpCosPiTest_s IS WHEN "0" => rangeReducedFxPX_uid51_fpCosPiTest_q <= ld_fxpXFrac_uid43_fpCosPiTest_b_to_rangeReducedFxPX_uid51_fpCosPiTest_c_q; WHEN "1" => rangeReducedFxPX_uid51_fpCosPiTest_q <= oMFxpXFrac_uid49_fpCosPiTest_b; WHEN OTHERS => rangeReducedFxPX_uid51_fpCosPiTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --pad_half_uid17_uid52_fpCosPiTest(BITJOIN,51)@2 pad_half_uid17_uid52_fpCosPiTest_q <= VCC_q & STD_LOGIC_VECTOR((34 downto 1 => GND_q(0)) & GND_q); --reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0(REG,246)@2 reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0_q <= "000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0_q <= pad_half_uid17_uid52_fpCosPiTest_q; END IF; END IF; END PROCESS; --z_halfMRRFxPXE_uid52_fpCosPiTest(SUB,52)@3 z_halfMRRFxPXE_uid52_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & reg_pad_half_uid17_uid52_fpCosPiTest_0_to_z_halfMRRFxPXE_uid52_fpCosPiTest_0_q); z_halfMRRFxPXE_uid52_fpCosPiTest_b <= STD_LOGIC_VECTOR("0" & rangeReducedFxPX_uid51_fpCosPiTest_q); z_halfMRRFxPXE_uid52_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(z_halfMRRFxPXE_uid52_fpCosPiTest_a) - UNSIGNED(z_halfMRRFxPXE_uid52_fpCosPiTest_b)); z_halfMRRFxPXE_uid52_fpCosPiTest_q <= z_halfMRRFxPXE_uid52_fpCosPiTest_o(36 downto 0); --z_uid54_fpCosPiTest(BITSELECT,53)@3 z_uid54_fpCosPiTest_in <= z_halfMRRFxPXE_uid52_fpCosPiTest_q(34 downto 0); z_uid54_fpCosPiTest_b <= z_uid54_fpCosPiTest_in(34 downto 0); --zAddr_uid62_fpCosPiTest(BITSELECT,61)@3 zAddr_uid62_fpCosPiTest_in <= z_uid54_fpCosPiTest_b; zAddr_uid62_fpCosPiTest_b <= zAddr_uid62_fpCosPiTest_in(34 downto 28); --reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0(REG,263)@3 reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q <= "0000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q <= zAddr_uid62_fpCosPiTest_b; END IF; END IF; END PROCESS; --memoryC2_uid209_sinPiZTableGenerator(LOOKUP,208)@4 memoryC2_uid209_sinPiZTableGenerator: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN memoryC2_uid209_sinPiZTableGenerator_q <= "10101101010011"; ELSIF (clk'EVENT AND clk = '1' AND en = "1") THEN CASE (reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q) IS WHEN "0000000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101010011"; WHEN "0000001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101010100"; WHEN "0000010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101010111"; WHEN "0000011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101011001"; WHEN "0000100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101011011"; WHEN "0000101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101011100"; WHEN "0000110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101011111"; WHEN "0000111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101100010"; WHEN "0001000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101100110"; WHEN "0001001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101101011"; WHEN "0001010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101110000"; WHEN "0001011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101110101"; WHEN "0001100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101101111011"; WHEN "0001101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110000000"; WHEN "0001110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110000111"; WHEN "0001111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110001110"; WHEN "0010000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110010011"; WHEN "0010001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110011110"; WHEN "0010010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110100110"; WHEN "0010011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110101111"; WHEN "0010100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101110111000"; WHEN "0010101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111000011"; WHEN "0010110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111001100"; WHEN "0010111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111010111"; WHEN "0011000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111100011"; WHEN "0011001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111101110"; WHEN "0011010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10101111111011"; WHEN "0011011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110000001001"; WHEN "0011100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110000010101"; WHEN "0011101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110000100000"; WHEN "0011110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110000110001"; WHEN "0011111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110001000000"; WHEN "0100000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110001001101"; WHEN "0100001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110001011110"; WHEN "0100010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110001101100"; WHEN "0100011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110001111111"; WHEN "0100100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110010001111"; WHEN "0100101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110010100001"; WHEN "0100110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110010110011"; WHEN "0100111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110011000101"; WHEN "0101000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110011010110"; WHEN "0101001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110011101011"; WHEN "0101010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110011111111"; WHEN "0101011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110100010010"; WHEN "0101100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110100100101"; WHEN "0101101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110100111011"; WHEN "0101110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110101001110"; WHEN "0101111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110101100111"; WHEN "0110000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110101111100"; WHEN "0110001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110110010010"; WHEN "0110010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110110100110"; WHEN "0110011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110111000000"; WHEN "0110100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110111010101"; WHEN "0110101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10110111110000"; WHEN "0110110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111000000110"; WHEN "0110111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111000100010"; WHEN "0111000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111000111001"; WHEN "0111001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111001010100"; WHEN "0111010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111001101111"; WHEN "0111011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111010001001"; WHEN "0111100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111010100011"; WHEN "0111101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111010111100"; WHEN "0111110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111011011001"; WHEN "0111111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111011110111"; WHEN "1000000" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111100010100"; WHEN "1000001" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111100110001"; WHEN "1000010" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111101001101"; WHEN "1000011" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111101101010"; WHEN "1000100" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111110001000"; WHEN "1000101" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111110100101"; WHEN "1000110" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111111000101"; WHEN "1000111" => memoryC2_uid209_sinPiZTableGenerator_q <= "10111111100011"; WHEN "1001000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000000000011"; WHEN "1001001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000000100011"; WHEN "1001010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000001000100"; WHEN "1001011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000001100010"; WHEN "1001100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000010000100"; WHEN "1001101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000010100010"; WHEN "1001110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000011000110"; WHEN "1001111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000011101000"; WHEN "1010000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000100001010"; WHEN "1010001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000100101101"; WHEN "1010010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000101010001"; WHEN "1010011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000101110010"; WHEN "1010100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000110010100"; WHEN "1010101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000110111011"; WHEN "1010110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11000111011010"; WHEN "1010111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001000000001"; WHEN "1011000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001000100110"; WHEN "1011001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001001001011"; WHEN "1011010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001001101101"; WHEN "1011011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001010010101"; WHEN "1011100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001010111100"; WHEN "1011101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001011100000"; WHEN "1011110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001100000101"; WHEN "1011111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001100101110"; WHEN "1100000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001101010100"; WHEN "1100001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001101111010"; WHEN "1100010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001110100010"; WHEN "1100011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001111001001"; WHEN "1100100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11001111110001"; WHEN "1100101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010000010110"; WHEN "1100110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010000111111"; WHEN "1100111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010001101001"; WHEN "1101000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010010010010"; WHEN "1101001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010010111101"; WHEN "1101010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010011100001"; WHEN "1101011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010100001100"; WHEN "1101100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010100110111"; WHEN "1101101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010101100001"; WHEN "1101110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010110001011"; WHEN "1101111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010110110011"; WHEN "1110000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11010111011111"; WHEN "1110001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011000001010"; WHEN "1110010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011000110100"; WHEN "1110011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011001011111"; WHEN "1110100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011010001010"; WHEN "1110101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011010110110"; WHEN "1110110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011011100011"; WHEN "1110111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011100001111"; WHEN "1111000" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011100111001"; WHEN "1111001" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011101100011"; WHEN "1111010" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011110010001"; WHEN "1111011" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011110111011"; WHEN "1111100" => memoryC2_uid209_sinPiZTableGenerator_q <= "11011111101000"; WHEN "1111101" => memoryC2_uid209_sinPiZTableGenerator_q <= "11100000010101"; WHEN "1111110" => memoryC2_uid209_sinPiZTableGenerator_q <= "11100001000010"; WHEN "1111111" => memoryC2_uid209_sinPiZTableGenerator_q <= "11100001110000"; WHEN OTHERS => memoryC2_uid209_sinPiZTableGenerator_q <= (others => '-'); END CASE; END IF; END PROCESS; --zPPolyEval_uid63_fpCosPiTest(BITSELECT,62)@3 zPPolyEval_uid63_fpCosPiTest_in <= z_uid54_fpCosPiTest_b(27 downto 0); zPPolyEval_uid63_fpCosPiTest_b <= zPPolyEval_uid63_fpCosPiTest_in(27 downto 12); --yT1_uid210_sinPiZPolyEval(BITSELECT,209)@3 yT1_uid210_sinPiZPolyEval_in <= zPPolyEval_uid63_fpCosPiTest_b; yT1_uid210_sinPiZPolyEval_b <= yT1_uid210_sinPiZPolyEval_in(15 downto 2); --reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0(REG,264)@3 reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q <= "00000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q <= yT1_uid210_sinPiZPolyEval_b; END IF; END IF; END PROCESS; --ld_reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_a(DELAY,499)@4 ld_reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_a : dspba_delay GENERIC MAP ( width => 14, depth => 1 ) PORT MAP ( xin => reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q, xout => ld_reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_a_q, ena => en(0), clk => clk, aclr => areset ); --prodXY_uid226_pT1_uid211_sinPiZPolyEval(MULT,225)@5 prodXY_uid226_pT1_uid211_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid226_pT1_uid211_sinPiZPolyEval_a),15)) * SIGNED(prodXY_uid226_pT1_uid211_sinPiZPolyEval_b); prodXY_uid226_pT1_uid211_sinPiZPolyEval_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid226_pT1_uid211_sinPiZPolyEval_a <= (others => '0'); prodXY_uid226_pT1_uid211_sinPiZPolyEval_b <= (others => '0'); prodXY_uid226_pT1_uid211_sinPiZPolyEval_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid226_pT1_uid211_sinPiZPolyEval_a <= ld_reg_yT1_uid210_sinPiZPolyEval_0_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_0_q_to_prodXY_uid226_pT1_uid211_sinPiZPolyEval_a_q; prodXY_uid226_pT1_uid211_sinPiZPolyEval_b <= memoryC2_uid209_sinPiZTableGenerator_q; prodXY_uid226_pT1_uid211_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid226_pT1_uid211_sinPiZPolyEval_pr,28)); END IF; END IF; END PROCESS; prodXY_uid226_pT1_uid211_sinPiZPolyEval: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid226_pT1_uid211_sinPiZPolyEval_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid226_pT1_uid211_sinPiZPolyEval_q <= prodXY_uid226_pT1_uid211_sinPiZPolyEval_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval(BITSELECT,226)@8 prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_in <= prodXY_uid226_pT1_uid211_sinPiZPolyEval_q; prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_b <= prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_in(27 downto 13); --highBBits_uid213_sinPiZPolyEval(BITSELECT,212)@8 highBBits_uid213_sinPiZPolyEval_in <= prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_b; highBBits_uid213_sinPiZPolyEval_b <= highBBits_uid213_sinPiZPolyEval_in(14 downto 1); --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable(LOGICAL,557) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_a <= en; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q <= not ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_a; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor(LOGICAL,596) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_b <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_q <= not (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_a or ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_b); --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_mem_top(CONSTANT,592) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_mem_top_q <= "010"; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp(LOGICAL,593) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_a <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_mem_top_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q); ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_q <= "1" when ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_a = ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_b else "0"; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg(REG,594) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmp_q; END IF; END IF; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena(REG,597) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_nor_q = "1") THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd(LOGICAL,598) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_a <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_sticky_ena_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_b <= en; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_a and ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_b; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt(COUNTER,588) -- every=1, low=0, high=2, step=1, init=1 ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i <= TO_UNSIGNED(1,2); ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i = 1 THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_eq <= '1'; ELSE ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_eq <= '0'; END IF; IF (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_eq = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i - 2; ELSE ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_i,2)); --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg(REG,589) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux(MUX,590) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_s <= en; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux: PROCESS (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_s, ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q, ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_q) BEGIN CASE ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_s IS WHEN "0" => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q; WHEN "1" => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdcnt_q; WHEN OTHERS => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem(DUALMEM,587) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_reset0 <= areset; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ia <= reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_aa <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ab <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 7, widthad_a => 2, numwords_a => 3, width_b => 7, widthad_b => 2, numwords_b => 3, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_reset0, clock1 => clk, address_b => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_iq, address_a => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_aa, data_a => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_ia ); ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_iq(6 downto 0); --memoryC1_uid208_sinPiZTableGenerator(LOOKUP,207)@8 memoryC1_uid208_sinPiZTableGenerator: PROCESS (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_q) BEGIN -- Begin reserved scope level CASE (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_mem_q) IS WHEN "0000000" => memoryC1_uid208_sinPiZTableGenerator_q <= "000000000000000000001"; WHEN "0000001" => memoryC1_uid208_sinPiZTableGenerator_q <= "111111101011010101010"; WHEN "0000010" => memoryC1_uid208_sinPiZTableGenerator_q <= "111111010110101010001"; WHEN "0000011" => memoryC1_uid208_sinPiZTableGenerator_q <= "111111000001111111100"; WHEN "0000100" => memoryC1_uid208_sinPiZTableGenerator_q <= "111110101101010101010"; WHEN "0000101" => memoryC1_uid208_sinPiZTableGenerator_q <= "111110011000101011101"; WHEN "0000110" => memoryC1_uid208_sinPiZTableGenerator_q <= "111110000100000010101"; WHEN "0000111" => memoryC1_uid208_sinPiZTableGenerator_q <= "111101101111011010001"; WHEN "0001000" => memoryC1_uid208_sinPiZTableGenerator_q <= "111101011010110010101"; WHEN "0001001" => memoryC1_uid208_sinPiZTableGenerator_q <= "111101000110001011111"; WHEN "0001010" => memoryC1_uid208_sinPiZTableGenerator_q <= "111100110001100110010"; WHEN "0001011" => memoryC1_uid208_sinPiZTableGenerator_q <= "111100011101000010000"; WHEN "0001100" => memoryC1_uid208_sinPiZTableGenerator_q <= "111100001000011110111"; WHEN "0001101" => memoryC1_uid208_sinPiZTableGenerator_q <= "111011110011111101011"; WHEN "0001110" => memoryC1_uid208_sinPiZTableGenerator_q <= "111011011111011101011"; WHEN "0001111" => memoryC1_uid208_sinPiZTableGenerator_q <= "111011001010111110111"; WHEN "0010000" => memoryC1_uid208_sinPiZTableGenerator_q <= "111010110110100010101"; WHEN "0010001" => memoryC1_uid208_sinPiZTableGenerator_q <= "111010100010000111100"; WHEN "0010010" => memoryC1_uid208_sinPiZTableGenerator_q <= "111010001101101111000"; WHEN "0010011" => memoryC1_uid208_sinPiZTableGenerator_q <= "111001111001011000011"; WHEN "0010100" => memoryC1_uid208_sinPiZTableGenerator_q <= "111001100101000100010"; WHEN "0010101" => memoryC1_uid208_sinPiZTableGenerator_q <= "111001010000110010001"; WHEN "0010110" => memoryC1_uid208_sinPiZTableGenerator_q <= "111000111100100010111"; WHEN "0010111" => memoryC1_uid208_sinPiZTableGenerator_q <= "111000101000010110001"; WHEN "0011000" => memoryC1_uid208_sinPiZTableGenerator_q <= "111000010100001011111"; WHEN "0011001" => memoryC1_uid208_sinPiZTableGenerator_q <= "111000000000000100110"; WHEN "0011010" => memoryC1_uid208_sinPiZTableGenerator_q <= "110111101100000000011"; WHEN "0011011" => memoryC1_uid208_sinPiZTableGenerator_q <= "110111010111111111000"; WHEN "0011100" => memoryC1_uid208_sinPiZTableGenerator_q <= "110111000100000001000"; WHEN "0011101" => memoryC1_uid208_sinPiZTableGenerator_q <= "110110110000000110101"; WHEN "0011110" => memoryC1_uid208_sinPiZTableGenerator_q <= "110110011100001110111"; WHEN "0011111" => memoryC1_uid208_sinPiZTableGenerator_q <= "110110001000011011000"; WHEN "0100000" => memoryC1_uid208_sinPiZTableGenerator_q <= "110101110100101011010"; WHEN "0100001" => memoryC1_uid208_sinPiZTableGenerator_q <= "110101100000111110101"; WHEN "0100010" => memoryC1_uid208_sinPiZTableGenerator_q <= "110101001101010110010"; WHEN "0100011" => memoryC1_uid208_sinPiZTableGenerator_q <= "110100111001110001011"; WHEN "0100100" => memoryC1_uid208_sinPiZTableGenerator_q <= "110100100110010001001"; WHEN "0100101" => memoryC1_uid208_sinPiZTableGenerator_q <= "110100010010110100110"; WHEN "0100110" => memoryC1_uid208_sinPiZTableGenerator_q <= "110011111111011100110"; WHEN "0100111" => memoryC1_uid208_sinPiZTableGenerator_q <= "110011101100001001010"; WHEN "0101000" => memoryC1_uid208_sinPiZTableGenerator_q <= "110011011000111010011"; WHEN "0101001" => memoryC1_uid208_sinPiZTableGenerator_q <= "110011000101101111111"; WHEN "0101010" => memoryC1_uid208_sinPiZTableGenerator_q <= "110010110010101010010"; WHEN "0101011" => memoryC1_uid208_sinPiZTableGenerator_q <= "110010011111101001101"; WHEN "0101100" => memoryC1_uid208_sinPiZTableGenerator_q <= "110010001100101110000"; WHEN "0101101" => memoryC1_uid208_sinPiZTableGenerator_q <= "110001111001110111001"; WHEN "0101110" => memoryC1_uid208_sinPiZTableGenerator_q <= "110001100111000110000"; WHEN "0101111" => memoryC1_uid208_sinPiZTableGenerator_q <= "110001010100011001011"; WHEN "0110000" => memoryC1_uid208_sinPiZTableGenerator_q <= "110001000001110010110"; WHEN "0110001" => memoryC1_uid208_sinPiZTableGenerator_q <= "110000101111010001100"; WHEN "0110010" => memoryC1_uid208_sinPiZTableGenerator_q <= "110000011100110110001"; WHEN "0110011" => memoryC1_uid208_sinPiZTableGenerator_q <= "110000001010011111111"; WHEN "0110100" => memoryC1_uid208_sinPiZTableGenerator_q <= "101111111000010000000"; WHEN "0110101" => memoryC1_uid208_sinPiZTableGenerator_q <= "101111100110000101100"; WHEN "0110110" => memoryC1_uid208_sinPiZTableGenerator_q <= "101111010100000001100"; WHEN "0110111" => memoryC1_uid208_sinPiZTableGenerator_q <= "101111000010000011001"; WHEN "0111000" => memoryC1_uid208_sinPiZTableGenerator_q <= "101110110000001011100"; WHEN "0111001" => memoryC1_uid208_sinPiZTableGenerator_q <= "101110011110011001110"; WHEN "0111010" => memoryC1_uid208_sinPiZTableGenerator_q <= "101110001100101110101"; WHEN "0111011" => memoryC1_uid208_sinPiZTableGenerator_q <= "101101111011001010001"; WHEN "0111100" => memoryC1_uid208_sinPiZTableGenerator_q <= "101101101001101100010"; WHEN "0111101" => memoryC1_uid208_sinPiZTableGenerator_q <= "101101011000010101010"; WHEN "0111110" => memoryC1_uid208_sinPiZTableGenerator_q <= "101101000111000100101"; WHEN "0111111" => memoryC1_uid208_sinPiZTableGenerator_q <= "101100110101111010111"; WHEN "1000000" => memoryC1_uid208_sinPiZTableGenerator_q <= "101100100100111000010"; WHEN "1000001" => memoryC1_uid208_sinPiZTableGenerator_q <= "101100010011111100111"; WHEN "1000010" => memoryC1_uid208_sinPiZTableGenerator_q <= "101100000011001000111"; WHEN "1000011" => memoryC1_uid208_sinPiZTableGenerator_q <= "101011110010011100000"; WHEN "1000100" => memoryC1_uid208_sinPiZTableGenerator_q <= "101011100001110110100"; WHEN "1000101" => memoryC1_uid208_sinPiZTableGenerator_q <= "101011010001011000100"; WHEN "1000110" => memoryC1_uid208_sinPiZTableGenerator_q <= "101011000001000001110"; WHEN "1000111" => memoryC1_uid208_sinPiZTableGenerator_q <= "101010110000110011000"; WHEN "1001000" => memoryC1_uid208_sinPiZTableGenerator_q <= "101010100000101011111"; WHEN "1001001" => memoryC1_uid208_sinPiZTableGenerator_q <= "101010010000101100100"; WHEN "1001010" => memoryC1_uid208_sinPiZTableGenerator_q <= "101010000000110101001"; WHEN "1001011" => memoryC1_uid208_sinPiZTableGenerator_q <= "101001110001000110000"; WHEN "1001100" => memoryC1_uid208_sinPiZTableGenerator_q <= "101001100001011110101"; WHEN "1001101" => memoryC1_uid208_sinPiZTableGenerator_q <= "101001010001111111111"; WHEN "1001110" => memoryC1_uid208_sinPiZTableGenerator_q <= "101001000010101000110"; WHEN "1001111" => memoryC1_uid208_sinPiZTableGenerator_q <= "101000110011011010001"; WHEN "1010000" => memoryC1_uid208_sinPiZTableGenerator_q <= "101000100100010100001"; WHEN "1010001" => memoryC1_uid208_sinPiZTableGenerator_q <= "101000010101010110100"; WHEN "1010010" => memoryC1_uid208_sinPiZTableGenerator_q <= "101000000110100001011"; WHEN "1010011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100111110111110101011"; WHEN "1010100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100111101001010010000"; WHEN "1010101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100111011010110110110"; WHEN "1010110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100111001100100101100"; WHEN "1010111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100110111110011100011"; WHEN "1011000" => memoryC1_uid208_sinPiZTableGenerator_q <= "100110110000011100011"; WHEN "1011001" => memoryC1_uid208_sinPiZTableGenerator_q <= "100110100010100101110"; WHEN "1011010" => memoryC1_uid208_sinPiZTableGenerator_q <= "100110010100111000101"; WHEN "1011011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100110000111010100001"; WHEN "1011100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100101111001111001000"; WHEN "1011101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100101101100100111111"; WHEN "1011110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100101011111100000000"; WHEN "1011111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100101010010100001001"; WHEN "1100000" => memoryC1_uid208_sinPiZTableGenerator_q <= "100101000101101100011"; WHEN "1100001" => memoryC1_uid208_sinPiZTableGenerator_q <= "100100111001000001011"; WHEN "1100010" => memoryC1_uid208_sinPiZTableGenerator_q <= "100100101100011111111"; WHEN "1100011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100100100000001000010"; WHEN "1100100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100100010011111010101"; WHEN "1100101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100100000111110111001"; WHEN "1100110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011111011111101010"; WHEN "1100111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011110000001101010"; WHEN "1101000" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011100100100111101"; WHEN "1101001" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011011001001011111"; WHEN "1101010" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011001101111011010"; WHEN "1101011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100011000010110100001"; WHEN "1101100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010110111110111010"; WHEN "1101101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010101101000101000"; WHEN "1101110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010100010011101001"; WHEN "1101111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010011000000000001"; WHEN "1110000" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010001101101101010"; WHEN "1110001" => memoryC1_uid208_sinPiZTableGenerator_q <= "100010000011100100111"; WHEN "1110010" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001111001100111100"; WHEN "1110011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001101111110100101"; WHEN "1110100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001100110001100100"; WHEN "1110101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001011100101111001"; WHEN "1110110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001010011011100011"; WHEN "1110111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001001010010100101"; WHEN "1111000" => memoryC1_uid208_sinPiZTableGenerator_q <= "100001000001011000000"; WHEN "1111001" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000111000100110100"; WHEN "1111010" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000101111111111100"; WHEN "1111011" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000100111100011111"; WHEN "1111100" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000011111010011000"; WHEN "1111101" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000010111001101010"; WHEN "1111110" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000001111010010101"; WHEN "1111111" => memoryC1_uid208_sinPiZTableGenerator_q <= "100000000111100011001"; WHEN OTHERS => memoryC1_uid208_sinPiZTableGenerator_q <= (others => '-'); END CASE; -- End reserved scope level END PROCESS; --sumAHighB_uid214_sinPiZPolyEval(ADD,213)@8 sumAHighB_uid214_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((21 downto 21 => memoryC1_uid208_sinPiZTableGenerator_q(20)) & memoryC1_uid208_sinPiZTableGenerator_q); sumAHighB_uid214_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((21 downto 14 => highBBits_uid213_sinPiZPolyEval_b(13)) & highBBits_uid213_sinPiZPolyEval_b); sumAHighB_uid214_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid214_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid214_sinPiZPolyEval_b)); sumAHighB_uid214_sinPiZPolyEval_q <= sumAHighB_uid214_sinPiZPolyEval_o(21 downto 0); --lowRangeB_uid212_sinPiZPolyEval(BITSELECT,211)@8 lowRangeB_uid212_sinPiZPolyEval_in <= prodXYTruncFR_uid227_pT1_uid211_sinPiZPolyEval_b(0 downto 0); lowRangeB_uid212_sinPiZPolyEval_b <= lowRangeB_uid212_sinPiZPolyEval_in(0 downto 0); --s1_uid212_uid215_sinPiZPolyEval(BITJOIN,214)@8 s1_uid212_uid215_sinPiZPolyEval_q <= sumAHighB_uid214_sinPiZPolyEval_q & lowRangeB_uid212_sinPiZPolyEval_b; --reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1(REG,267)@8 reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1_q <= "00000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1_q <= s1_uid212_uid215_sinPiZPolyEval_q; END IF; END IF; END PROCESS; --ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor(LOGICAL,609) ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_b <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_q <= not (ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_a or ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_b); --ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena(REG,610) ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_nor_q = "1") THEN ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd(LOGICAL,611) ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_a <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_sticky_ena_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_b <= en; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_q <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_a and ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_b; --ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_inputreg(DELAY,599) ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_inputreg : dspba_delay GENERIC MAP ( width => 16, depth => 1 ) PORT MAP ( xin => zPPolyEval_uid63_fpCosPiTest_b, xout => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem(DUALMEM,600) ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_reset0 <= areset; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ia <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_inputreg_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_aa <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ab <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q; ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 16, widthad_a => 2, numwords_a => 3, width_b => 16, widthad_b => 2, numwords_b => 3, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_reset0, clock1 => clk, address_b => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_iq, address_a => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_aa, data_a => ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_ia ); ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_q <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_iq(15 downto 0); --reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0(REG,266)@8 reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_q <= "0000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_q <= ld_zPPolyEval_uid63_fpCosPiTest_b_to_reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_a_replace_mem_q; END IF; END IF; END PROCESS; --prodXY_uid229_pT2_uid217_sinPiZPolyEval(MULT,228)@9 prodXY_uid229_pT2_uid217_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid229_pT2_uid217_sinPiZPolyEval_a),17)) * SIGNED(prodXY_uid229_pT2_uid217_sinPiZPolyEval_b); prodXY_uid229_pT2_uid217_sinPiZPolyEval_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid229_pT2_uid217_sinPiZPolyEval_a <= (others => '0'); prodXY_uid229_pT2_uid217_sinPiZPolyEval_b <= (others => '0'); prodXY_uid229_pT2_uid217_sinPiZPolyEval_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid229_pT2_uid217_sinPiZPolyEval_a <= reg_zPPolyEval_uid63_fpCosPiTest_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_0_q; prodXY_uid229_pT2_uid217_sinPiZPolyEval_b <= reg_s1_uid212_uid215_sinPiZPolyEval_0_to_prodXY_uid229_pT2_uid217_sinPiZPolyEval_1_q; prodXY_uid229_pT2_uid217_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid229_pT2_uid217_sinPiZPolyEval_pr,39)); END IF; END IF; END PROCESS; prodXY_uid229_pT2_uid217_sinPiZPolyEval: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid229_pT2_uid217_sinPiZPolyEval_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid229_pT2_uid217_sinPiZPolyEval_q <= prodXY_uid229_pT2_uid217_sinPiZPolyEval_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval(BITSELECT,229)@12 prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_in <= prodXY_uid229_pT2_uid217_sinPiZPolyEval_q; prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_b <= prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_in(38 downto 15); --highBBits_uid219_sinPiZPolyEval(BITSELECT,218)@12 highBBits_uid219_sinPiZPolyEval_in <= prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_b; highBBits_uid219_sinPiZPolyEval_b <= highBBits_uid219_sinPiZPolyEval_in(23 downto 2); --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor(LOGICAL,584) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_b <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_q <= not (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_a or ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_b); --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_mem_top(CONSTANT,554) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_mem_top_q <= "0110"; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp(LOGICAL,555) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_mem_top_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q); ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_q <= "1" when ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_a = ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_b else "0"; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg(REG,556) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmp_q; END IF; END IF; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena(REG,585) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_nor_q = "1") THEN ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd(LOGICAL,586) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_a <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_sticky_ena_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_b <= en; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_a and ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_b; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt(COUNTER,550) -- every=1, low=0, high=6, step=1, init=1 ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3); ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i = 5 THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_eq <= '1'; ELSE ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_eq <= '0'; END IF; IF (ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_eq = '1') THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i - 6; ELSE ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_i,3)); --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg(REG,551) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux(MUX,552) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_s <= en; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux: PROCESS (ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_s, ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q, ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_q) BEGIN CASE ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_s IS WHEN "0" => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q; WHEN "1" => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdcnt_q; WHEN OTHERS => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem(DUALMEM,575) ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_reset0 <= areset; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ia <= reg_zAddr_uid62_fpCosPiTest_0_to_memoryC2_uid209_sinPiZTableGenerator_0_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_aa <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ab <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q; ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 7, widthad_a => 3, numwords_a => 7, width_b => 7, widthad_b => 3, numwords_b => 7, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_reset0, clock1 => clk, address_b => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_iq, address_a => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_aa, data_a => ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_ia ); ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_iq(6 downto 0); --memoryC0_uid207_sinPiZTableGenerator(LOOKUP,206)@12 memoryC0_uid207_sinPiZTableGenerator: PROCESS (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_q) BEGIN -- Begin reserved scope level CASE (ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC0_uid207_sinPiZTableGenerator_0_q_to_memoryC0_uid207_sinPiZTableGenerator_a_replace_mem_q) IS WHEN "0000000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100100001111110110101110"; WHEN "0000001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100100001110100100000010"; WHEN "0000010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100100001010101100000000"; WHEN "0000011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100100000100001110101000"; WHEN "0000100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100011111011001011111101"; WHEN "0000101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100011101111100100000010"; WHEN "0000110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100011100001010110111011"; WHEN "0000111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100011010000100100101111"; WHEN "0001000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100010111101001101100010"; WHEN "0001001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100010100111010001011101"; WHEN "0001010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100010001110110000100111"; WHEN "0001011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100001110011101011001001"; WHEN "0001100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100001010110000001001110"; WHEN "0001101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100000110101110011000000"; WHEN "0001110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100100000010011000000101011"; WHEN "0001111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011111101101101010011101"; WHEN "0010000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011111000101110000100010"; WHEN "0010001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011110011011010011001011"; WHEN "0010010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011101101110010010100101"; WHEN "0010011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011100111110101111000011"; WHEN "0010100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011100001100101000110101"; WHEN "0010101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011011011000000000001111"; WHEN "0010110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011010100000110101100011"; WHEN "0010111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011001100111001001000110"; WHEN "0011000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100011000101010111011001110"; WHEN "0011001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010111101100001100010000"; WHEN "0011010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010110101010111100100100"; WHEN "0011011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010101100111001100100010"; WHEN "0011100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010100100000111100100011"; WHEN "0011101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010011011000001101000000"; WHEN "0011110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010010001100111110010110"; WHEN "0011111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100010000111111010000111111"; WHEN "0100000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001111101111000101010111"; WHEN "0100001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001110011100011011111110"; WHEN "0100010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001101000111010101010001"; WHEN "0100011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001011101111110001110000"; WHEN "0100100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001010010101110001111010"; WHEN "0100101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100001000111001010110010010"; WHEN "0100110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100000111011010011111011001"; WHEN "0100111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100000101111001001101110010"; WHEN "0101000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100000100010101100010000001"; WHEN "0101001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100000010101111011100101011"; WHEN "0101010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01100000001000110111110010101"; WHEN "0101011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011111111011100000111100110"; WHEN "0101100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011111101101110111001000101"; WHEN "0101101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011111011111111010011011011"; WHEN "0101110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011111010001101010111001111"; WHEN "0101111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011111000011001000101001110"; WHEN "0110000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011110110100010011110000000"; WHEN "0110001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011110100101001100010010010"; WHEN "0110010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011110010101110010010110000"; WHEN "0110011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011110000110000110000001000"; WHEN "0110100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011101110110000111011000111"; WHEN "0110101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011101100101110110100011101"; WHEN "0110110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011101010101010011100111001"; WHEN "0110111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011101000100011110101001100"; WHEN "0111000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011100110011010111110000111"; WHEN "0111001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011100100001111111000011101"; WHEN "0111010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011100010000010100101000000"; WHEN "0111011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011011111110011000100100100"; WHEN "0111100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011011101100001010111111110"; WHEN "0111101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011011011001101100000000011"; WHEN "0111110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011011000110111011101101010"; WHEN "0111111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011010110011111010001101001"; WHEN "1000000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011010100000100111100111000"; WHEN "1000001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011010001101000100000001111"; WHEN "1000010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011001111001001111100100111"; WHEN "1000011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011001100101001010010111011"; WHEN "1000100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011001010000110100100000101"; WHEN "1000101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011000111100001110001000001"; WHEN "1000110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011000100111010111010101011"; WHEN "1000111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01011000010010010000001111111"; WHEN "1001000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010111111100111000111111011"; WHEN "1001001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010111100111010001101011110"; WHEN "1001010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010111010001011010011100110"; WHEN "1001011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010110111011010011011010011"; WHEN "1001100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010110100100111100101100110"; WHEN "1001101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010110001110010110011011111"; WHEN "1001110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010101110111100000110000001"; WHEN "1001111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010101100000011011110001110"; WHEN "1010000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010101001001000111101001000"; WHEN "1010001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010100110001100100011110100"; WHEN "1010010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010100011001110010011010110"; WHEN "1010011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010100000001110001100110010"; WHEN "1010100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010011101001100010001001111"; WHEN "1010101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010011010001000100001110100"; WHEN "1010110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010010111000010111111100101"; WHEN "1010111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010010011111011101011101100"; WHEN "1011000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010010000110010100111010001"; WHEN "1011001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010001101100111110011011011"; WHEN "1011010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010001010011011010001010100"; WHEN "1011011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010000111001101000010000111"; WHEN "1011100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010000011111101000110111110"; WHEN "1011101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01010000000101011100001000010"; WHEN "1011110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001111101011000010001100001"; WHEN "1011111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001111010000011011001100111"; WHEN "1100000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001110110101100111010011111"; WHEN "1100001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001110011010100110101010111"; WHEN "1100010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001101111111011001011011101"; WHEN "1100011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001101100011111111101111111"; WHEN "1100100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001101001000011001110001011"; WHEN "1100101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001100101100100111101010001"; WHEN "1100110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001100010000101001100100001"; WHEN "1100111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001011110100011111101001011"; WHEN "1101000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001011011000001010000011111"; WHEN "1101001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001010111011101000111101111"; WHEN "1101010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001010011110111100100001011"; WHEN "1101011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001010000010000100111000111"; WHEN "1101100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001001100101000010001110101"; WHEN "1101101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001001000111110100101100111"; WHEN "1101110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001000101010011100011110001"; WHEN "1101111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01001000001100111001101100110"; WHEN "1110000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000111101111001100100011011"; WHEN "1110001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000111010001010101001100101"; WHEN "1110010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000110110011010011110010111"; WHEN "1110011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000110010101001000100001000"; WHEN "1110100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000101110110110011100001101"; WHEN "1110101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000101011000010100111111100"; WHEN "1110110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000100111001101101000101100"; WHEN "1110111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000100011010111011111110011"; WHEN "1111000" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000011111100000001110101000"; WHEN "1111001" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000011011100111110110100010"; WHEN "1111010" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000010111101110011000111010"; WHEN "1111011" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000010011110011110111000111"; WHEN "1111100" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000001111111000010010100010"; WHEN "1111101" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000001011111011101100100011"; WHEN "1111110" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000000111111110000110100011"; WHEN "1111111" => memoryC0_uid207_sinPiZTableGenerator_q <= "01000000011111111100001111011"; WHEN OTHERS => memoryC0_uid207_sinPiZTableGenerator_q <= (others => '-'); END CASE; -- End reserved scope level END PROCESS; --sumAHighB_uid220_sinPiZPolyEval(ADD,219)@12 sumAHighB_uid220_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((29 downto 29 => memoryC0_uid207_sinPiZTableGenerator_q(28)) & memoryC0_uid207_sinPiZTableGenerator_q); sumAHighB_uid220_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((29 downto 22 => highBBits_uid219_sinPiZPolyEval_b(21)) & highBBits_uid219_sinPiZPolyEval_b); sumAHighB_uid220_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid220_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid220_sinPiZPolyEval_b)); sumAHighB_uid220_sinPiZPolyEval_q <= sumAHighB_uid220_sinPiZPolyEval_o(29 downto 0); --lowRangeB_uid218_sinPiZPolyEval(BITSELECT,217)@12 lowRangeB_uid218_sinPiZPolyEval_in <= prodXYTruncFR_uid230_pT2_uid217_sinPiZPolyEval_b(1 downto 0); lowRangeB_uid218_sinPiZPolyEval_b <= lowRangeB_uid218_sinPiZPolyEval_in(1 downto 0); --s2_uid218_uid221_sinPiZPolyEval(BITJOIN,220)@12 s2_uid218_uid221_sinPiZPolyEval_q <= sumAHighB_uid220_sinPiZPolyEval_q & lowRangeB_uid218_sinPiZPolyEval_b; --fxpSinRes_uid65_fpCosPiTest(BITSELECT,64)@12 fxpSinRes_uid65_fpCosPiTest_in <= s2_uid218_uid221_sinPiZPolyEval_q(29 downto 0); fxpSinRes_uid65_fpCosPiTest_b <= fxpSinRes_uid65_fpCosPiTest_in(29 downto 5); --reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1(REG,270)@12 reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1_q <= "0000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1_q <= fxpSinRes_uid65_fpCosPiTest_b; END IF; END IF; END PROCESS; --ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor(LOGICAL,622) ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_b <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_q <= not (ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_a or ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_b); --ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena(REG,623) ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_nor_q = "1") THEN ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd(LOGICAL,624) ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_a <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_sticky_ena_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_b <= en; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_q <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_a and ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_b; --LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest(BITSELECT,201)@7 LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q(31 downto 0); LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_in(31 downto 0); --leftShiftStage2Idx3_uid203_alignedZ_uid57_fpCosPiTest(BITJOIN,202)@7 leftShiftStage2Idx3_uid203_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage131dto0_uid202_alignedZ_uid57_fpCosPiTest_b & leftShiftStage2Idx3Pad3_uid131_fxpX_uid41_fpCosPiTest_q; --LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest(BITSELECT,198)@7 LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q(32 downto 0); LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_in(32 downto 0); --leftShiftStage2Idx2_uid200_alignedZ_uid57_fpCosPiTest(BITJOIN,199)@7 leftShiftStage2Idx2_uid200_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage132dto0_uid199_alignedZ_uid57_fpCosPiTest_b & leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest_q; --LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest(BITSELECT,195)@7 LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q(33 downto 0); LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_in(33 downto 0); --leftShiftStage2Idx1_uid197_alignedZ_uid57_fpCosPiTest(BITJOIN,196)@7 leftShiftStage2Idx1_uid197_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage133dto0_uid196_alignedZ_uid57_fpCosPiTest_b & GND_q; --vStage_uid141_lzcZ_uid56_fpCosPiTest(BITSELECT,140)@3 vStage_uid141_lzcZ_uid56_fpCosPiTest_in <= z_uid54_fpCosPiTest_b(2 downto 0); vStage_uid141_lzcZ_uid56_fpCosPiTest_b <= vStage_uid141_lzcZ_uid56_fpCosPiTest_in(2 downto 0); --ld_vStage_uid141_lzcZ_uid56_fpCosPiTest_b_to_leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_b(DELAY,450)@3 ld_vStage_uid141_lzcZ_uid56_fpCosPiTest_b_to_leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 3, depth => 3 ) PORT MAP ( xin => vStage_uid141_lzcZ_uid56_fpCosPiTest_b, xout => ld_vStage_uid141_lzcZ_uid56_fpCosPiTest_b_to_leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest(BITJOIN,179)@6 leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_q <= ld_vStage_uid141_lzcZ_uid56_fpCosPiTest_b_to_leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_b_q & leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest_q; --X18dto0_uid176_alignedZ_uid57_fpCosPiTest(BITSELECT,175)@3 X18dto0_uid176_alignedZ_uid57_fpCosPiTest_in <= z_uid54_fpCosPiTest_b(18 downto 0); X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b <= X18dto0_uid176_alignedZ_uid57_fpCosPiTest_in(18 downto 0); --ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_inputreg(DELAY,573) ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_inputreg : dspba_delay GENERIC MAP ( width => 19, depth => 1 ) PORT MAP ( xin => X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b, xout => ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b(DELAY,449)@3 ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 19, depth => 2 ) PORT MAP ( xin => ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_inputreg_q, xout => ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest(BITJOIN,176)@6 leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_q <= ld_X18dto0_uid176_alignedZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_b_q & leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest_q; --ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_inputreg(DELAY,574) ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_inputreg : dspba_delay GENERIC MAP ( width => 35, depth => 1 ) PORT MAP ( xin => z_uid54_fpCosPiTest_b, xout => ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c(DELAY,453)@3 ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c : dspba_delay GENERIC MAP ( width => 35, depth => 2 ) PORT MAP ( xin => ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_inputreg_q, xout => ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --rVStage_uid138_lzcZ_uid56_fpCosPiTest(BITSELECT,137)@3 rVStage_uid138_lzcZ_uid56_fpCosPiTest_in <= z_uid54_fpCosPiTest_b; rVStage_uid138_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid138_lzcZ_uid56_fpCosPiTest_in(34 downto 3); --reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1(REG,247)@3 reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q <= "00000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q <= rVStage_uid138_lzcZ_uid56_fpCosPiTest_b; END IF; END IF; END PROCESS; --vCount_uid139_lzcZ_uid56_fpCosPiTest(LOGICAL,138)@4 vCount_uid139_lzcZ_uid56_fpCosPiTest_a <= reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q; vCount_uid139_lzcZ_uid56_fpCosPiTest_b <= leftShiftStage0Idx2Pad32_uid108_fxpX_uid41_fpCosPiTest_q; vCount_uid139_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid139_lzcZ_uid56_fpCosPiTest_a = vCount_uid139_lzcZ_uid56_fpCosPiTest_b else "0"; --ld_vCount_uid139_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_f(DELAY,447)@4 ld_vCount_uid139_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_f : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => vCount_uid139_lzcZ_uid56_fpCosPiTest_q, xout => ld_vCount_uid139_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_f_q, ena => en(0), clk => clk, aclr => areset ); --mO_uid140_lzcZ_uid56_fpCosPiTest(CONSTANT,139) mO_uid140_lzcZ_uid56_fpCosPiTest_q <= "11111111111111111111111111111"; --cStage_uid142_lzcZ_uid56_fpCosPiTest(BITJOIN,141)@3 cStage_uid142_lzcZ_uid56_fpCosPiTest_q <= vStage_uid141_lzcZ_uid56_fpCosPiTest_b & mO_uid140_lzcZ_uid56_fpCosPiTest_q; --reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3(REG,249)@3 reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3_q <= "00000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3_q <= cStage_uid142_lzcZ_uid56_fpCosPiTest_q; END IF; END IF; END PROCESS; --vStagei_uid144_lzcZ_uid56_fpCosPiTest(MUX,143)@4 vStagei_uid144_lzcZ_uid56_fpCosPiTest_s <= vCount_uid139_lzcZ_uid56_fpCosPiTest_q; vStagei_uid144_lzcZ_uid56_fpCosPiTest: PROCESS (vStagei_uid144_lzcZ_uid56_fpCosPiTest_s, en, reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q, reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3_q) BEGIN CASE vStagei_uid144_lzcZ_uid56_fpCosPiTest_s IS WHEN "0" => vStagei_uid144_lzcZ_uid56_fpCosPiTest_q <= reg_rVStage_uid138_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid139_lzcZ_uid56_fpCosPiTest_1_q; WHEN "1" => vStagei_uid144_lzcZ_uid56_fpCosPiTest_q <= reg_cStage_uid142_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid144_lzcZ_uid56_fpCosPiTest_3_q; WHEN OTHERS => vStagei_uid144_lzcZ_uid56_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --rVStage_uid146_lzcZ_uid56_fpCosPiTest(BITSELECT,145)@4 rVStage_uid146_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid144_lzcZ_uid56_fpCosPiTest_q; rVStage_uid146_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid146_lzcZ_uid56_fpCosPiTest_in(31 downto 16); --reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1(REG,250)@4 reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q <= "0000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q <= rVStage_uid146_lzcZ_uid56_fpCosPiTest_b; END IF; END IF; END PROCESS; --vCount_uid147_lzcZ_uid56_fpCosPiTest(LOGICAL,146)@5 vCount_uid147_lzcZ_uid56_fpCosPiTest_a <= reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q; vCount_uid147_lzcZ_uid56_fpCosPiTest_b <= leftShiftStage0Idx1Pad16_uid105_fxpX_uid41_fpCosPiTest_q; vCount_uid147_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid147_lzcZ_uid56_fpCosPiTest_a = vCount_uid147_lzcZ_uid56_fpCosPiTest_b else "0"; --ld_vCount_uid147_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_e(DELAY,446)@5 ld_vCount_uid147_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_e : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => vCount_uid147_lzcZ_uid56_fpCosPiTest_q, xout => ld_vCount_uid147_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_e_q, ena => en(0), clk => clk, aclr => areset ); --vStage_uid148_lzcZ_uid56_fpCosPiTest(BITSELECT,147)@4 vStage_uid148_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid144_lzcZ_uid56_fpCosPiTest_q(15 downto 0); vStage_uid148_lzcZ_uid56_fpCosPiTest_b <= vStage_uid148_lzcZ_uid56_fpCosPiTest_in(15 downto 0); --reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3(REG,252)@4 reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3_q <= "0000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3_q <= vStage_uid148_lzcZ_uid56_fpCosPiTest_b; END IF; END IF; END PROCESS; --vStagei_uid150_lzcZ_uid56_fpCosPiTest(MUX,149)@5 vStagei_uid150_lzcZ_uid56_fpCosPiTest_s <= vCount_uid147_lzcZ_uid56_fpCosPiTest_q; vStagei_uid150_lzcZ_uid56_fpCosPiTest: PROCESS (vStagei_uid150_lzcZ_uid56_fpCosPiTest_s, en, reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q, reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3_q) BEGIN CASE vStagei_uid150_lzcZ_uid56_fpCosPiTest_s IS WHEN "0" => vStagei_uid150_lzcZ_uid56_fpCosPiTest_q <= reg_rVStage_uid146_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid147_lzcZ_uid56_fpCosPiTest_1_q; WHEN "1" => vStagei_uid150_lzcZ_uid56_fpCosPiTest_q <= reg_vStage_uid148_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid150_lzcZ_uid56_fpCosPiTest_3_q; WHEN OTHERS => vStagei_uid150_lzcZ_uid56_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --rVStage_uid152_lzcZ_uid56_fpCosPiTest(BITSELECT,151)@5 rVStage_uid152_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid150_lzcZ_uid56_fpCosPiTest_q; rVStage_uid152_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid152_lzcZ_uid56_fpCosPiTest_in(15 downto 8); --vCount_uid153_lzcZ_uid56_fpCosPiTest(LOGICAL,152)@5 vCount_uid153_lzcZ_uid56_fpCosPiTest_a <= rVStage_uid152_lzcZ_uid56_fpCosPiTest_b; vCount_uid153_lzcZ_uid56_fpCosPiTest_b <= cstAllZWE_uid21_fpCosPiTest_q; vCount_uid153_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid153_lzcZ_uid56_fpCosPiTest_a = vCount_uid153_lzcZ_uid56_fpCosPiTest_b else "0"; --reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3(REG,256)@5 reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3_q <= vCount_uid153_lzcZ_uid56_fpCosPiTest_q; END IF; END IF; END PROCESS; --vStage_uid154_lzcZ_uid56_fpCosPiTest(BITSELECT,153)@5 vStage_uid154_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid150_lzcZ_uid56_fpCosPiTest_q(7 downto 0); vStage_uid154_lzcZ_uid56_fpCosPiTest_b <= vStage_uid154_lzcZ_uid56_fpCosPiTest_in(7 downto 0); --vStagei_uid156_lzcZ_uid56_fpCosPiTest(MUX,155)@5 vStagei_uid156_lzcZ_uid56_fpCosPiTest_s <= vCount_uid153_lzcZ_uid56_fpCosPiTest_q; vStagei_uid156_lzcZ_uid56_fpCosPiTest: PROCESS (vStagei_uid156_lzcZ_uid56_fpCosPiTest_s, en, rVStage_uid152_lzcZ_uid56_fpCosPiTest_b, vStage_uid154_lzcZ_uid56_fpCosPiTest_b) BEGIN CASE vStagei_uid156_lzcZ_uid56_fpCosPiTest_s IS WHEN "0" => vStagei_uid156_lzcZ_uid56_fpCosPiTest_q <= rVStage_uid152_lzcZ_uid56_fpCosPiTest_b; WHEN "1" => vStagei_uid156_lzcZ_uid56_fpCosPiTest_q <= vStage_uid154_lzcZ_uid56_fpCosPiTest_b; WHEN OTHERS => vStagei_uid156_lzcZ_uid56_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --rVStage_uid158_lzcZ_uid56_fpCosPiTest(BITSELECT,157)@5 rVStage_uid158_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid156_lzcZ_uid56_fpCosPiTest_q; rVStage_uid158_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid158_lzcZ_uid56_fpCosPiTest_in(7 downto 4); --reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1(REG,253)@5 reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q <= "0000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q <= rVStage_uid158_lzcZ_uid56_fpCosPiTest_b; END IF; END IF; END PROCESS; --vCount_uid159_lzcZ_uid56_fpCosPiTest(LOGICAL,158)@6 vCount_uid159_lzcZ_uid56_fpCosPiTest_a <= reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q; vCount_uid159_lzcZ_uid56_fpCosPiTest_b <= leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest_q; vCount_uid159_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid159_lzcZ_uid56_fpCosPiTest_a = vCount_uid159_lzcZ_uid56_fpCosPiTest_b else "0"; --vStage_uid160_lzcZ_uid56_fpCosPiTest(BITSELECT,159)@5 vStage_uid160_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid156_lzcZ_uid56_fpCosPiTest_q(3 downto 0); vStage_uid160_lzcZ_uid56_fpCosPiTest_b <= vStage_uid160_lzcZ_uid56_fpCosPiTest_in(3 downto 0); --reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3(REG,255)@5 reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3_q <= "0000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3_q <= vStage_uid160_lzcZ_uid56_fpCosPiTest_b; END IF; END IF; END PROCESS; --vStagei_uid162_lzcZ_uid56_fpCosPiTest(MUX,161)@6 vStagei_uid162_lzcZ_uid56_fpCosPiTest_s <= vCount_uid159_lzcZ_uid56_fpCosPiTest_q; vStagei_uid162_lzcZ_uid56_fpCosPiTest: PROCESS (vStagei_uid162_lzcZ_uid56_fpCosPiTest_s, en, reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q, reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3_q) BEGIN CASE vStagei_uid162_lzcZ_uid56_fpCosPiTest_s IS WHEN "0" => vStagei_uid162_lzcZ_uid56_fpCosPiTest_q <= reg_rVStage_uid158_lzcZ_uid56_fpCosPiTest_0_to_vCount_uid159_lzcZ_uid56_fpCosPiTest_1_q; WHEN "1" => vStagei_uid162_lzcZ_uid56_fpCosPiTest_q <= reg_vStage_uid160_lzcZ_uid56_fpCosPiTest_0_to_vStagei_uid162_lzcZ_uid56_fpCosPiTest_3_q; WHEN OTHERS => vStagei_uid162_lzcZ_uid56_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --rVStage_uid164_lzcZ_uid56_fpCosPiTest(BITSELECT,163)@6 rVStage_uid164_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid162_lzcZ_uid56_fpCosPiTest_q; rVStage_uid164_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid164_lzcZ_uid56_fpCosPiTest_in(3 downto 2); --vCount_uid165_lzcZ_uid56_fpCosPiTest(LOGICAL,164)@6 vCount_uid165_lzcZ_uid56_fpCosPiTest_a <= rVStage_uid164_lzcZ_uid56_fpCosPiTest_b; vCount_uid165_lzcZ_uid56_fpCosPiTest_b <= leftShiftStage2Idx2Pad2_uid128_fxpX_uid41_fpCosPiTest_q; vCount_uid165_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid165_lzcZ_uid56_fpCosPiTest_a = vCount_uid165_lzcZ_uid56_fpCosPiTest_b else "0"; --vStage_uid166_lzcZ_uid56_fpCosPiTest(BITSELECT,165)@6 vStage_uid166_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid162_lzcZ_uid56_fpCosPiTest_q(1 downto 0); vStage_uid166_lzcZ_uid56_fpCosPiTest_b <= vStage_uid166_lzcZ_uid56_fpCosPiTest_in(1 downto 0); --vStagei_uid168_lzcZ_uid56_fpCosPiTest(MUX,167)@6 vStagei_uid168_lzcZ_uid56_fpCosPiTest_s <= vCount_uid165_lzcZ_uid56_fpCosPiTest_q; vStagei_uid168_lzcZ_uid56_fpCosPiTest: PROCESS (vStagei_uid168_lzcZ_uid56_fpCosPiTest_s, en, rVStage_uid164_lzcZ_uid56_fpCosPiTest_b, vStage_uid166_lzcZ_uid56_fpCosPiTest_b) BEGIN CASE vStagei_uid168_lzcZ_uid56_fpCosPiTest_s IS WHEN "0" => vStagei_uid168_lzcZ_uid56_fpCosPiTest_q <= rVStage_uid164_lzcZ_uid56_fpCosPiTest_b; WHEN "1" => vStagei_uid168_lzcZ_uid56_fpCosPiTest_q <= vStage_uid166_lzcZ_uid56_fpCosPiTest_b; WHEN OTHERS => vStagei_uid168_lzcZ_uid56_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --rVStage_uid170_lzcZ_uid56_fpCosPiTest(BITSELECT,169)@6 rVStage_uid170_lzcZ_uid56_fpCosPiTest_in <= vStagei_uid168_lzcZ_uid56_fpCosPiTest_q; rVStage_uid170_lzcZ_uid56_fpCosPiTest_b <= rVStage_uid170_lzcZ_uid56_fpCosPiTest_in(1 downto 1); --vCount_uid171_lzcZ_uid56_fpCosPiTest(LOGICAL,170)@6 vCount_uid171_lzcZ_uid56_fpCosPiTest_a <= rVStage_uid170_lzcZ_uid56_fpCosPiTest_b; vCount_uid171_lzcZ_uid56_fpCosPiTest_b <= GND_q; vCount_uid171_lzcZ_uid56_fpCosPiTest_q <= "1" when vCount_uid171_lzcZ_uid56_fpCosPiTest_a = vCount_uid171_lzcZ_uid56_fpCosPiTest_b else "0"; --r_uid172_lzcZ_uid56_fpCosPiTest(BITJOIN,171)@6 r_uid172_lzcZ_uid56_fpCosPiTest_q <= ld_vCount_uid139_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_f_q & ld_vCount_uid147_lzcZ_uid56_fpCosPiTest_q_to_r_uid172_lzcZ_uid56_fpCosPiTest_e_q & reg_vCount_uid153_lzcZ_uid56_fpCosPiTest_0_to_r_uid172_lzcZ_uid56_fpCosPiTest_3_q & vCount_uid159_lzcZ_uid56_fpCosPiTest_q & vCount_uid165_lzcZ_uid56_fpCosPiTest_q & vCount_uid171_lzcZ_uid56_fpCosPiTest_q; --leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest(BITSELECT,181)@6 leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_in <= r_uid172_lzcZ_uid56_fpCosPiTest_q; leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_b <= leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_in(5 downto 4); --leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest(MUX,182)@6 leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_s <= leftShiftStageSel5Dto4_uid182_alignedZ_uid57_fpCosPiTest_b; leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest: PROCESS (leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_s, en, ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_q, leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_q, leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_q, cstZwSwF_uid15_fpCosPiTest_q) BEGIN CASE leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_s IS WHEN "00" => leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q <= ld_z_uid54_fpCosPiTest_b_to_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_c_q; WHEN "01" => leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage0Idx1_uid177_alignedZ_uid57_fpCosPiTest_q; WHEN "10" => leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage0Idx2_uid180_alignedZ_uid57_fpCosPiTest_q; WHEN "11" => leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q <= cstZwSwF_uid15_fpCosPiTest_q; WHEN OTHERS => leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest(BITSELECT,190)@6 LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q(22 downto 0); LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_in(22 downto 0); --leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest(BITJOIN,191)@6 leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage022dto0_uid191_alignedZ_uid57_fpCosPiTest_b & leftShiftStage1Idx3Pad12_uid120_fxpX_uid41_fpCosPiTest_q; --reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5(REG,261)@6 reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5_q <= "00000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5_q <= leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_q; END IF; END IF; END PROCESS; --LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest(BITSELECT,187)@6 LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q(26 downto 0); LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_in(26 downto 0); --leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest(BITJOIN,188)@6 leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage026dto0_uid188_alignedZ_uid57_fpCosPiTest_b & cstAllZWE_uid21_fpCosPiTest_q; --reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4(REG,260)@6 reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4_q <= "00000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4_q <= leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_q; END IF; END IF; END PROCESS; --LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest(BITSELECT,184)@6 LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_in <= leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q(30 downto 0); LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_b <= LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_in(30 downto 0); --leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest(BITJOIN,185)@6 leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_q <= LeftShiftStage030dto0_uid185_alignedZ_uid57_fpCosPiTest_b & leftShiftStage1Idx1Pad4_uid114_fxpX_uid41_fpCosPiTest_q; --reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3(REG,259)@6 reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3_q <= "00000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3_q <= leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_q; END IF; END IF; END PROCESS; --reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2(REG,258)@6 reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2_q <= "00000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2_q <= leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_q; END IF; END IF; END PROCESS; --leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest(BITSELECT,192)@6 leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_in <= r_uid172_lzcZ_uid56_fpCosPiTest_q(3 downto 0); leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_b <= leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_in(3 downto 2); --reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1(REG,257)@6 reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1_q <= leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_b; END IF; END IF; END PROCESS; --leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest(MUX,193)@7 leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_s <= reg_leftShiftStageSel3Dto2_uid193_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_1_q; leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest: PROCESS (leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_s, en, reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2_q, reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3_q, reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4_q, reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5_q) BEGIN CASE leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_s IS WHEN "00" => leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q <= reg_leftShiftStage0_uid183_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_2_q; WHEN "01" => leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q <= reg_leftShiftStage1Idx1_uid186_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_3_q; WHEN "10" => leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q <= reg_leftShiftStage1Idx2_uid189_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_4_q; WHEN "11" => leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q <= reg_leftShiftStage1Idx3_uid192_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_5_q; WHEN OTHERS => leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest(BITSELECT,203)@6 leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_in <= r_uid172_lzcZ_uid56_fpCosPiTest_q(1 downto 0); leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_b <= leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_in(1 downto 0); --reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1(REG,262)@6 reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1_q <= leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_b; END IF; END IF; END PROCESS; --leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest(MUX,204)@7 leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_s <= reg_leftShiftStageSel1Dto0_uid204_alignedZ_uid57_fpCosPiTest_0_to_leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_1_q; leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest: PROCESS (leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_s, en, leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q, leftShiftStage2Idx1_uid197_alignedZ_uid57_fpCosPiTest_q, leftShiftStage2Idx2_uid200_alignedZ_uid57_fpCosPiTest_q, leftShiftStage2Idx3_uid203_alignedZ_uid57_fpCosPiTest_q) BEGIN CASE leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_s IS WHEN "00" => leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage1_uid194_alignedZ_uid57_fpCosPiTest_q; WHEN "01" => leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage2Idx1_uid197_alignedZ_uid57_fpCosPiTest_q; WHEN "10" => leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage2Idx2_uid200_alignedZ_uid57_fpCosPiTest_q; WHEN "11" => leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q <= leftShiftStage2Idx3_uid203_alignedZ_uid57_fpCosPiTest_q; WHEN OTHERS => leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --alignedZLow_uid58_fpCosPiTest(BITSELECT,57)@7 alignedZLow_uid58_fpCosPiTest_in <= leftShiftStage2_uid205_alignedZ_uid57_fpCosPiTest_q; alignedZLow_uid58_fpCosPiTest_b <= alignedZLow_uid58_fpCosPiTest_in(34 downto 11); --ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_inputreg(DELAY,612) ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_inputreg : dspba_delay GENERIC MAP ( width => 24, depth => 1 ) PORT MAP ( xin => alignedZLow_uid58_fpCosPiTest_b, xout => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem(DUALMEM,613) ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_reset0 <= areset; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ia <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_inputreg_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_aa <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdreg_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ab <= ld_reg_zAddr_uid62_fpCosPiTest_0_to_memoryC1_uid208_sinPiZTableGenerator_0_q_to_memoryC1_uid208_sinPiZTableGenerator_a_replace_rdmux_q; ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 24, widthad_a => 2, numwords_a => 3, width_b => 24, widthad_b => 2, numwords_b => 3, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_reset0, clock1 => clk, address_b => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_iq, address_a => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_aa, data_a => ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_ia ); ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_q <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_iq(23 downto 0); --reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0(REG,269)@12 reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_q <= "000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_q <= ld_alignedZLow_uid58_fpCosPiTest_b_to_reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_a_replace_mem_q; END IF; END IF; END PROCESS; --prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest(MULT,222)@13 prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_pr <= UNSIGNED(prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_a) * UNSIGNED(prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_b); prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_a <= (others => '0'); prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_b <= (others => '0'); prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_a <= reg_alignedZLow_uid58_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_0_q; prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_b <= reg_fxpSinRes_uid65_fpCosPiTest_0_to_prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_1_q; prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_s1 <= STD_LOGIC_VECTOR(prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_pr); END IF; END IF; END PROCESS; prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_q <= prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest(BITSELECT,223)@16 prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_in <= prodXY_uid223_mul2xSinRes_uid66_fpCosPiTest_q; prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_b <= prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_in(48 downto 23); --normBit_uid67_fpCosPiTest(BITSELECT,66)@16 normBit_uid67_fpCosPiTest_in <= prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_b; normBit_uid67_fpCosPiTest_b <= normBit_uid67_fpCosPiTest_in(25 downto 25); --cstAllZWF_uid9_fpCosPiTest(CONSTANT,8) cstAllZWF_uid9_fpCosPiTest_q <= "00000000000000000000000"; --rndExpUpdate_uid72_uid73_fpCosPiTest(BITJOIN,72)@16 rndExpUpdate_uid72_uid73_fpCosPiTest_q <= normBit_uid67_fpCosPiTest_b & cstAllZWF_uid9_fpCosPiTest_q & VCC_q; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor(LOGICAL,558) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_b <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_q <= not (ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_a or ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_b); --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena(REG,559) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_nor_q = "1") THEN ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd(LOGICAL,560) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_sticky_ena_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_b <= en; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_a and ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_b; --reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1(REG,271)@6 reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q <= "000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q <= r_uid172_lzcZ_uid56_fpCosPiTest_q; END IF; END IF; END PROCESS; --ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem(DUALMEM,549) ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_reset0 <= areset; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ia <= reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_aa <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdreg_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ab <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_rdmux_q; ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 6, widthad_a => 3, numwords_a => 7, width_b => 6, widthad_b => 3, numwords_b => 7, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_reset0, clock1 => clk, address_b => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_iq, address_a => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_aa, data_a => ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_ia ); ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_q <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_iq(5 downto 0); --cstBiasM1_uid11_fpCosPiTest(CONSTANT,10) cstBiasM1_uid11_fpCosPiTest_q <= "01111110"; --expHardCase_uid59_fpCosPiTest(SUB,58)@15 expHardCase_uid59_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & cstBiasM1_uid11_fpCosPiTest_q); expHardCase_uid59_fpCosPiTest_b <= STD_LOGIC_VECTOR("000" & ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_replace_mem_q); expHardCase_uid59_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expHardCase_uid59_fpCosPiTest_a) - UNSIGNED(expHardCase_uid59_fpCosPiTest_b)); expHardCase_uid59_fpCosPiTest_q <= expHardCase_uid59_fpCosPiTest_o(8 downto 0); --expP_uid60_fpCosPiTest(BITSELECT,59)@15 expP_uid60_fpCosPiTest_in <= expHardCase_uid59_fpCosPiTest_q(7 downto 0); expP_uid60_fpCosPiTest_b <= expP_uid60_fpCosPiTest_in(7 downto 0); --reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1(REG,272)@15 reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1_q <= expP_uid60_fpCosPiTest_b; END IF; END IF; END PROCESS; --highRes_uid68_fpCosPiTest(BITSELECT,67)@16 highRes_uid68_fpCosPiTest_in <= prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_b(24 downto 0); highRes_uid68_fpCosPiTest_b <= highRes_uid68_fpCosPiTest_in(24 downto 1); --lowRes_uid69_fpCosPiTest(BITSELECT,68)@16 lowRes_uid69_fpCosPiTest_in <= prodXYTruncFR_uid224_mul2xSinRes_uid66_fpCosPiTest_b(23 downto 0); lowRes_uid69_fpCosPiTest_b <= lowRes_uid69_fpCosPiTest_in(23 downto 0); --fracRCompPreRnd_uid70_fpCosPiTest(MUX,69)@16 fracRCompPreRnd_uid70_fpCosPiTest_s <= normBit_uid67_fpCosPiTest_b; fracRCompPreRnd_uid70_fpCosPiTest: PROCESS (fracRCompPreRnd_uid70_fpCosPiTest_s, en, lowRes_uid69_fpCosPiTest_b, highRes_uid68_fpCosPiTest_b) BEGIN CASE fracRCompPreRnd_uid70_fpCosPiTest_s IS WHEN "0" => fracRCompPreRnd_uid70_fpCosPiTest_q <= lowRes_uid69_fpCosPiTest_b; WHEN "1" => fracRCompPreRnd_uid70_fpCosPiTest_q <= highRes_uid68_fpCosPiTest_b; WHEN OTHERS => fracRCompPreRnd_uid70_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --expFracPreRnd_uid71_uid71_fpCosPiTest(BITJOIN,70)@16 expFracPreRnd_uid71_uid71_fpCosPiTest_q <= reg_expP_uid60_fpCosPiTest_0_to_expFracPreRnd_uid71_uid71_fpCosPiTest_1_q & fracRCompPreRnd_uid70_fpCosPiTest_q; --expFracComp_uid74_fpCosPiTest(ADD,73)@16 expFracComp_uid74_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & expFracPreRnd_uid71_uid71_fpCosPiTest_q); expFracComp_uid74_fpCosPiTest_b <= STD_LOGIC_VECTOR("00000000" & rndExpUpdate_uid72_uid73_fpCosPiTest_q); expFracComp_uid74_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expFracComp_uid74_fpCosPiTest_a) + UNSIGNED(expFracComp_uid74_fpCosPiTest_b)); expFracComp_uid74_fpCosPiTest_q <= expFracComp_uid74_fpCosPiTest_o(32 downto 0); --expRComp_uid76_fpCosPiTest(BITSELECT,75)@16 expRComp_uid76_fpCosPiTest_in <= expFracComp_uid74_fpCosPiTest_q(31 downto 0); expRComp_uid76_fpCosPiTest_b <= expRComp_uid76_fpCosPiTest_in(31 downto 24); --fracXIsZero_uid27_fpCosPiTest(LOGICAL,26)@0 fracXIsZero_uid27_fpCosPiTest_a <= fracX_uid7_fpCosPiTest_b; fracXIsZero_uid27_fpCosPiTest_b <= cstAllZWF_uid9_fpCosPiTest_q; fracXIsZero_uid27_fpCosPiTest_q <= "1" when fracXIsZero_uid27_fpCosPiTest_a = fracXIsZero_uid27_fpCosPiTest_b else "0"; --InvFracXIsZero_uid29_fpCosPiTest(LOGICAL,28)@0 InvFracXIsZero_uid29_fpCosPiTest_a <= fracXIsZero_uid27_fpCosPiTest_q; InvFracXIsZero_uid29_fpCosPiTest_q <= not InvFracXIsZero_uid29_fpCosPiTest_a; --expXIsMax_uid25_fpCosPiTest(LOGICAL,24)@0 expXIsMax_uid25_fpCosPiTest_a <= expX_uid6_fpCosPiTest_b; expXIsMax_uid25_fpCosPiTest_b <= cstAllOWE_uid8_fpCosPiTest_q; expXIsMax_uid25_fpCosPiTest_q <= "1" when expXIsMax_uid25_fpCosPiTest_a = expXIsMax_uid25_fpCosPiTest_b else "0"; --exc_N_uid30_fpCosPiTest(LOGICAL,29)@0 exc_N_uid30_fpCosPiTest_a <= expXIsMax_uid25_fpCosPiTest_q; exc_N_uid30_fpCosPiTest_b <= InvFracXIsZero_uid29_fpCosPiTest_q; exc_N_uid30_fpCosPiTest_q <= exc_N_uid30_fpCosPiTest_a and exc_N_uid30_fpCosPiTest_b; --InvExc_N_uid31_fpCosPiTest(LOGICAL,30)@0 InvExc_N_uid31_fpCosPiTest_a <= exc_N_uid30_fpCosPiTest_q; InvExc_N_uid31_fpCosPiTest_q <= not InvExc_N_uid31_fpCosPiTest_a; --exc_I_uid28_fpCosPiTest(LOGICAL,27)@0 exc_I_uid28_fpCosPiTest_a <= expXIsMax_uid25_fpCosPiTest_q; exc_I_uid28_fpCosPiTest_b <= fracXIsZero_uid27_fpCosPiTest_q; exc_I_uid28_fpCosPiTest_q <= exc_I_uid28_fpCosPiTest_a and exc_I_uid28_fpCosPiTest_b; --InvExc_I_uid32_fpCosPiTest(LOGICAL,31)@0 InvExc_I_uid32_fpCosPiTest_a <= exc_I_uid28_fpCosPiTest_q; InvExc_I_uid32_fpCosPiTest_q <= not InvExc_I_uid32_fpCosPiTest_a; --expXIsZero_uid23_fpCosPiTest(LOGICAL,22)@0 expXIsZero_uid23_fpCosPiTest_a <= expX_uid6_fpCosPiTest_b; expXIsZero_uid23_fpCosPiTest_b <= cstAllZWE_uid21_fpCosPiTest_q; expXIsZero_uid23_fpCosPiTest_q <= "1" when expXIsZero_uid23_fpCosPiTest_a = expXIsZero_uid23_fpCosPiTest_b else "0"; --InvExpXIsZero_uid33_fpCosPiTest(LOGICAL,32)@0 InvExpXIsZero_uid33_fpCosPiTest_a <= expXIsZero_uid23_fpCosPiTest_q; InvExpXIsZero_uid33_fpCosPiTest_q <= not InvExpXIsZero_uid33_fpCosPiTest_a; --exc_R_uid34_fpCosPiTest(LOGICAL,33)@0 exc_R_uid34_fpCosPiTest_a <= InvExpXIsZero_uid33_fpCosPiTest_q; exc_R_uid34_fpCosPiTest_b <= InvExc_I_uid32_fpCosPiTest_q; exc_R_uid34_fpCosPiTest_c <= InvExc_N_uid31_fpCosPiTest_q; exc_R_uid34_fpCosPiTest_q <= exc_R_uid34_fpCosPiTest_a and exc_R_uid34_fpCosPiTest_b and exc_R_uid34_fpCosPiTest_c; --ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a(DELAY,337)@0 ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => exc_R_uid34_fpCosPiTest_q, xout => ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --xIsHalf_uid83_fpCosPiTest(LOGICAL,82)@2 xIsHalf_uid83_fpCosPiTest_a <= ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a_q; xIsHalf_uid83_fpCosPiTest_b <= fxpXFracHalf_uid46_fpCosPiTest_q; xIsHalf_uid83_fpCosPiTest_c <= InvCosXIsOne_uid77_fpCosPiTest_q; xIsHalf_uid83_fpCosPiTest_d <= InvXEvenInt_uid81_fpCosPiTest_q; xIsHalf_uid83_fpCosPiTest_q <= xIsHalf_uid83_fpCosPiTest_a and xIsHalf_uid83_fpCosPiTest_b and xIsHalf_uid83_fpCosPiTest_c and xIsHalf_uid83_fpCosPiTest_d; --ld_xIsHalf_uid83_fpCosPiTest_q_to_expRPostExc1_uid91_fpCosPiTest_b(DELAY,355)@2 ld_xIsHalf_uid83_fpCosPiTest_q_to_expRPostExc1_uid91_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 14 ) PORT MAP ( xin => xIsHalf_uid83_fpCosPiTest_q, xout => ld_xIsHalf_uid83_fpCosPiTest_q_to_expRPostExc1_uid91_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --expRPostExc1_uid91_fpCosPiTest(MUX,90)@16 expRPostExc1_uid91_fpCosPiTest_s <= ld_xIsHalf_uid83_fpCosPiTest_q_to_expRPostExc1_uid91_fpCosPiTest_b_q; expRPostExc1_uid91_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN expRPostExc1_uid91_fpCosPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE expRPostExc1_uid91_fpCosPiTest_s IS WHEN "0" => expRPostExc1_uid91_fpCosPiTest_q <= expRComp_uid76_fpCosPiTest_b; WHEN "1" => expRPostExc1_uid91_fpCosPiTest_q <= cstAllZWE_uid21_fpCosPiTest_q; WHEN OTHERS => expRPostExc1_uid91_fpCosPiTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor(LOGICAL,570) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_a <= ld_reg_r_uid172_lzcZ_uid56_fpCosPiTest_0_to_expHardCase_uid59_fpCosPiTest_1_q_to_expHardCase_uid59_fpCosPiTest_b_notEnable_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_b <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_q <= not (ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_a or ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_b); --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_mem_top(CONSTANT,566) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_mem_top_q <= "01100"; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp(LOGICAL,567) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_a <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_mem_top_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q); ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_q <= "1" when ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_a = ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_b else "0"; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg(REG,568) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmp_q; END IF; END IF; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena(REG,571) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_nor_q = "1") THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd(LOGICAL,572) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_a <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_sticky_ena_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_b <= en; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_a and ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_b; --ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b(DELAY,359)@0 ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => expXIsZero_uid23_fpCosPiTest_q, xout => ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --fxpXFracZero_uid45_fpCosPiTest(LOGICAL,44)@2 fxpXFracZero_uid45_fpCosPiTest_a <= reg_fxpXFrac_uid43_fpCosPiTest_0_to_fxpXFracZero_uid45_fpCosPiTest_1_q; fxpXFracZero_uid45_fpCosPiTest_b <= STD_LOGIC_VECTOR("0" & cstZwSwF_uid15_fpCosPiTest_q); fxpXFracZero_uid45_fpCosPiTest_q <= "1" when fxpXFracZero_uid45_fpCosPiTest_a = fxpXFracZero_uid45_fpCosPiTest_b else "0"; --fracZCosNotOne_uid78_fpCosPiTest(LOGICAL,77)@2 fracZCosNotOne_uid78_fpCosPiTest_a <= fxpXFracZero_uid45_fpCosPiTest_q; fracZCosNotOne_uid78_fpCosPiTest_b <= InvCosXIsOne_uid77_fpCosPiTest_q; fracZCosNotOne_uid78_fpCosPiTest_q <= fracZCosNotOne_uid78_fpCosPiTest_a and fracZCosNotOne_uid78_fpCosPiTest_b; --evenIntCosNotOneFZ_uid79_fpCosPiTest(LOGICAL,78)@2 evenIntCosNotOneFZ_uid79_fpCosPiTest_a <= ld_xEvenInt_uid35_fpCosPiTest_c_to_evenIntCosNotOneFZ_uid79_fpCosPiTest_a_q; evenIntCosNotOneFZ_uid79_fpCosPiTest_b <= fracZCosNotOne_uid78_fpCosPiTest_q; evenIntCosNotOneFZ_uid79_fpCosPiTest_q <= evenIntCosNotOneFZ_uid79_fpCosPiTest_a or evenIntCosNotOneFZ_uid79_fpCosPiTest_b; --xIsInt_uid80_fpCosPiTest(LOGICAL,79)@2 xIsInt_uid80_fpCosPiTest_a <= ld_exc_R_uid34_fpCosPiTest_q_to_xIsInt_uid80_fpCosPiTest_a_q; xIsInt_uid80_fpCosPiTest_b <= evenIntCosNotOneFZ_uid79_fpCosPiTest_q; xIsInt_uid80_fpCosPiTest_q <= xIsInt_uid80_fpCosPiTest_a and xIsInt_uid80_fpCosPiTest_b; --xIntOrXZOrCosOne_uid93_fpCosPiTest(LOGICAL,92)@2 xIntOrXZOrCosOne_uid93_fpCosPiTest_a <= xIsInt_uid80_fpCosPiTest_q; xIntOrXZOrCosOne_uid93_fpCosPiTest_b <= ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b_q; xIntOrXZOrCosOne_uid93_fpCosPiTest_c <= ld_cosXIsOne_uid36_fpCosPiTest_c_to_InvCosXIsOne_uid77_fpCosPiTest_a_q; xIntOrXZOrCosOne_uid93_fpCosPiTest_q <= xIntOrXZOrCosOne_uid93_fpCosPiTest_a or xIntOrXZOrCosOne_uid93_fpCosPiTest_b or xIntOrXZOrCosOne_uid93_fpCosPiTest_c; --excRNaN_uid84_fpCosPiTest(LOGICAL,83)@0 excRNaN_uid84_fpCosPiTest_a <= exc_N_uid30_fpCosPiTest_q; excRNaN_uid84_fpCosPiTest_b <= exc_I_uid28_fpCosPiTest_q; excRNaN_uid84_fpCosPiTest_q <= excRNaN_uid84_fpCosPiTest_a or excRNaN_uid84_fpCosPiTest_b; --rInfOrNaN_uid92_fpCosPiTest(LOGICAL,91)@0 rInfOrNaN_uid92_fpCosPiTest_a <= GND_q; rInfOrNaN_uid92_fpCosPiTest_b <= excRNaN_uid84_fpCosPiTest_q; rInfOrNaN_uid92_fpCosPiTest_q <= rInfOrNaN_uid92_fpCosPiTest_a or rInfOrNaN_uid92_fpCosPiTest_b; --ld_rInfOrNaN_uid92_fpCosPiTest_q_to_join_uid94_fpCosPiTest_a(DELAY,361)@0 ld_rInfOrNaN_uid92_fpCosPiTest_q_to_join_uid94_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => rInfOrNaN_uid92_fpCosPiTest_q, xout => ld_rInfOrNaN_uid92_fpCosPiTest_q_to_join_uid94_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --join_uid94_fpCosPiTest(BITJOIN,93)@2 join_uid94_fpCosPiTest_q <= xIntOrXZOrCosOne_uid93_fpCosPiTest_q & ld_rInfOrNaN_uid92_fpCosPiTest_q_to_join_uid94_fpCosPiTest_a_q; --reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1(REG,274)@2 reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q <= join_uid94_fpCosPiTest_q; END IF; END IF; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt(COUNTER,562) -- every=1, low=0, high=12, step=1, init=1 ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,4); ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i = 11 THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_eq <= '1'; ELSE ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_eq <= '0'; END IF; IF (ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_eq = '1') THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i - 12; ELSE ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_i,4)); --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg(REG,563) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q <= "0000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux(MUX,564) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_s <= en; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux: PROCESS (ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_s, ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q, ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_q) BEGIN CASE ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_s IS WHEN "0" => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q; WHEN "1" => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdcnt_q; WHEN OTHERS => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem(DUALMEM,561) ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_reset0 <= areset; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ia <= reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_aa <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdreg_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ab <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_rdmux_q; ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 2, widthad_a => 4, numwords_a => 13, width_b => 2, widthad_b => 4, numwords_b => 13, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_reset0, clock1 => clk, address_b => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_iq, address_a => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_aa, data_a => ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_ia ); ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_q <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_iq(1 downto 0); --expRPostExc_uid95_fpCosPiTest(MUX,94)@17 expRPostExc_uid95_fpCosPiTest_s <= ld_reg_join_uid94_fpCosPiTest_0_to_expRPostExc_uid95_fpCosPiTest_1_q_to_expRPostExc_uid95_fpCosPiTest_b_replace_mem_q; expRPostExc_uid95_fpCosPiTest: PROCESS (expRPostExc_uid95_fpCosPiTest_s, en, expRPostExc1_uid91_fpCosPiTest_q, cstAllOWE_uid8_fpCosPiTest_q, cstBias_uid10_fpCosPiTest_q, cstBias_uid10_fpCosPiTest_q) BEGIN CASE expRPostExc_uid95_fpCosPiTest_s IS WHEN "00" => expRPostExc_uid95_fpCosPiTest_q <= expRPostExc1_uid91_fpCosPiTest_q; WHEN "01" => expRPostExc_uid95_fpCosPiTest_q <= cstAllOWE_uid8_fpCosPiTest_q; WHEN "10" => expRPostExc_uid95_fpCosPiTest_q <= cstBias_uid10_fpCosPiTest_q; WHEN "11" => expRPostExc_uid95_fpCosPiTest_q <= cstBias_uid10_fpCosPiTest_q; WHEN OTHERS => expRPostExc_uid95_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --oneFracRPostExc2_uid87_fpCosPiTest(CONSTANT,86) oneFracRPostExc2_uid87_fpCosPiTest_q <= "00000000000000000000001"; --fracRComp_uid75_fpCosPiTest(BITSELECT,74)@16 fracRComp_uid75_fpCosPiTest_in <= expFracComp_uid74_fpCosPiTest_q(23 downto 0); fracRComp_uid75_fpCosPiTest_b <= fracRComp_uid75_fpCosPiTest_in(23 downto 1); --reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5(REG,243)@2 reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5_q <= xIsHalf_uid83_fpCosPiTest_q; END IF; END IF; END PROCESS; --reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4(REG,242)@2 reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4_q <= ld_expXIsZero_uid23_fpCosPiTest_q_to_xIntOrXZOrCosOne_uid93_fpCosPiTest_b_q; END IF; END IF; END PROCESS; --reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3(REG,241)@2 reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3_q <= fxpXFracHalf_uid46_fpCosPiTest_q; END IF; END IF; END PROCESS; --reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2(REG,240)@2 reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2_q <= xIsInt_uid80_fpCosPiTest_q; END IF; END IF; END PROCESS; --reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1(REG,239)@0 reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q <= cosXIsOne_uid36_fpCosPiTest_c; END IF; END IF; END PROCESS; --ld_reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q_to_bigCond_uid85_fpCosPiTest_a(DELAY,346)@1 ld_reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q_to_bigCond_uid85_fpCosPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 2 ) PORT MAP ( xin => reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q, xout => ld_reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q_to_bigCond_uid85_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --bigCond_uid85_fpCosPiTest(LOGICAL,84)@3 bigCond_uid85_fpCosPiTest_a <= ld_reg_cosXIsOne_uid36_fpCosPiTest_1_to_bigCond_uid85_fpCosPiTest_1_q_to_bigCond_uid85_fpCosPiTest_a_q; bigCond_uid85_fpCosPiTest_b <= reg_xIsInt_uid80_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_2_q; bigCond_uid85_fpCosPiTest_c <= reg_fxpXFracHalf_uid46_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_3_q; bigCond_uid85_fpCosPiTest_d <= reg_expXIsZero_uid23_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_4_q; bigCond_uid85_fpCosPiTest_f <= reg_xIsHalf_uid83_fpCosPiTest_0_to_bigCond_uid85_fpCosPiTest_5_q; bigCond_uid85_fpCosPiTest_g <= GND_q; bigCond_uid85_fpCosPiTest_q <= bigCond_uid85_fpCosPiTest_a or bigCond_uid85_fpCosPiTest_b or bigCond_uid85_fpCosPiTest_c or bigCond_uid85_fpCosPiTest_d or bigCond_uid85_fpCosPiTest_f or bigCond_uid85_fpCosPiTest_g; --ld_bigCond_uid85_fpCosPiTest_q_to_fracRPostExc1_uid86_fpCosPiTest_b(DELAY,351)@3 ld_bigCond_uid85_fpCosPiTest_q_to_fracRPostExc1_uid86_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 13 ) PORT MAP ( xin => bigCond_uid85_fpCosPiTest_q, xout => ld_bigCond_uid85_fpCosPiTest_q_to_fracRPostExc1_uid86_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --fracRPostExc1_uid86_fpCosPiTest(MUX,85)@16 fracRPostExc1_uid86_fpCosPiTest_s <= ld_bigCond_uid85_fpCosPiTest_q_to_fracRPostExc1_uid86_fpCosPiTest_b_q; fracRPostExc1_uid86_fpCosPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN fracRPostExc1_uid86_fpCosPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE fracRPostExc1_uid86_fpCosPiTest_s IS WHEN "0" => fracRPostExc1_uid86_fpCosPiTest_q <= fracRComp_uid75_fpCosPiTest_b; WHEN "1" => fracRPostExc1_uid86_fpCosPiTest_q <= cstAllZWF_uid9_fpCosPiTest_q; WHEN OTHERS => fracRPostExc1_uid86_fpCosPiTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1(REG,273)@0 reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q <= excRNaN_uid84_fpCosPiTest_q; END IF; END IF; END PROCESS; --ld_reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q_to_fracRPostExc_uid88_fpCosPiTest_b(DELAY,353)@1 ld_reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q_to_fracRPostExc_uid88_fpCosPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 16 ) PORT MAP ( xin => reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q, xout => ld_reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q_to_fracRPostExc_uid88_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --fracRPostExc_uid88_fpCosPiTest(MUX,87)@17 fracRPostExc_uid88_fpCosPiTest_s <= ld_reg_excRNaN_uid84_fpCosPiTest_0_to_fracRPostExc_uid88_fpCosPiTest_1_q_to_fracRPostExc_uid88_fpCosPiTest_b_q; fracRPostExc_uid88_fpCosPiTest: PROCESS (fracRPostExc_uid88_fpCosPiTest_s, en, fracRPostExc1_uid86_fpCosPiTest_q, oneFracRPostExc2_uid87_fpCosPiTest_q) BEGIN CASE fracRPostExc_uid88_fpCosPiTest_s IS WHEN "0" => fracRPostExc_uid88_fpCosPiTest_q <= fracRPostExc1_uid86_fpCosPiTest_q; WHEN "1" => fracRPostExc_uid88_fpCosPiTest_q <= oneFracRPostExc2_uid87_fpCosPiTest_q; WHEN OTHERS => fracRPostExc_uid88_fpCosPiTest_q <= (others => '0'); END CASE; END PROCESS; --R_uid102_fpCosPiTest(BITJOIN,101)@17 R_uid102_fpCosPiTest_q <= ld_signR_uid101_fpCosPiTest_q_to_R_uid102_fpCosPiTest_c_q & expRPostExc_uid95_fpCosPiTest_q & fracRPostExc_uid88_fpCosPiTest_q; --xOut(GPOUT,4)@17 q <= R_uid102_fpCosPiTest_q; end normal;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
Erosion/ip/Erosion/hcc_castftoy.vhd
10
4220
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTFTOY.VHD *** --*** *** --*** Function: Cast IEEE754 Single to Internal *** --*** Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** -- castftoy : float <=> internal double ENTITY hcc_castftoy IS GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castftoy; ARCHITECTURE rtl OF hcc_castftoy IS signal floatnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal satnode, zipnode : STD_LOGIC; component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; BEGIN -- if ftoy target divider or multiplier, need unsigned output -- if ftoy target = 1 (internal), ftox target = 0, xtoy target = 1 -- if ftoy target = 0 (multiplier, divider), ftox target = 2 (divider), xtoy target = 0 (mult&div) gaa: IF (target = 1) GENERATE one: hcc_castftox GENERIC MAP(target=>0,roundconvert=>roundconvert, mantissa=>mantissa,outputpipe=>outputpipe) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, aa=>aa, cc=>floatnode,ccsat=>satnode,cczip=>zipnode); two: hcc_castxtoy GENERIC MAP(target=>1,mantissa=>mantissa) PORT MAP (aa=>floatnode,aasat=>satnode,aazip=>zipnode, cc=>cc,ccsat=>ccsat,cczip=>cczip); END GENERATE; gab: IF (target = 0) GENERATE one: hcc_castftox GENERIC MAP(target=>2,roundconvert=>roundconvert, mantissa=>mantissa,outputpipe=>outputpipe) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, aa=>aa, cc=>floatnode,ccsat=>satnode,cczip=>zipnode); two: hcc_castxtoy GENERIC MAP(target=>0,mantissa=>mantissa) PORT MAP (aa=>floatnode,aasat=>satnode,aazip=>zipnode, cc=>cc,ccsat=>ccsat,cczip=>cczip); END GENERATE; END rtl;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/dp_addpipe.vhd
10
2509
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CONVERSION - CORE LEVEL *** --*** *** --*** DP_ADDPIPE.VHD *** --*** *** --*** Function: Adder *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_addpipe IS GENERIC ( width : positive := 64; pipes : positive := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1); carryin : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); END dp_addpipe; ARCHITECTURE syn of dp_addpipe IS component lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0); cin : IN STD_LOGIC ; clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0) ); end component; BEGIN addtwo: lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=YES", lpm_pipeline => pipes, lpm_type => "LPM_ADD_SUB", lpm_width => width ) PORT MAP ( dataa => aa, datab => bb, cin => carryin, clken => enable, aclr => reset, clock => sysclk, result => cc ); END syn;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/SqrtDPStratixVf400_safe_path.vhd
10
432
-- safe_path for SqrtDPStratixVf400 given rtl dir is . (quartus) LIBRARY ieee; USE ieee.std_logic_1164.all; PACKAGE SqrtDPStratixVf400_safe_path is FUNCTION safe_path( path: string ) RETURN string; END SqrtDPStratixVf400_safe_path; PACKAGE body SqrtDPStratixVf400_safe_path IS FUNCTION safe_path( path: string ) RETURN string IS BEGIN return string'("./") & path; END FUNCTION safe_path; END SqrtDPStratixVf400_safe_path;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
Erosion/ip/Erosion/SqrtDPStratixVf400_safe_path.vhd
10
432
-- safe_path for SqrtDPStratixVf400 given rtl dir is . (quartus) LIBRARY ieee; USE ieee.std_logic_1164.all; PACKAGE SqrtDPStratixVf400_safe_path is FUNCTION safe_path( path: string ) RETURN string; END SqrtDPStratixVf400_safe_path; PACKAGE body SqrtDPStratixVf400_safe_path IS FUNCTION safe_path( path: string ) RETURN string IS BEGIN return string'("./") & path; END FUNCTION safe_path; END SqrtDPStratixVf400_safe_path;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/fp_lsft36.vhd
10
4582
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_LSFT36.VHD *** --*** *** --*** Function: 36 bit Left Shift *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_lsft36 IS PORT ( inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1); shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1); outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_lsft36; ARCHITECTURE sft OF fp_lsft36 IS signal levzip, levone, levtwo, levthr : STD_LOGIC_VECTOR (36 DOWNTO 1); BEGIN levzip <= inbus; -- shift by 0,1,2,3 levone(1) <= (levzip(1) AND NOT(shift(2)) AND NOT(shift(1))); levone(2) <= (levzip(2) AND NOT(shift(2)) AND NOT(shift(1))) OR (levzip(1) AND NOT(shift(2)) AND shift(1)); levone(3) <= (levzip(3) AND NOT(shift(2)) AND NOT(shift(1))) OR (levzip(2) AND NOT(shift(2)) AND shift(1)) OR (levzip(1) AND shift(2) AND NOT(shift(1))); gaa: FOR k IN 4 TO 36 GENERATE levone(k) <= (levzip(k) AND NOT(shift(2)) AND NOT(shift(1))) OR (levzip(k-1) AND NOT(shift(2)) AND shift(1)) OR (levzip(k-2) AND shift(2) AND NOT(shift(1))) OR (levzip(k-3) AND shift(2) AND shift(1)); END GENERATE; -- shift by 0,4,8,12 gba: FOR k IN 1 TO 4 GENERATE levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))); END GENERATE; gbb: FOR k IN 5 TO 8 GENERATE levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR (levone(k-4) AND NOT(shift(4)) AND shift(3)); END GENERATE; gbc: FOR k IN 9 TO 12 GENERATE levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR (levone(k-4) AND NOT(shift(4)) AND shift(3)) OR (levone(k-8) AND shift(4) AND NOT(shift(3))); END GENERATE; gbd: FOR k IN 13 TO 36 GENERATE levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR (levone(k-4) AND NOT(shift(4)) AND shift(3)) OR (levone(k-8) AND shift(4) AND NOT(shift(3))) OR (levone(k-12) AND shift(4) AND shift(3)); END GENERATE; -- shift by 0,16,32 gca: FOR k IN 1 TO 16 GENERATE levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))); END GENERATE; gcb: FOR k IN 17 TO 32 GENERATE levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))) OR (levtwo(k-16) AND NOT(shift(6)) AND shift(5)); END GENERATE; gcc: FOR k IN 33 TO 36 GENERATE levthr(k) <= (levtwo(k) AND NOT(shift(6)) AND NOT(shift(5))) OR (levtwo(k-16) AND NOT(shift(6)) AND shift(5)) OR (levtwo(k-32) AND shift(6) AND NOT(shift(5))); END GENERATE; outbus <= levthr; END sft;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
Erosion/ip/Erosion/dp_inv.vhd
10
12634
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** DOUBLE PRECISION INVERSE - TOP LEVEL *** --*** *** --*** DP_INV.VHD *** --*** *** --*** Function: IEEE754 DP Inverse *** --*** (multiplicative iterative algorithm) *** --*** *** --*** 12/08/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*************************************************** --*************************************************** --*** Notes: *** --*** *** --*** Stratix II *** --*** Latency = 20 + 2*DoubleSpeed + *** --*** RoundConvert*(1+DoubleSpeed) *** --*** DoubleSpeed = 0, Roundconvert = 0 : 20 *** --*** DoubleSpeed = 1, Roundconvert = 0 : 22 *** --*** DoubleSpeed = 0, Roundconvert = 1 : 21 *** --*** DoubleSpeed = 1, Roundconvert = 1 : 24 *** --*** *** --*** Stratix III/IV *** --*** Latency = 19 + DoubleSpeed + *** --*** Roundconvert*(1+DoubleSpeed) *** --*** DoubleSpeed = 0, Roundconvert = 0 : 19 *** --*** DoubleSpeed = 1, Roundconvert = 0 : 20 *** --*** DoubleSpeed = 0, Roundconvert = 1 : 20 *** --*** DoubleSpeed = 1, Roundconvert = 1 : 22 *** --*** *** --*************************************************** ENTITY dp_inv IS GENERIC ( roundconvert : integer := 0; -- 0 = no round, 1 = round doubleaccuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier doublespeed : integer := 0; -- 0/1 device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4) synthesize : integer := 1 -- 0/1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signin : IN STD_LOGIC; exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1); signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; invalidout : OUT STD_LOGIC; dividebyzeroout : OUT STD_LOGIC ); END dp_inv; ARCHITECTURE rtl OF dp_inv IS constant expwidth : positive := 11; constant manwidth : positive := 52; -- SII Latency = 19 + 2*speed -- SIII Latency = 18 + speed constant coredepth : positive := 19+2*doublespeed - device*(1+doublespeed); type expfftype IS ARRAY (coredepth-1 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal signinff : STD_LOGIC; signal manff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal expinff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal expoffset : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal invertnum : STD_LOGIC_VECTOR (54 DOWNTO 1); signal quotient : STD_LOGIC_VECTOR (55 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (coredepth-1 DOWNTO 1); signal expff : expfftype; -- conditions signal zeroman : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal zeroexp : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal maxexp : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zeromaninff : STD_LOGIC; signal zeroexpinff : STD_LOGIC; signal maxexpinff : STD_LOGIC; signal zeroinff : STD_LOGIC; signal infinityinff : STD_LOGIC; signal naninff : STD_LOGIC; signal dividebyzeroff, nanff : STD_LOGIC_VECTOR (coredepth-3 DOWNTO 1); component dp_inv_core GENERIC ( doublespeed : integer := 0; -- 0/1 doubleaccuracy : integer := 0; -- 0/1 device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4) synthesize : integer := 1 -- 0/1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; divisor : IN STD_LOGIC_VECTOR (54 DOWNTO 1); quotient : OUT STD_LOGIC_VECTOR (55 DOWNTO 1) ); end component; component dp_divnornd PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signin : IN STD_LOGIC; exponentdiv : IN STD_LOGIC_VECTOR (13 DOWNTO 1); mantissadiv : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; dividebyzeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; invalidout : OUT STD_LOGIC; dividebyzeroout : OUT STD_LOGIC ); end component; component dp_divrnd PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signin : IN STD_LOGIC; exponentdiv : IN STD_LOGIC_VECTOR (13 DOWNTO 1); mantissadiv : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; dividebyzeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; invalidout : OUT STD_LOGIC; dividebyzeroout : OUT STD_LOGIC ); end component; component dp_divrndpipe PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signin : IN STD_LOGIC; exponentdiv : IN STD_LOGIC_VECTOR (13 DOWNTO 1); mantissadiv : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; dividebyzeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; invalidout : OUT STD_LOGIC; dividebyzeroout : OUT STD_LOGIC ); end component; BEGIN gzva: FOR k IN 1 TO manwidth GENERATE zerovec(k) <= '0'; END GENERATE; gxa: FOR k IN 1 TO expwidth-1 GENERATE expoffset(k) <= '1'; END GENERATE; expoffset(expwidth+2 DOWNTO expwidth) <= "000"; pma: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO manwidth LOOP manff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP expinff(k) <= '0'; END LOOP; FOR k IN 1 TO coredepth-1 LOOP signff(k) <= '0'; END LOOP; FOR k IN 1 TO coredepth-1 LOOP FOR j IN 1 TO expwidth+2 LOOP expff(k)(j) <= '0'; END LOOP; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN signinff <= signin; manff <= mantissain; expinff <= exponentin; signff(1) <= signinff; FOR k IN 2 TO coredepth-1 LOOP signff(k) <= signff(k-1); END LOOP; expff(1)(expwidth+2 DOWNTO 1) <= expoffset - ("00" & expinff); expff(2)(expwidth+2 DOWNTO 1) <= expff(1)(expwidth+2 DOWNTO 1) + expoffset; FOR k IN 3 TO coredepth-2 LOOP expff(k)(expwidth+2 DOWNTO 1) <= expff(k-1)(expwidth+2 DOWNTO 1); END LOOP; -- quotient always <1, so decrement exponent expff(coredepth-1)(expwidth+2 DOWNTO 1) <= expff(coredepth-2)(expwidth+2 DOWNTO 1) - (zerovec(expwidth+1 DOWNTO 1) & '1'); END IF; END IF; END PROCESS; --******************** --*** CHECK INPUTS *** --******************** zeroman(1) <= manff(1); gca: FOR k IN 2 TO manwidth GENERATE zeroman(k) <= zeroman(k-1) OR manff(k); END GENERATE; zeroexp(1) <= expinff(1); gcb: FOR k IN 2 TO expwidth GENERATE zeroexp(k) <= zeroexp(k-1) OR expinff(k); END GENERATE; maxexp(1) <= expinff(1); gcc: FOR k IN 2 TO expwidth GENERATE maxexp(k) <= maxexp(k-1) AND expinff(k); END GENERATE; pcc: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN zeromaninff <= '0'; zeroexpinff <= '0'; maxexpinff <= '0'; zeroinff <= '0'; infinityinff <= '0'; naninff <= '0'; FOR k IN 1 TO coredepth-3 LOOP dividebyzeroff(k) <= '0'; nanff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN zeromaninff <= zeroman(manwidth); zeroexpinff <= zeroexp(expwidth); maxexpinff <= maxexp(expwidth); -- zero when man = 0, exp = 0 -- infinity when man = 0, exp = max -- nan when man != 0, exp = max -- all ffs '1' when condition true zeroinff <= NOT(zeromaninff OR zeroexpinff); infinityinff <= NOT(zeromaninff) AND maxexpinff; naninff <= zeromaninff AND maxexpinff; -- nan output when nan input nanff(1) <= naninff; FOR k IN 2 TO coredepth-3 LOOP nanff(k) <= nanff(k-1); END LOOP; dividebyzeroff(1) <= zeroinff; FOR k IN 2 TO coredepth-3 LOOP dividebyzeroff(k) <= dividebyzeroff(k-1); END LOOP; END IF; END IF; END PROCESS; --******************* --*** DIVIDE CORE *** --******************* invertnum <= '1' & mantissain & '0'; invcore: dp_inv_core GENERIC MAP (doublespeed=>doublespeed,doubleaccuracy=>doubleaccuracy, device=>device,synthesize=>synthesize) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, divisor=>invertnum, quotient=>quotient); -- quotient always <1 --************************ --*** ROUND AND OUTPUT *** --************************ -- in depth coredepth+1 (core + normalff) gra: IF (roundconvert = 0) GENERATE norndout: dp_divnornd PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, signin=>signff(coredepth-1), exponentdiv=>expff(coredepth-1)(expwidth+2 DOWNTO 1), mantissadiv=>quotient(53 DOWNTO 1), nanin=>nanff(coredepth-3), dividebyzeroin=>dividebyzeroff(coredepth-3), signout=>signout,exponentout=>exponentout,mantissaout=>mantissaout, nanout=>nanout,invalidout=>invalidout,dividebyzeroout=>dividebyzeroout); END GENERATE; grb: IF (roundconvert = 1 AND doublespeed = 0) GENERATE rndout: dp_divrnd PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, signin=>signff(coredepth-1), exponentdiv=>expff(coredepth-1)(expwidth+2 DOWNTO 1), mantissadiv=>quotient(53 DOWNTO 1), nanin=>nanff(coredepth-3), dividebyzeroin=>dividebyzeroff(coredepth-3), signout=>signout,exponentout=>exponentout,mantissaout=>mantissaout, nanout=>nanout,invalidout=>invalidout,dividebyzeroout=>dividebyzeroout); END GENERATE; grc: IF (roundconvert = 1 AND doublespeed = 1) GENERATE rndoutpipe: dp_divrndpipe PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, signin=>signff(coredepth-1), exponentdiv=>expff(coredepth-1)(expwidth+2 DOWNTO 1), mantissadiv=>quotient(53 DOWNTO 1), nanin=>nanff(coredepth-3), dividebyzeroin=>dividebyzeroff(coredepth-3), signout=>signout,exponentout=>exponentout,mantissaout=>mantissaout, nanout=>nanout,invalidout=>invalidout,dividebyzeroout=>dividebyzeroout); END GENERATE; END rtl;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/fp_mul54us_28s.vhd
10
10055
LIBRARY ieee; LIBRARY work; LIBRARY lpm; LIBRARY altera_mf; USE lpm.all; USE altera_mf.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** FP_MUL54US_28S.VHD *** --*** *** --*** Function: 5/6 pipeline stage unsigned 54 *** --*** bit multiplier *** --*** 28S: Stratix 2, 8 18x18, synthesizeable *** --*** *** --*** 21/04/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*************************************************** --*************************************************** --*** Notes: *** --*** 1. Identical to HCC_MUL54US_28S, except 5 *** --*** or 6 pipeline parameter and 72 outputs *** --*************************************************** ENTITY fp_mul54us_28s IS GENERIC (latency : positive := 5); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (72 DOWNTO 1) ); END fp_mul54us_28s; ARCHITECTURE syn of fp_mul54us_28s IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (58 DOWNTO 1); signal vecsix, vecsev : STD_LOGIC_VECTOR (58 DOWNTO 1); signal vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (58 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (58 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (58 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (72 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (71 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (71 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (71 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(54 DOWNTO 19); mulonebb <= mulbb(54 DOWNTO 19); multwoaa <= mulaa(18 DOWNTO 1); multwobb <= mulbb(36 DOWNTO 19); multhraa <= mulaa(18 DOWNTO 1); multhrbb <= mulbb(54 DOWNTO 37); mulforaa <= mulbb(18 DOWNTO 1); mulforbb <= mulaa(36 DOWNTO 19); mulfivaa <= mulbb(18 DOWNTO 1); mulfivbb <= mulaa(54 DOWNTO 37); -- {A,C) * {B,D} -- AAC -- BBD -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); vecone <= zerovec(22 DOWNTO 1) & multwoout; vectwo <= zerovec(4 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(22 DOWNTO 1) & mulforout; vecfor <= zerovec(4 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 58 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(57 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 58 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 58 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; END LOOP; FOR k IN 1 TO 72 LOOP sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(57 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= zerovec(32 DOWNTO 1) & sumoneff(58 DOWNTO 19); vecnin <= zerovec(32 DOWNTO 1) & caroneff(58 DOWNTO 19); vecten <= muloneout(72 DOWNTO 1); vecten <= muloneout(72 DOWNTO 1); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => latency-4, lpm_type => "LPM_ADD_SUB", lpm_width => 72 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 1), datab => cartwoff(72 DOWNTO 1), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
mit
LorhanSohaky/UFSCar
2017/lab_cd/aula4/aula4/simulation/qsim/work/@projeto2_vlg_sample_tst/_primary.vhd
1
227
library verilog; use verilog.vl_types.all; entity Projeto2_vlg_sample_tst is port( SW : in vl_logic_vector(4 downto 1); sampler_tx : out vl_logic ); end Projeto2_vlg_sample_tst;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/fp_atanpi_s5.vhd
10
525003
----------------------------------------------------------------------------- -- Altera DSP Builder Advanced Flow Tools Release Version 13.1 -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing device programming or simulation files), and -- any associated documentation or information are expressly subject to the -- terms and conditions of the Altera Program License Subscription Agreement, -- Altera MegaCore Function License Agreement, or other applicable license -- agreement, including, without limitation, that your use is for the sole -- purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. ----------------------------------------------------------------------------- -- VHDL created from fp_atanpi_s5 -- VHDL created on Tue Mar 12 11:23:23 2013 library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; use std.TextIO.all; use work.dspba_library_package.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; LIBRARY lpm; USE lpm.lpm_components.all; entity fp_atanpi_s5 is port ( a : in std_logic_vector(31 downto 0); en : in std_logic_vector(0 downto 0); q : out std_logic_vector(31 downto 0); clk : in std_logic; areset : in std_logic ); end; architecture normal of fp_atanpi_s5 is attribute altera_attribute : string; attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410"; signal GND_q : std_logic_vector (0 downto 0); signal VCC_q : std_logic_vector (0 downto 0); signal cstBiasM2_uid6_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal ooPi_uid9_fpArctanPiTest_q : std_logic_vector (23 downto 0); signal cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0); signal cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0); signal cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal cstBias_uid22_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal cstBiasM1_uid23_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal cstBiasMWF_uid24_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal cstWFP2_uid25_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal piO2_uid46_atanX_uid8_fpArctanPiTest_q : std_logic_vector (25 downto 0); signal piO4_uid47_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0); signal arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal biasMwShift_uid62_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal shiftBias_uid64_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal zS_uid67_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0); signal cst01pWShift_uid69_atanX_uid8_fpArctanPiTest_q : std_logic_vector (12 downto 0); signal mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a : std_logic_vector (23 downto 0); signal mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_b : std_logic_vector (26 downto 0); signal mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_s1 : std_logic_vector (50 downto 0); signal mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_pr : UNSIGNED (50 downto 0); signal mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q : std_logic_vector (50 downto 0); signal fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q : std_logic_vector(1 downto 0); signal expSum_uid162_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(8 downto 0); signal expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(8 downto 0); signal expSum_uid162_rAtanPi_uid13_fpArctanPiTest_o : std_logic_vector (8 downto 0); signal expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (8 downto 0); signal biasInc_uid163_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (9 downto 0); signal expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(11 downto 0); signal expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(11 downto 0); signal expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_o : std_logic_vector (11 downto 0); signal expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (10 downto 0); signal prod_uid165_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector (23 downto 0); signal prod_uid165_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (23 downto 0); signal prod_uid165_rAtanPi_uid13_fpArctanPiTest_s1 : std_logic_vector (47 downto 0); signal prod_uid165_rAtanPi_uid13_fpArctanPiTest_pr : UNSIGNED (47 downto 0); signal prod_uid165_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (47 downto 0); signal roundBitDetectionConstant_uid180_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (2 downto 0); signal signR_uid190_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal signR_uid190_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal signR_uid190_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal cst2BiasM1_uid230_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal cst2Bias_uid231_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0); signal expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal leftShiftStage0Idx1Pad4_uid276_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (3 downto 0); signal leftShiftStage0Idx3Pad12_uid282_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (11 downto 0); signal leftShiftStage1Idx2Pad2_uid290_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (1 downto 0); signal leftShiftStage1Idx3Pad3_uid293_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (2 downto 0); signal rightShiftStage0Idx2Pad16_uid320_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (15 downto 0); signal rightShiftStage0Idx3Pad24_uid323_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0); signal rightShiftStage1Idx3Pad6_uid334_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (5 downto 0); signal prodXY_uid360_pT1_uid303_atanXOXPolyEval_a : std_logic_vector (12 downto 0); signal prodXY_uid360_pT1_uid303_atanXOXPolyEval_b : std_logic_vector (12 downto 0); signal prodXY_uid360_pT1_uid303_atanXOXPolyEval_s1 : std_logic_vector (25 downto 0); signal prodXY_uid360_pT1_uid303_atanXOXPolyEval_pr : SIGNED (26 downto 0); signal prodXY_uid360_pT1_uid303_atanXOXPolyEval_q : std_logic_vector (25 downto 0); signal prodXY_uid363_pT2_uid309_atanXOXPolyEval_a : std_logic_vector (17 downto 0); signal prodXY_uid363_pT2_uid309_atanXOXPolyEval_b : std_logic_vector (22 downto 0); signal prodXY_uid363_pT2_uid309_atanXOXPolyEval_s1 : std_logic_vector (40 downto 0); signal prodXY_uid363_pT2_uid309_atanXOXPolyEval_pr : SIGNED (41 downto 0); signal prodXY_uid363_pT2_uid309_atanXOXPolyEval_q : std_logic_vector (40 downto 0); signal prodXY_uid366_pT1_uid348_invPolyEval_a : std_logic_vector (11 downto 0); signal prodXY_uid366_pT1_uid348_invPolyEval_b : std_logic_vector (11 downto 0); signal prodXY_uid366_pT1_uid348_invPolyEval_s1 : std_logic_vector (23 downto 0); signal prodXY_uid366_pT1_uid348_invPolyEval_pr : SIGNED (24 downto 0); signal prodXY_uid366_pT1_uid348_invPolyEval_q : std_logic_vector (23 downto 0); signal prodXY_uid369_pT2_uid354_invPolyEval_a : std_logic_vector (14 downto 0); signal prodXY_uid369_pT2_uid354_invPolyEval_b : std_logic_vector (21 downto 0); signal prodXY_uid369_pT2_uid354_invPolyEval_s1 : std_logic_vector (36 downto 0); signal prodXY_uid369_pT2_uid354_invPolyEval_pr : SIGNED (37 downto 0); signal prodXY_uid369_pT2_uid354_invPolyEval_q : std_logic_vector (36 downto 0); signal memoryC0_uid299_atanXOXTabGen_lutmem_reset0 : std_logic; signal memoryC0_uid299_atanXOXTabGen_lutmem_ia : std_logic_vector (30 downto 0); signal memoryC0_uid299_atanXOXTabGen_lutmem_aa : std_logic_vector (7 downto 0); signal memoryC0_uid299_atanXOXTabGen_lutmem_ab : std_logic_vector (7 downto 0); signal memoryC0_uid299_atanXOXTabGen_lutmem_iq : std_logic_vector (30 downto 0); signal memoryC0_uid299_atanXOXTabGen_lutmem_q : std_logic_vector (30 downto 0); signal memoryC1_uid300_atanXOXTabGen_lutmem_reset0 : std_logic; signal memoryC1_uid300_atanXOXTabGen_lutmem_ia : std_logic_vector (20 downto 0); signal memoryC1_uid300_atanXOXTabGen_lutmem_aa : std_logic_vector (7 downto 0); signal memoryC1_uid300_atanXOXTabGen_lutmem_ab : std_logic_vector (7 downto 0); signal memoryC1_uid300_atanXOXTabGen_lutmem_iq : std_logic_vector (20 downto 0); signal memoryC1_uid300_atanXOXTabGen_lutmem_q : std_logic_vector (20 downto 0); signal memoryC2_uid301_atanXOXTabGen_lutmem_reset0 : std_logic; signal memoryC2_uid301_atanXOXTabGen_lutmem_ia : std_logic_vector (12 downto 0); signal memoryC2_uid301_atanXOXTabGen_lutmem_aa : std_logic_vector (7 downto 0); signal memoryC2_uid301_atanXOXTabGen_lutmem_ab : std_logic_vector (7 downto 0); signal memoryC2_uid301_atanXOXTabGen_lutmem_iq : std_logic_vector (12 downto 0); signal memoryC2_uid301_atanXOXTabGen_lutmem_q : std_logic_vector (12 downto 0); signal memoryC0_uid344_invTabGen_lutmem_reset0 : std_logic; signal memoryC0_uid344_invTabGen_lutmem_ia : std_logic_vector (28 downto 0); signal memoryC0_uid344_invTabGen_lutmem_aa : std_logic_vector (7 downto 0); signal memoryC0_uid344_invTabGen_lutmem_ab : std_logic_vector (7 downto 0); signal memoryC0_uid344_invTabGen_lutmem_iq : std_logic_vector (28 downto 0); signal memoryC0_uid344_invTabGen_lutmem_q : std_logic_vector (28 downto 0); signal memoryC1_uid345_invTabGen_lutmem_reset0 : std_logic; signal memoryC1_uid345_invTabGen_lutmem_ia : std_logic_vector (19 downto 0); signal memoryC1_uid345_invTabGen_lutmem_aa : std_logic_vector (7 downto 0); signal memoryC1_uid345_invTabGen_lutmem_ab : std_logic_vector (7 downto 0); signal memoryC1_uid345_invTabGen_lutmem_iq : std_logic_vector (19 downto 0); signal memoryC1_uid345_invTabGen_lutmem_q : std_logic_vector (19 downto 0); signal memoryC2_uid346_invTabGen_lutmem_reset0 : std_logic; signal memoryC2_uid346_invTabGen_lutmem_ia : std_logic_vector (11 downto 0); signal memoryC2_uid346_invTabGen_lutmem_aa : std_logic_vector (7 downto 0); signal memoryC2_uid346_invTabGen_lutmem_ab : std_logic_vector (7 downto 0); signal memoryC2_uid346_invTabGen_lutmem_iq : std_logic_vector (11 downto 0); signal memoryC2_uid346_invTabGen_lutmem_q : std_logic_vector (11 downto 0); signal reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (2 downto 0); signal reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (2 downto 0); signal reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q : std_logic_vector (7 downto 0); signal reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0_q : std_logic_vector (11 downto 0); signal reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1_q : std_logic_vector (11 downto 0); signal reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0_q : std_logic_vector (19 downto 0); signal reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_q : std_logic_vector (14 downto 0); signal reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1_q : std_logic_vector (21 downto 0); signal reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0_q : std_logic_vector (28 downto 0); signal reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (1 downto 0); signal reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (0 downto 0); signal reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (0 downto 0); signal reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (7 downto 0); signal reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (2 downto 0); signal reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (1 downto 0); signal reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (36 downto 0); signal reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3_q : std_logic_vector (36 downto 0); signal reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4_q : std_logic_vector (36 downto 0); signal reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5_q : std_logic_vector (36 downto 0); signal reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (1 downto 0); signal reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (36 downto 0); signal reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0_q : std_logic_vector (7 downto 0); signal reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_q : std_logic_vector (12 downto 0); signal reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1_q : std_logic_vector (12 downto 0); signal reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_q : std_logic_vector (7 downto 0); signal reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0_q : std_logic_vector (20 downto 0); signal reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q : std_logic_vector (17 downto 0); signal reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1_q : std_logic_vector (22 downto 0); signal reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_q : std_logic_vector (7 downto 0); signal reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0_q : std_logic_vector (30 downto 0); signal reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (23 downto 0); signal reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (26 downto 0); signal reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (8 downto 0); signal reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (1 downto 0); signal reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (1 downto 0); signal reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (24 downto 0); signal reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (0 downto 0); signal reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1_q : std_logic_vector (25 downto 0); signal reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (31 downto 0); signal reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0_q : std_logic_vector (32 downto 0); signal reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (22 downto 0); signal reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3_q : std_logic_vector (22 downto 0); signal reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q : std_logic_vector (22 downto 0); signal reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5_q : std_logic_vector (22 downto 0); signal reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_q : std_logic_vector (7 downto 0); signal reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3_q : std_logic_vector (7 downto 0); signal reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q : std_logic_vector (7 downto 0); signal reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5_q : std_logic_vector (7 downto 0); signal reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q : std_logic_vector (7 downto 0); signal reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1_q : std_logic_vector (22 downto 0); signal reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0_q : std_logic_vector (23 downto 0); signal reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1_q : std_logic_vector (23 downto 0); signal reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0_q : std_logic_vector (34 downto 0); signal reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1_q : std_logic_vector (25 downto 0); signal reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q : std_logic_vector (11 downto 0); signal reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0_q : std_logic_vector (2 downto 0); signal ld_reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q_to_u_uid58_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (0 downto 0); signal ld_fracU_uid60_atanX_uid8_fpArctanPiTest_b_to_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (22 downto 0); signal ld_shiftOut_uid91_atanX_uid8_fpArctanPiTest_c_to_sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (0 downto 0); signal ld_fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q_to_oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (23 downto 0); signal ld_path2_uid56_atanX_uid8_fpArctanPiTest_n_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (0 downto 0); signal ld_arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_c_q : std_logic_vector (0 downto 0); signal ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_q : std_logic_vector (7 downto 0); signal ld_expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0); signal ld_fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b_q : std_logic_vector (0 downto 0); signal ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0); signal ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0); signal ld_expY_uid123_rAtanPi_uid13_fpArctanPiTest_b_to_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b_q : std_logic_vector (7 downto 0); signal ld_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q_to_expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (8 downto 0); signal ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0); signal ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b_q : std_logic_vector (0 downto 0); signal ld_excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0); signal ld_excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b_q : std_logic_vector (0 downto 0); signal ld_excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c_q : std_logic_vector (0 downto 0); signal ld_excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0); signal ld_excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b_q : std_logic_vector (0 downto 0); signal ld_excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c_q : std_logic_vector (0 downto 0); signal ld_excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q_to_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_c_q : std_logic_vector (0 downto 0); signal ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d_q : std_logic_vector (22 downto 0); signal ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d_q : std_logic_vector (7 downto 0); signal ld_signR_uid190_rAtanPi_uid13_fpArctanPiTest_q_to_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a_q : std_logic_vector (0 downto 0); signal ld_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q_to_R_uid221_rAtanPi_uid13_fpArctanPiTest_c_q : std_logic_vector (0 downto 0); signal ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (22 downto 0); signal ld_fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q_to_fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (0 downto 0); signal ld_reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (1 downto 0); signal ld_reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_c_q : std_logic_vector (0 downto 0); signal ld_LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (35 downto 0); signal ld_LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (34 downto 0); signal ld_LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (33 downto 0); signal ld_RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (22 downto 0); signal ld_RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (20 downto 0); signal ld_RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q : std_logic_vector (18 downto 0); signal ld_reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_q : std_logic_vector (0 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid345_invTabGen_lutmem_0_q_to_memoryC1_uid345_invTabGen_lutmem_a_q : std_logic_vector (7 downto 0); signal ld_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_a_q : std_logic_vector (1 downto 0); signal ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_q : std_logic_vector (12 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_a_q : std_logic_vector (7 downto 0); signal ld_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_a_q : std_logic_vector (1 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_inputreg_q : std_logic_vector (0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 : std_logic; signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ia : std_logic_vector (0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_aa : std_logic_vector (5 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ab : std_logic_vector (5 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_iq : std_logic_vector (0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_q : std_logic_vector (0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q : std_logic_vector(5 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i : unsigned(5 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq : std_logic; signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q : std_logic_vector (5 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_mem_top_q : std_logic_vector (6 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q : std_logic_vector (0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve : boolean; attribute preserve of ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : signal is true; signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_inputreg_q : std_logic_vector (0 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0 : std_logic; signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ia : std_logic_vector (0 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_aa : std_logic_vector (5 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ab : std_logic_vector (5 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_iq : std_logic_vector (0 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_q : std_logic_vector (0 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q : signal is true; signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_inputreg_q : std_logic_vector (31 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 : std_logic; signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ia : std_logic_vector (31 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_aa : std_logic_vector (3 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ab : std_logic_vector (3 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_iq : std_logic_vector (31 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_q : std_logic_vector (31 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q : std_logic_vector(3 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i : unsigned(3 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq : std_logic; signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q : std_logic_vector (3 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_mem_top_q : std_logic_vector (4 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg_q : std_logic_vector (0 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : signal is true; signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_inputreg_q : std_logic_vector (23 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 : std_logic; signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ia : std_logic_vector (23 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_aa : std_logic_vector (3 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ab : std_logic_vector (3 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_iq : std_logic_vector (23 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_q : std_logic_vector (23 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q : std_logic_vector(3 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i : unsigned(3 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq : std_logic; signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q : std_logic_vector (3 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_mem_top_q : std_logic_vector (4 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : signal is true; signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_inputreg_q : std_logic_vector (7 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 : std_logic; signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ia : std_logic_vector (7 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_aa : std_logic_vector (3 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ab : std_logic_vector (3 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_iq : std_logic_vector (7 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_q : std_logic_vector (7 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q : std_logic_vector(3 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i : unsigned(3 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q : std_logic_vector (3 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_mem_top_q : std_logic_vector (4 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg_q : std_logic_vector (0 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : signal is true; signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_inputreg_q : std_logic_vector (2 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 : std_logic; signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ia : std_logic_vector (2 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_aa : std_logic_vector (4 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ab : std_logic_vector (4 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_iq : std_logic_vector (2 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_q : std_logic_vector (2 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q : std_logic_vector(4 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i : unsigned(4 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq : std_logic; signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q : std_logic_vector (4 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_mem_top_q : std_logic_vector (5 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : signal is true; signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_inputreg_q : std_logic_vector (22 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 : std_logic; signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ia : std_logic_vector (22 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_aa : std_logic_vector (5 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ab : std_logic_vector (5 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_iq : std_logic_vector (22 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_q : std_logic_vector (22 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : signal is true; signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_inputreg_q : std_logic_vector (22 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_reset0 : std_logic; signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ia : std_logic_vector (22 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_aa : std_logic_vector (0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ab : std_logic_vector (0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_iq : std_logic_vector (22 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_q : std_logic_vector (22 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_q : std_logic_vector(0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_i : unsigned(0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q : std_logic_vector (0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q : signal is true; signal ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_inputreg_q : std_logic_vector (7 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_inputreg_q : std_logic_vector (0 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 : std_logic; signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ia : std_logic_vector (0 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_aa : std_logic_vector (5 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ab : std_logic_vector (5 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_iq : std_logic_vector (0 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_q : std_logic_vector (0 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q : signal is true; signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_inputreg_q : std_logic_vector (0 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 : std_logic; signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ia : std_logic_vector (0 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_aa : std_logic_vector (5 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ab : std_logic_vector (5 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_iq : std_logic_vector (0 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_q : std_logic_vector (0 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : signal is true; signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_inputreg_q : std_logic_vector (0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 : std_logic; signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ia : std_logic_vector (0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_aa : std_logic_vector (5 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ab : std_logic_vector (5 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_iq : std_logic_vector (0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_q : std_logic_vector (0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q : std_logic_vector(5 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i : unsigned(5 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq : std_logic; signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q : std_logic_vector (5 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_mem_top_q : std_logic_vector (6 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg_q : std_logic_vector (0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q : signal is true; signal ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_inputreg_q : std_logic_vector (22 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_inputreg_q : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0 : std_logic; signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ia : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_iq : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_q : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_q : std_logic_vector(2 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_i : unsigned(2 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_mem_top_q : std_logic_vector (3 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg_q : std_logic_vector (0 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q : signal is true; signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_inputreg_q : std_logic_vector (17 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_reset0 : std_logic; signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ia : std_logic_vector (17 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_iq : std_logic_vector (17 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_q : std_logic_vector (17 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_q : std_logic_vector(2 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i : unsigned(2 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_eq : std_logic; signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q : std_logic_vector (2 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_mem_top_q : std_logic_vector (3 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q : signal is true; signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_inputreg_q : std_logic_vector (7 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_reset0 : std_logic; signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ia : std_logic_vector (7 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_iq : std_logic_vector (7 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_q : std_logic_vector (7 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q : signal is true; signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_inputreg_q : std_logic_vector (14 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_reset0 : std_logic; signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ia : std_logic_vector (14 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_aa : std_logic_vector (0 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ab : std_logic_vector (0 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_iq : std_logic_vector (14 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_q : std_logic_vector (14 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q : signal is true; signal ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_inputreg_q : std_logic_vector (12 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_inputreg_q : std_logic_vector (7 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_reset0 : std_logic; signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ia : std_logic_vector (7 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_iq : std_logic_vector (7 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_q : std_logic_vector (7 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q : signal is true; signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_inputreg_q : std_logic_vector (7 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_reset0 : std_logic; signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ia : std_logic_vector (7 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_aa : std_logic_vector (5 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ab : std_logic_vector (5 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_iq : std_logic_vector (7 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_q : std_logic_vector (7 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q : signal is true; signal atanUIsU_uid63_atanX_uid8_fpArctanPiTest_a : std_logic_vector(10 downto 0); signal atanUIsU_uid63_atanX_uid8_fpArctanPiTest_b : std_logic_vector(10 downto 0); signal atanUIsU_uid63_atanX_uid8_fpArctanPiTest_o : std_logic_vector (10 downto 0); signal atanUIsU_uid63_atanX_uid8_fpArctanPiTest_cin : std_logic_vector (0 downto 0); signal atanUIsU_uid63_atanX_uid8_fpArctanPiTest_n : std_logic_vector (0 downto 0); signal shiftOut_uid91_atanX_uid8_fpArctanPiTest_a : std_logic_vector(10 downto 0); signal shiftOut_uid91_atanX_uid8_fpArctanPiTest_b : std_logic_vector(10 downto 0); signal shiftOut_uid91_atanX_uid8_fpArctanPiTest_o : std_logic_vector (10 downto 0); signal shiftOut_uid91_atanX_uid8_fpArctanPiTest_cin : std_logic_vector (0 downto 0); signal shiftOut_uid91_atanX_uid8_fpArctanPiTest_c : std_logic_vector (0 downto 0); signal excSelBits_uid114_atanX_uid8_fpArctanPiTest_q : std_logic_vector (2 downto 0); signal expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(14 downto 0); signal expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(14 downto 0); signal expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_o : std_logic_vector (14 downto 0); signal expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_cin : std_logic_vector (0 downto 0); signal expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_n : std_logic_vector (0 downto 0); signal expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(14 downto 0); signal expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(14 downto 0); signal expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_o : std_logic_vector (14 downto 0); signal expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_cin : std_logic_vector (0 downto 0); signal expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_n : std_logic_vector (0 downto 0); signal leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0); signal expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_a : std_logic_vector(33 downto 0); signal expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_b : std_logic_vector(33 downto 0); signal expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_o : std_logic_vector (33 downto 0); signal expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_q : std_logic_vector (33 downto 0); signal expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_a : std_logic_vector(32 downto 0); signal expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_b : std_logic_vector(32 downto 0); signal expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_o : std_logic_vector (32 downto 0); signal expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_q : std_logic_vector (32 downto 0); signal InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0); signal oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q : std_logic_vector (5 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_a : std_logic_vector(0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q : std_logic_vector(0 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q : std_logic_vector (3 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q : std_logic_vector (3 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q : std_logic_vector (3 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q : std_logic_vector (4 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q : std_logic_vector (0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q : std_logic_vector (5 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q : std_logic_vector (2 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q : std_logic_vector (2 downto 0); signal expX_uid15_atanX_uid8_fpArctanPiTest_in : std_logic_vector (30 downto 0); signal expX_uid15_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal fracX_uid16_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal fracX_uid16_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal singX_uid17_atanX_uid8_fpArctanPiTest_in : std_logic_vector (31 downto 0); signal singX_uid17_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal expXIsZero_uid31_atanX_uid8_fpArctanPiTest_a : std_logic_vector(7 downto 0); signal expXIsZero_uid31_atanX_uid8_fpArctanPiTest_b : std_logic_vector(7 downto 0); signal expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal expXIsMax_uid33_atanX_uid8_fpArctanPiTest_a : std_logic_vector(7 downto 0); signal expXIsMax_uid33_atanX_uid8_fpArctanPiTest_b : std_logic_vector(7 downto 0); signal expXIsMax_uid33_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_a : std_logic_vector(22 downto 0); signal fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_b : std_logic_vector(22 downto 0); signal fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal exc_I_uid36_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal exc_I_uid36_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal exc_I_uid36_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal expXIsBias_uid44_atanX_uid8_fpArctanPiTest_a : std_logic_vector(7 downto 0); signal expXIsBias_uid44_atanX_uid8_fpArctanPiTest_b : std_logic_vector(7 downto 0); signal expXIsBias_uid44_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal inIsOne_uid45_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal inIsOne_uid45_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal inIsOne_uid45_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal path2_uid56_atanX_uid8_fpArctanPiTest_a : std_logic_vector(10 downto 0); signal path2_uid56_atanX_uid8_fpArctanPiTest_b : std_logic_vector(10 downto 0); signal path2_uid56_atanX_uid8_fpArctanPiTest_o : std_logic_vector (10 downto 0); signal path2_uid56_atanX_uid8_fpArctanPiTest_cin : std_logic_vector (0 downto 0); signal path2_uid56_atanX_uid8_fpArctanPiTest_n : std_logic_vector (0 downto 0); signal shiftValue_uid65_atanX_uid8_fpArctanPiTest_a : std_logic_vector(8 downto 0); signal shiftValue_uid65_atanX_uid8_fpArctanPiTest_b : std_logic_vector(8 downto 0); signal shiftValue_uid65_atanX_uid8_fpArctanPiTest_o : std_logic_vector (8 downto 0); signal shiftValue_uid65_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0); signal shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_a : std_logic_vector(10 downto 0); signal shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_b : std_logic_vector(10 downto 0); signal shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_o : std_logic_vector (10 downto 0); signal shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_q : std_logic_vector (9 downto 0); signal path2Diff_uid97_atanX_uid8_fpArctanPiTest_a : std_logic_vector(26 downto 0); signal path2Diff_uid97_atanX_uid8_fpArctanPiTest_b : std_logic_vector(26 downto 0); signal path2Diff_uid97_atanX_uid8_fpArctanPiTest_o : std_logic_vector (26 downto 0); signal path2Diff_uid97_atanX_uid8_fpArctanPiTest_q : std_logic_vector (26 downto 0); signal fracRCalc_uid111_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0); signal expRCalc_uid113_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal expRCalc_uid113_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q : std_logic_vector(1 downto 0); signal fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0); signal expRPostExc_uid117_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(7 downto 0); signal expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(7 downto 0); signal expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(7 downto 0); signal expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(7 downto 0); signal expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(22 downto 0); signal fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(22 downto 0); signal fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(36 downto 0); signal expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(36 downto 0); signal expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_o : std_logic_vector (36 downto 0); signal expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (35 downto 0); signal excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0); signal excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0); signal excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_d : std_logic_vector(0 downto 0); signal excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0); signal ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0); signal excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_d : std_logic_vector(0 downto 0); signal excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(1 downto 0); signal fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (22 downto 0); signal expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(22 downto 0); signal fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(22 downto 0); signal fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(8 downto 0); signal expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(8 downto 0); signal expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_o : std_logic_vector (8 downto 0); signal expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0); signal expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(8 downto 0); signal expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(8 downto 0); signal expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_o : std_logic_vector (8 downto 0); signal expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0); signal outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(1 downto 0); signal fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (22 downto 0); signal leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_a : std_logic_vector(0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_b : std_logic_vector(0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_q : std_logic_vector(0 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_a : std_logic_vector(0 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_b : std_logic_vector(0 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_q : std_logic_vector(0 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_a : std_logic_vector(0 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_b : std_logic_vector(0 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_q : std_logic_vector(0 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_a : std_logic_vector(0 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_b : std_logic_vector(0 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_q : std_logic_vector(0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_a : std_logic_vector(0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_b : std_logic_vector(0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_q : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_a : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_b : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_q : std_logic_vector(0 downto 0); signal fracOOPi_uid10_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal fracOOPi_uid10_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal cstPiO2_uid48_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0); signal cstPiO2_uid48_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal cstPiO4_uid51_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal cstPiO4_uid51_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0); signal normBit_uid80_atanX_uid8_fpArctanPiTest_in : std_logic_vector (49 downto 0); signal normBit_uid80_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_in : std_logic_vector (48 downto 0); signal fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0); signal fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_in : std_logic_vector (47 downto 0); signal fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0); signal normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (47 downto 0); signal normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (46 downto 0); signal fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (23 downto 0); signal fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (45 downto 0); signal fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (23 downto 0); signal stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (21 downto 0); signal stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (21 downto 0); signal Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0); signal rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0); signal rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_in : std_logic_vector (25 downto 0); signal prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_b : std_logic_vector (13 downto 0); signal prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_in : std_logic_vector (40 downto 0); signal prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_b : std_logic_vector (23 downto 0); signal prodXYTruncFR_uid367_pT1_uid348_invPolyEval_in : std_logic_vector (23 downto 0); signal prodXYTruncFR_uid367_pT1_uid348_invPolyEval_b : std_logic_vector (12 downto 0); signal prodXYTruncFR_uid370_pT2_uid354_invPolyEval_in : std_logic_vector (36 downto 0); signal prodXYTruncFR_uid370_pT2_uid354_invPolyEval_b : std_logic_vector (22 downto 0); signal leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0); signal rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal pathSelBits_uid108_atanX_uid8_fpArctanPiTest_q : std_logic_vector (2 downto 0); signal concExc_uid208_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (2 downto 0); signal R_uid221_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (31 downto 0); signal yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (14 downto 0); signal yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (14 downto 0); signal R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0); signal fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0); signal fpPiO4C_uid52_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_a : std_logic_vector(6 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_b : std_logic_vector(6 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_q : std_logic_vector(0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_a : std_logic_vector(0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_b : std_logic_vector(0 downto 0); signal ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_q : std_logic_vector(0 downto 0); signal constOut_uid54_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal constOut_uid54_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_a : std_logic_vector(0 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_b : std_logic_vector(0 downto 0); signal ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_q : std_logic_vector(0 downto 0); signal u_uid58_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal u_uid58_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_a : std_logic_vector(4 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_b : std_logic_vector(4 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_q : std_logic_vector(0 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_a : std_logic_vector(0 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_b : std_logic_vector(0 downto 0); signal ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_q : std_logic_vector(0 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_a : std_logic_vector(4 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_b : std_logic_vector(4 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_q : std_logic_vector(0 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_a : std_logic_vector(0 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_b : std_logic_vector(0 downto 0); signal ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_q : std_logic_vector(0 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_a : std_logic_vector(4 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_b : std_logic_vector(4 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_q : std_logic_vector(0 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_a : std_logic_vector(0 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_b : std_logic_vector(0 downto 0); signal ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_q : std_logic_vector(0 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_a : std_logic_vector(5 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_b : std_logic_vector(5 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_q : std_logic_vector(0 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_a : std_logic_vector(0 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_b : std_logic_vector(0 downto 0); signal ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_q : std_logic_vector(0 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_a : std_logic_vector(0 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_b : std_logic_vector(0 downto 0); signal ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_q : std_logic_vector(0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_a : std_logic_vector(0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_b : std_logic_vector(0 downto 0); signal ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_q : std_logic_vector(0 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_a : std_logic_vector(0 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_b : std_logic_vector(0 downto 0); signal ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_q : std_logic_vector(0 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_a : std_logic_vector(0 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_b : std_logic_vector(0 downto 0); signal ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_q : std_logic_vector(0 downto 0); signal R_uid120_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_a : std_logic_vector(6 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_b : std_logic_vector(6 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_q : std_logic_vector(0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_a : std_logic_vector(0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_b : std_logic_vector(0 downto 0); signal ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_q : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_a : std_logic_vector(3 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_b : std_logic_vector(3 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_q : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_a : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_b : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_q : std_logic_vector(0 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_a : std_logic_vector(3 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_b : std_logic_vector(3 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_q : std_logic_vector(0 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_a : std_logic_vector(0 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_b : std_logic_vector(0 downto 0); signal ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_q : std_logic_vector(0 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_a : std_logic_vector(0 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_b : std_logic_vector(0 downto 0); signal ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_q : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_a : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_b : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_q : std_logic_vector(0 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_a : std_logic_vector(0 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_b : std_logic_vector(0 downto 0); signal ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_q : std_logic_vector(0 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_a : std_logic_vector(0 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_b : std_logic_vector(0 downto 0); signal ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_q : std_logic_vector(0 downto 0); signal fracRPath3_uid88_atanX_uid8_fpArctanPiTest_in : std_logic_vector (23 downto 0); signal fracRPath3_uid88_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal expRPath3_uid89_atanX_uid8_fpArctanPiTest_in : std_logic_vector (31 downto 0); signal expRPath3_uid89_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal fracRPath2_uid106_atanX_uid8_fpArctanPiTest_in : std_logic_vector (23 downto 0); signal fracRPath2_uid106_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal expRPath2_uid107_atanX_uid8_fpArctanPiTest_in : std_logic_vector (31 downto 0); signal expRPath2_uid107_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0); signal X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (16 downto 0); signal X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0); signal X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (8 downto 0); signal X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0); signal X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal oFracX_uid249_uid249_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0); signal InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_in : std_logic_vector (8 downto 0); signal ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0); signal shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_in : std_logic_vector (7 downto 0); signal shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_in : std_logic_vector (25 downto 0); signal normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0); signal path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0); signal path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_in : std_logic_vector (23 downto 0); signal path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0); signal InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (23 downto 0); signal fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (35 downto 0); signal expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (11 downto 0); signal expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (7 downto 0); signal expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (9 downto 0); signal udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (7 downto 0); signal expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (35 downto 0); signal LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (35 downto 0); signal LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (34 downto 0); signal LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (34 downto 0); signal LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (33 downto 0); signal LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (33 downto 0); signal fpOOPi_uid11_fpArctanPiTest_q : std_logic_vector (31 downto 0); signal X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (32 downto 0); signal X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (32 downto 0); signal X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (28 downto 0); signal X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (28 downto 0); signal X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0); signal X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (24 downto 0); signal fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0); signal InvNormBit_uid84_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvNormBit_uid84_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (23 downto 0); signal extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (0 downto 0); signal stickyExtendedRange_uid174_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (22 downto 0); signal lowRangeB_uid304_atanXOXPolyEval_in : std_logic_vector (0 downto 0); signal lowRangeB_uid304_atanXOXPolyEval_b : std_logic_vector (0 downto 0); signal highBBits_uid305_atanXOXPolyEval_in : std_logic_vector (13 downto 0); signal highBBits_uid305_atanXOXPolyEval_b : std_logic_vector (12 downto 0); signal lowRangeB_uid310_atanXOXPolyEval_in : std_logic_vector (1 downto 0); signal lowRangeB_uid310_atanXOXPolyEval_b : std_logic_vector (1 downto 0); signal highBBits_uid311_atanXOXPolyEval_in : std_logic_vector (23 downto 0); signal highBBits_uid311_atanXOXPolyEval_b : std_logic_vector (21 downto 0); signal lowRangeB_uid349_invPolyEval_in : std_logic_vector (0 downto 0); signal lowRangeB_uid349_invPolyEval_b : std_logic_vector (0 downto 0); signal highBBits_uid350_invPolyEval_in : std_logic_vector (12 downto 0); signal highBBits_uid350_invPolyEval_b : std_logic_vector (11 downto 0); signal lowRangeB_uid355_invPolyEval_in : std_logic_vector (1 downto 0); signal lowRangeB_uid355_invPolyEval_b : std_logic_vector (1 downto 0); signal highBBits_uid356_invPolyEval_in : std_logic_vector (22 downto 0); signal highBBits_uid356_invPolyEval_b : std_logic_vector (20 downto 0); signal y_uid73_atanX_uid8_fpArctanPiTest_in : std_logic_vector (35 downto 0); signal y_uid73_atanX_uid8_fpArctanPiTest_b : std_logic_vector (34 downto 0); signal RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0); signal RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0); signal yT1_uid347_invPolyEval_in : std_logic_vector (14 downto 0); signal yT1_uid347_invPolyEval_b : std_logic_vector (11 downto 0); signal fracOutCst_uid110_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal fracOutCst_uid110_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal expOutCst_uid112_atanX_uid8_fpArctanPiTest_in : std_logic_vector (30 downto 0); signal expOutCst_uid112_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal expU_uid59_atanX_uid8_fpArctanPiTest_in : std_logic_vector (30 downto 0); signal expU_uid59_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal fracU_uid60_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal fracU_uid60_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal expX_uid122_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (30 downto 0); signal expX_uid122_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal signX_uid124_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (31 downto 0); signal signX_uid124_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal fracX_uid126_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal fracX_uid126_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal rightShiftStage0Idx1_uid318_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal rightShiftStage0Idx2_uid321_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal rightShiftStage0Idx3_uid324_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal exc_N_uid38_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal exc_N_uid38_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal exc_N_uid38_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_in : std_logic_vector (3 downto 0); signal fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_b : std_logic_vector (3 downto 0); signal sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal fracRPath2_uid102_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal fracRPath2_uid102_atanX_uid8_fpArctanPiTest_q : std_logic_vector (23 downto 0); signal expRPath2_uid103_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal expRPath2_uid103_atanX_uid8_fpArctanPiTest_q : std_logic_vector (7 downto 0); signal exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0); signal exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (7 downto 0); signal expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal expY_uid123_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (30 downto 0); signal expY_uid123_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal signY_uid125_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (31 downto 0); signal signY_uid125_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal fracY_uid128_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal fracY_uid128_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0); signal leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_q : std_logic_vector (36 downto 0); signal expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a : std_logic_vector(8 downto 0); signal expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_b : std_logic_vector(8 downto 0); signal expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_o : std_logic_vector (8 downto 0); signal expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_q : std_logic_vector (8 downto 0); signal FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_in : std_logic_vector (1 downto 0); signal FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector (1 downto 0); signal expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (34 downto 0); signal stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(22 downto 0); signal stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(22 downto 0); signal stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal sumAHighB_uid306_atanXOXPolyEval_a : std_logic_vector(21 downto 0); signal sumAHighB_uid306_atanXOXPolyEval_b : std_logic_vector(21 downto 0); signal sumAHighB_uid306_atanXOXPolyEval_o : std_logic_vector (21 downto 0); signal sumAHighB_uid306_atanXOXPolyEval_q : std_logic_vector (21 downto 0); signal sumAHighB_uid312_atanXOXPolyEval_a : std_logic_vector(31 downto 0); signal sumAHighB_uid312_atanXOXPolyEval_b : std_logic_vector(31 downto 0); signal sumAHighB_uid312_atanXOXPolyEval_o : std_logic_vector (31 downto 0); signal sumAHighB_uid312_atanXOXPolyEval_q : std_logic_vector (31 downto 0); signal sumAHighB_uid351_invPolyEval_a : std_logic_vector(20 downto 0); signal sumAHighB_uid351_invPolyEval_b : std_logic_vector(20 downto 0); signal sumAHighB_uid351_invPolyEval_o : std_logic_vector (20 downto 0); signal sumAHighB_uid351_invPolyEval_q : std_logic_vector (20 downto 0); signal sumAHighB_uid357_invPolyEval_a : std_logic_vector(29 downto 0); signal sumAHighB_uid357_invPolyEval_b : std_logic_vector(29 downto 0); signal sumAHighB_uid357_invPolyEval_o : std_logic_vector (29 downto 0); signal sumAHighB_uid357_invPolyEval_q : std_logic_vector (29 downto 0); signal yAddr_uid75_atanX_uid8_fpArctanPiTest_in : std_logic_vector (34 downto 0); signal yAddr_uid75_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_in : std_logic_vector (26 downto 0); signal yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_b : std_logic_vector (17 downto 0); signal rightShiftStage2Idx1_uid340_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (23 downto 0); signal rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s : std_logic_vector (1 downto 0); signal rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (7 downto 0); signal InvExc_N_uid118_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvExc_N_uid118_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (3 downto 0); signal leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (1 downto 0); signal leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_in : std_logic_vector (1 downto 0); signal leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : std_logic_vector (1 downto 0); signal sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_in : std_logic_vector (4 downto 0); signal sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_b : std_logic_vector (4 downto 0); signal expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_q : std_logic_vector (31 downto 0); signal InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0); signal excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(7 downto 0); signal expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(7 downto 0); signal expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(7 downto 0); signal expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(7 downto 0); signal expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (23 downto 0); signal fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(22 downto 0); signal fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(22 downto 0); signal fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_q : std_logic_vector (32 downto 0); signal sticky_uid177_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal sticky_uid177_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal s1_uid304_uid307_atanXOXPolyEval_q : std_logic_vector (22 downto 0); signal s2_uid310_uid313_atanXOXPolyEval_q : std_logic_vector (33 downto 0); signal s1_uid349_uid352_invPolyEval_q : std_logic_vector (21 downto 0); signal s2_uid355_uid358_invPolyEval_q : std_logic_vector (31 downto 0); signal yT1_uid302_atanXOXPolyEval_in : std_logic_vector (17 downto 0); signal yT1_uid302_atanXOXPolyEval_b : std_logic_vector (12 downto 0); signal rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s : std_logic_vector (0 downto 0); signal rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q : std_logic_vector (24 downto 0); signal RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0); signal RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0); signal RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (20 downto 0); signal RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (24 downto 0); signal RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (18 downto 0); signal signR_uid119_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal signR_uid119_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal signR_uid119_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_c : std_logic_vector(0 downto 0); signal exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (4 downto 0); signal rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (1 downto 0); signal rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (2 downto 0); signal rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (1 downto 0); signal rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in : std_logic_vector (0 downto 0); signal rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : std_logic_vector (0 downto 0); signal exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_c : std_logic_vector(0 downto 0); signal exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal lrs_uid179_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (2 downto 0); signal fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_in : std_logic_vector (31 downto 0); signal fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_b : std_logic_vector (26 downto 0); signal fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (28 downto 0); signal fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (23 downto 0); signal pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_q : std_logic_vector (25 downto 0); signal xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(2 downto 0); signal roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_b : std_logic_vector(2 downto 0); signal roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_in : std_logic_vector (22 downto 0); signal fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector (22 downto 0); signal xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_b : std_logic_vector(0 downto 0); signal xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_a : std_logic_vector(0 downto 0); signal roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector(0 downto 0); signal excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_q : std_logic_vector (2 downto 0); signal roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_q : std_logic_vector (25 downto 0); begin --xIn(GPIN,3)@0 --cstAllZWF_uid19_atanX_uid8_fpArctanPiTest(CONSTANT,18) cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q <= "00000000000000000000000"; --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable(LOGICAL,878) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_a <= en; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q <= not ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_a; --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor(LOGICAL,1008) ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_b <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_q <= not (ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_a or ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_b); --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_mem_top(CONSTANT,1004) ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_mem_top_q <= "0100001"; --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp(LOGICAL,1005) ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_a <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_mem_top_q; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_b <= STD_LOGIC_VECTOR("0" & ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q); ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_q <= "1" when ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_a = ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_b else "0"; --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg(REG,1006) ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmp_q; END IF; END IF; END PROCESS; --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena(REG,1009) ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_nor_q = "1") THEN ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_cmpReg_q; END IF; END IF; END PROCESS; --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd(LOGICAL,1010) ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_a <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_sticky_ena_q; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_b <= en; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_a and ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_b; --fracX_uid16_atanX_uid8_fpArctanPiTest(BITSELECT,15)@0 fracX_uid16_atanX_uid8_fpArctanPiTest_in <= a(22 downto 0); fracX_uid16_atanX_uid8_fpArctanPiTest_b <= fracX_uid16_atanX_uid8_fpArctanPiTest_in(22 downto 0); --fracXIsZero_uid35_atanX_uid8_fpArctanPiTest(LOGICAL,34)@0 fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_a <= fracX_uid16_atanX_uid8_fpArctanPiTest_b; fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_b <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_q <= "1" when fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_a = fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_b else "0"; --InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest(LOGICAL,36)@0 InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_a <= fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_q; InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_q <= not InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_a; --cstAllOWE_uid18_atanX_uid8_fpArctanPiTest(CONSTANT,17) cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q <= "11111111"; --expX_uid15_atanX_uid8_fpArctanPiTest(BITSELECT,14)@0 expX_uid15_atanX_uid8_fpArctanPiTest_in <= a(30 downto 0); expX_uid15_atanX_uid8_fpArctanPiTest_b <= expX_uid15_atanX_uid8_fpArctanPiTest_in(30 downto 23); --expXIsMax_uid33_atanX_uid8_fpArctanPiTest(LOGICAL,32)@0 expXIsMax_uid33_atanX_uid8_fpArctanPiTest_a <= expX_uid15_atanX_uid8_fpArctanPiTest_b; expXIsMax_uid33_atanX_uid8_fpArctanPiTest_b <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q; expXIsMax_uid33_atanX_uid8_fpArctanPiTest_q <= "1" when expXIsMax_uid33_atanX_uid8_fpArctanPiTest_a = expXIsMax_uid33_atanX_uid8_fpArctanPiTest_b else "0"; --exc_N_uid38_atanX_uid8_fpArctanPiTest(LOGICAL,37)@0 exc_N_uid38_atanX_uid8_fpArctanPiTest_a <= expXIsMax_uid33_atanX_uid8_fpArctanPiTest_q; exc_N_uid38_atanX_uid8_fpArctanPiTest_b <= InvFracXIsZero_uid37_atanX_uid8_fpArctanPiTest_q; exc_N_uid38_atanX_uid8_fpArctanPiTest_q <= exc_N_uid38_atanX_uid8_fpArctanPiTest_a and exc_N_uid38_atanX_uid8_fpArctanPiTest_b; --InvExc_N_uid118_atanX_uid8_fpArctanPiTest(LOGICAL,117)@0 InvExc_N_uid118_atanX_uid8_fpArctanPiTest_a <= exc_N_uid38_atanX_uid8_fpArctanPiTest_q; InvExc_N_uid118_atanX_uid8_fpArctanPiTest_q <= not InvExc_N_uid118_atanX_uid8_fpArctanPiTest_a; --singX_uid17_atanX_uid8_fpArctanPiTest(BITSELECT,16)@0 singX_uid17_atanX_uid8_fpArctanPiTest_in <= a; singX_uid17_atanX_uid8_fpArctanPiTest_b <= singX_uid17_atanX_uid8_fpArctanPiTest_in(31 downto 31); --signR_uid119_atanX_uid8_fpArctanPiTest(LOGICAL,118)@0 signR_uid119_atanX_uid8_fpArctanPiTest_a <= singX_uid17_atanX_uid8_fpArctanPiTest_b; signR_uid119_atanX_uid8_fpArctanPiTest_b <= InvExc_N_uid118_atanX_uid8_fpArctanPiTest_q; signR_uid119_atanX_uid8_fpArctanPiTest_q <= signR_uid119_atanX_uid8_fpArctanPiTest_a and signR_uid119_atanX_uid8_fpArctanPiTest_b; --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_inputreg(DELAY,998) ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_inputreg : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => signR_uid119_atanX_uid8_fpArctanPiTest_q, xout => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt(COUNTER,1000) -- every=1, low=0, high=33, step=1, init=1 ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,6); ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i = 32 THEN ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '1'; ELSE ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0'; END IF; IF (ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq = '1') THEN ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i - 33; ELSE ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i,6)); --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg(REG,1001) ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= "000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux(MUX,1002) ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s <= en; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux: PROCESS (ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s, ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q, ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q) BEGIN CASE ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s IS WHEN "0" => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; WHEN "1" => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q; WHEN OTHERS => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem(DUALMEM,999) ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ia <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_inputreg_q; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_aa <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ab <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 1, widthad_a => 6, numwords_a => 34, width_b => 1, widthad_b => 6, numwords_b => 34, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0, clock1 => clk, address_b => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_iq, address_a => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_aa, data_a => ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_ia ); ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 <= areset; ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_iq(0 downto 0); --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor(LOGICAL,879) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_b <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_q <= not (ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_a or ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_b); --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_mem_top(CONSTANT,875) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_mem_top_q <= "0100000"; --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp(LOGICAL,876) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_mem_top_q; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_b <= STD_LOGIC_VECTOR("0" & ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q); ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_q <= "1" when ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_a = ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_b else "0"; --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg(REG,877) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmp_q; END IF; END IF; END PROCESS; --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena(REG,880) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_nor_q = "1") THEN ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q; END IF; END IF; END PROCESS; --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd(LOGICAL,881) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_sticky_ena_q; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_b <= en; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_a and ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_b; --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_inputreg(DELAY,869) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_inputreg : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => singX_uid17_atanX_uid8_fpArctanPiTest_b, xout => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt(COUNTER,871) -- every=1, low=0, high=32, step=1, init=1 ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,6); ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i = 31 THEN ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '1'; ELSE ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0'; END IF; IF (ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq = '1') THEN ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i - 32; ELSE ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i,6)); --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg(REG,872) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= "000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux(MUX,873) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s <= en; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux: PROCESS (ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s, ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q, ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q) BEGIN CASE ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s IS WHEN "0" => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; WHEN "1" => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q; WHEN OTHERS => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem(DUALMEM,870) ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ia <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_inputreg_q; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 1, widthad_a => 6, numwords_a => 33, width_b => 1, widthad_b => 6, numwords_b => 33, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0, clock1 => clk, address_b => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_iq, address_a => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_aa, data_a => ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_ia ); ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 <= areset; ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_iq(0 downto 0); --cstBias_uid22_atanX_uid8_fpArctanPiTest(CONSTANT,21) cstBias_uid22_atanX_uid8_fpArctanPiTest_q <= "01111111"; --piO2_uid46_atanX_uid8_fpArctanPiTest(CONSTANT,45) piO2_uid46_atanX_uid8_fpArctanPiTest_q <= "11001001000011111101101011"; --cstPiO2_uid48_atanX_uid8_fpArctanPiTest(BITSELECT,47)@35 cstPiO2_uid48_atanX_uid8_fpArctanPiTest_in <= piO2_uid46_atanX_uid8_fpArctanPiTest_q(24 downto 0); cstPiO2_uid48_atanX_uid8_fpArctanPiTest_b <= cstPiO2_uid48_atanX_uid8_fpArctanPiTest_in(24 downto 2); --fpPiO2C_uid49_atanX_uid8_fpArctanPiTest(BITJOIN,48)@35 fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_q & cstBias_uid22_atanX_uid8_fpArctanPiTest_q & cstPiO2_uid48_atanX_uid8_fpArctanPiTest_b; --cstBiasM1_uid23_atanX_uid8_fpArctanPiTest(CONSTANT,22) cstBiasM1_uid23_atanX_uid8_fpArctanPiTest_q <= "01111110"; --piO4_uid47_atanX_uid8_fpArctanPiTest(CONSTANT,46) piO4_uid47_atanX_uid8_fpArctanPiTest_q <= "110010010000111111011011"; --cstPiO4_uid51_atanX_uid8_fpArctanPiTest(BITSELECT,50)@35 cstPiO4_uid51_atanX_uid8_fpArctanPiTest_in <= piO4_uid47_atanX_uid8_fpArctanPiTest_q(22 downto 0); cstPiO4_uid51_atanX_uid8_fpArctanPiTest_b <= cstPiO4_uid51_atanX_uid8_fpArctanPiTest_in(22 downto 0); --fpPiO4C_uid52_atanX_uid8_fpArctanPiTest(BITJOIN,51)@35 fpPiO4C_uid52_atanX_uid8_fpArctanPiTest_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_mem_q & cstBiasM1_uid23_atanX_uid8_fpArctanPiTest_q & cstPiO4_uid51_atanX_uid8_fpArctanPiTest_b; --ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor(LOGICAL,892) ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_b <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q; ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_q <= not (ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_a or ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_b); --ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena(REG,893) ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_nor_q = "1") THEN ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q; END IF; END IF; END PROCESS; --ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd(LOGICAL,894) ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_a <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_sticky_ena_q; ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_b <= en; ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_q <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_a and ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_b; --exc_I_uid36_atanX_uid8_fpArctanPiTest(LOGICAL,35)@0 exc_I_uid36_atanX_uid8_fpArctanPiTest_a <= expXIsMax_uid33_atanX_uid8_fpArctanPiTest_q; exc_I_uid36_atanX_uid8_fpArctanPiTest_b <= fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_q; exc_I_uid36_atanX_uid8_fpArctanPiTest_q <= exc_I_uid36_atanX_uid8_fpArctanPiTest_a and exc_I_uid36_atanX_uid8_fpArctanPiTest_b; --ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_inputreg(DELAY,882) ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_inputreg : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => exc_I_uid36_atanX_uid8_fpArctanPiTest_q, xout => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem(DUALMEM,883) ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ia <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_inputreg_q; ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q; ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 1, widthad_a => 6, numwords_a => 33, width_b => 1, widthad_b => 6, numwords_b => 33, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0, clock1 => clk, address_b => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_iq, address_a => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_aa, data_a => ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_ia ); ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0 <= areset; ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_q <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_iq(0 downto 0); --constOut_uid54_atanX_uid8_fpArctanPiTest(MUX,53)@35 constOut_uid54_atanX_uid8_fpArctanPiTest_s <= ld_exc_I_uid36_atanX_uid8_fpArctanPiTest_q_to_constOut_uid54_atanX_uid8_fpArctanPiTest_b_replace_mem_q; constOut_uid54_atanX_uid8_fpArctanPiTest: PROCESS (constOut_uid54_atanX_uid8_fpArctanPiTest_s, en, fpPiO4C_uid52_atanX_uid8_fpArctanPiTest_q, fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_q) BEGIN CASE constOut_uid54_atanX_uid8_fpArctanPiTest_s IS WHEN "0" => constOut_uid54_atanX_uid8_fpArctanPiTest_q <= fpPiO4C_uid52_atanX_uid8_fpArctanPiTest_q; WHEN "1" => constOut_uid54_atanX_uid8_fpArctanPiTest_q <= fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => constOut_uid54_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --expOutCst_uid112_atanX_uid8_fpArctanPiTest(BITSELECT,111)@35 expOutCst_uid112_atanX_uid8_fpArctanPiTest_in <= constOut_uid54_atanX_uid8_fpArctanPiTest_q(30 downto 0); expOutCst_uid112_atanX_uid8_fpArctanPiTest_b <= expOutCst_uid112_atanX_uid8_fpArctanPiTest_in(30 downto 23); --reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5(REG,428)@35 reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5_q <= expOutCst_uid112_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --cst01pWShift_uid69_atanX_uid8_fpArctanPiTest(CONSTANT,68) cst01pWShift_uid69_atanX_uid8_fpArctanPiTest_q <= "0000000000000"; --reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2(REG,389)@0 reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q <= signR_uid119_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --ld_reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_c(DELAY,707)@1 ld_reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 11 ) PORT MAP ( xin => reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q, xout => ld_reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor(LOGICAL,1022) ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_b <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_q <= not (ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_a or ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_b); --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_mem_top(CONSTANT,1018) ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_mem_top_q <= "0111"; --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp(LOGICAL,1019) ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_a <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_mem_top_q; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q); ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_q <= "1" when ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_a = ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_b else "0"; --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg(REG,1020) ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmp_q; END IF; END IF; END PROCESS; --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena(REG,1023) ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_nor_q = "1") THEN ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_cmpReg_q; END IF; END IF; END PROCESS; --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd(LOGICAL,1024) ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_a <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_sticky_ena_q; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_b <= en; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_a and ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_b; --cst2Bias_uid231_z_uid57_atanX_uid8_fpArctanPiTest(CONSTANT,230) cst2Bias_uid231_z_uid57_atanX_uid8_fpArctanPiTest_q <= "11111110"; --expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest(SUB,259)@0 expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & cst2Bias_uid231_z_uid57_atanX_uid8_fpArctanPiTest_q); expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0" & expX_uid15_atanX_uid8_fpArctanPiTest_b); expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_b)); expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_q <= expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_o(8 downto 0); --expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,260)@0 expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_in <= expRCompYIsOneExt_uid260_z_uid57_atanX_uid8_fpArctanPiTest_q(7 downto 0); expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_b <= expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_in(7 downto 0); --cst2BiasM1_uid230_z_uid57_atanX_uid8_fpArctanPiTest(CONSTANT,229) cst2BiasM1_uid230_z_uid57_atanX_uid8_fpArctanPiTest_q <= "11111101"; --expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest(SUB,256)@0 expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & cst2BiasM1_uid230_z_uid57_atanX_uid8_fpArctanPiTest_q); expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0" & expX_uid15_atanX_uid8_fpArctanPiTest_b); expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_b)); expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_q <= expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_o(8 downto 0); --expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,257)@0 expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_in <= expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_q(7 downto 0); expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_b <= expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_in(7 downto 0); --GND(CONSTANT,0) GND_q <= "0"; --fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,249)@0 fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_a <= fracX_uid16_atanX_uid8_fpArctanPiTest_b; fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0000000000000000000000" & GND_q); fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q <= "1" when fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_a = fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_b else "0"; --expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest(MUX,263)@0 expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_s <= fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q; expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_s IS WHEN "0" => expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q <= expRComp_uid258_z_uid57_atanX_uid8_fpArctanPiTest_b; WHEN "1" => expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q <= expRCompYIsOne_uid261_z_uid57_atanX_uid8_fpArctanPiTest_b; WHEN OTHERS => expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --expXIsZero_uid31_atanX_uid8_fpArctanPiTest(LOGICAL,30)@0 expXIsZero_uid31_atanX_uid8_fpArctanPiTest_a <= expX_uid15_atanX_uid8_fpArctanPiTest_b; expXIsZero_uid31_atanX_uid8_fpArctanPiTest_b <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q; expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q <= "1" when expXIsZero_uid31_atanX_uid8_fpArctanPiTest_a = expXIsZero_uid31_atanX_uid8_fpArctanPiTest_b else "0"; --udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,258)@0 udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_in <= STD_LOGIC_VECTOR((9 downto 9 => expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_q(8)) & expRCompExt_uid257_z_uid57_atanX_uid8_fpArctanPiTest_q); udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_b <= udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_in(9 downto 9); --InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,245)@0 InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_a <= exc_I_uid36_atanX_uid8_fpArctanPiTest_q; InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_q <= not InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_a; --InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,246)@0 InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_a <= expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q; InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_q <= not InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_a; --exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,247)@0 exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_a <= InvExpXIsZero_uid247_z_uid57_atanX_uid8_fpArctanPiTest_q; exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_b <= InvExc_I_uid246_z_uid57_atanX_uid8_fpArctanPiTest_q; exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_c <= InvExc_N_uid118_atanX_uid8_fpArctanPiTest_q; exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_q <= exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_a and exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_b and exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_c; --xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,264)@0 xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_a <= exc_R_uid248_z_uid57_atanX_uid8_fpArctanPiTest_q; xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_b <= udf_uid259_z_uid57_atanX_uid8_fpArctanPiTest_b; xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_q <= xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_a and xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_b; --xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest(LOGICAL,265)@0 xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_a <= exc_I_uid36_atanX_uid8_fpArctanPiTest_q; xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_b <= xRegAndUdf_uid265_z_uid57_atanX_uid8_fpArctanPiTest_q; xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_q <= xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_a or xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_b; --excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest(BITJOIN,266)@0 excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_q <= exc_N_uid38_atanX_uid8_fpArctanPiTest_q & expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q & xIOrXRUdf_uid266_z_uid57_atanX_uid8_fpArctanPiTest_q; --reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0(REG,378)@0 reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_q <= excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest(LOOKUP,267)@1 outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest: PROCESS (reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_q) BEGIN -- Begin reserved scope level CASE (reg_excSelBits_uid267_z_uid57_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_q) IS WHEN "000" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN "001" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "00"; WHEN "010" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "10"; WHEN "011" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN "100" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "11"; WHEN "101" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN "110" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN "111" => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN OTHERS => outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '-'); END CASE; -- End reserved scope level END PROCESS; --expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest(MUX,269)@1 expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_s <= outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q; expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_s IS WHEN "00" => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q; WHEN "01" => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= expRCalc_uid264_z_uid57_atanX_uid8_fpArctanPiTest_q; WHEN "10" => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q; WHEN "11" => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_inputreg(DELAY,1012) ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_inputreg : dspba_delay GENERIC MAP ( width => 8, depth => 1 ) PORT MAP ( xin => expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q, xout => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt(COUNTER,1014) -- every=1, low=0, high=7, step=1, init=1 ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_i <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_i + 1; END IF; END IF; END PROCESS; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_i,3)); --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg(REG,1015) ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux(MUX,1016) ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_s <= en; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux: PROCESS (ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_s, ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q, ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_q) BEGIN CASE ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_s IS WHEN "0" => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q; WHEN "1" => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdcnt_q; WHEN OTHERS => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem(DUALMEM,1013) ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ia <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_inputreg_q; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_aa <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdreg_q; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ab <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_rdmux_q; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 8, widthad_a => 3, numwords_a => 8, width_b => 8, widthad_b => 3, numwords_b => 8, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0, clock1 => clk, address_b => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_iq, address_a => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_aa, data_a => ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_ia ); ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_reset0 <= areset; ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_q <= ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_iq(7 downto 0); --cstNaNWF_uid20_atanX_uid8_fpArctanPiTest(CONSTANT,19) cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q <= "00000000000000000000001"; --oFracX_uid249_uid249_z_uid57_atanX_uid8_fpArctanPiTest(BITJOIN,248)@0 oFracX_uid249_uid249_z_uid57_atanX_uid8_fpArctanPiTest_q <= VCC_q & fracX_uid16_atanX_uid8_fpArctanPiTest_b; --y_uid251_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,250)@0 y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_in <= oFracX_uid249_uid249_z_uid57_atanX_uid8_fpArctanPiTest_q(22 downto 0); y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b <= y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_in(22 downto 0); --yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,252)@0 yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_in <= y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b; yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_b <= yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_in(22 downto 15); --reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0(REG,379)@0 reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q <= yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --memoryC2_uid346_invTabGen_lutmem(DUALMEM,376)@1 memoryC2_uid346_invTabGen_lutmem_ia <= (others => '0'); memoryC2_uid346_invTabGen_lutmem_aa <= (others => '0'); memoryC2_uid346_invTabGen_lutmem_ab <= reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q; memoryC2_uid346_invTabGen_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 12, widthad_a => 8, numwords_a => 256, width_b => 12, widthad_b => 8, numwords_b => 256, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_atanpi_s5_memoryC2_uid346_invTabGen_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC2_uid346_invTabGen_lutmem_reset0, clock0 => clk, address_b => memoryC2_uid346_invTabGen_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC2_uid346_invTabGen_lutmem_iq, address_a => memoryC2_uid346_invTabGen_lutmem_aa, data_a => memoryC2_uid346_invTabGen_lutmem_ia ); memoryC2_uid346_invTabGen_lutmem_reset0 <= areset; memoryC2_uid346_invTabGen_lutmem_q <= memoryC2_uid346_invTabGen_lutmem_iq(11 downto 0); --reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1(REG,381)@3 reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1_q <= "000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1_q <= memoryC2_uid346_invTabGen_lutmem_q; END IF; END IF; END PROCESS; --ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_inputreg(DELAY,1011) ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_inputreg : dspba_delay GENERIC MAP ( width => 23, depth => 1 ) PORT MAP ( xin => y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b, xout => ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a(DELAY,680)@0 ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 23, depth => 2 ) PORT MAP ( xin => ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_inputreg_q, xout => ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,253)@3 yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_in <= ld_y_uid251_z_uid57_atanX_uid8_fpArctanPiTest_b_to_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_a_q(14 downto 0); yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b <= yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_in(14 downto 0); --yT1_uid347_invPolyEval(BITSELECT,346)@3 yT1_uid347_invPolyEval_in <= yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b; yT1_uid347_invPolyEval_b <= yT1_uid347_invPolyEval_in(14 downto 3); --reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0(REG,380)@3 reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0_q <= "000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0_q <= yT1_uid347_invPolyEval_b; END IF; END IF; END PROCESS; --prodXY_uid366_pT1_uid348_invPolyEval(MULT,365)@4 prodXY_uid366_pT1_uid348_invPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid366_pT1_uid348_invPolyEval_a),13)) * SIGNED(prodXY_uid366_pT1_uid348_invPolyEval_b); prodXY_uid366_pT1_uid348_invPolyEval_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid366_pT1_uid348_invPolyEval_a <= (others => '0'); prodXY_uid366_pT1_uid348_invPolyEval_b <= (others => '0'); prodXY_uid366_pT1_uid348_invPolyEval_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid366_pT1_uid348_invPolyEval_a <= reg_yT1_uid347_invPolyEval_0_to_prodXY_uid366_pT1_uid348_invPolyEval_0_q; prodXY_uid366_pT1_uid348_invPolyEval_b <= reg_memoryC2_uid346_invTabGen_lutmem_0_to_prodXY_uid366_pT1_uid348_invPolyEval_1_q; prodXY_uid366_pT1_uid348_invPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid366_pT1_uid348_invPolyEval_pr,24)); END IF; END IF; END PROCESS; prodXY_uid366_pT1_uid348_invPolyEval: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid366_pT1_uid348_invPolyEval_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid366_pT1_uid348_invPolyEval_q <= prodXY_uid366_pT1_uid348_invPolyEval_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid367_pT1_uid348_invPolyEval(BITSELECT,366)@7 prodXYTruncFR_uid367_pT1_uid348_invPolyEval_in <= prodXY_uid366_pT1_uid348_invPolyEval_q; prodXYTruncFR_uid367_pT1_uid348_invPolyEval_b <= prodXYTruncFR_uid367_pT1_uid348_invPolyEval_in(23 downto 11); --highBBits_uid350_invPolyEval(BITSELECT,349)@7 highBBits_uid350_invPolyEval_in <= prodXYTruncFR_uid367_pT1_uid348_invPolyEval_b; highBBits_uid350_invPolyEval_b <= highBBits_uid350_invPolyEval_in(12 downto 1); --ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid345_invTabGen_lutmem_0_q_to_memoryC1_uid345_invTabGen_lutmem_a(DELAY,804)@1 ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid345_invTabGen_lutmem_0_q_to_memoryC1_uid345_invTabGen_lutmem_a : dspba_delay GENERIC MAP ( width => 8, depth => 3 ) PORT MAP ( xin => reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q, xout => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid345_invTabGen_lutmem_0_q_to_memoryC1_uid345_invTabGen_lutmem_a_q, ena => en(0), clk => clk, aclr => areset ); --memoryC1_uid345_invTabGen_lutmem(DUALMEM,375)@4 memoryC1_uid345_invTabGen_lutmem_ia <= (others => '0'); memoryC1_uid345_invTabGen_lutmem_aa <= (others => '0'); memoryC1_uid345_invTabGen_lutmem_ab <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid345_invTabGen_lutmem_0_q_to_memoryC1_uid345_invTabGen_lutmem_a_q; memoryC1_uid345_invTabGen_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 20, widthad_a => 8, numwords_a => 256, width_b => 20, widthad_b => 8, numwords_b => 256, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_atanpi_s5_memoryC1_uid345_invTabGen_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC1_uid345_invTabGen_lutmem_reset0, clock0 => clk, address_b => memoryC1_uid345_invTabGen_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC1_uid345_invTabGen_lutmem_iq, address_a => memoryC1_uid345_invTabGen_lutmem_aa, data_a => memoryC1_uid345_invTabGen_lutmem_ia ); memoryC1_uid345_invTabGen_lutmem_reset0 <= areset; memoryC1_uid345_invTabGen_lutmem_q <= memoryC1_uid345_invTabGen_lutmem_iq(19 downto 0); --reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0(REG,383)@6 reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0_q <= "00000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0_q <= memoryC1_uid345_invTabGen_lutmem_q; END IF; END IF; END PROCESS; --sumAHighB_uid351_invPolyEval(ADD,350)@7 sumAHighB_uid351_invPolyEval_a <= STD_LOGIC_VECTOR((20 downto 20 => reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0_q(19)) & reg_memoryC1_uid345_invTabGen_lutmem_0_to_sumAHighB_uid351_invPolyEval_0_q); sumAHighB_uid351_invPolyEval_b <= STD_LOGIC_VECTOR((20 downto 12 => highBBits_uid350_invPolyEval_b(11)) & highBBits_uid350_invPolyEval_b); sumAHighB_uid351_invPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid351_invPolyEval_a) + SIGNED(sumAHighB_uid351_invPolyEval_b)); sumAHighB_uid351_invPolyEval_q <= sumAHighB_uid351_invPolyEval_o(20 downto 0); --lowRangeB_uid349_invPolyEval(BITSELECT,348)@7 lowRangeB_uid349_invPolyEval_in <= prodXYTruncFR_uid367_pT1_uid348_invPolyEval_b(0 downto 0); lowRangeB_uid349_invPolyEval_b <= lowRangeB_uid349_invPolyEval_in(0 downto 0); --s1_uid349_uid352_invPolyEval(BITJOIN,351)@7 s1_uid349_uid352_invPolyEval_q <= sumAHighB_uid351_invPolyEval_q & lowRangeB_uid349_invPolyEval_b; --reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1(REG,385)@7 reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1_q <= "0000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1_q <= s1_uid349_uid352_invPolyEval_q; END IF; END IF; END PROCESS; --ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor(LOGICAL,1059) ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_b <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q; ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_q <= not (ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_a or ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_b); --ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg(REG,966) ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg_q <= VCC_q; END IF; END IF; END PROCESS; --ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena(REG,1060) ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_nor_q = "1") THEN ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg_q; END IF; END IF; END PROCESS; --ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd(LOGICAL,1061) ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_a <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_sticky_ena_q; ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_b <= en; ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_q <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_a and ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_b; --ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_inputreg(DELAY,1051) ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_inputreg : dspba_delay GENERIC MAP ( width => 15, depth => 1 ) PORT MAP ( xin => yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b, xout => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt(COUNTER,962) -- every=1, low=0, high=1, step=1, init=1 ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_i <= TO_UNSIGNED(1,1); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_i <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_i + 1; END IF; END IF; END PROCESS; ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_i,1)); --ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg(REG,963) ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux(MUX,964) ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_s <= en; ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux: PROCESS (ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_s, ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q, ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_q) BEGIN CASE ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_s IS WHEN "0" => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q; WHEN "1" => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdcnt_q; WHEN OTHERS => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem(DUALMEM,1052) ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ia <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_inputreg_q; ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_aa <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q; ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ab <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q; ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 15, widthad_a => 1, numwords_a => 2, width_b => 15, widthad_b => 1, numwords_b => 2, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_reset0, clock1 => clk, address_b => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_iq, address_a => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_aa, data_a => ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_ia ); ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_reset0 <= areset; ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_q <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_iq(14 downto 0); --reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0(REG,384)@7 reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_q <= "000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_q <= ld_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_b_to_reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_a_replace_mem_q; END IF; END IF; END PROCESS; --prodXY_uid369_pT2_uid354_invPolyEval(MULT,368)@8 prodXY_uid369_pT2_uid354_invPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid369_pT2_uid354_invPolyEval_a),16)) * SIGNED(prodXY_uid369_pT2_uid354_invPolyEval_b); prodXY_uid369_pT2_uid354_invPolyEval_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid369_pT2_uid354_invPolyEval_a <= (others => '0'); prodXY_uid369_pT2_uid354_invPolyEval_b <= (others => '0'); prodXY_uid369_pT2_uid354_invPolyEval_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid369_pT2_uid354_invPolyEval_a <= reg_yPPolyEval_uid254_z_uid57_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid369_pT2_uid354_invPolyEval_0_q; prodXY_uid369_pT2_uid354_invPolyEval_b <= reg_s1_uid349_uid352_invPolyEval_0_to_prodXY_uid369_pT2_uid354_invPolyEval_1_q; prodXY_uid369_pT2_uid354_invPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid369_pT2_uid354_invPolyEval_pr,37)); END IF; END IF; END PROCESS; prodXY_uid369_pT2_uid354_invPolyEval: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid369_pT2_uid354_invPolyEval_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid369_pT2_uid354_invPolyEval_q <= prodXY_uid369_pT2_uid354_invPolyEval_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid370_pT2_uid354_invPolyEval(BITSELECT,369)@11 prodXYTruncFR_uid370_pT2_uid354_invPolyEval_in <= prodXY_uid369_pT2_uid354_invPolyEval_q; prodXYTruncFR_uid370_pT2_uid354_invPolyEval_b <= prodXYTruncFR_uid370_pT2_uid354_invPolyEval_in(36 downto 14); --highBBits_uid356_invPolyEval(BITSELECT,355)@11 highBBits_uid356_invPolyEval_in <= prodXYTruncFR_uid370_pT2_uid354_invPolyEval_b; highBBits_uid356_invPolyEval_b <= highBBits_uid356_invPolyEval_in(22 downto 2); --ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor(LOGICAL,1048) ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_b <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q; ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_q <= not (ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_a or ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_b); --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_mem_top(CONSTANT,1031) ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_mem_top_q <= "0100"; --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp(LOGICAL,1032) ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_a <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_mem_top_q; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q); ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_q <= "1" when ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_a = ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_b else "0"; --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg(REG,1033) ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmp_q; END IF; END IF; END PROCESS; --ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena(REG,1049) ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_nor_q = "1") THEN ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd(LOGICAL,1050) ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_a <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_sticky_ena_q; ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_b <= en; ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_q <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_a and ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_b; --ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_inputreg(DELAY,1038) ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_inputreg : dspba_delay GENERIC MAP ( width => 8, depth => 1 ) PORT MAP ( xin => reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid346_invTabGen_lutmem_0_q, xout => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt(COUNTER,1027) -- every=1, low=0, high=4, step=1, init=1 ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,3); ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i = 3 THEN ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_eq <= '1'; ELSE ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_eq <= '0'; END IF; IF (ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_eq = '1') THEN ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i - 4; ELSE ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_i,3)); --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg(REG,1028) ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux(MUX,1029) ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_s <= en; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux: PROCESS (ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_s, ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q, ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_q) BEGIN CASE ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_s IS WHEN "0" => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q; WHEN "1" => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdcnt_q; WHEN OTHERS => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem(DUALMEM,1039) ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ia <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_inputreg_q; ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_aa <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q; ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ab <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q; ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 8, widthad_a => 3, numwords_a => 5, width_b => 8, widthad_b => 3, numwords_b => 5, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_reset0, clock1 => clk, address_b => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_iq, address_a => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_aa, data_a => ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_ia ); ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_reset0 <= areset; ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_q <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_iq(7 downto 0); --memoryC0_uid344_invTabGen_lutmem(DUALMEM,374)@8 memoryC0_uid344_invTabGen_lutmem_ia <= (others => '0'); memoryC0_uid344_invTabGen_lutmem_aa <= (others => '0'); memoryC0_uid344_invTabGen_lutmem_ab <= ld_reg_yAddr_uid253_z_uid57_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid344_invTabGen_lutmem_0_q_to_memoryC0_uid344_invTabGen_lutmem_a_replace_mem_q; memoryC0_uid344_invTabGen_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 29, widthad_a => 8, numwords_a => 256, width_b => 29, widthad_b => 8, numwords_b => 256, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_atanpi_s5_memoryC0_uid344_invTabGen_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC0_uid344_invTabGen_lutmem_reset0, clock0 => clk, address_b => memoryC0_uid344_invTabGen_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC0_uid344_invTabGen_lutmem_iq, address_a => memoryC0_uid344_invTabGen_lutmem_aa, data_a => memoryC0_uid344_invTabGen_lutmem_ia ); memoryC0_uid344_invTabGen_lutmem_reset0 <= areset; memoryC0_uid344_invTabGen_lutmem_q <= memoryC0_uid344_invTabGen_lutmem_iq(28 downto 0); --reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0(REG,387)@10 reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0_q <= "00000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0_q <= memoryC0_uid344_invTabGen_lutmem_q; END IF; END IF; END PROCESS; --sumAHighB_uid357_invPolyEval(ADD,356)@11 sumAHighB_uid357_invPolyEval_a <= STD_LOGIC_VECTOR((29 downto 29 => reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0_q(28)) & reg_memoryC0_uid344_invTabGen_lutmem_0_to_sumAHighB_uid357_invPolyEval_0_q); sumAHighB_uid357_invPolyEval_b <= STD_LOGIC_VECTOR((29 downto 21 => highBBits_uid356_invPolyEval_b(20)) & highBBits_uid356_invPolyEval_b); sumAHighB_uid357_invPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid357_invPolyEval_a) + SIGNED(sumAHighB_uid357_invPolyEval_b)); sumAHighB_uid357_invPolyEval_q <= sumAHighB_uid357_invPolyEval_o(29 downto 0); --lowRangeB_uid355_invPolyEval(BITSELECT,354)@11 lowRangeB_uid355_invPolyEval_in <= prodXYTruncFR_uid370_pT2_uid354_invPolyEval_b(1 downto 0); lowRangeB_uid355_invPolyEval_b <= lowRangeB_uid355_invPolyEval_in(1 downto 0); --s2_uid355_uid358_invPolyEval(BITJOIN,357)@11 s2_uid355_uid358_invPolyEval_q <= sumAHighB_uid357_invPolyEval_q & lowRangeB_uid355_invPolyEval_b; --fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,255)@11 fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_in <= s2_uid355_uid358_invPolyEval_q(28 downto 0); fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_b <= fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_in(28 downto 5); --fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest(BITSELECT,261)@11 fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_in <= fxpInverseRes_uid256_z_uid57_atanX_uid8_fpArctanPiTest_b(22 downto 0); fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_b <= fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_in(22 downto 0); --ld_fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q_to_fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_b(DELAY,688)@0 ld_fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q_to_fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 11 ) PORT MAP ( xin => fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q, xout => ld_fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q_to_fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest(MUX,262)@11 fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_s <= ld_fracXIsZero_uid250_z_uid57_atanX_uid8_fpArctanPiTest_q_to_fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_b_q; fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_s IS WHEN "0" => fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q <= fxpInverseResFrac_uid262_z_uid57_atanX_uid8_fpArctanPiTest_b; WHEN "1" => fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1(REG,388)@1 reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q <= outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --ld_reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_b(DELAY,701)@2 ld_reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 2, depth => 10 ) PORT MAP ( xin => reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q, xout => ld_reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest(MUX,268)@12 fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_s <= ld_reg_outMuxSelEnc_uid268_z_uid57_atanX_uid8_fpArctanPiTest_0_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_1_q_to_fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_b_q; fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest: PROCESS (fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_s, en, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q) BEGIN CASE fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_s IS WHEN "00" => fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; WHEN "01" => fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q <= fracRCalc_uid263_z_uid57_atanX_uid8_fpArctanPiTest_q; WHEN "10" => fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; WHEN "11" => fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q <= cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --R_uid273_z_uid57_atanX_uid8_fpArctanPiTest(BITJOIN,272)@12 R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_q <= ld_reg_signR_uid119_atanX_uid8_fpArctanPiTest_0_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_2_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_c_q & ld_expRPostExc_uid270_z_uid57_atanX_uid8_fpArctanPiTest_q_to_R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_b_replace_mem_q & fracRPostExc_uid269_z_uid57_atanX_uid8_fpArctanPiTest_q; --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor(LOGICAL,905) ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_b <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_q <= not (ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_a or ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_b); --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_mem_top(CONSTANT,901) ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_mem_top_q <= "01001"; --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp(LOGICAL,902) ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_a <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_mem_top_q; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q); ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_q <= "1" when ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_a = ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_b else "0"; --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg(REG,903) ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmp_q; END IF; END IF; END PROCESS; --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena(REG,906) ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_nor_q = "1") THEN ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_cmpReg_q; END IF; END IF; END PROCESS; --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd(LOGICAL,907) ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_a <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_sticky_ena_q; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_b <= en; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_a and ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_b; --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_inputreg(DELAY,895) ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_inputreg : dspba_delay GENERIC MAP ( width => 32, depth => 1 ) PORT MAP ( xin => a, xout => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt(COUNTER,897) -- every=1, low=0, high=9, step=1, init=1 ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,4); ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i = 8 THEN ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '1'; ELSE ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq <= '0'; END IF; IF (ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_eq = '1') THEN ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i - 9; ELSE ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_i,4)); --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg(REG,898) ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= "0000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux(MUX,899) ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s <= en; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux: PROCESS (ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s, ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q, ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q) BEGIN CASE ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_s IS WHEN "0" => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; WHEN "1" => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdcnt_q; WHEN OTHERS => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem(DUALMEM,896) ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ia <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_inputreg_q; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_aa <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ab <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 32, widthad_a => 4, numwords_a => 10, width_b => 32, widthad_b => 4, numwords_b => 10, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0, clock1 => clk, address_b => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_iq, address_a => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_aa, data_a => ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_ia ); ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 <= areset; ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_iq(31 downto 0); --path2_uid56_atanX_uid8_fpArctanPiTest(COMPARE,55)@0 path2_uid56_atanX_uid8_fpArctanPiTest_cin <= GND_q; path2_uid56_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("00" & expX_uid15_atanX_uid8_fpArctanPiTest_b) & '0'; path2_uid56_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("00" & cstBias_uid22_atanX_uid8_fpArctanPiTest_q) & path2_uid56_atanX_uid8_fpArctanPiTest_cin(0); path2_uid56_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(path2_uid56_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(path2_uid56_atanX_uid8_fpArctanPiTest_b)); path2_uid56_atanX_uid8_fpArctanPiTest_n(0) <= not path2_uid56_atanX_uid8_fpArctanPiTest_o(10); --reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1(REG,390)@0 reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q <= path2_uid56_atanX_uid8_fpArctanPiTest_n; END IF; END IF; END PROCESS; --ld_reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q_to_u_uid58_atanX_uid8_fpArctanPiTest_b(DELAY,466)@1 ld_reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q_to_u_uid58_atanX_uid8_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 11 ) PORT MAP ( xin => reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q, xout => ld_reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q_to_u_uid58_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --u_uid58_atanX_uid8_fpArctanPiTest(MUX,57)@12 u_uid58_atanX_uid8_fpArctanPiTest_s <= ld_reg_path2_uid56_atanX_uid8_fpArctanPiTest_2_to_u_uid58_atanX_uid8_fpArctanPiTest_1_q_to_u_uid58_atanX_uid8_fpArctanPiTest_b_q; u_uid58_atanX_uid8_fpArctanPiTest: PROCESS (u_uid58_atanX_uid8_fpArctanPiTest_s, en, ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_q, R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_q) BEGIN CASE u_uid58_atanX_uid8_fpArctanPiTest_s IS WHEN "0" => u_uid58_atanX_uid8_fpArctanPiTest_q <= ld_xIn_a_to_u_uid58_atanX_uid8_fpArctanPiTest_c_replace_mem_q; WHEN "1" => u_uid58_atanX_uid8_fpArctanPiTest_q <= R_uid273_z_uid57_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => u_uid58_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --fracU_uid60_atanX_uid8_fpArctanPiTest(BITSELECT,59)@12 fracU_uid60_atanX_uid8_fpArctanPiTest_in <= u_uid58_atanX_uid8_fpArctanPiTest_q(22 downto 0); fracU_uid60_atanX_uid8_fpArctanPiTest_b <= fracU_uid60_atanX_uid8_fpArctanPiTest_in(22 downto 0); --ld_fracU_uid60_atanX_uid8_fpArctanPiTest_b_to_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_a(DELAY,471)@12 ld_fracU_uid60_atanX_uid8_fpArctanPiTest_b_to_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 23, depth => 1 ) PORT MAP ( xin => fracU_uid60_atanX_uid8_fpArctanPiTest_b, xout => ld_fracU_uid60_atanX_uid8_fpArctanPiTest_b_to_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest(BITJOIN,60)@13 oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_q <= VCC_q & ld_fracU_uid60_atanX_uid8_fpArctanPiTest_b_to_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_a_q; --oFracUExt_uid70_atanX_uid8_fpArctanPiTest(BITJOIN,69)@13 oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q <= cst01pWShift_uid69_atanX_uid8_fpArctanPiTest_q & oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_q; --X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,282)@13 X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q(24 downto 0); X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(24 downto 0); --leftShiftStage0Idx3Pad12_uid282_fxpU_uid72_atanX_uid8_fpArctanPiTest(CONSTANT,281) leftShiftStage0Idx3Pad12_uid282_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= "000000000000"; --leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,283)@13 leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= X24dto0_uid283_fxpU_uid72_atanX_uid8_fpArctanPiTest_b & leftShiftStage0Idx3Pad12_uid282_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; --reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5(REG,399)@13 reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5_q <= leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,279)@13 X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q(28 downto 0); X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(28 downto 0); --leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,280)@13 leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= X28dto0_uid280_fxpU_uid72_atanX_uid8_fpArctanPiTest_b & cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q; --reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4(REG,398)@13 reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4_q <= leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,276)@13 X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q(32 downto 0); X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(32 downto 0); --leftShiftStage0Idx1Pad4_uid276_fxpU_uid72_atanX_uid8_fpArctanPiTest(CONSTANT,275) leftShiftStage0Idx1Pad4_uid276_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= "0000"; --leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,277)@13 leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= X32dto0_uid277_fxpU_uid72_atanX_uid8_fpArctanPiTest_b & leftShiftStage0Idx1Pad4_uid276_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; --reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3(REG,397)@13 reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3_q <= leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2(REG,396)@13 reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q <= oFracUExt_uid70_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --zS_uid67_atanX_uid8_fpArctanPiTest(CONSTANT,66) zS_uid67_atanX_uid8_fpArctanPiTest_q <= "000000000"; --shiftBias_uid64_atanX_uid8_fpArctanPiTest(CONSTANT,63) shiftBias_uid64_atanX_uid8_fpArctanPiTest_q <= "01110010"; --expU_uid59_atanX_uid8_fpArctanPiTest(BITSELECT,58)@12 expU_uid59_atanX_uid8_fpArctanPiTest_in <= u_uid58_atanX_uid8_fpArctanPiTest_q(30 downto 0); expU_uid59_atanX_uid8_fpArctanPiTest_b <= expU_uid59_atanX_uid8_fpArctanPiTest_in(30 downto 23); --reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1(REG,391)@12 reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q <= expU_uid59_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --shiftValue_uid65_atanX_uid8_fpArctanPiTest(SUB,64)@13 shiftValue_uid65_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q); shiftValue_uid65_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0" & shiftBias_uid64_atanX_uid8_fpArctanPiTest_q); shiftValue_uid65_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(shiftValue_uid65_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(shiftValue_uid65_atanX_uid8_fpArctanPiTest_b)); shiftValue_uid65_atanX_uid8_fpArctanPiTest_q <= shiftValue_uid65_atanX_uid8_fpArctanPiTest_o(8 downto 0); --ShiftValue8_uid66_atanX_uid8_fpArctanPiTest(BITSELECT,65)@13 ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_in <= shiftValue_uid65_atanX_uid8_fpArctanPiTest_q; ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_b <= ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_in(8 downto 8); --shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest(MUX,67)@13 shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_s <= ShiftValue8_uid66_atanX_uid8_fpArctanPiTest_b; shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest: PROCESS (shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_s, en, shiftValue_uid65_atanX_uid8_fpArctanPiTest_q, zS_uid67_atanX_uid8_fpArctanPiTest_q) BEGIN CASE shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_s IS WHEN "0" => shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_q <= shiftValue_uid65_atanX_uid8_fpArctanPiTest_q; WHEN "1" => shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_q <= zS_uid67_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest(BITSELECT,70)@13 fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_in <= shiftValuePostNeg_uid68_atanX_uid8_fpArctanPiTest_q(3 downto 0); fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_b <= fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_in(3 downto 0); --leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,284)@13 leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_b; leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(3 downto 2); --reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1(REG,395)@13 reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q <= leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest(MUX,285)@14 leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_s <= reg_leftShiftStageSel3Dto2_uid285_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q; leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest: PROCESS (leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_s, en, reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q, reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3_q, reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4_q, reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5_q) BEGIN CASE leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_s IS WHEN "00" => leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= reg_oFracUExt_uid70_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q; WHEN "01" => leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= reg_leftShiftStage0Idx1_uid278_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_3_q; WHEN "10" => leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= reg_leftShiftStage0Idx2_uid281_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_4_q; WHEN "11" => leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= reg_leftShiftStage0Idx3_uid284_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_5_q; WHEN OTHERS => leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,293)@14 LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q(33 downto 0); LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(33 downto 0); --ld_LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_b(DELAY,725)@14 ld_LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 34, depth => 1 ) PORT MAP ( xin => LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b, xout => ld_LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --leftShiftStage1Idx3Pad3_uid293_fxpU_uid72_atanX_uid8_fpArctanPiTest(CONSTANT,292) leftShiftStage1Idx3Pad3_uid293_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= "000"; --leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,294)@15 leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= ld_LeftShiftStage033dto0_uid294_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q & leftShiftStage1Idx3Pad3_uid293_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; --LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,290)@14 LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q(34 downto 0); LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(34 downto 0); --ld_LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_b(DELAY,723)@14 ld_LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 35, depth => 1 ) PORT MAP ( xin => LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b, xout => ld_LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --leftShiftStage1Idx2Pad2_uid290_fxpU_uid72_atanX_uid8_fpArctanPiTest(CONSTANT,289) leftShiftStage1Idx2Pad2_uid290_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= "00"; --leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,291)@15 leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= ld_LeftShiftStage034dto0_uid291_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q & leftShiftStage1Idx2Pad2_uid290_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; --LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,287)@14 LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q(35 downto 0); LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(35 downto 0); --ld_LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_b(DELAY,721)@14 ld_LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 36, depth => 1 ) PORT MAP ( xin => LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b, xout => ld_LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITJOIN,288)@15 leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= ld_LeftShiftStage035dto0_uid288_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_q & GND_q; --reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2(REG,401)@14 reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q <= "0000000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q <= leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest(BITSELECT,295)@13 leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_in <= fxpShifterBits_uid71_atanX_uid8_fpArctanPiTest_b(1 downto 0); leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b <= leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_in(1 downto 0); --ld_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_a(DELAY,829)@13 ld_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_a : dspba_delay GENERIC MAP ( width => 2, depth => 1 ) PORT MAP ( xin => leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b, xout => ld_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset ); --reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1(REG,400)@14 reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q <= ld_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_b_to_reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_a_q; END IF; END IF; END PROCESS; --leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest(MUX,296)@15 leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_s <= reg_leftShiftStageSel1Dto0_uid296_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_1_q; leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest: PROCESS (leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_s, en, reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q, leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_q, leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_q, leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_q) BEGIN CASE leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_s IS WHEN "00" => leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= reg_leftShiftStage0_uid286_fxpU_uid72_atanX_uid8_fpArctanPiTest_0_to_leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_2_q; WHEN "01" => leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= leftShiftStage1Idx1_uid289_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; WHEN "10" => leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= leftShiftStage1Idx2_uid292_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; WHEN "11" => leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= leftShiftStage1Idx3_uid295_fxpU_uid72_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --y_uid73_atanX_uid8_fpArctanPiTest(BITSELECT,72)@15 y_uid73_atanX_uid8_fpArctanPiTest_in <= leftShiftStage1_uid297_fxpU_uid72_atanX_uid8_fpArctanPiTest_q(35 downto 0); y_uid73_atanX_uid8_fpArctanPiTest_b <= y_uid73_atanX_uid8_fpArctanPiTest_in(35 downto 1); --yAddr_uid75_atanX_uid8_fpArctanPiTest(BITSELECT,74)@15 yAddr_uid75_atanX_uid8_fpArctanPiTest_in <= y_uid73_atanX_uid8_fpArctanPiTest_b; yAddr_uid75_atanX_uid8_fpArctanPiTest_b <= yAddr_uid75_atanX_uid8_fpArctanPiTest_in(34 downto 27); --reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0(REG,402)@15 reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0_q <= yAddr_uid75_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --memoryC2_uid301_atanXOXTabGen_lutmem(DUALMEM,373)@16 memoryC2_uid301_atanXOXTabGen_lutmem_ia <= (others => '0'); memoryC2_uid301_atanXOXTabGen_lutmem_aa <= (others => '0'); memoryC2_uid301_atanXOXTabGen_lutmem_ab <= reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC2_uid301_atanXOXTabGen_lutmem_0_q; memoryC2_uid301_atanXOXTabGen_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 13, widthad_a => 8, numwords_a => 256, width_b => 13, widthad_b => 8, numwords_b => 256, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_atanpi_s5_memoryC2_uid301_atanXOXTabGen_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC2_uid301_atanXOXTabGen_lutmem_reset0, clock0 => clk, address_b => memoryC2_uid301_atanXOXTabGen_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC2_uid301_atanXOXTabGen_lutmem_iq, address_a => memoryC2_uid301_atanXOXTabGen_lutmem_aa, data_a => memoryC2_uid301_atanXOXTabGen_lutmem_ia ); memoryC2_uid301_atanXOXTabGen_lutmem_reset0 <= areset; memoryC2_uid301_atanXOXTabGen_lutmem_q <= memoryC2_uid301_atanXOXTabGen_lutmem_iq(12 downto 0); --reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1(REG,404)@18 reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1_q <= "0000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1_q <= memoryC2_uid301_atanXOXTabGen_lutmem_q; END IF; END IF; END PROCESS; --yPPolyEval_uid76_atanX_uid8_fpArctanPiTest(BITSELECT,75)@15 yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_in <= y_uid73_atanX_uid8_fpArctanPiTest_b(26 downto 0); yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_b <= yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_in(26 downto 9); --yT1_uid302_atanXOXPolyEval(BITSELECT,301)@15 yT1_uid302_atanXOXPolyEval_in <= yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_b; yT1_uid302_atanXOXPolyEval_b <= yT1_uid302_atanXOXPolyEval_in(17 downto 5); --ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_inputreg(DELAY,1062) ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_inputreg : dspba_delay GENERIC MAP ( width => 13, depth => 1 ) PORT MAP ( xin => yT1_uid302_atanXOXPolyEval_b, xout => ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a(DELAY,832)@15 ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a : dspba_delay GENERIC MAP ( width => 13, depth => 2 ) PORT MAP ( xin => ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_inputreg_q, xout => ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_q, ena => en(0), clk => clk, aclr => areset ); --reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0(REG,403)@18 reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_q <= "0000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_q <= ld_yT1_uid302_atanXOXPolyEval_b_to_reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_a_q; END IF; END IF; END PROCESS; --prodXY_uid360_pT1_uid303_atanXOXPolyEval(MULT,359)@19 prodXY_uid360_pT1_uid303_atanXOXPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid360_pT1_uid303_atanXOXPolyEval_a),14)) * SIGNED(prodXY_uid360_pT1_uid303_atanXOXPolyEval_b); prodXY_uid360_pT1_uid303_atanXOXPolyEval_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid360_pT1_uid303_atanXOXPolyEval_a <= (others => '0'); prodXY_uid360_pT1_uid303_atanXOXPolyEval_b <= (others => '0'); prodXY_uid360_pT1_uid303_atanXOXPolyEval_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid360_pT1_uid303_atanXOXPolyEval_a <= reg_yT1_uid302_atanXOXPolyEval_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_0_q; prodXY_uid360_pT1_uid303_atanXOXPolyEval_b <= reg_memoryC2_uid301_atanXOXTabGen_lutmem_0_to_prodXY_uid360_pT1_uid303_atanXOXPolyEval_1_q; prodXY_uid360_pT1_uid303_atanXOXPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid360_pT1_uid303_atanXOXPolyEval_pr,26)); END IF; END IF; END PROCESS; prodXY_uid360_pT1_uid303_atanXOXPolyEval: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid360_pT1_uid303_atanXOXPolyEval_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid360_pT1_uid303_atanXOXPolyEval_q <= prodXY_uid360_pT1_uid303_atanXOXPolyEval_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval(BITSELECT,360)@22 prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_in <= prodXY_uid360_pT1_uid303_atanXOXPolyEval_q; prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_b <= prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_in(25 downto 12); --highBBits_uid305_atanXOXPolyEval(BITSELECT,304)@22 highBBits_uid305_atanXOXPolyEval_in <= prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_b; highBBits_uid305_atanXOXPolyEval_b <= highBBits_uid305_atanXOXPolyEval_in(13 downto 1); --ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_a(DELAY,834)@15 ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_a : dspba_delay GENERIC MAP ( width => 8, depth => 3 ) PORT MAP ( xin => yAddr_uid75_atanX_uid8_fpArctanPiTest_b, xout => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_a_q, ena => en(0), clk => clk, aclr => areset ); --reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0(REG,405)@18 reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_q <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_a_q; END IF; END IF; END PROCESS; --memoryC1_uid300_atanXOXTabGen_lutmem(DUALMEM,372)@19 memoryC1_uid300_atanXOXTabGen_lutmem_ia <= (others => '0'); memoryC1_uid300_atanXOXTabGen_lutmem_aa <= (others => '0'); memoryC1_uid300_atanXOXTabGen_lutmem_ab <= reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC1_uid300_atanXOXTabGen_lutmem_0_q; memoryC1_uid300_atanXOXTabGen_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 21, widthad_a => 8, numwords_a => 256, width_b => 21, widthad_b => 8, numwords_b => 256, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_atanpi_s5_memoryC1_uid300_atanXOXTabGen_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC1_uid300_atanXOXTabGen_lutmem_reset0, clock0 => clk, address_b => memoryC1_uid300_atanXOXTabGen_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC1_uid300_atanXOXTabGen_lutmem_iq, address_a => memoryC1_uid300_atanXOXTabGen_lutmem_aa, data_a => memoryC1_uid300_atanXOXTabGen_lutmem_ia ); memoryC1_uid300_atanXOXTabGen_lutmem_reset0 <= areset; memoryC1_uid300_atanXOXTabGen_lutmem_q <= memoryC1_uid300_atanXOXTabGen_lutmem_iq(20 downto 0); --reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0(REG,406)@21 reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0_q <= "000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0_q <= memoryC1_uid300_atanXOXTabGen_lutmem_q; END IF; END IF; END PROCESS; --sumAHighB_uid306_atanXOXPolyEval(ADD,305)@22 sumAHighB_uid306_atanXOXPolyEval_a <= STD_LOGIC_VECTOR((21 downto 21 => reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0_q(20)) & reg_memoryC1_uid300_atanXOXTabGen_lutmem_0_to_sumAHighB_uid306_atanXOXPolyEval_0_q); sumAHighB_uid306_atanXOXPolyEval_b <= STD_LOGIC_VECTOR((21 downto 13 => highBBits_uid305_atanXOXPolyEval_b(12)) & highBBits_uid305_atanXOXPolyEval_b); sumAHighB_uid306_atanXOXPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid306_atanXOXPolyEval_a) + SIGNED(sumAHighB_uid306_atanXOXPolyEval_b)); sumAHighB_uid306_atanXOXPolyEval_q <= sumAHighB_uid306_atanXOXPolyEval_o(21 downto 0); --lowRangeB_uid304_atanXOXPolyEval(BITSELECT,303)@22 lowRangeB_uid304_atanXOXPolyEval_in <= prodXYTruncFR_uid361_pT1_uid303_atanXOXPolyEval_b(0 downto 0); lowRangeB_uid304_atanXOXPolyEval_b <= lowRangeB_uid304_atanXOXPolyEval_in(0 downto 0); --s1_uid304_uid307_atanXOXPolyEval(BITJOIN,306)@22 s1_uid304_uid307_atanXOXPolyEval_q <= sumAHighB_uid306_atanXOXPolyEval_q & lowRangeB_uid304_atanXOXPolyEval_b; --reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1(REG,408)@22 reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1_q <= "00000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1_q <= s1_uid304_uid307_atanXOXPolyEval_q; END IF; END IF; END PROCESS; --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor(LOGICAL,1035) ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_b <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_q <= not (ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_a or ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_b); --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena(REG,1036) ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_nor_q = "1") THEN ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd(LOGICAL,1037) ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_a <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_sticky_ena_q; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_b <= en; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_a and ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_b; --reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0(REG,407)@15 reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q <= "000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q <= yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_inputreg(DELAY,1025) ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_inputreg : dspba_delay GENERIC MAP ( width => 18, depth => 1 ) PORT MAP ( xin => reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q, xout => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem(DUALMEM,1026) ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ia <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_inputreg_q; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_aa <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ab <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 18, widthad_a => 3, numwords_a => 5, width_b => 18, widthad_b => 3, numwords_b => 5, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_reset0, clock1 => clk, address_b => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_iq, address_a => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_aa, data_a => ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_ia ); ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_reset0 <= areset; ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_iq(17 downto 0); --prodXY_uid363_pT2_uid309_atanXOXPolyEval(MULT,362)@23 prodXY_uid363_pT2_uid309_atanXOXPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid363_pT2_uid309_atanXOXPolyEval_a),19)) * SIGNED(prodXY_uid363_pT2_uid309_atanXOXPolyEval_b); prodXY_uid363_pT2_uid309_atanXOXPolyEval_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid363_pT2_uid309_atanXOXPolyEval_a <= (others => '0'); prodXY_uid363_pT2_uid309_atanXOXPolyEval_b <= (others => '0'); prodXY_uid363_pT2_uid309_atanXOXPolyEval_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid363_pT2_uid309_atanXOXPolyEval_a <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_mem_q; prodXY_uid363_pT2_uid309_atanXOXPolyEval_b <= reg_s1_uid304_uid307_atanXOXPolyEval_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_1_q; prodXY_uid363_pT2_uid309_atanXOXPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid363_pT2_uid309_atanXOXPolyEval_pr,41)); END IF; END IF; END PROCESS; prodXY_uid363_pT2_uid309_atanXOXPolyEval: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid363_pT2_uid309_atanXOXPolyEval_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid363_pT2_uid309_atanXOXPolyEval_q <= prodXY_uid363_pT2_uid309_atanXOXPolyEval_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval(BITSELECT,363)@26 prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_in <= prodXY_uid363_pT2_uid309_atanXOXPolyEval_q; prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_b <= prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_in(40 downto 17); --highBBits_uid311_atanXOXPolyEval(BITSELECT,310)@26 highBBits_uid311_atanXOXPolyEval_in <= prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_b; highBBits_uid311_atanXOXPolyEval_b <= highBBits_uid311_atanXOXPolyEval_in(23 downto 2); --ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor(LOGICAL,1073) ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_b <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q; ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_q <= not (ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_a or ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_b); --ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena(REG,1074) ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_nor_q = "1") THEN ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd(LOGICAL,1075) ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_a <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_sticky_ena_q; ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_b <= en; ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_q <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_a and ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_b; --ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_inputreg(DELAY,1063) ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_inputreg : dspba_delay GENERIC MAP ( width => 8, depth => 1 ) PORT MAP ( xin => yAddr_uid75_atanX_uid8_fpArctanPiTest_b, xout => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem(DUALMEM,1064) ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ia <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_inputreg_q; ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_aa <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdreg_q; ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ab <= ld_reg_yPPolyEval_uid76_atanX_uid8_fpArctanPiTest_0_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_0_q_to_prodXY_uid363_pT2_uid309_atanXOXPolyEval_a_replace_rdmux_q; ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 8, widthad_a => 3, numwords_a => 5, width_b => 8, widthad_b => 3, numwords_b => 5, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_reset0, clock1 => clk, address_b => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_iq, address_a => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_aa, data_a => ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_ia ); ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_reset0 <= areset; ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_q <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_iq(7 downto 0); --reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0(REG,409)@22 reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_q <= ld_yAddr_uid75_atanX_uid8_fpArctanPiTest_b_to_reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_a_replace_mem_q; END IF; END IF; END PROCESS; --memoryC0_uid299_atanXOXTabGen_lutmem(DUALMEM,371)@23 memoryC0_uid299_atanXOXTabGen_lutmem_ia <= (others => '0'); memoryC0_uid299_atanXOXTabGen_lutmem_aa <= (others => '0'); memoryC0_uid299_atanXOXTabGen_lutmem_ab <= reg_yAddr_uid75_atanX_uid8_fpArctanPiTest_0_to_memoryC0_uid299_atanXOXTabGen_lutmem_0_q; memoryC0_uid299_atanXOXTabGen_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 31, widthad_a => 8, numwords_a => 256, width_b => 31, widthad_b => 8, numwords_b => 256, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_atanpi_s5_memoryC0_uid299_atanXOXTabGen_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC0_uid299_atanXOXTabGen_lutmem_reset0, clock0 => clk, address_b => memoryC0_uid299_atanXOXTabGen_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC0_uid299_atanXOXTabGen_lutmem_iq, address_a => memoryC0_uid299_atanXOXTabGen_lutmem_aa, data_a => memoryC0_uid299_atanXOXTabGen_lutmem_ia ); memoryC0_uid299_atanXOXTabGen_lutmem_reset0 <= areset; memoryC0_uid299_atanXOXTabGen_lutmem_q <= memoryC0_uid299_atanXOXTabGen_lutmem_iq(30 downto 0); --reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0(REG,410)@25 reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0_q <= "0000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0_q <= memoryC0_uid299_atanXOXTabGen_lutmem_q; END IF; END IF; END PROCESS; --sumAHighB_uid312_atanXOXPolyEval(ADD,311)@26 sumAHighB_uid312_atanXOXPolyEval_a <= STD_LOGIC_VECTOR((31 downto 31 => reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0_q(30)) & reg_memoryC0_uid299_atanXOXTabGen_lutmem_0_to_sumAHighB_uid312_atanXOXPolyEval_0_q); sumAHighB_uid312_atanXOXPolyEval_b <= STD_LOGIC_VECTOR((31 downto 22 => highBBits_uid311_atanXOXPolyEval_b(21)) & highBBits_uid311_atanXOXPolyEval_b); sumAHighB_uid312_atanXOXPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid312_atanXOXPolyEval_a) + SIGNED(sumAHighB_uid312_atanXOXPolyEval_b)); sumAHighB_uid312_atanXOXPolyEval_q <= sumAHighB_uid312_atanXOXPolyEval_o(31 downto 0); --lowRangeB_uid310_atanXOXPolyEval(BITSELECT,309)@26 lowRangeB_uid310_atanXOXPolyEval_in <= prodXYTruncFR_uid364_pT2_uid309_atanXOXPolyEval_b(1 downto 0); lowRangeB_uid310_atanXOXPolyEval_b <= lowRangeB_uid310_atanXOXPolyEval_in(1 downto 0); --s2_uid310_uid313_atanXOXPolyEval(BITJOIN,312)@26 s2_uid310_uid313_atanXOXPolyEval_q <= sumAHighB_uid312_atanXOXPolyEval_q & lowRangeB_uid310_atanXOXPolyEval_b; --fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest(BITSELECT,77)@26 fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_in <= s2_uid310_uid313_atanXOXPolyEval_q(31 downto 0); fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_b <= fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_in(31 downto 5); --reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1(REG,412)@26 reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1_q <= "000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1_q <= fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor(LOGICAL,918) ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_b <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_q <= not (ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_a or ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_b); --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_mem_top(CONSTANT,914) ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_mem_top_q <= "01010"; --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp(LOGICAL,915) ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_a <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_mem_top_q; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q); ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_q <= "1" when ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_a = ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_b else "0"; --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg(REG,916) ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmp_q; END IF; END IF; END PROCESS; --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena(REG,919) ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_nor_q = "1") THEN ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd(LOGICAL,920) ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_a <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_sticky_ena_q; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_b <= en; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_a and ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_b; --reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0(REG,411)@13 reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q <= "000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q <= oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_inputreg(DELAY,908) ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_inputreg : dspba_delay GENERIC MAP ( width => 24, depth => 1 ) PORT MAP ( xin => reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q, xout => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt(COUNTER,910) -- every=1, low=0, high=10, step=1, init=1 ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,4); ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i = 9 THEN ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '1'; ELSE ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '0'; END IF; IF (ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq = '1') THEN ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i - 10; ELSE ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i,4)); --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg(REG,911) ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= "0000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux(MUX,912) ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s <= en; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux: PROCESS (ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s, ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q, ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q) BEGIN CASE ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s IS WHEN "0" => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q; WHEN "1" => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q; WHEN OTHERS => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem(DUALMEM,909) ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ia <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_inputreg_q; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_aa <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ab <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 24, widthad_a => 4, numwords_a => 11, width_b => 24, widthad_b => 4, numwords_b => 11, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0, clock1 => clk, address_b => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_iq, address_a => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_aa, data_a => ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_ia ); ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 <= areset; ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_q <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_iq(23 downto 0); --mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest(MULT,78)@27 mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_pr <= UNSIGNED(mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a) * UNSIGNED(mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_b); mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a <= (others => '0'); mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_b <= (others => '0'); mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a <= ld_reg_oFracU_uid61_uid61_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_0_q_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_a_replace_mem_q; mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_b <= reg_fxpAtanXOXRes_uid78_atanX_uid8_fpArctanPiTest_0_to_mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_1_q; mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_s1 <= STD_LOGIC_VECTOR(mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_pr); END IF; END IF; END PROCESS; mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q <= mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_s1; END IF; END IF; END PROCESS; --normBit_uid80_atanX_uid8_fpArctanPiTest(BITSELECT,79)@30 normBit_uid80_atanX_uid8_fpArctanPiTest_in <= mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q(49 downto 0); normBit_uid80_atanX_uid8_fpArctanPiTest_b <= normBit_uid80_atanX_uid8_fpArctanPiTest_in(49 downto 49); --InvNormBit_uid84_atanX_uid8_fpArctanPiTest(LOGICAL,83)@30 InvNormBit_uid84_atanX_uid8_fpArctanPiTest_a <= normBit_uid80_atanX_uid8_fpArctanPiTest_b; InvNormBit_uid84_atanX_uid8_fpArctanPiTest_q <= not InvNormBit_uid84_atanX_uid8_fpArctanPiTest_a; --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor(LOGICAL,931) ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_b <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_q <= not (ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_a or ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_b); --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_mem_top(CONSTANT,927) ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_mem_top_q <= "01111"; --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp(LOGICAL,928) ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_a <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_mem_top_q; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q); ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_q <= "1" when ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_a = ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_b else "0"; --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg(REG,929) ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmp_q; END IF; END IF; END PROCESS; --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena(REG,932) ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_nor_q = "1") THEN ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd(LOGICAL,933) ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_a <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_sticky_ena_q; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_b <= en; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_a and ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_b; --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_inputreg(DELAY,921) ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_inputreg : dspba_delay GENERIC MAP ( width => 8, depth => 1 ) PORT MAP ( xin => expU_uid59_atanX_uid8_fpArctanPiTest_b, xout => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt(COUNTER,923) -- every=1, low=0, high=15, step=1, init=1 ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,4); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i + 1; END IF; END IF; END PROCESS; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i,4)); --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg(REG,924) ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= "0000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux(MUX,925) ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s <= en; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux: PROCESS (ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s, ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q, ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q) BEGIN CASE ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s IS WHEN "0" => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q; WHEN "1" => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q; WHEN OTHERS => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem(DUALMEM,922) ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ia <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_inputreg_q; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_aa <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ab <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 8, widthad_a => 4, numwords_a => 16, width_b => 8, widthad_b => 4, numwords_b => 16, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0, clock1 => clk, address_b => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_iq, address_a => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_aa, data_a => ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_ia ); ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 <= areset; ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_q <= ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_iq(7 downto 0); --expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest(SUB,84)@30 expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & ld_expU_uid59_atanX_uid8_fpArctanPiTest_b_to_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a_replace_mem_q); expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("00000000" & InvNormBit_uid84_atanX_uid8_fpArctanPiTest_q); expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_b)); expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_q <= expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_o(8 downto 0); --fracRPath3High_uid81_atanX_uid8_fpArctanPiTest(BITSELECT,80)@30 fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_in <= mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q(48 downto 0); fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_b <= fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_in(48 downto 25); --fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest(BITSELECT,81)@30 fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_in <= mulXAtanXOXRes_uid79_atanX_uid8_fpArctanPiTest_q(47 downto 0); fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_b <= fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_in(47 downto 24); --fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest(MUX,82)@30 fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_s <= normBit_uid80_atanX_uid8_fpArctanPiTest_b; fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest: PROCESS (fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_s, en, fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_b, fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_b) BEGIN CASE fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_s IS WHEN "0" => fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q <= fracRPath3Low_uid82_atanX_uid8_fpArctanPiTest_b; WHEN "1" => fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q <= fracRPath3High_uid81_atanX_uid8_fpArctanPiTest_b; WHEN OTHERS => fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest(BITJOIN,85)@30 expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_q <= expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_q & fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q; --reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0(REG,420)@30 reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0_q <= "000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0_q <= expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest(ADD,86)@31 expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & reg_expFracPreRnd_uid86_atanX_uid8_fpArctanPiTest_0_to_expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_0_q); expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("000000000000000000000000000000000" & VCC_q); expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_a) + UNSIGNED(expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_b)); expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_q <= expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_o(33 downto 0); --expRPath3_uid89_atanX_uid8_fpArctanPiTest(BITSELECT,88)@31 expRPath3_uid89_atanX_uid8_fpArctanPiTest_in <= expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_q(31 downto 0); expRPath3_uid89_atanX_uid8_fpArctanPiTest_b <= expRPath3_uid89_atanX_uid8_fpArctanPiTest_in(31 downto 24); --reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4(REG,427)@31 reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q <= expRPath3_uid89_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_inputreg(DELAY,971) ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_inputreg : dspba_delay GENERIC MAP ( width => 8, depth => 1 ) PORT MAP ( xin => reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q, xout => ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e(DELAY,534)@32 ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e : dspba_delay GENERIC MAP ( width => 8, depth => 3 ) PORT MAP ( xin => ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_inputreg_q, xout => ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_q, ena => en(0), clk => clk, aclr => areset ); --RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,337)@33 RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 1); --rightShiftStage2Idx1_uid340_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,339)@33 rightShiftStage2Idx1_uid340_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= GND_q & RightShiftStage124dto1_uid338_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b; --rightShiftStage1Idx3Pad6_uid334_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(CONSTANT,333) rightShiftStage1Idx3Pad6_uid334_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= "000000"; --rightShiftStage0Idx3Pad24_uid323_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(CONSTANT,322) rightShiftStage0Idx3Pad24_uid323_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= "000000000000000000000000"; --X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,321)@32 X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q; X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 24); --rightShiftStage0Idx3_uid324_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,323)@32 rightShiftStage0Idx3_uid324_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage0Idx3Pad24_uid323_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q & X24dto24_uid322_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b; --rightShiftStage0Idx2Pad16_uid320_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(CONSTANT,319) rightShiftStage0Idx2Pad16_uid320_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= "0000000000000000"; --X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,318)@32 X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q; X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 16); --rightShiftStage0Idx2_uid321_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,320)@32 rightShiftStage0Idx2_uid321_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage0Idx2Pad16_uid320_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q & X24dto16_uid319_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b; --X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,315)@32 X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q; X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 8); --rightShiftStage0Idx1_uid318_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,317)@32 rightShiftStage0Idx1_uid318_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q & X24dto8_uid316_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b; --ld_fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q_to_oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_a(DELAY,504)@30 ld_fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q_to_oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 24, depth => 2 ) PORT MAP ( xin => fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q, xout => ld_fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q_to_oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest(BITJOIN,93)@32 oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q <= VCC_q & ld_fracRPath3Pre_uid83_atanX_uid8_fpArctanPiTest_q_to_oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_a_q; --cstWFP2_uid25_atanX_uid8_fpArctanPiTest(CONSTANT,24) cstWFP2_uid25_atanX_uid8_fpArctanPiTest_q <= "00011001"; --reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1(REG,413)@30 reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1_q <= "000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1_q <= expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest(SUB,89)@31 shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR('0' & "00" & cstBias_uid22_atanX_uid8_fpArctanPiTest_q); shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR((10 downto 9 => reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1_q(8)) & reg_expRPath3Ext_uid85_atanX_uid8_fpArctanPiTest_0_to_shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_1_q); shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(SIGNED(shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_a) - SIGNED(shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_b)); shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_q <= shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_o(9 downto 0); --shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest(BITSELECT,91)@31 shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_in <= shiftValPath2PreSub_uid90_atanX_uid8_fpArctanPiTest_q(7 downto 0); shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_b <= shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_in(7 downto 0); --cstBiasMWF_uid24_atanX_uid8_fpArctanPiTest(CONSTANT,23) cstBiasMWF_uid24_atanX_uid8_fpArctanPiTest_q <= "01101000"; --shiftOut_uid91_atanX_uid8_fpArctanPiTest(COMPARE,90)@13 shiftOut_uid91_atanX_uid8_fpArctanPiTest_cin <= GND_q; shiftOut_uid91_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("00" & reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q) & '0'; shiftOut_uid91_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("00" & cstBiasMWF_uid24_atanX_uid8_fpArctanPiTest_q) & shiftOut_uid91_atanX_uid8_fpArctanPiTest_cin(0); shiftOut_uid91_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(shiftOut_uid91_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(shiftOut_uid91_atanX_uid8_fpArctanPiTest_b)); shiftOut_uid91_atanX_uid8_fpArctanPiTest_c(0) <= shiftOut_uid91_atanX_uid8_fpArctanPiTest_o(10); --ld_shiftOut_uid91_atanX_uid8_fpArctanPiTest_c_to_sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_b(DELAY,502)@13 ld_shiftOut_uid91_atanX_uid8_fpArctanPiTest_c_to_sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 18 ) PORT MAP ( xin => shiftOut_uid91_atanX_uid8_fpArctanPiTest_c, xout => ld_shiftOut_uid91_atanX_uid8_fpArctanPiTest_c_to_sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --sValPostSOut_uid93_atanX_uid8_fpArctanPiTest(MUX,92)@31 sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_s <= ld_shiftOut_uid91_atanX_uid8_fpArctanPiTest_c_to_sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_b_q; sValPostSOut_uid93_atanX_uid8_fpArctanPiTest: PROCESS (sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_s, en, shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_b, cstWFP2_uid25_atanX_uid8_fpArctanPiTest_q) BEGIN CASE sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_s IS WHEN "0" => sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_q <= shiftValPath2PreSubR_uid92_atanX_uid8_fpArctanPiTest_b; WHEN "1" => sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_q <= cstWFP2_uid25_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest(BITSELECT,94)@31 sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_in <= sValPostSOut_uid93_atanX_uid8_fpArctanPiTest_q(4 downto 0); sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_b <= sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_in(4 downto 0); --rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,324)@31 rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_b; rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(4 downto 3); --reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1(REG,414)@31 reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(MUX,325)@32 rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s <= reg_rightShiftStageSel4Dto3_uid325_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q; rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest: PROCESS (rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s, en, oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q, rightShiftStage0Idx1_uid318_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q, rightShiftStage0Idx2_uid321_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q, rightShiftStage0Idx3_uid324_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q) BEGIN CASE rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s IS WHEN "00" => rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= oFracRPath2_uid94_uid94_atanX_uid8_fpArctanPiTest_q; WHEN "01" => rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage0Idx1_uid318_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; WHEN "10" => rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage0Idx2_uid321_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; WHEN "11" => rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage0Idx3_uid324_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,332)@32 RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 6); --ld_RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a(DELAY,762)@32 ld_RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 19, depth => 1 ) PORT MAP ( xin => RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b, xout => ld_RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,334)@33 rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage1Idx3Pad6_uid334_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q & ld_RightShiftStage024dto6_uid333_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q; --RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,329)@32 RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 4); --ld_RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a(DELAY,760)@32 ld_RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 21, depth => 1 ) PORT MAP ( xin => RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b, xout => ld_RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,331)@33 rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= leftShiftStage0Idx1Pad4_uid276_fxpU_uid72_atanX_uid8_fpArctanPiTest_q & ld_RightShiftStage024dto4_uid330_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q; --RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,326)@32 RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(24 downto 2); --ld_RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a(DELAY,758)@32 ld_RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 23, depth => 1 ) PORT MAP ( xin => RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b, xout => ld_RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITJOIN,328)@33 rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= leftShiftStage1Idx2Pad2_uid290_fxpU_uid72_atanX_uid8_fpArctanPiTest_q & ld_RightShiftStage024dto2_uid327_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_a_q; --reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2(REG,416)@32 reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2_q <= "0000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2_q <= rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,335)@31 rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_b(2 downto 0); rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(2 downto 1); --ld_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_a(DELAY,844)@31 ld_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_a : dspba_delay GENERIC MAP ( width => 2, depth => 1 ) PORT MAP ( xin => rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b, xout => ld_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset ); --reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1(REG,415)@32 reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= "00"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= ld_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_to_reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_a_q; END IF; END IF; END PROCESS; --rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(MUX,336)@33 rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s <= reg_rightShiftStageSel2Dto1_uid336_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q; rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest: PROCESS (rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s, en, reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2_q, rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q, rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q, rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q) BEGIN CASE rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s IS WHEN "00" => rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= reg_rightShiftStage0_uid326_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_2_q; WHEN "01" => rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage1Idx1_uid329_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; WHEN "10" => rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage1Idx2_uid332_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; WHEN "11" => rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage1Idx3_uid335_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(BITSELECT,340)@31 rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in <= sValPostSOutR_uid95_atanX_uid8_fpArctanPiTest_b(0 downto 0); rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b <= rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_in(0 downto 0); --reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1(REG,417)@31 reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q <= rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b(DELAY,772)@32 ld_reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q, xout => ld_reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest(MUX,341)@33 rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s <= ld_reg_rightShiftStageSel0Dto0_uid341_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_0_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_1_q_to_rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_b_q; rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest: PROCESS (rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s, en, rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q, rightShiftStage2Idx1_uid340_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q) BEGIN CASE rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_s IS WHEN "0" => rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage1_uid337_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; WHEN "1" => rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= rightShiftStage2Idx1_uid340_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest(BITJOIN,96)@33 pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_q <= rightShiftStage2_uid342_fxpOp2Path2_uid96_atanX_uid8_fpArctanPiTest_q & GND_q; --reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1(REG,418)@33 reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1_q <= "00000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1_q <= pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --path2Diff_uid97_atanX_uid8_fpArctanPiTest(SUB,97)@34 path2Diff_uid97_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & piO2_uid46_atanX_uid8_fpArctanPiTest_q); path2Diff_uid97_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0" & reg_pad_fxpOp2Path2_uid96_uid97_atanX_uid8_fpArctanPiTest_0_to_path2Diff_uid97_atanX_uid8_fpArctanPiTest_1_q); path2Diff_uid97_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(path2Diff_uid97_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(path2Diff_uid97_atanX_uid8_fpArctanPiTest_b)); path2Diff_uid97_atanX_uid8_fpArctanPiTest_q <= path2Diff_uid97_atanX_uid8_fpArctanPiTest_o(26 downto 0); --normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest(BITSELECT,98)@34 normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_in <= path2Diff_uid97_atanX_uid8_fpArctanPiTest_q(25 downto 0); normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_b <= normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_in(25 downto 25); --expRPath2_uid103_atanX_uid8_fpArctanPiTest(MUX,102)@34 expRPath2_uid103_atanX_uid8_fpArctanPiTest_s <= normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_b; expRPath2_uid103_atanX_uid8_fpArctanPiTest: PROCESS (expRPath2_uid103_atanX_uid8_fpArctanPiTest_s, en, cstBiasM1_uid23_atanX_uid8_fpArctanPiTest_q, cstBias_uid22_atanX_uid8_fpArctanPiTest_q) BEGIN CASE expRPath2_uid103_atanX_uid8_fpArctanPiTest_s IS WHEN "0" => expRPath2_uid103_atanX_uid8_fpArctanPiTest_q <= cstBiasM1_uid23_atanX_uid8_fpArctanPiTest_q; WHEN "1" => expRPath2_uid103_atanX_uid8_fpArctanPiTest_q <= cstBias_uid22_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => expRPath2_uid103_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest(BITSELECT,99)@34 path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_in <= path2Diff_uid97_atanX_uid8_fpArctanPiTest_q(24 downto 0); path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_b <= path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_in(24 downto 1); --path2DiffLow_uid101_atanX_uid8_fpArctanPiTest(BITSELECT,100)@34 path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_in <= path2Diff_uid97_atanX_uid8_fpArctanPiTest_q(23 downto 0); path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_b <= path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_in(23 downto 0); --fracRPath2_uid102_atanX_uid8_fpArctanPiTest(MUX,101)@34 fracRPath2_uid102_atanX_uid8_fpArctanPiTest_s <= normBitPath2Diff_uid99_atanX_uid8_fpArctanPiTest_b; fracRPath2_uid102_atanX_uid8_fpArctanPiTest: PROCESS (fracRPath2_uid102_atanX_uid8_fpArctanPiTest_s, en, path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_b, path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_b) BEGIN CASE fracRPath2_uid102_atanX_uid8_fpArctanPiTest_s IS WHEN "0" => fracRPath2_uid102_atanX_uid8_fpArctanPiTest_q <= path2DiffLow_uid101_atanX_uid8_fpArctanPiTest_b; WHEN "1" => fracRPath2_uid102_atanX_uid8_fpArctanPiTest_q <= path2DiffHigh_uid100_atanX_uid8_fpArctanPiTest_b; WHEN OTHERS => fracRPath2_uid102_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest(BITJOIN,103)@34 expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_q <= expRPath2_uid103_atanX_uid8_fpArctanPiTest_q & fracRPath2_uid102_atanX_uid8_fpArctanPiTest_q; --reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0(REG,419)@34 reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0_q <= "00000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0_q <= expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest(ADD,104)@35 expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & reg_expFracConc_uid104_uid104_atanX_uid8_fpArctanPiTest_0_to_expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_0_q); expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("00000000000000000000000000000000" & VCC_q); expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_a) + UNSIGNED(expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_b)); expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_q <= expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_o(32 downto 0); --expRPath2_uid107_atanX_uid8_fpArctanPiTest(BITSELECT,106)@35 expRPath2_uid107_atanX_uid8_fpArctanPiTest_in <= expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_q(31 downto 0); expRPath2_uid107_atanX_uid8_fpArctanPiTest_b <= expRPath2_uid107_atanX_uid8_fpArctanPiTest_in(31 downto 24); --reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3(REG,426)@35 reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3_q <= expRPath2_uid107_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor(LOGICAL,1086) ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_b <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q; ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_q <= not (ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_a or ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_b); --ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena(REG,1087) ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_nor_q = "1") THEN ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q; END IF; END IF; END PROCESS; --ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd(LOGICAL,1088) ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_a <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_sticky_ena_q; ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_b <= en; ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_q <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_a and ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_b; --ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_inputreg(DELAY,1076) ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_inputreg : dspba_delay GENERIC MAP ( width => 8, depth => 1 ) PORT MAP ( xin => expX_uid15_atanX_uid8_fpArctanPiTest_b, xout => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem(DUALMEM,1077) ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ia <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_inputreg_q; ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q; ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 8, widthad_a => 6, numwords_a => 33, width_b => 8, widthad_b => 6, numwords_b => 33, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_reset0, clock1 => clk, address_b => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_iq, address_a => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_aa, data_a => ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_ia ); ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_reset0 <= areset; ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_q <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_iq(7 downto 0); --reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2(REG,425)@35 reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_q <= ld_expX_uid15_atanX_uid8_fpArctanPiTest_b_to_reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_a_replace_mem_q; END IF; END IF; END PROCESS; --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor(LOGICAL,944) ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_b <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_q <= not (ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_a or ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_b); --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_mem_top(CONSTANT,940) ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_mem_top_q <= "010010"; --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp(LOGICAL,941) ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_a <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_mem_top_q; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q); ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_q <= "1" when ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_a = ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_b else "0"; --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg(REG,942) ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmp_q; END IF; END IF; END PROCESS; --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena(REG,945) ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_nor_q = "1") THEN ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd(LOGICAL,946) ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_a <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_sticky_ena_q; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_b <= en; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_a and ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_b; --expXIsBias_uid44_atanX_uid8_fpArctanPiTest(LOGICAL,43)@0 expXIsBias_uid44_atanX_uid8_fpArctanPiTest_a <= expX_uid15_atanX_uid8_fpArctanPiTest_b; expXIsBias_uid44_atanX_uid8_fpArctanPiTest_b <= cstBias_uid22_atanX_uid8_fpArctanPiTest_q; expXIsBias_uid44_atanX_uid8_fpArctanPiTest_q <= "1" when expXIsBias_uid44_atanX_uid8_fpArctanPiTest_a = expXIsBias_uid44_atanX_uid8_fpArctanPiTest_b else "0"; --inIsOne_uid45_atanX_uid8_fpArctanPiTest(LOGICAL,44)@0 inIsOne_uid45_atanX_uid8_fpArctanPiTest_a <= fracXIsZero_uid35_atanX_uid8_fpArctanPiTest_q; inIsOne_uid45_atanX_uid8_fpArctanPiTest_b <= expXIsBias_uid44_atanX_uid8_fpArctanPiTest_q; inIsOne_uid45_atanX_uid8_fpArctanPiTest_q <= inIsOne_uid45_atanX_uid8_fpArctanPiTest_a and inIsOne_uid45_atanX_uid8_fpArctanPiTest_b; --arctanIsConst_uid55_atanX_uid8_fpArctanPiTest(LOGICAL,54)@0 arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_a <= exc_I_uid36_atanX_uid8_fpArctanPiTest_q; arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_b <= inIsOne_uid45_atanX_uid8_fpArctanPiTest_q; arctanIsConst_uid55_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q <= (others => '0'); ELSIF rising_edge(clk) THEN IF (en = "1") THEN arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q <= arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_a or arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_c(DELAY,522)@1 ld_arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 12 ) PORT MAP ( xin => arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q, xout => ld_arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --biasMwShift_uid62_atanX_uid8_fpArctanPiTest(CONSTANT,61) biasMwShift_uid62_atanX_uid8_fpArctanPiTest_q <= "01110011"; --atanUIsU_uid63_atanX_uid8_fpArctanPiTest(COMPARE,62)@13 atanUIsU_uid63_atanX_uid8_fpArctanPiTest_cin <= GND_q; atanUIsU_uid63_atanX_uid8_fpArctanPiTest_a <= STD_LOGIC_VECTOR("00" & biasMwShift_uid62_atanX_uid8_fpArctanPiTest_q) & '0'; atanUIsU_uid63_atanX_uid8_fpArctanPiTest_b <= STD_LOGIC_VECTOR("00" & reg_expU_uid59_atanX_uid8_fpArctanPiTest_0_to_atanUIsU_uid63_atanX_uid8_fpArctanPiTest_1_q) & atanUIsU_uid63_atanX_uid8_fpArctanPiTest_cin(0); atanUIsU_uid63_atanX_uid8_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(atanUIsU_uid63_atanX_uid8_fpArctanPiTest_a) - UNSIGNED(atanUIsU_uid63_atanX_uid8_fpArctanPiTest_b)); atanUIsU_uid63_atanX_uid8_fpArctanPiTest_n(0) <= not atanUIsU_uid63_atanX_uid8_fpArctanPiTest_o(10); --ld_path2_uid56_atanX_uid8_fpArctanPiTest_n_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_a(DELAY,520)@0 ld_path2_uid56_atanX_uid8_fpArctanPiTest_n_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 13 ) PORT MAP ( xin => path2_uid56_atanX_uid8_fpArctanPiTest_n, xout => ld_path2_uid56_atanX_uid8_fpArctanPiTest_n_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --pathSelBits_uid108_atanX_uid8_fpArctanPiTest(BITJOIN,107)@13 pathSelBits_uid108_atanX_uid8_fpArctanPiTest_q <= ld_arctanIsConst_uid55_atanX_uid8_fpArctanPiTest_q_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_c_q & atanUIsU_uid63_atanX_uid8_fpArctanPiTest_n & ld_path2_uid56_atanX_uid8_fpArctanPiTest_n_to_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_a_q; --reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0(REG,392)@13 reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q <= pathSelBits_uid108_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_inputreg(DELAY,934) ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_inputreg : dspba_delay GENERIC MAP ( width => 3, depth => 1 ) PORT MAP ( xin => reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q, xout => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt(COUNTER,936) -- every=1, low=0, high=18, step=1, init=1 ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,5); ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i = 17 THEN ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '1'; ELSE ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq <= '0'; END IF; IF (ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_eq = '1') THEN ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i - 18; ELSE ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_i,5)); --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg(REG,937) ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= "00000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux(MUX,938) ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s <= en; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux: PROCESS (ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s, ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q, ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q) BEGIN CASE ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_s IS WHEN "0" => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q; WHEN "1" => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdcnt_q; WHEN OTHERS => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem(DUALMEM,935) ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ia <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_inputreg_q; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_aa <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdreg_q; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ab <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_rdmux_q; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 3, widthad_a => 5, numwords_a => 19, width_b => 3, widthad_b => 5, numwords_b => 19, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0, clock1 => clk, address_b => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_iq, address_a => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_aa, data_a => ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_ia ); ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 <= areset; ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_q <= ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_iq(2 downto 0); --fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest(LOOKUP,108)@35 fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "10"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN CASE (ld_reg_pathSelBits_uid108_atanX_uid8_fpArctanPiTest_0_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_0_q_to_fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_a_replace_mem_q) IS WHEN "000" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "10"; WHEN "001" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN "010" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "00"; WHEN "011" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN "100" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "11"; WHEN "101" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "11"; WHEN "110" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "11"; WHEN "111" => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= "11"; WHEN OTHERS => fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q <= (others => '-'); END CASE; END IF; END IF; END PROCESS; --expRCalc_uid113_atanX_uid8_fpArctanPiTest(MUX,112)@36 expRCalc_uid113_atanX_uid8_fpArctanPiTest_s <= fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q; expRCalc_uid113_atanX_uid8_fpArctanPiTest: PROCESS (expRCalc_uid113_atanX_uid8_fpArctanPiTest_s, en, reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_q, reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3_q, ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_q, reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5_q) BEGIN CASE expRCalc_uid113_atanX_uid8_fpArctanPiTest_s IS WHEN "00" => expRCalc_uid113_atanX_uid8_fpArctanPiTest_q <= reg_expX_uid15_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_2_q; WHEN "01" => expRCalc_uid113_atanX_uid8_fpArctanPiTest_q <= reg_expRPath2_uid107_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_3_q; WHEN "10" => expRCalc_uid113_atanX_uid8_fpArctanPiTest_q <= ld_reg_expRPath3_uid89_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_4_q_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_e_q; WHEN "11" => expRCalc_uid113_atanX_uid8_fpArctanPiTest_q <= reg_expOutCst_uid112_atanX_uid8_fpArctanPiTest_0_to_expRCalc_uid113_atanX_uid8_fpArctanPiTest_5_q; WHEN OTHERS => expRCalc_uid113_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --cstAllZWE_uid21_atanX_uid8_fpArctanPiTest(CONSTANT,20) cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q <= "00000000"; --ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor(LOGICAL,995) ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_b <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q; ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_q <= not (ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_a or ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_b); --ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena(REG,996) ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_nor_q = "1") THEN ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q; END IF; END IF; END PROCESS; --ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd(LOGICAL,997) ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_a <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_sticky_ena_q; ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_b <= en; ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_q <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_a and ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_b; --ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_inputreg(DELAY,985) ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_inputreg : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => exc_N_uid38_atanX_uid8_fpArctanPiTest_q, xout => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem(DUALMEM,986) ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ia <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_inputreg_q; ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q; ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 1, widthad_a => 6, numwords_a => 33, width_b => 1, widthad_b => 6, numwords_b => 33, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0, clock1 => clk, address_b => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_iq, address_a => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_aa, data_a => ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_ia ); ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 <= areset; ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_q <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_iq(0 downto 0); --ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor(LOGICAL,982) ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_b <= ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q; ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_q <= not (ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_a or ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_b); --ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena(REG,983) ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_nor_q = "1") THEN ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q; END IF; END IF; END PROCESS; --ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd(LOGICAL,984) ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_a <= ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_sticky_ena_q; ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_b <= en; ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_q <= ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_a and ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_b; --ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_inputreg(DELAY,972) ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_inputreg : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q, xout => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem(DUALMEM,973) ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ia <= ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_inputreg_q; ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q; ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 1, widthad_a => 6, numwords_a => 33, width_b => 1, widthad_b => 6, numwords_b => 33, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0, clock1 => clk, address_b => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_iq, address_a => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_aa, data_a => ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_ia ); ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_reset0 <= areset; ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_q <= ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_iq(0 downto 0); --excSelBits_uid114_atanX_uid8_fpArctanPiTest(BITJOIN,113)@35 excSelBits_uid114_atanX_uid8_fpArctanPiTest_q <= ld_exc_N_uid38_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_c_replace_mem_q & GND_q & ld_expXIsZero_uid31_atanX_uid8_fpArctanPiTest_q_to_excSelBits_uid114_atanX_uid8_fpArctanPiTest_a_replace_mem_q; --reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0(REG,377)@35 reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0_q <= excSelBits_uid114_atanX_uid8_fpArctanPiTest_q; END IF; END IF; END PROCESS; --outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest(LOOKUP,114)@36 outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest: PROCESS (reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0_q) BEGIN -- Begin reserved scope level CASE (reg_excSelBits_uid114_atanX_uid8_fpArctanPiTest_0_to_outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_0_q) IS WHEN "000" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN "001" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "00"; WHEN "010" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "10"; WHEN "011" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN "100" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "11"; WHEN "101" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN "110" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN "111" => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= "01"; WHEN OTHERS => outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q <= (others => '-'); END CASE; -- End reserved scope level END PROCESS; --expRPostExc_uid117_atanX_uid8_fpArctanPiTest(MUX,116)@36 expRPostExc_uid117_atanX_uid8_fpArctanPiTest_s <= outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q; expRPostExc_uid117_atanX_uid8_fpArctanPiTest: PROCESS (expRPostExc_uid117_atanX_uid8_fpArctanPiTest_s, en, cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q, expRCalc_uid113_atanX_uid8_fpArctanPiTest_q, cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q, cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q) BEGIN CASE expRPostExc_uid117_atanX_uid8_fpArctanPiTest_s IS WHEN "00" => expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q; WHEN "01" => expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q <= expRCalc_uid113_atanX_uid8_fpArctanPiTest_q; WHEN "10" => expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q; WHEN "11" => expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --fracOutCst_uid110_atanX_uid8_fpArctanPiTest(BITSELECT,109)@35 fracOutCst_uid110_atanX_uid8_fpArctanPiTest_in <= constOut_uid54_atanX_uid8_fpArctanPiTest_q(22 downto 0); fracOutCst_uid110_atanX_uid8_fpArctanPiTest_b <= fracOutCst_uid110_atanX_uid8_fpArctanPiTest_in(22 downto 0); --reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5(REG,424)@35 reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5_q <= "00000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5_q <= fracOutCst_uid110_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor(LOGICAL,968) ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_b <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q; ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_q <= not (ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_a or ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_b); --ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena(REG,969) ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_nor_q = "1") THEN ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd(LOGICAL,970) ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_a <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_sticky_ena_q; ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_b <= en; ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_a and ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_b; --fracRPath3_uid88_atanX_uid8_fpArctanPiTest(BITSELECT,87)@31 fracRPath3_uid88_atanX_uid8_fpArctanPiTest_in <= expfracRPath3PostRnd_uid87_atanX_uid8_fpArctanPiTest_q(23 downto 0); fracRPath3_uid88_atanX_uid8_fpArctanPiTest_b <= fracRPath3_uid88_atanX_uid8_fpArctanPiTest_in(23 downto 1); --reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4(REG,423)@31 reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q <= "00000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q <= fracRPath3_uid88_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_inputreg(DELAY,960) ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_inputreg : dspba_delay GENERIC MAP ( width => 23, depth => 1 ) PORT MAP ( xin => reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q, xout => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem(DUALMEM,961) ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ia <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_inputreg_q; ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_aa <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdreg_q; ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ab <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_rdmux_q; ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 23, widthad_a => 1, numwords_a => 2, width_b => 23, widthad_b => 1, numwords_b => 2, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_reset0, clock1 => clk, address_b => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_iq, address_a => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_aa, data_a => ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_ia ); ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_reset0 <= areset; ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_iq(22 downto 0); --fracRPath2_uid106_atanX_uid8_fpArctanPiTest(BITSELECT,105)@35 fracRPath2_uid106_atanX_uid8_fpArctanPiTest_in <= expFracRPath2PostRnd_uid105_atanX_uid8_fpArctanPiTest_q(23 downto 0); fracRPath2_uid106_atanX_uid8_fpArctanPiTest_b <= fracRPath2_uid106_atanX_uid8_fpArctanPiTest_in(23 downto 1); --reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3(REG,422)@35 reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3_q <= "00000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3_q <= fracRPath2_uid106_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor(LOGICAL,957) ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_a <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_notEnable_q; ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_b <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q; ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_q <= not (ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_a or ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_b); --ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena(REG,958) ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_nor_q = "1") THEN ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd(LOGICAL,959) ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_a <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_sticky_ena_q; ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_b <= en; ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_q <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_a and ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_b; --reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2(REG,421)@0 reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q <= "00000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q <= fracX_uid16_atanX_uid8_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_inputreg(DELAY,947) ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_inputreg : dspba_delay GENERIC MAP ( width => 23, depth => 1 ) PORT MAP ( xin => reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q, xout => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem(DUALMEM,948) ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ia <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_inputreg_q; ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_aa <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdreg_q; ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ab <= ld_singX_uid17_atanX_uid8_fpArctanPiTest_b_to_fpPiO2C_uid49_atanX_uid8_fpArctanPiTest_c_replace_rdmux_q; ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 23, widthad_a => 6, numwords_a => 33, width_b => 23, widthad_b => 6, numwords_b => 33, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0, clock1 => clk, address_b => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_iq, address_a => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_aa, data_a => ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_ia ); ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_reset0 <= areset; ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_q <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_iq(22 downto 0); --fracRCalc_uid111_atanX_uid8_fpArctanPiTest(MUX,110)@36 fracRCalc_uid111_atanX_uid8_fpArctanPiTest_s <= fracOutMuxSelEnc_uid109_atanX_uid8_fpArctanPiTest_q; fracRCalc_uid111_atanX_uid8_fpArctanPiTest: PROCESS (fracRCalc_uid111_atanX_uid8_fpArctanPiTest_s, en, ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_q, reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3_q, ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_q, reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5_q) BEGIN CASE fracRCalc_uid111_atanX_uid8_fpArctanPiTest_s IS WHEN "00" => fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q <= ld_reg_fracX_uid16_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_2_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_c_replace_mem_q; WHEN "01" => fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q <= reg_fracRPath2_uid106_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_3_q; WHEN "10" => fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q <= ld_reg_fracRPath3_uid88_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_4_q_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_e_replace_mem_q; WHEN "11" => fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q <= reg_fracOutCst_uid110_atanX_uid8_fpArctanPiTest_0_to_fracRCalc_uid111_atanX_uid8_fpArctanPiTest_5_q; WHEN OTHERS => fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --fracRPostExc_uid116_atanX_uid8_fpArctanPiTest(MUX,115)@36 fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_s <= outMuxSelEnc_uid115_atanX_uid8_fpArctanPiTest_q; fracRPostExc_uid116_atanX_uid8_fpArctanPiTest: PROCESS (fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_s, en, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q) BEGIN CASE fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_s IS WHEN "00" => fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; WHEN "01" => fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q <= fracRCalc_uid111_atanX_uid8_fpArctanPiTest_q; WHEN "10" => fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; WHEN "11" => fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q <= cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --R_uid120_atanX_uid8_fpArctanPiTest(BITJOIN,119)@36 R_uid120_atanX_uid8_fpArctanPiTest_q <= ld_signR_uid119_atanX_uid8_fpArctanPiTest_q_to_R_uid120_atanX_uid8_fpArctanPiTest_c_replace_mem_q & expRPostExc_uid117_atanX_uid8_fpArctanPiTest_q & fracRPostExc_uid116_atanX_uid8_fpArctanPiTest_q; --fracX_uid126_rAtanPi_uid13_fpArctanPiTest(BITSELECT,125)@36 fracX_uid126_rAtanPi_uid13_fpArctanPiTest_in <= R_uid120_atanX_uid8_fpArctanPiTest_q(22 downto 0); fracX_uid126_rAtanPi_uid13_fpArctanPiTest_b <= fracX_uid126_rAtanPi_uid13_fpArctanPiTest_in(22 downto 0); --reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1(REG,431)@36 reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1_q <= "00000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1_q <= fracX_uid126_rAtanPi_uid13_fpArctanPiTest_b; END IF; END IF; END PROCESS; --fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest(LOGICAL,137)@37 fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_a <= reg_fracX_uid126_rAtanPi_uid13_fpArctanPiTest_0_to_fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_1_q; fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_b <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_q <= "1" when fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_a = fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_b else "0"; --expX_uid122_rAtanPi_uid13_fpArctanPiTest(BITSELECT,121)@36 expX_uid122_rAtanPi_uid13_fpArctanPiTest_in <= R_uid120_atanX_uid8_fpArctanPiTest_q(30 downto 0); expX_uid122_rAtanPi_uid13_fpArctanPiTest_b <= expX_uid122_rAtanPi_uid13_fpArctanPiTest_in(30 downto 23); --reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1(REG,429)@36 reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q <= "00000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q <= expX_uid122_rAtanPi_uid13_fpArctanPiTest_b; END IF; END IF; END PROCESS; --expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest(LOGICAL,135)@37 expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_a <= reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q; expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_b <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q; expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_q <= "1" when expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_a = expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_b else "0"; --exc_I_uid139_rAtanPi_uid13_fpArctanPiTest(LOGICAL,138)@37 exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_a <= expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_q; exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_b <= fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_q; exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q <= exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_a and exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_b; --cstBiasM2_uid6_fpArctanPiTest(CONSTANT,5) cstBiasM2_uid6_fpArctanPiTest_q <= "01111101"; --ooPi_uid9_fpArctanPiTest(CONSTANT,8) ooPi_uid9_fpArctanPiTest_q <= "101000101111100110000011"; --fracOOPi_uid10_fpArctanPiTest(BITSELECT,9)@36 fracOOPi_uid10_fpArctanPiTest_in <= ooPi_uid9_fpArctanPiTest_q(22 downto 0); fracOOPi_uid10_fpArctanPiTest_b <= fracOOPi_uid10_fpArctanPiTest_in(22 downto 0); --fpOOPi_uid11_fpArctanPiTest(BITJOIN,10)@36 fpOOPi_uid11_fpArctanPiTest_q <= GND_q & cstBiasM2_uid6_fpArctanPiTest_q & fracOOPi_uid10_fpArctanPiTest_b; --expY_uid123_rAtanPi_uid13_fpArctanPiTest(BITSELECT,122)@36 expY_uid123_rAtanPi_uid13_fpArctanPiTest_in <= fpOOPi_uid11_fpArctanPiTest_q(30 downto 0); expY_uid123_rAtanPi_uid13_fpArctanPiTest_b <= expY_uid123_rAtanPi_uid13_fpArctanPiTest_in(30 downto 23); --expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest(LOGICAL,149)@36 expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_a <= expY_uid123_rAtanPi_uid13_fpArctanPiTest_b; expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_b <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q; expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q <= "1" when expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_a = expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_b else "0"; --ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a(DELAY,581)@36 ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest(LOGICAL,203)@37 excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_a <= ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q; excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_b <= exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q; excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_q <= excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_a and excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_b; --fracY_uid128_rAtanPi_uid13_fpArctanPiTest(BITSELECT,127)@36 fracY_uid128_rAtanPi_uid13_fpArctanPiTest_in <= fpOOPi_uid11_fpArctanPiTest_q(22 downto 0); fracY_uid128_rAtanPi_uid13_fpArctanPiTest_b <= fracY_uid128_rAtanPi_uid13_fpArctanPiTest_in(22 downto 0); --fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest(LOGICAL,153)@36 fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_a <= fracY_uid128_rAtanPi_uid13_fpArctanPiTest_b; fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_b <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q <= "1" when fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_a = fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_b else "0"; --ld_fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b(DELAY,575)@36 ld_fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest(LOGICAL,151)@36 expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_a <= expY_uid123_rAtanPi_uid13_fpArctanPiTest_b; expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_b <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q; expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q <= "1" when expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_a = expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_b else "0"; --ld_expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a(DELAY,574)@36 ld_expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --exc_I_uid155_rAtanPi_uid13_fpArctanPiTest(LOGICAL,154)@37 exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a <= ld_expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a_q; exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b <= ld_fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q_to_exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b_q; exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q <= exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_a and exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_b; --expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest(LOGICAL,133)@37 expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_a <= reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q; expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_b <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q; expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q <= "1" when expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_a = expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_b else "0"; --excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest(LOGICAL,204)@37 excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_a <= expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q; excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_b <= exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q; excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_q <= excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_a and excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_b; --ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest(LOGICAL,205)@37 ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_a <= excXZAndExcYI_uid205_rAtanPi_uid13_fpArctanPiTest_q; ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_b <= excYZAndExcXI_uid204_rAtanPi_uid13_fpArctanPiTest_q; ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_q <= ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_a or ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_b; --InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest(LOGICAL,155)@36 InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_a <= fracXIsZero_uid154_rAtanPi_uid13_fpArctanPiTest_q; InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_q <= not InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_a; --exc_N_uid157_rAtanPi_uid13_fpArctanPiTest(LOGICAL,156)@36 exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_a <= expXIsMax_uid152_rAtanPi_uid13_fpArctanPiTest_q; exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_b <= InvFracXIsZero_uid156_rAtanPi_uid13_fpArctanPiTest_q; exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q <= exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_a and exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_b; --ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a(DELAY,579)@36 ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest(LOGICAL,139)@37 InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_a <= fracXIsZero_uid138_rAtanPi_uid13_fpArctanPiTest_q; InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_q <= not InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_a; --exc_N_uid141_rAtanPi_uid13_fpArctanPiTest(LOGICAL,140)@37 exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_a <= expXIsMax_uid136_rAtanPi_uid13_fpArctanPiTest_q; exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_b <= InvFracXIsZero_uid140_rAtanPi_uid13_fpArctanPiTest_q; exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_q <= exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_a and exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_b; --excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest(LOGICAL,206)@37 excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_a <= exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_q; excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_b <= ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a_q; excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_c <= ZeroTimesInf_uid206_rAtanPi_uid13_fpArctanPiTest_q; excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q <= excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_a or excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_b or excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_c; --VCC(CONSTANT,1) VCC_q <= "1"; --InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest(LOGICAL,218)@37 InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_a <= excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q; InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0'); ELSIF rising_edge(clk) THEN InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_q <= not InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_a; END IF; END PROCESS; --signY_uid125_rAtanPi_uid13_fpArctanPiTest(BITSELECT,124)@36 signY_uid125_rAtanPi_uid13_fpArctanPiTest_in <= fpOOPi_uid11_fpArctanPiTest_q; signY_uid125_rAtanPi_uid13_fpArctanPiTest_b <= signY_uid125_rAtanPi_uid13_fpArctanPiTest_in(31 downto 31); --signX_uid124_rAtanPi_uid13_fpArctanPiTest(BITSELECT,123)@36 signX_uid124_rAtanPi_uid13_fpArctanPiTest_in <= R_uid120_atanX_uid8_fpArctanPiTest_q; signX_uid124_rAtanPi_uid13_fpArctanPiTest_b <= signX_uid124_rAtanPi_uid13_fpArctanPiTest_in(31 downto 31); --signR_uid190_rAtanPi_uid13_fpArctanPiTest(LOGICAL,189)@36 signR_uid190_rAtanPi_uid13_fpArctanPiTest_a <= signX_uid124_rAtanPi_uid13_fpArctanPiTest_b; signR_uid190_rAtanPi_uid13_fpArctanPiTest_b <= signY_uid125_rAtanPi_uid13_fpArctanPiTest_b; signR_uid190_rAtanPi_uid13_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN signR_uid190_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0'); ELSIF rising_edge(clk) THEN IF (en = "1") THEN signR_uid190_rAtanPi_uid13_fpArctanPiTest_q <= signR_uid190_rAtanPi_uid13_fpArctanPiTest_a xor signR_uid190_rAtanPi_uid13_fpArctanPiTest_b; END IF; END IF; END PROCESS; --ld_signR_uid190_rAtanPi_uid13_fpArctanPiTest_q_to_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a(DELAY,666)@37 ld_signR_uid190_rAtanPi_uid13_fpArctanPiTest_q_to_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 1 ) PORT MAP ( xin => signR_uid190_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_signR_uid190_rAtanPi_uid13_fpArctanPiTest_q_to_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest(LOGICAL,219)@38 signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a <= ld_signR_uid190_rAtanPi_uid13_fpArctanPiTest_q_to_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a_q; signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_b <= InvExcRNaN_uid219_rAtanPi_uid13_fpArctanPiTest_q; signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q <= signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_a and signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_b; --ld_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q_to_R_uid221_rAtanPi_uid13_fpArctanPiTest_c(DELAY,670)@38 ld_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q_to_R_uid221_rAtanPi_uid13_fpArctanPiTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 5 ) PORT MAP ( xin => signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q_to_R_uid221_rAtanPi_uid13_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest(BITJOIN,128)@36 add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_q <= VCC_q & fracY_uid128_rAtanPi_uid13_fpArctanPiTest_b; --reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1(REG,433)@36 reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1_q <= "000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1_q <= add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_q; END IF; END IF; END PROCESS; --add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest(BITJOIN,126)@36 add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_q <= VCC_q & fracX_uid126_rAtanPi_uid13_fpArctanPiTest_b; --reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0(REG,432)@36 reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0_q <= "000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0_q <= add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_q; END IF; END IF; END PROCESS; --prod_uid165_rAtanPi_uid13_fpArctanPiTest(MULT,164)@37 prod_uid165_rAtanPi_uid13_fpArctanPiTest_pr <= UNSIGNED(prod_uid165_rAtanPi_uid13_fpArctanPiTest_a) * UNSIGNED(prod_uid165_rAtanPi_uid13_fpArctanPiTest_b); prod_uid165_rAtanPi_uid13_fpArctanPiTest_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prod_uid165_rAtanPi_uid13_fpArctanPiTest_a <= (others => '0'); prod_uid165_rAtanPi_uid13_fpArctanPiTest_b <= (others => '0'); prod_uid165_rAtanPi_uid13_fpArctanPiTest_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prod_uid165_rAtanPi_uid13_fpArctanPiTest_a <= reg_add_one_fracX_uid126_uid127_uid127_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_0_q; prod_uid165_rAtanPi_uid13_fpArctanPiTest_b <= reg_add_one_fracY_uid128_uid129_uid129_rAtanPi_uid13_fpArctanPiTest_0_to_prod_uid165_rAtanPi_uid13_fpArctanPiTest_1_q; prod_uid165_rAtanPi_uid13_fpArctanPiTest_s1 <= STD_LOGIC_VECTOR(prod_uid165_rAtanPi_uid13_fpArctanPiTest_pr); END IF; END IF; END PROCESS; prod_uid165_rAtanPi_uid13_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prod_uid165_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prod_uid165_rAtanPi_uid13_fpArctanPiTest_q <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_s1; END IF; END IF; END PROCESS; --normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest(BITSELECT,165)@40 normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_in <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_q; normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_b <= normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_in(47 downto 47); --roundBitDetectionConstant_uid180_rAtanPi_uid13_fpArctanPiTest(CONSTANT,179) roundBitDetectionConstant_uid180_rAtanPi_uid13_fpArctanPiTest_q <= "010"; --fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest(BITSELECT,167)@40 fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_in <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_q(46 downto 0); fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_b <= fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_in(46 downto 23); --fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest(BITSELECT,168)@40 fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_in <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_q(45 downto 0); fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_b <= fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_in(45 downto 22); --fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest(MUX,169)@40 fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_s <= normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_b; fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest: PROCESS (fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_s, en, fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_b, fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_b) BEGIN CASE fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_s IS WHEN "0" => fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q <= fracRPostNormLow_uid169_rAtanPi_uid13_fpArctanPiTest_b; WHEN "1" => fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q <= fracRPostNormHigh_uid168_rAtanPi_uid13_fpArctanPiTest_b; WHEN OTHERS => fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest(BITSELECT,177)@40 FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_in <= fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q(1 downto 0); FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_b <= FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_in(1 downto 0); --Prod22_uid172_rAtanPi_uid13_fpArctanPiTest(BITSELECT,171)@40 Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_in <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_q(22 downto 0); Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_b <= Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_in(22 downto 22); --extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest(MUX,172)@40 extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_s <= normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_b; extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest: PROCESS (extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_s, en, GND_q, Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_b) BEGIN CASE extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_s IS WHEN "0" => extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_q <= GND_q; WHEN "1" => extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_q <= Prod22_uid172_rAtanPi_uid13_fpArctanPiTest_b; WHEN OTHERS => extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest(BITSELECT,170)@40 stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_in <= prod_uid165_rAtanPi_uid13_fpArctanPiTest_q(21 downto 0); stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_b <= stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_in(21 downto 0); --stickyExtendedRange_uid174_rAtanPi_uid13_fpArctanPiTest(BITJOIN,173)@40 stickyExtendedRange_uid174_rAtanPi_uid13_fpArctanPiTest_q <= extraStickyBit_uid173_rAtanPi_uid13_fpArctanPiTest_q & stickyRange_uid171_rAtanPi_uid13_fpArctanPiTest_b; --stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest(LOGICAL,175)@40 stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_a <= stickyExtendedRange_uid174_rAtanPi_uid13_fpArctanPiTest_q; stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_b <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_q <= "1" when stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_a = stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_b else "0"; --sticky_uid177_rAtanPi_uid13_fpArctanPiTest(LOGICAL,176)@40 sticky_uid177_rAtanPi_uid13_fpArctanPiTest_a <= stickyRangeComparator_uid176_rAtanPi_uid13_fpArctanPiTest_q; sticky_uid177_rAtanPi_uid13_fpArctanPiTest_q <= not sticky_uid177_rAtanPi_uid13_fpArctanPiTest_a; --lrs_uid179_rAtanPi_uid13_fpArctanPiTest(BITJOIN,178)@40 lrs_uid179_rAtanPi_uid13_fpArctanPiTest_q <= FracRPostNorm1dto0_uid178_rAtanPi_uid13_fpArctanPiTest_b & sticky_uid177_rAtanPi_uid13_fpArctanPiTest_q; --roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest(LOGICAL,180)@40 roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_a <= lrs_uid179_rAtanPi_uid13_fpArctanPiTest_q; roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_b <= roundBitDetectionConstant_uid180_rAtanPi_uid13_fpArctanPiTest_q; roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_q <= "1" when roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_a = roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_b else "0"; --roundBit_uid182_rAtanPi_uid13_fpArctanPiTest(LOGICAL,181)@40 roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_a <= roundBitDetectionPattern_uid181_rAtanPi_uid13_fpArctanPiTest_q; roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_q <= not roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_a; --roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest(BITJOIN,184)@40 roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_q <= GND_q & normalizeBit_uid166_rAtanPi_uid13_fpArctanPiTest_b & cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q & roundBit_uid182_rAtanPi_uid13_fpArctanPiTest_q; --reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1(REG,436)@40 reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1_q <= "00000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1_q <= roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_q; END IF; END IF; END PROCESS; --biasInc_uid163_rAtanPi_uid13_fpArctanPiTest(CONSTANT,162) biasInc_uid163_rAtanPi_uid13_fpArctanPiTest_q <= "0001111111"; --ld_expY_uid123_rAtanPi_uid13_fpArctanPiTest_b_to_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b(DELAY,586)@36 ld_expY_uid123_rAtanPi_uid13_fpArctanPiTest_b_to_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 8, depth => 1 ) PORT MAP ( xin => expY_uid123_rAtanPi_uid13_fpArctanPiTest_b, xout => ld_expY_uid123_rAtanPi_uid13_fpArctanPiTest_b_to_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --expSum_uid162_rAtanPi_uid13_fpArctanPiTest(ADD,161)@37 expSum_uid162_rAtanPi_uid13_fpArctanPiTest_a <= STD_LOGIC_VECTOR("0" & reg_expX_uid122_rAtanPi_uid13_fpArctanPiTest_0_to_expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_1_q); expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b <= STD_LOGIC_VECTOR("0" & ld_expY_uid123_rAtanPi_uid13_fpArctanPiTest_b_to_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b_q); expSum_uid162_rAtanPi_uid13_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN expSum_uid162_rAtanPi_uid13_fpArctanPiTest_o <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN expSum_uid162_rAtanPi_uid13_fpArctanPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expSum_uid162_rAtanPi_uid13_fpArctanPiTest_a) + UNSIGNED(expSum_uid162_rAtanPi_uid13_fpArctanPiTest_b)); END IF; END IF; END PROCESS; expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q <= expSum_uid162_rAtanPi_uid13_fpArctanPiTest_o(8 downto 0); --ld_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q_to_expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a(DELAY,587)@38 ld_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q_to_expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 9, depth => 1 ) PORT MAP ( xin => expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q_to_expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest(SUB,163)@39 expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a <= STD_LOGIC_VECTOR('0' & "00" & ld_expSum_uid162_rAtanPi_uid13_fpArctanPiTest_q_to_expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a_q); expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_b <= STD_LOGIC_VECTOR((11 downto 10 => biasInc_uid163_rAtanPi_uid13_fpArctanPiTest_q(9)) & biasInc_uid163_rAtanPi_uid13_fpArctanPiTest_q); expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_o <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_o <= STD_LOGIC_VECTOR(SIGNED(expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_a) - SIGNED(expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_b)); END IF; END IF; END PROCESS; expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_q <= expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_o(10 downto 0); --expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest(BITJOIN,182)@40 expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_q <= expSumMBias_uid164_rAtanPi_uid13_fpArctanPiTest_q & fracRPostNorm_uid170_rAtanPi_uid13_fpArctanPiTest_q; --reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0(REG,435)@40 reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0_q <= "00000000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0_q <= expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_q; END IF; END IF; END PROCESS; --expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest(ADD,185)@41 expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_a <= STD_LOGIC_VECTOR((36 downto 35 => reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0_q(34)) & reg_expFracPreRound_uid183_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_0_q); expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_b <= STD_LOGIC_VECTOR('0' & "0000000000" & reg_roundBitAndNormalizationOp_uid185_rAtanPi_uid13_fpArctanPiTest_0_to_expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_1_q); expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_o <= STD_LOGIC_VECTOR(SIGNED(expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_a) + SIGNED(expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_b)); expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_q <= expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_o(35 downto 0); --expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest(BITSELECT,187)@41 expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_in <= expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_q; expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_b <= expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_in(35 downto 24); --expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest(BITSELECT,188)@41 expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_in <= expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_b(7 downto 0); expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b <= expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_in(7 downto 0); --ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d(DELAY,664)@41 ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d : dspba_delay GENERIC MAP ( width => 8, depth => 2 ) PORT MAP ( xin => expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b, xout => ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d_q, ena => en(0), clk => clk, aclr => areset ); --ld_excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q_to_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_c(DELAY,659)@37 ld_excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q_to_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 5 ) PORT MAP ( xin => excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q_to_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1(REG,437)@41 reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q <= "000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q <= expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_b; END IF; END IF; END PROCESS; --expOvf_uid193_rAtanPi_uid13_fpArctanPiTest(COMPARE,192)@42 expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_cin <= GND_q; expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_a <= STD_LOGIC_VECTOR((13 downto 12 => reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q(11)) & reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q) & '0'; expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_b <= STD_LOGIC_VECTOR('0' & "00000" & cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q) & expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_cin(0); expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_o <= STD_LOGIC_VECTOR(SIGNED(expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_a) - SIGNED(expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_b)); expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_n(0) <= not expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_o(14); --InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest(LOGICAL,157)@37 InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a <= ld_exc_N_uid157_rAtanPi_uid13_fpArctanPiTest_q_to_InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a_q; InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_q <= not InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_a; --InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest(LOGICAL,158)@37 InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_a <= exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q; InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_q <= not InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_a; --InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest(LOGICAL,159)@37 InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a <= ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q; InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_q <= not InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a; --exc_R_uid161_rAtanPi_uid13_fpArctanPiTest(LOGICAL,160)@37 exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_a <= InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_q; exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_b <= InvExc_I_uid159_rAtanPi_uid13_fpArctanPiTest_q; exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_c <= InvExc_N_uid158_rAtanPi_uid13_fpArctanPiTest_q; exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q <= exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_a and exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_b and exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_c; --ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b(DELAY,629)@37 ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 5 ) PORT MAP ( xin => exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest(LOGICAL,141)@37 InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_a <= exc_N_uid141_rAtanPi_uid13_fpArctanPiTest_q; InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_q <= not InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_a; --InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest(LOGICAL,142)@37 InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_a <= exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q; InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_q <= not InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_a; --InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest(LOGICAL,143)@37 InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_a <= expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q; InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_q <= not InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_a; --exc_R_uid145_rAtanPi_uid13_fpArctanPiTest(LOGICAL,144)@37 exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_a <= InvExpXIsZero_uid144_rAtanPi_uid13_fpArctanPiTest_q; exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_b <= InvExc_I_uid143_rAtanPi_uid13_fpArctanPiTest_q; exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_c <= InvExc_N_uid142_rAtanPi_uid13_fpArctanPiTest_q; exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q <= exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_a and exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_b and exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_c; --ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a(DELAY,628)@37 ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 5 ) PORT MAP ( xin => exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest(LOGICAL,201)@42 ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_a <= ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a_q; ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_b <= ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b_q; ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_c <= expOvf_uid193_rAtanPi_uid13_fpArctanPiTest_n; ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_q <= ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_a and ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_b and ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_c; --excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest(LOGICAL,200)@37 excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_a <= exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q; excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_b <= exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q; excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q <= excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_a and excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_b; --ld_excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c(DELAY,646)@37 ld_excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 5 ) PORT MAP ( xin => excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest(LOGICAL,199)@37 excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_a <= exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q; excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_b <= exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q; excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q <= excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_a and excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_b; --ld_excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b(DELAY,645)@37 ld_excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 5 ) PORT MAP ( xin => excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest(LOGICAL,198)@37 excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_a <= exc_I_uid139_rAtanPi_uid13_fpArctanPiTest_q; excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_b <= exc_I_uid155_rAtanPi_uid13_fpArctanPiTest_q; excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q <= excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_a and excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_b; --ld_excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a(DELAY,644)@37 ld_excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 5 ) PORT MAP ( xin => excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --excRInf_uid203_rAtanPi_uid13_fpArctanPiTest(LOGICAL,202)@42 excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a <= ld_excXIAndExcYI_uid199_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a_q; excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b <= ld_excXRAndExcYI_uid200_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b_q; excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c <= ld_excYRAndExcXI_uid201_rAtanPi_uid13_fpArctanPiTest_q_to_excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c_q; excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_d <= ExcROvfAndInReg_uid202_rAtanPi_uid13_fpArctanPiTest_q; excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_q <= excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_a or excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_b or excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_c or excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_d; --expUdf_uid191_rAtanPi_uid13_fpArctanPiTest(COMPARE,190)@42 expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_cin <= GND_q; expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_a <= STD_LOGIC_VECTOR('0' & "000000000000" & GND_q) & '0'; expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_b <= STD_LOGIC_VECTOR((13 downto 12 => reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q(11)) & reg_expRPreExcExt_uid188_rAtanPi_uid13_fpArctanPiTest_0_to_expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_1_q) & expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_cin(0); expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_o <= STD_LOGIC_VECTOR(SIGNED(expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_a) - SIGNED(expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_b)); expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_n(0) <= not expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_o(14); --excZC3_uid197_rAtanPi_uid13_fpArctanPiTest(LOGICAL,196)@42 excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a <= ld_exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a_q; excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b <= ld_exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q_to_excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b_q; excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_c <= expUdf_uid191_rAtanPi_uid13_fpArctanPiTest_n; excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_q <= excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_a and excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_b and excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_c; --excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest(LOGICAL,195)@37 excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_a <= ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q; excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_b <= exc_R_uid145_rAtanPi_uid13_fpArctanPiTest_q; excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q <= excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_a and excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_b; --ld_excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c(DELAY,633)@37 ld_excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 5 ) PORT MAP ( xin => excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c_q, ena => en(0), clk => clk, aclr => areset ); --excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest(LOGICAL,194)@37 excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_a <= expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q; excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_b <= exc_R_uid161_rAtanPi_uid13_fpArctanPiTest_q; excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q <= excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_a and excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_b; --ld_excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b(DELAY,632)@37 ld_excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b : dspba_delay GENERIC MAP ( width => 1, depth => 5 ) PORT MAP ( xin => excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b_q, ena => en(0), clk => clk, aclr => areset ); --excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest(LOGICAL,193)@37 excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_a <= expXIsZero_uid134_rAtanPi_uid13_fpArctanPiTest_q; excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_b <= ld_expXIsZero_uid150_rAtanPi_uid13_fpArctanPiTest_q_to_InvExpXIsZero_uid160_rAtanPi_uid13_fpArctanPiTest_a_q; excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q <= excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_a and excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_b; --ld_excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a(DELAY,631)@37 ld_excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a : dspba_delay GENERIC MAP ( width => 1, depth => 5 ) PORT MAP ( xin => excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q, xout => ld_excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a_q, ena => en(0), clk => clk, aclr => areset ); --excRZero_uid198_rAtanPi_uid13_fpArctanPiTest(LOGICAL,197)@42 excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a <= ld_excXZAndExcYZ_uid194_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a_q; excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b <= ld_excXZAndExcYR_uid195_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b_q; excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c <= ld_excYZAndExcXR_uid196_rAtanPi_uid13_fpArctanPiTest_q_to_excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c_q; excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_d <= excZC3_uid197_rAtanPi_uid13_fpArctanPiTest_q; excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_q <= excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_a or excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_b or excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_c or excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_d; --concExc_uid208_rAtanPi_uid13_fpArctanPiTest(BITJOIN,207)@42 concExc_uid208_rAtanPi_uid13_fpArctanPiTest_q <= ld_excRNaN_uid207_rAtanPi_uid13_fpArctanPiTest_q_to_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_c_q & excRInf_uid203_rAtanPi_uid13_fpArctanPiTest_q & excRZero_uid198_rAtanPi_uid13_fpArctanPiTest_q; --reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0(REG,439)@42 reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0_q <= concExc_uid208_rAtanPi_uid13_fpArctanPiTest_q; END IF; END IF; END PROCESS; --excREnc_uid209_rAtanPi_uid13_fpArctanPiTest(LOOKUP,208)@43 excREnc_uid209_rAtanPi_uid13_fpArctanPiTest: PROCESS (reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0_q) BEGIN -- Begin reserved scope level CASE (reg_concExc_uid208_rAtanPi_uid13_fpArctanPiTest_0_to_excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_0_q) IS WHEN "000" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "01"; WHEN "001" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "00"; WHEN "010" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "10"; WHEN "011" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "00"; WHEN "100" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "11"; WHEN "101" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "00"; WHEN "110" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "00"; WHEN "111" => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= "00"; WHEN OTHERS => excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q <= (others => '-'); END CASE; -- End reserved scope level END PROCESS; --expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest(MUX,217)@43 expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_s <= excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q; expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest: PROCESS (expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_s, en, cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q, ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d_q, cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q, cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q) BEGIN CASE expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_s IS WHEN "00" => expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q <= cstAllZWE_uid21_atanX_uid8_fpArctanPiTest_q; WHEN "01" => expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q <= ld_expRPreExc_uid189_rAtanPi_uid13_fpArctanPiTest_b_to_expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_d_q; WHEN "10" => expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q; WHEN "11" => expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q <= cstAllOWE_uid18_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest(BITSELECT,186)@41 fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_in <= expFracRPostRounding_uid186_rAtanPi_uid13_fpArctanPiTest_q(23 downto 0); fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b <= fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_in(23 downto 1); --ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d(DELAY,662)@41 ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d : dspba_delay GENERIC MAP ( width => 23, depth => 2 ) PORT MAP ( xin => fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b, xout => ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d_q, ena => en(0), clk => clk, aclr => areset ); --fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest(MUX,212)@43 fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_s <= excREnc_uid209_rAtanPi_uid13_fpArctanPiTest_q; fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest: PROCESS (fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_s, en, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d_q, cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q, cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q) BEGIN CASE fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_s IS WHEN "00" => fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; WHEN "01" => fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q <= ld_fracRPreExc_uid187_rAtanPi_uid13_fpArctanPiTest_b_to_fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_d_q; WHEN "10" => fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q <= cstAllZWF_uid19_atanX_uid8_fpArctanPiTest_q; WHEN "11" => fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q <= cstNaNWF_uid20_atanX_uid8_fpArctanPiTest_q; WHEN OTHERS => fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q <= (others => '0'); END CASE; END PROCESS; --R_uid221_rAtanPi_uid13_fpArctanPiTest(BITJOIN,220)@43 R_uid221_rAtanPi_uid13_fpArctanPiTest_q <= ld_signRPostExc_uid220_rAtanPi_uid13_fpArctanPiTest_q_to_R_uid221_rAtanPi_uid13_fpArctanPiTest_c_q & expRPostExc_uid218_rAtanPi_uid13_fpArctanPiTest_q & fracRPostExc_uid213_rAtanPi_uid13_fpArctanPiTest_q; --xOut(GPOUT,4)@43 q <= R_uid221_rAtanPi_uid13_fpArctanPiTest_q; end normal;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
Erosion/ip/Erosion/dp_adds.vhd
10
2500
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** DOUBLE PRECISION CORE LIBRARY *** --*** *** --*** DP_ADDS.VHD *** --*** *** --*** Function: Synthesizable Fixed Point Adder *** --*** *** --*** 31/01/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_adds IS GENERIC ( width : positive := 64; pipes : positive := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1); carryin : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); END dp_adds; ARCHITECTURE syn of dp_adds IS component lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0); cin : IN STD_LOGIC ; clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0) ); end component; BEGIN addtwo: lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=YES", lpm_pipeline => pipes, lpm_type => "LPM_ADD_SUB", lpm_width => width ) PORT MAP ( dataa => aa, datab => bb, cin => carryin, clken => enable, aclr => reset, clock => sysclk, result => cc ); END syn;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
Erosion/ip/Erosion/dspba_library_package.vhd
22
1323
-- (C) 2012 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library IEEE; use IEEE.std_logic_1164.all; package dspba_library_package is component dspba_delay is generic ( width : natural; depth : natural; reset_high : std_logic := '1' ); port ( clk : in std_logic; aclr : in std_logic; ena : in std_logic := '1'; xin : in std_logic_vector(width-1 downto 0); xout : out std_logic_vector(width-1 downto 0) ); end component; end dspba_library_package;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/hcc_mul3236b.vhd
10
2219
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL3236B.VHD *** --*** *** --*** Function: 3 pipeline stage unsigned 32 or *** --*** 36 bit multiplier (behavioral) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul3236b IS GENERIC (width : positive := 32); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (2*width DOWNTO 1) ); END hcc_mul3236b; ARCHITECTURE rtl OF hcc_mul3236b IS signal aaff, bbff : STD_LOGIC_VECTOR (width DOWNTO 1); signal mulff, muloutff : STD_LOGIC_VECTOR (2*width DOWNTO 1); BEGIN pma: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO width LOOP aaff(k) <= '0'; bbff(k) <= '0'; END LOOP; FOR k IN 1 TO 2*width LOOP mulff(k) <= '0'; muloutff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; bbff <= bb; mulff <= aaff * bbff; muloutff <= mulff; END IF; END IF; END PROCESS; cc <= muloutff; END rtl;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/dp_log.vhd
10
10521
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** DOUBLE PRECISION LOG(LN) - TOP LEVEL *** --*** *** --*** DP_LOG.VHD *** --*** *** --*** Function: IEEE754 DP LN() *** --*** *** --*** 11/08/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*************************************************** --*************************************************** --*** Notes: *** --*** Latency = 27 + 7*DoubleSpeed + *** --*** RoundConvert*(1+DoubleSpeed) *** --*** DoubleSpeed = 0, RoundConvert = 0 : 27 *** --*** DoubleSpeed = 1, RoundConvert = 0 : 34 *** --*** DoubleSpeed = 0, RoundConvert = 1 : 28 *** --*** DoubleSpeed = 1, RoundConvert = 1 : 36 *** --*** *** --*************************************************** ENTITY dp_log IS GENERIC ( roundconvert : integer := 0; -- 0 = no round, 1 = round doublespeed : integer := 0; -- 0/1 device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4) synthesize : integer := 1 -- 0/1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signin : IN STD_LOGIC; exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1); signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_log; ARCHITECTURE rtl OF dp_log IS constant expwidth : positive := 11; constant manwidth : positive := 52; constant coredepth : positive := 26 + 7*doublespeed; signal signinff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal maninff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal expinff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal signnode : STD_LOGIC; signal mantissanode : STD_LOGIC_VECTOR (53 DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (11 DOWNTO 1); signal zeronode : STD_LOGIC; -- conditions signal zeroman : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal zeroexp : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal maxexp : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zeromaninff : STD_LOGIC; signal zeroexpinff : STD_LOGIC; signal maxexpinff : STD_LOGIC; signal naninff : STD_LOGIC; signal nanff : STD_LOGIC_VECTOR (coredepth-3 DOWNTO 1); signal infinityinff : STD_LOGIC; signal infinityff : STD_LOGIC_VECTOR (coredepth-3 DOWNTO 1); component dp_ln_core GENERIC ( doublespeed : integer := 0; -- 0/1 device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4) synthesize : integer := 1 -- 0/1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aaman : IN STD_LOGIC_VECTOR (52 DOWNTO 1); aaexp : IN STD_LOGIC_VECTOR (11 DOWNTO 1); ccman : OUT STD_LOGIC_VECTOR (53 DOWNTO 1); ccexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); ccsgn : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); end component; component dp_lnnornd PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); end component; component dp_lnrnd PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); end component; component dp_lnrndpipe PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); end component; BEGIN pma: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO manwidth LOOP maninff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP expinff(k) <= '0'; END LOOP; signinff <= "00"; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN maninff <= mantissain; expinff <= exponentin; signinff(1) <= signin; signinff(2) <= signinff(1); END IF; END IF; END PROCESS; --******************** --*** CHECK INPUTS *** --******************** zeroman(1) <= maninff(1); gca: FOR k IN 2 TO manwidth GENERATE zeroman(k) <= zeroman(k-1) OR maninff(k); END GENERATE; zeroexp(1) <= expinff(1); gcb: FOR k IN 2 TO expwidth GENERATE zeroexp(k) <= zeroexp(k-1) OR expinff(k); END GENERATE; maxexp(1) <= expinff(1); gcc: FOR k IN 2 TO expwidth GENERATE maxexp(k) <= maxexp(k-1) AND expinff(k); END GENERATE; pcc: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN zeromaninff <= '0'; zeroexpinff <= '0'; maxexpinff <= '0'; naninff <= '0'; FOR k IN 1 TO coredepth-3 LOOP nanff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN zeromaninff <= NOT(zeroman(manwidth)); zeroexpinff <= NOT(zeroexp(expwidth)); maxexpinff <= maxexp(expwidth); -- infinity when exp = zero -- nan when man != 0, exp = max -- all ffs '1' when condition true naninff <= (zeromaninff AND maxexpinff) OR signinff(2); infinityinff <= zeroexpinff OR maxexpinff; -- nan output when nan input nanff(1) <= naninff; FOR k IN 2 TO coredepth-3 LOOP nanff(k) <= nanff(k-1); END LOOP; infinityff(1) <= infinityinff; FOR k IN 2 TO coredepth-3 LOOP infinityff(k) <= infinityff(k-1); END LOOP; END IF; END IF; END PROCESS; --*************** --*** LN CORE *** --*************** lncore: dp_ln_core GENERIC MAP (doublespeed=>doublespeed,device=>device,synthesize=>synthesize) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, aaman=>mantissain,aaexp=>exponentin, ccman=>mantissanode,ccexp=>exponentnode,ccsgn=>signnode, zeroout=>zeronode); --************************ --*** ROUND AND OUTPUT *** --************************ gra: IF (roundconvert = 0) GENERATE norndout: dp_lnnornd PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, signln=>signnode, exponentln=>exponentnode, mantissaln=>mantissanode, nanin=>nanff(coredepth-3), infinityin=>infinityff(coredepth-3), zeroin=>zeronode, signout=>signout, exponentout=>exponentout, mantissaout=>mantissaout, nanout=>nanout,overflowout=>overflowout,zeroout=>zeroout); END GENERATE; grb: IF (roundconvert = 1 AND doublespeed = 0) GENERATE rndout: dp_lnrnd PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, signln=>signnode, exponentln=>exponentnode, mantissaln=>mantissanode, nanin=>nanff(coredepth-3), infinityin=>infinityff(coredepth-3), zeroin=>zeronode, signout=>signout, exponentout=>exponentout, mantissaout=>mantissaout, nanout=>nanout,overflowout=>overflowout,zeroout=>zeroout); END GENERATE; grc: IF (roundconvert = 1 AND doublespeed = 1) GENERATE rndoutpipe: dp_lnrndpipe PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, signln=>signnode, exponentln=>exponentnode, mantissaln=>mantissanode, nanin=>nanff(coredepth-3), infinityin=>infinityff(coredepth-3), zeroin=>zeronode, signout=>signout, exponentout=>exponentout, mantissaout=>mantissaout, nanout=>nanout,overflowout=>overflowout,zeroout=>zeroout); END GENERATE; END rtl;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/fp_rsqrt_s5.vhd
10
93680
----------------------------------------------------------------------------- -- Altera DSP Builder Advanced Flow Tools Release Version 13.1 -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing device programming or simulation files), and -- any associated documentation or information are expressly subject to the -- terms and conditions of the Altera Program License Subscription Agreement, -- Altera MegaCore Function License Agreement, or other applicable license -- agreement, including, without limitation, that your use is for the sole -- purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. ----------------------------------------------------------------------------- -- VHDL created from fp_rsqrt_s5 -- VHDL created on Mon Mar 11 11:49:52 2013 library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; use std.TextIO.all; use work.dspba_library_package.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; LIBRARY lpm; USE lpm.lpm_components.all; entity fp_rsqrt_s5 is port ( a : in std_logic_vector(31 downto 0); en : in std_logic_vector(0 downto 0); q : out std_logic_vector(31 downto 0); clk : in std_logic; areset : in std_logic ); end; architecture normal of fp_rsqrt_s5 is attribute altera_attribute : string; attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410"; signal VCC_q : std_logic_vector (0 downto 0); signal cstAllOWE_uid6_fpInvSqrtTest_q : std_logic_vector (7 downto 0); signal cstAllZWF_uid7_fpInvSqrtTest_q : std_logic_vector (22 downto 0); signal cstNaNWF_uid8_fpInvSqrtTest_q : std_logic_vector (22 downto 0); signal cstAllZWE_uid9_fpInvSqrtTest_q : std_logic_vector (7 downto 0); signal cst3BiasM1o2M1_uid10_fpInvSqrtTest_q : std_logic_vector (7 downto 0); signal cst3BiasP1o2M1_uid11_fpInvSqrtTest_q : std_logic_vector (7 downto 0); signal cstSel_uid42_fpInvSqrtTest_s : std_logic_vector (1 downto 0); signal cstSel_uid42_fpInvSqrtTest_q : std_logic_vector (7 downto 0); signal prodXY_uid75_pT1_uid63_invSqrtPolyEval_a : std_logic_vector (11 downto 0); signal prodXY_uid75_pT1_uid63_invSqrtPolyEval_b : std_logic_vector (11 downto 0); signal prodXY_uid75_pT1_uid63_invSqrtPolyEval_s1 : std_logic_vector (23 downto 0); signal prodXY_uid75_pT1_uid63_invSqrtPolyEval_pr : SIGNED (24 downto 0); signal prodXY_uid75_pT1_uid63_invSqrtPolyEval_q : std_logic_vector (23 downto 0); signal prodXY_uid78_pT2_uid69_invSqrtPolyEval_a : std_logic_vector (14 downto 0); signal prodXY_uid78_pT2_uid69_invSqrtPolyEval_b : std_logic_vector (22 downto 0); signal prodXY_uid78_pT2_uid69_invSqrtPolyEval_s1 : std_logic_vector (37 downto 0); signal prodXY_uid78_pT2_uid69_invSqrtPolyEval_pr : SIGNED (38 downto 0); signal prodXY_uid78_pT2_uid69_invSqrtPolyEval_q : std_logic_vector (37 downto 0); signal memoryC0_uid59_invSqrtTabGen_lutmem_reset0 : std_logic; signal memoryC0_uid59_invSqrtTabGen_lutmem_ia : std_logic_vector (29 downto 0); signal memoryC0_uid59_invSqrtTabGen_lutmem_aa : std_logic_vector (8 downto 0); signal memoryC0_uid59_invSqrtTabGen_lutmem_ab : std_logic_vector (8 downto 0); signal memoryC0_uid59_invSqrtTabGen_lutmem_iq : std_logic_vector (29 downto 0); signal memoryC0_uid59_invSqrtTabGen_lutmem_q : std_logic_vector (29 downto 0); signal memoryC1_uid60_invSqrtTabGen_lutmem_reset0 : std_logic; signal memoryC1_uid60_invSqrtTabGen_lutmem_ia : std_logic_vector (20 downto 0); signal memoryC1_uid60_invSqrtTabGen_lutmem_aa : std_logic_vector (8 downto 0); signal memoryC1_uid60_invSqrtTabGen_lutmem_ab : std_logic_vector (8 downto 0); signal memoryC1_uid60_invSqrtTabGen_lutmem_iq : std_logic_vector (20 downto 0); signal memoryC1_uid60_invSqrtTabGen_lutmem_q : std_logic_vector (20 downto 0); signal memoryC2_uid61_invSqrtTabGen_lutmem_reset0 : std_logic; signal memoryC2_uid61_invSqrtTabGen_lutmem_ia : std_logic_vector (11 downto 0); signal memoryC2_uid61_invSqrtTabGen_lutmem_aa : std_logic_vector (8 downto 0); signal memoryC2_uid61_invSqrtTabGen_lutmem_ab : std_logic_vector (8 downto 0); signal memoryC2_uid61_invSqrtTabGen_lutmem_iq : std_logic_vector (11 downto 0); signal memoryC2_uid61_invSqrtTabGen_lutmem_q : std_logic_vector (11 downto 0); signal reg_excRConc_uid52_fpInvSqrtTest_0_to_outMuxSelEnc_uid53_fpInvSqrtTest_0_q : std_logic_vector (2 downto 0); signal reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC2_uid61_invSqrtTabGen_lutmem_0_q : std_logic_vector (8 downto 0); signal reg_yT1_uid62_invSqrtPolyEval_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_0_q : std_logic_vector (11 downto 0); signal reg_memoryC2_uid61_invSqrtTabGen_lutmem_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_1_q : std_logic_vector (11 downto 0); signal reg_memoryC1_uid60_invSqrtTabGen_lutmem_0_to_sumAHighB_uid66_invSqrtPolyEval_0_q : std_logic_vector (20 downto 0); signal reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_q : std_logic_vector (14 downto 0); signal reg_s1_uid64_uid67_invSqrtPolyEval_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_1_q : std_logic_vector (22 downto 0); signal reg_memoryC0_uid59_invSqrtTabGen_lutmem_0_to_sumAHighB_uid72_invSqrtPolyEval_0_q : std_logic_vector (29 downto 0); signal ld_frac_uid20_fpInvSqrtTest_b_to_yPPolyEval_uid37_fpInvSqrtTest_a_q : std_logic_vector (22 downto 0); signal ld_expRExt_uid43_fpInvSqrtTest_b_to_expRExt_uid44_fpInvSqrtTest_b_q : std_logic_vector (6 downto 0); signal ld_outMuxSelEnc_uid53_fpInvSqrtTest_q_to_fracRPostExc_uid54_fpInvSqrtTest_b_q : std_logic_vector (1 downto 0); signal ld_signR_uid56_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_c_q : std_logic_vector (0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC1_uid60_invSqrtTabGen_lutmem_0_q_to_memoryC1_uid60_invSqrtTabGen_lutmem_a_q : std_logic_vector (8 downto 0); signal ld_frac_uid20_fpInvSqrtTest_b_to_yPPolyEval_uid37_fpInvSqrtTest_a_inputreg_q : std_logic_vector (22 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_inputreg_q : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_reset0 : std_logic; signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_ia : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_iq : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_q : std_logic_vector (7 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt_q : std_logic_vector(2 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt_i : unsigned(2 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdreg_q : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_mem_top_q : std_logic_vector (3 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmpReg_q : std_logic_vector (0 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve : boolean; attribute preserve of ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_sticky_ena_q : signal is true; signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_inputreg_q : std_logic_vector (8 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_reset0 : std_logic; signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_ia : std_logic_vector (8 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_aa : std_logic_vector (2 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_ab : std_logic_vector (2 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_iq : std_logic_vector (8 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_q : std_logic_vector (8 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_q : std_logic_vector(2 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_i : unsigned(2 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_eq : std_logic; signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdreg_q : std_logic_vector (2 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_mem_top_q : std_logic_vector (3 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmpReg_q : std_logic_vector (0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_sticky_ena_q : signal is true; signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_inputreg_q : std_logic_vector (14 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_reset0 : std_logic; signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_ia : std_logic_vector (14 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_aa : std_logic_vector (0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_ab : std_logic_vector (0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_iq : std_logic_vector (14 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_q : std_logic_vector (14 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt_q : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt_i : unsigned(0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdreg_q : std_logic_vector (0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_cmpReg_q : std_logic_vector (0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_sticky_ena_q : std_logic_vector (0 downto 0); attribute preserve of ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_sticky_ena_q : signal is true; signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux_q : std_logic_vector (2 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_notEnable_a : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_notEnable_q : std_logic_vector(0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux_q : std_logic_vector (2 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux_s : std_logic_vector (0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux_q : std_logic_vector (0 downto 0); signal exp_uid16_fpInvSqrtTest_in : std_logic_vector (30 downto 0); signal exp_uid16_fpInvSqrtTest_b : std_logic_vector (7 downto 0); signal frac_uid20_fpInvSqrtTest_in : std_logic_vector (22 downto 0); signal frac_uid20_fpInvSqrtTest_b : std_logic_vector (22 downto 0); signal signX_uid31_fpInvSqrtTest_in : std_logic_vector (31 downto 0); signal signX_uid31_fpInvSqrtTest_b : std_logic_vector (0 downto 0); signal expXIsZero_uid17_fpInvSqrtTest_a : std_logic_vector(7 downto 0); signal expXIsZero_uid17_fpInvSqrtTest_b : std_logic_vector(7 downto 0); signal expXIsZero_uid17_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal expXIsMax_uid19_fpInvSqrtTest_a : std_logic_vector(7 downto 0); signal expXIsMax_uid19_fpInvSqrtTest_b : std_logic_vector(7 downto 0); signal expXIsMax_uid19_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal fracXIsZero_uid21_fpInvSqrtTest_a : std_logic_vector(22 downto 0); signal fracXIsZero_uid21_fpInvSqrtTest_b : std_logic_vector(22 downto 0); signal fracXIsZero_uid21_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal exc_I_uid22_fpInvSqrtTest_a : std_logic_vector(0 downto 0); signal exc_I_uid22_fpInvSqrtTest_b : std_logic_vector(0 downto 0); signal exc_I_uid22_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal expRExt_uid44_fpInvSqrtTest_a : std_logic_vector(8 downto 0); signal expRExt_uid44_fpInvSqrtTest_b : std_logic_vector(8 downto 0); signal expRExt_uid44_fpInvSqrtTest_o : std_logic_vector (8 downto 0); signal expRExt_uid44_fpInvSqrtTest_q : std_logic_vector (8 downto 0); signal outMuxSelEnc_uid53_fpInvSqrtTest_q : std_logic_vector(1 downto 0); signal signR_uid56_fpInvSqrtTest_a : std_logic_vector(0 downto 0); signal signR_uid56_fpInvSqrtTest_b : std_logic_vector(0 downto 0); signal signR_uid56_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_enaAnd_a : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_enaAnd_b : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_enaAnd_q : std_logic_vector(0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_enaAnd_q : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_enaAnd_a : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_enaAnd_b : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_enaAnd_q : std_logic_vector(0 downto 0); signal prodXYTruncFR_uid76_pT1_uid63_invSqrtPolyEval_in : std_logic_vector (23 downto 0); signal prodXYTruncFR_uid76_pT1_uid63_invSqrtPolyEval_b : std_logic_vector (12 downto 0); signal prodXYTruncFR_uid79_pT2_uid69_invSqrtPolyEval_in : std_logic_vector (37 downto 0); signal prodXYTruncFR_uid79_pT2_uid69_invSqrtPolyEval_b : std_logic_vector (23 downto 0); signal yPPolyEval_uid37_fpInvSqrtTest_in : std_logic_vector (14 downto 0); signal yPPolyEval_uid37_fpInvSqrtTest_b : std_logic_vector (14 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmp_a : std_logic_vector(3 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmp_b : std_logic_vector(3 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmp_q : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_nor_a : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_nor_b : std_logic_vector(0 downto 0); signal ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_nor_q : std_logic_vector(0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmp_a : std_logic_vector(3 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmp_b : std_logic_vector(3 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmp_q : std_logic_vector(0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_nor_a : std_logic_vector(0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_nor_b : std_logic_vector(0 downto 0); signal ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_nor_q : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_nor_a : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_nor_b : std_logic_vector(0 downto 0); signal ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_nor_q : std_logic_vector(0 downto 0); signal evenOddExp_uid33_fpInvSqrtTest_in : std_logic_vector (0 downto 0); signal evenOddExp_uid33_fpInvSqrtTest_b : std_logic_vector (0 downto 0); signal expRExt_uid43_fpInvSqrtTest_in : std_logic_vector (7 downto 0); signal expRExt_uid43_fpInvSqrtTest_b : std_logic_vector (6 downto 0); signal yAddr_uid35_fpInvSqrtTest_in : std_logic_vector (22 downto 0); signal yAddr_uid35_fpInvSqrtTest_b : std_logic_vector (7 downto 0); signal InvSignX_uid47_fpInvSqrtTest_a : std_logic_vector(0 downto 0); signal InvSignX_uid47_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal InvExpXIsZero_uid49_fpInvSqrtTest_a : std_logic_vector(0 downto 0); signal InvExpXIsZero_uid49_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid23_fpInvSqrtTest_a : std_logic_vector(0 downto 0); signal InvFracXIsZero_uid23_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal join_uid41_fpInvSqrtTest_q : std_logic_vector (1 downto 0); signal excRZero_uid48_fpInvSqrtTest_a : std_logic_vector(0 downto 0); signal excRZero_uid48_fpInvSqrtTest_b : std_logic_vector(0 downto 0); signal excRZero_uid48_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal expR_uid45_fpInvSqrtTest_in : std_logic_vector (7 downto 0); signal expR_uid45_fpInvSqrtTest_b : std_logic_vector (7 downto 0); signal expRPostExc_uid55_fpInvSqrtTest_s : std_logic_vector (1 downto 0); signal expRPostExc_uid55_fpInvSqrtTest_q : std_logic_vector (7 downto 0); signal lowRangeB_uid64_invSqrtPolyEval_in : std_logic_vector (0 downto 0); signal lowRangeB_uid64_invSqrtPolyEval_b : std_logic_vector (0 downto 0); signal highBBits_uid65_invSqrtPolyEval_in : std_logic_vector (12 downto 0); signal highBBits_uid65_invSqrtPolyEval_b : std_logic_vector (11 downto 0); signal lowRangeB_uid70_invSqrtPolyEval_in : std_logic_vector (1 downto 0); signal lowRangeB_uid70_invSqrtPolyEval_b : std_logic_vector (1 downto 0); signal highBBits_uid71_invSqrtPolyEval_in : std_logic_vector (23 downto 0); signal highBBits_uid71_invSqrtPolyEval_b : std_logic_vector (21 downto 0); signal yT1_uid62_invSqrtPolyEval_in : std_logic_vector (14 downto 0); signal yT1_uid62_invSqrtPolyEval_b : std_logic_vector (11 downto 0); signal yAddrPEvenOdd_uid36_fpInvSqrtTest_q : std_logic_vector (8 downto 0); signal xRegNeg_uid50_fpInvSqrtTest_a : std_logic_vector(0 downto 0); signal xRegNeg_uid50_fpInvSqrtTest_b : std_logic_vector(0 downto 0); signal xRegNeg_uid50_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal exc_N_uid24_fpInvSqrtTest_a : std_logic_vector(0 downto 0); signal exc_N_uid24_fpInvSqrtTest_b : std_logic_vector(0 downto 0); signal exc_N_uid24_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal sumAHighB_uid66_invSqrtPolyEval_a : std_logic_vector(21 downto 0); signal sumAHighB_uid66_invSqrtPolyEval_b : std_logic_vector(21 downto 0); signal sumAHighB_uid66_invSqrtPolyEval_o : std_logic_vector (21 downto 0); signal sumAHighB_uid66_invSqrtPolyEval_q : std_logic_vector (21 downto 0); signal sumAHighB_uid72_invSqrtPolyEval_a : std_logic_vector(30 downto 0); signal sumAHighB_uid72_invSqrtPolyEval_b : std_logic_vector(30 downto 0); signal sumAHighB_uid72_invSqrtPolyEval_o : std_logic_vector (30 downto 0); signal sumAHighB_uid72_invSqrtPolyEval_q : std_logic_vector (30 downto 0); signal xNOxRNeg_uid51_fpInvSqrtTest_a : std_logic_vector(0 downto 0); signal xNOxRNeg_uid51_fpInvSqrtTest_b : std_logic_vector(0 downto 0); signal xNOxRNeg_uid51_fpInvSqrtTest_q : std_logic_vector(0 downto 0); signal s1_uid64_uid67_invSqrtPolyEval_q : std_logic_vector (22 downto 0); signal s2_uid70_uid73_invSqrtPolyEval_q : std_logic_vector (32 downto 0); signal excRConc_uid52_fpInvSqrtTest_q : std_logic_vector (2 downto 0); signal fxpInvSqrtRes_uid39_fpInvSqrtTest_in : std_logic_vector (29 downto 0); signal fxpInvSqrtRes_uid39_fpInvSqrtTest_b : std_logic_vector (23 downto 0); signal fxpInverseResFrac_uid46_fpInvSqrtTest_in : std_logic_vector (22 downto 0); signal fxpInverseResFrac_uid46_fpInvSqrtTest_b : std_logic_vector (22 downto 0); signal fracRPostExc_uid54_fpInvSqrtTest_s : std_logic_vector (1 downto 0); signal fracRPostExc_uid54_fpInvSqrtTest_q : std_logic_vector (22 downto 0); signal R_uid57_fpInvSqrtTest_q : std_logic_vector (31 downto 0); begin --GND(CONSTANT,0) --xIn(GPIN,3)@0 --signX_uid31_fpInvSqrtTest(BITSELECT,30)@0 signX_uid31_fpInvSqrtTest_in <= a; signX_uid31_fpInvSqrtTest_b <= signX_uid31_fpInvSqrtTest_in(31 downto 31); --cstAllZWE_uid9_fpInvSqrtTest(CONSTANT,8) cstAllZWE_uid9_fpInvSqrtTest_q <= "00000000"; --exp_uid16_fpInvSqrtTest(BITSELECT,15)@0 exp_uid16_fpInvSqrtTest_in <= a(30 downto 0); exp_uid16_fpInvSqrtTest_b <= exp_uid16_fpInvSqrtTest_in(30 downto 23); --expXIsZero_uid17_fpInvSqrtTest(LOGICAL,16)@0 expXIsZero_uid17_fpInvSqrtTest_a <= exp_uid16_fpInvSqrtTest_b; expXIsZero_uid17_fpInvSqrtTest_b <= cstAllZWE_uid9_fpInvSqrtTest_q; expXIsZero_uid17_fpInvSqrtTest_q <= "1" when expXIsZero_uid17_fpInvSqrtTest_a = expXIsZero_uid17_fpInvSqrtTest_b else "0"; --signR_uid56_fpInvSqrtTest(LOGICAL,55)@0 signR_uid56_fpInvSqrtTest_a <= expXIsZero_uid17_fpInvSqrtTest_q; signR_uid56_fpInvSqrtTest_b <= signX_uid31_fpInvSqrtTest_b; signR_uid56_fpInvSqrtTest_q <= signR_uid56_fpInvSqrtTest_a and signR_uid56_fpInvSqrtTest_b; --ld_signR_uid56_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_c(DELAY,139)@0 ld_signR_uid56_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_c : dspba_delay GENERIC MAP ( width => 1, depth => 11 ) PORT MAP ( xin => signR_uid56_fpInvSqrtTest_q, xout => ld_signR_uid56_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_c_q, ena => en(0), clk => clk, aclr => areset ); --VCC(CONSTANT,1) VCC_q <= "1"; --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_notEnable(LOGICAL,182) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_notEnable_a <= en; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_notEnable_q <= not ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_notEnable_a; --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_nor(LOGICAL,183) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_nor_a <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_notEnable_q; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_nor_b <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_sticky_ena_q; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_nor_q <= not (ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_nor_a or ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_nor_b); --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_mem_top(CONSTANT,179) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_mem_top_q <= "0111"; --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmp(LOGICAL,180) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmp_a <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_mem_top_q; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux_q); ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmp_q <= "1" when ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmp_a = ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmp_b else "0"; --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmpReg(REG,181) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmpReg_q <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmp_q; END IF; END IF; END PROCESS; --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_sticky_ena(REG,184) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_nor_q = "1") THEN ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_sticky_ena_q <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_cmpReg_q; END IF; END IF; END PROCESS; --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_enaAnd(LOGICAL,185) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_enaAnd_a <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_sticky_ena_q; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_enaAnd_b <= en; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_enaAnd_q <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_enaAnd_a and ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_enaAnd_b; --cstAllOWE_uid6_fpInvSqrtTest(CONSTANT,5) cstAllOWE_uid6_fpInvSqrtTest_q <= "11111111"; --expRExt_uid43_fpInvSqrtTest(BITSELECT,42)@0 expRExt_uid43_fpInvSqrtTest_in <= exp_uid16_fpInvSqrtTest_b; expRExt_uid43_fpInvSqrtTest_b <= expRExt_uid43_fpInvSqrtTest_in(7 downto 1); --ld_expRExt_uid43_fpInvSqrtTest_b_to_expRExt_uid44_fpInvSqrtTest_b(DELAY,116)@0 ld_expRExt_uid43_fpInvSqrtTest_b_to_expRExt_uid44_fpInvSqrtTest_b : dspba_delay GENERIC MAP ( width => 7, depth => 1 ) PORT MAP ( xin => expRExt_uid43_fpInvSqrtTest_b, xout => ld_expRExt_uid43_fpInvSqrtTest_b_to_expRExt_uid44_fpInvSqrtTest_b_q, ena => en(0), clk => clk, aclr => areset ); --cst3BiasM1o2M1_uid10_fpInvSqrtTest(CONSTANT,9) cst3BiasM1o2M1_uid10_fpInvSqrtTest_q <= "10111101"; --cst3BiasP1o2M1_uid11_fpInvSqrtTest(CONSTANT,10) cst3BiasP1o2M1_uid11_fpInvSqrtTest_q <= "10111110"; --cstAllZWF_uid7_fpInvSqrtTest(CONSTANT,6) cstAllZWF_uid7_fpInvSqrtTest_q <= "00000000000000000000000"; --frac_uid20_fpInvSqrtTest(BITSELECT,19)@0 frac_uid20_fpInvSqrtTest_in <= a(22 downto 0); frac_uid20_fpInvSqrtTest_b <= frac_uid20_fpInvSqrtTest_in(22 downto 0); --fracXIsZero_uid21_fpInvSqrtTest(LOGICAL,20)@0 fracXIsZero_uid21_fpInvSqrtTest_a <= frac_uid20_fpInvSqrtTest_b; fracXIsZero_uid21_fpInvSqrtTest_b <= cstAllZWF_uid7_fpInvSqrtTest_q; fracXIsZero_uid21_fpInvSqrtTest_q <= "1" when fracXIsZero_uid21_fpInvSqrtTest_a = fracXIsZero_uid21_fpInvSqrtTest_b else "0"; --evenOddExp_uid33_fpInvSqrtTest(BITSELECT,32)@0 evenOddExp_uid33_fpInvSqrtTest_in <= exp_uid16_fpInvSqrtTest_b(0 downto 0); evenOddExp_uid33_fpInvSqrtTest_b <= evenOddExp_uid33_fpInvSqrtTest_in(0 downto 0); --join_uid41_fpInvSqrtTest(BITJOIN,40)@0 join_uid41_fpInvSqrtTest_q <= fracXIsZero_uid21_fpInvSqrtTest_q & evenOddExp_uid33_fpInvSqrtTest_b; --cstSel_uid42_fpInvSqrtTest(MUX,41)@0 cstSel_uid42_fpInvSqrtTest_s <= join_uid41_fpInvSqrtTest_q; cstSel_uid42_fpInvSqrtTest: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN cstSel_uid42_fpInvSqrtTest_q <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN CASE cstSel_uid42_fpInvSqrtTest_s IS WHEN "00" => cstSel_uid42_fpInvSqrtTest_q <= cst3BiasP1o2M1_uid11_fpInvSqrtTest_q; WHEN "01" => cstSel_uid42_fpInvSqrtTest_q <= cst3BiasM1o2M1_uid10_fpInvSqrtTest_q; WHEN "10" => cstSel_uid42_fpInvSqrtTest_q <= cst3BiasP1o2M1_uid11_fpInvSqrtTest_q; WHEN "11" => cstSel_uid42_fpInvSqrtTest_q <= cst3BiasP1o2M1_uid11_fpInvSqrtTest_q; WHEN OTHERS => cstSel_uid42_fpInvSqrtTest_q <= (others => '0'); END CASE; END IF; END IF; END PROCESS; --expRExt_uid44_fpInvSqrtTest(SUB,43)@1 expRExt_uid44_fpInvSqrtTest_a <= STD_LOGIC_VECTOR("0" & cstSel_uid42_fpInvSqrtTest_q); expRExt_uid44_fpInvSqrtTest_b <= STD_LOGIC_VECTOR("00" & ld_expRExt_uid43_fpInvSqrtTest_b_to_expRExt_uid44_fpInvSqrtTest_b_q); expRExt_uid44_fpInvSqrtTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expRExt_uid44_fpInvSqrtTest_a) - UNSIGNED(expRExt_uid44_fpInvSqrtTest_b)); expRExt_uid44_fpInvSqrtTest_q <= expRExt_uid44_fpInvSqrtTest_o(8 downto 0); --expR_uid45_fpInvSqrtTest(BITSELECT,44)@1 expR_uid45_fpInvSqrtTest_in <= expRExt_uid44_fpInvSqrtTest_q(7 downto 0); expR_uid45_fpInvSqrtTest_b <= expR_uid45_fpInvSqrtTest_in(7 downto 0); --InvExpXIsZero_uid49_fpInvSqrtTest(LOGICAL,48)@0 InvExpXIsZero_uid49_fpInvSqrtTest_a <= expXIsZero_uid17_fpInvSqrtTest_q; InvExpXIsZero_uid49_fpInvSqrtTest_q <= not InvExpXIsZero_uid49_fpInvSqrtTest_a; --xRegNeg_uid50_fpInvSqrtTest(LOGICAL,49)@0 xRegNeg_uid50_fpInvSqrtTest_a <= InvExpXIsZero_uid49_fpInvSqrtTest_q; xRegNeg_uid50_fpInvSqrtTest_b <= signX_uid31_fpInvSqrtTest_b; xRegNeg_uid50_fpInvSqrtTest_q <= xRegNeg_uid50_fpInvSqrtTest_a and xRegNeg_uid50_fpInvSqrtTest_b; --InvFracXIsZero_uid23_fpInvSqrtTest(LOGICAL,22)@0 InvFracXIsZero_uid23_fpInvSqrtTest_a <= fracXIsZero_uid21_fpInvSqrtTest_q; InvFracXIsZero_uid23_fpInvSqrtTest_q <= not InvFracXIsZero_uid23_fpInvSqrtTest_a; --expXIsMax_uid19_fpInvSqrtTest(LOGICAL,18)@0 expXIsMax_uid19_fpInvSqrtTest_a <= exp_uid16_fpInvSqrtTest_b; expXIsMax_uid19_fpInvSqrtTest_b <= cstAllOWE_uid6_fpInvSqrtTest_q; expXIsMax_uid19_fpInvSqrtTest_q <= "1" when expXIsMax_uid19_fpInvSqrtTest_a = expXIsMax_uid19_fpInvSqrtTest_b else "0"; --exc_N_uid24_fpInvSqrtTest(LOGICAL,23)@0 exc_N_uid24_fpInvSqrtTest_a <= expXIsMax_uid19_fpInvSqrtTest_q; exc_N_uid24_fpInvSqrtTest_b <= InvFracXIsZero_uid23_fpInvSqrtTest_q; exc_N_uid24_fpInvSqrtTest_q <= exc_N_uid24_fpInvSqrtTest_a and exc_N_uid24_fpInvSqrtTest_b; --xNOxRNeg_uid51_fpInvSqrtTest(LOGICAL,50)@0 xNOxRNeg_uid51_fpInvSqrtTest_a <= exc_N_uid24_fpInvSqrtTest_q; xNOxRNeg_uid51_fpInvSqrtTest_b <= xRegNeg_uid50_fpInvSqrtTest_q; xNOxRNeg_uid51_fpInvSqrtTest_q <= xNOxRNeg_uid51_fpInvSqrtTest_a or xNOxRNeg_uid51_fpInvSqrtTest_b; --exc_I_uid22_fpInvSqrtTest(LOGICAL,21)@0 exc_I_uid22_fpInvSqrtTest_a <= expXIsMax_uid19_fpInvSqrtTest_q; exc_I_uid22_fpInvSqrtTest_b <= fracXIsZero_uid21_fpInvSqrtTest_q; exc_I_uid22_fpInvSqrtTest_q <= exc_I_uid22_fpInvSqrtTest_a and exc_I_uid22_fpInvSqrtTest_b; --InvSignX_uid47_fpInvSqrtTest(LOGICAL,46)@0 InvSignX_uid47_fpInvSqrtTest_a <= signX_uid31_fpInvSqrtTest_b; InvSignX_uid47_fpInvSqrtTest_q <= not InvSignX_uid47_fpInvSqrtTest_a; --excRZero_uid48_fpInvSqrtTest(LOGICAL,47)@0 excRZero_uid48_fpInvSqrtTest_a <= InvSignX_uid47_fpInvSqrtTest_q; excRZero_uid48_fpInvSqrtTest_b <= exc_I_uid22_fpInvSqrtTest_q; excRZero_uid48_fpInvSqrtTest_q <= excRZero_uid48_fpInvSqrtTest_a and excRZero_uid48_fpInvSqrtTest_b; --excRConc_uid52_fpInvSqrtTest(BITJOIN,51)@0 excRConc_uid52_fpInvSqrtTest_q <= xNOxRNeg_uid51_fpInvSqrtTest_q & expXIsZero_uid17_fpInvSqrtTest_q & excRZero_uid48_fpInvSqrtTest_q; --reg_excRConc_uid52_fpInvSqrtTest_0_to_outMuxSelEnc_uid53_fpInvSqrtTest_0(REG,83)@0 reg_excRConc_uid52_fpInvSqrtTest_0_to_outMuxSelEnc_uid53_fpInvSqrtTest_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_excRConc_uid52_fpInvSqrtTest_0_to_outMuxSelEnc_uid53_fpInvSqrtTest_0_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_excRConc_uid52_fpInvSqrtTest_0_to_outMuxSelEnc_uid53_fpInvSqrtTest_0_q <= excRConc_uid52_fpInvSqrtTest_q; END IF; END IF; END PROCESS; --outMuxSelEnc_uid53_fpInvSqrtTest(LOOKUP,52)@1 outMuxSelEnc_uid53_fpInvSqrtTest: PROCESS (reg_excRConc_uid52_fpInvSqrtTest_0_to_outMuxSelEnc_uid53_fpInvSqrtTest_0_q) BEGIN -- Begin reserved scope level CASE (reg_excRConc_uid52_fpInvSqrtTest_0_to_outMuxSelEnc_uid53_fpInvSqrtTest_0_q) IS WHEN "000" => outMuxSelEnc_uid53_fpInvSqrtTest_q <= "01"; WHEN "001" => outMuxSelEnc_uid53_fpInvSqrtTest_q <= "00"; WHEN "010" => outMuxSelEnc_uid53_fpInvSqrtTest_q <= "10"; WHEN "011" => outMuxSelEnc_uid53_fpInvSqrtTest_q <= "00"; WHEN "100" => outMuxSelEnc_uid53_fpInvSqrtTest_q <= "11"; WHEN "101" => outMuxSelEnc_uid53_fpInvSqrtTest_q <= "00"; WHEN "110" => outMuxSelEnc_uid53_fpInvSqrtTest_q <= "10"; WHEN "111" => outMuxSelEnc_uid53_fpInvSqrtTest_q <= "01"; WHEN OTHERS => outMuxSelEnc_uid53_fpInvSqrtTest_q <= (others => '-'); END CASE; -- End reserved scope level END PROCESS; --expRPostExc_uid55_fpInvSqrtTest(MUX,54)@1 expRPostExc_uid55_fpInvSqrtTest_s <= outMuxSelEnc_uid53_fpInvSqrtTest_q; expRPostExc_uid55_fpInvSqrtTest: PROCESS (expRPostExc_uid55_fpInvSqrtTest_s, en, cstAllZWE_uid9_fpInvSqrtTest_q, expR_uid45_fpInvSqrtTest_b, cstAllOWE_uid6_fpInvSqrtTest_q, cstAllOWE_uid6_fpInvSqrtTest_q) BEGIN CASE expRPostExc_uid55_fpInvSqrtTest_s IS WHEN "00" => expRPostExc_uid55_fpInvSqrtTest_q <= cstAllZWE_uid9_fpInvSqrtTest_q; WHEN "01" => expRPostExc_uid55_fpInvSqrtTest_q <= expR_uid45_fpInvSqrtTest_b; WHEN "10" => expRPostExc_uid55_fpInvSqrtTest_q <= cstAllOWE_uid6_fpInvSqrtTest_q; WHEN "11" => expRPostExc_uid55_fpInvSqrtTest_q <= cstAllOWE_uid6_fpInvSqrtTest_q; WHEN OTHERS => expRPostExc_uid55_fpInvSqrtTest_q <= (others => '0'); END CASE; END PROCESS; --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_inputreg(DELAY,173) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_inputreg : dspba_delay GENERIC MAP ( width => 8, depth => 1 ) PORT MAP ( xin => expRPostExc_uid55_fpInvSqrtTest_q, xout => ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt(COUNTER,175) -- every=1, low=0, high=7, step=1, init=1 ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt_i <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt_i + 1; END IF; END IF; END PROCESS; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt_i,3)); --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdreg(REG,176) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdreg_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdreg_q <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux(MUX,177) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux_s <= en; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux: PROCESS (ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux_s, ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdreg_q, ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt_q) BEGIN CASE ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux_s IS WHEN "0" => ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux_q <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdreg_q; WHEN "1" => ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux_q <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdcnt_q; WHEN OTHERS => ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem(DUALMEM,174) ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_ia <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_inputreg_q; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_aa <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdreg_q; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_ab <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_rdmux_q; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 8, widthad_a => 3, numwords_a => 8, width_b => 8, widthad_b => 3, numwords_b => 8, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_reset0, clock1 => clk, address_b => ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_iq, address_a => ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_aa, data_a => ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_ia ); ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_reset0 <= areset; ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_q <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_iq(7 downto 0); --cstNaNWF_uid8_fpInvSqrtTest(CONSTANT,7) cstNaNWF_uid8_fpInvSqrtTest_q <= "00000000000000000000001"; --yAddr_uid35_fpInvSqrtTest(BITSELECT,34)@0 yAddr_uid35_fpInvSqrtTest_in <= frac_uid20_fpInvSqrtTest_b; yAddr_uid35_fpInvSqrtTest_b <= yAddr_uid35_fpInvSqrtTest_in(22 downto 15); --yAddrPEvenOdd_uid36_fpInvSqrtTest(BITJOIN,35)@0 yAddrPEvenOdd_uid36_fpInvSqrtTest_q <= evenOddExp_uid33_fpInvSqrtTest_b & yAddr_uid35_fpInvSqrtTest_b; --reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC2_uid61_invSqrtTabGen_lutmem_0(REG,84)@0 reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC2_uid61_invSqrtTabGen_lutmem_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC2_uid61_invSqrtTabGen_lutmem_0_q <= "000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC2_uid61_invSqrtTabGen_lutmem_0_q <= yAddrPEvenOdd_uid36_fpInvSqrtTest_q; END IF; END IF; END PROCESS; --memoryC2_uid61_invSqrtTabGen_lutmem(DUALMEM,82)@1 memoryC2_uid61_invSqrtTabGen_lutmem_ia <= (others => '0'); memoryC2_uid61_invSqrtTabGen_lutmem_aa <= (others => '0'); memoryC2_uid61_invSqrtTabGen_lutmem_ab <= reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC2_uid61_invSqrtTabGen_lutmem_0_q; memoryC2_uid61_invSqrtTabGen_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 12, widthad_a => 9, numwords_a => 512, width_b => 12, widthad_b => 9, numwords_b => 512, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_rsqrt_s5_memoryC2_uid61_invSqrtTabGen_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC2_uid61_invSqrtTabGen_lutmem_reset0, clock0 => clk, address_b => memoryC2_uid61_invSqrtTabGen_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC2_uid61_invSqrtTabGen_lutmem_iq, address_a => memoryC2_uid61_invSqrtTabGen_lutmem_aa, data_a => memoryC2_uid61_invSqrtTabGen_lutmem_ia ); memoryC2_uid61_invSqrtTabGen_lutmem_reset0 <= areset; memoryC2_uid61_invSqrtTabGen_lutmem_q <= memoryC2_uid61_invSqrtTabGen_lutmem_iq(11 downto 0); --reg_memoryC2_uid61_invSqrtTabGen_lutmem_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_1(REG,86)@3 reg_memoryC2_uid61_invSqrtTabGen_lutmem_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC2_uid61_invSqrtTabGen_lutmem_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_1_q <= "000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC2_uid61_invSqrtTabGen_lutmem_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_1_q <= memoryC2_uid61_invSqrtTabGen_lutmem_q; END IF; END IF; END PROCESS; --ld_frac_uid20_fpInvSqrtTest_b_to_yPPolyEval_uid37_fpInvSqrtTest_a_inputreg(DELAY,172) ld_frac_uid20_fpInvSqrtTest_b_to_yPPolyEval_uid37_fpInvSqrtTest_a_inputreg : dspba_delay GENERIC MAP ( width => 23, depth => 1 ) PORT MAP ( xin => frac_uid20_fpInvSqrtTest_b, xout => ld_frac_uid20_fpInvSqrtTest_b_to_yPPolyEval_uid37_fpInvSqrtTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_frac_uid20_fpInvSqrtTest_b_to_yPPolyEval_uid37_fpInvSqrtTest_a(DELAY,109)@0 ld_frac_uid20_fpInvSqrtTest_b_to_yPPolyEval_uid37_fpInvSqrtTest_a : dspba_delay GENERIC MAP ( width => 23, depth => 2 ) PORT MAP ( xin => ld_frac_uid20_fpInvSqrtTest_b_to_yPPolyEval_uid37_fpInvSqrtTest_a_inputreg_q, xout => ld_frac_uid20_fpInvSqrtTest_b_to_yPPolyEval_uid37_fpInvSqrtTest_a_q, ena => en(0), clk => clk, aclr => areset ); --yPPolyEval_uid37_fpInvSqrtTest(BITSELECT,36)@3 yPPolyEval_uid37_fpInvSqrtTest_in <= ld_frac_uid20_fpInvSqrtTest_b_to_yPPolyEval_uid37_fpInvSqrtTest_a_q(14 downto 0); yPPolyEval_uid37_fpInvSqrtTest_b <= yPPolyEval_uid37_fpInvSqrtTest_in(14 downto 0); --yT1_uid62_invSqrtPolyEval(BITSELECT,61)@3 yT1_uid62_invSqrtPolyEval_in <= yPPolyEval_uid37_fpInvSqrtTest_b; yT1_uid62_invSqrtPolyEval_b <= yT1_uid62_invSqrtPolyEval_in(14 downto 3); --reg_yT1_uid62_invSqrtPolyEval_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_0(REG,85)@3 reg_yT1_uid62_invSqrtPolyEval_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yT1_uid62_invSqrtPolyEval_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_0_q <= "000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yT1_uid62_invSqrtPolyEval_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_0_q <= yT1_uid62_invSqrtPolyEval_b; END IF; END IF; END PROCESS; --prodXY_uid75_pT1_uid63_invSqrtPolyEval(MULT,74)@4 prodXY_uid75_pT1_uid63_invSqrtPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid75_pT1_uid63_invSqrtPolyEval_a),13)) * SIGNED(prodXY_uid75_pT1_uid63_invSqrtPolyEval_b); prodXY_uid75_pT1_uid63_invSqrtPolyEval_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid75_pT1_uid63_invSqrtPolyEval_a <= (others => '0'); prodXY_uid75_pT1_uid63_invSqrtPolyEval_b <= (others => '0'); prodXY_uid75_pT1_uid63_invSqrtPolyEval_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid75_pT1_uid63_invSqrtPolyEval_a <= reg_yT1_uid62_invSqrtPolyEval_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_0_q; prodXY_uid75_pT1_uid63_invSqrtPolyEval_b <= reg_memoryC2_uid61_invSqrtTabGen_lutmem_0_to_prodXY_uid75_pT1_uid63_invSqrtPolyEval_1_q; prodXY_uid75_pT1_uid63_invSqrtPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid75_pT1_uid63_invSqrtPolyEval_pr,24)); END IF; END IF; END PROCESS; prodXY_uid75_pT1_uid63_invSqrtPolyEval: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid75_pT1_uid63_invSqrtPolyEval_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid75_pT1_uid63_invSqrtPolyEval_q <= prodXY_uid75_pT1_uid63_invSqrtPolyEval_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid76_pT1_uid63_invSqrtPolyEval(BITSELECT,75)@7 prodXYTruncFR_uid76_pT1_uid63_invSqrtPolyEval_in <= prodXY_uid75_pT1_uid63_invSqrtPolyEval_q; prodXYTruncFR_uid76_pT1_uid63_invSqrtPolyEval_b <= prodXYTruncFR_uid76_pT1_uid63_invSqrtPolyEval_in(23 downto 11); --highBBits_uid65_invSqrtPolyEval(BITSELECT,64)@7 highBBits_uid65_invSqrtPolyEval_in <= prodXYTruncFR_uid76_pT1_uid63_invSqrtPolyEval_b; highBBits_uid65_invSqrtPolyEval_b <= highBBits_uid65_invSqrtPolyEval_in(12 downto 1); --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC1_uid60_invSqrtTabGen_lutmem_0_q_to_memoryC1_uid60_invSqrtTabGen_lutmem_a(DELAY,160)@1 ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC1_uid60_invSqrtTabGen_lutmem_0_q_to_memoryC1_uid60_invSqrtTabGen_lutmem_a : dspba_delay GENERIC MAP ( width => 9, depth => 3 ) PORT MAP ( xin => reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC2_uid61_invSqrtTabGen_lutmem_0_q, xout => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC1_uid60_invSqrtTabGen_lutmem_0_q_to_memoryC1_uid60_invSqrtTabGen_lutmem_a_q, ena => en(0), clk => clk, aclr => areset ); --memoryC1_uid60_invSqrtTabGen_lutmem(DUALMEM,81)@4 memoryC1_uid60_invSqrtTabGen_lutmem_ia <= (others => '0'); memoryC1_uid60_invSqrtTabGen_lutmem_aa <= (others => '0'); memoryC1_uid60_invSqrtTabGen_lutmem_ab <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC1_uid60_invSqrtTabGen_lutmem_0_q_to_memoryC1_uid60_invSqrtTabGen_lutmem_a_q; memoryC1_uid60_invSqrtTabGen_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 21, widthad_a => 9, numwords_a => 512, width_b => 21, widthad_b => 9, numwords_b => 512, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_rsqrt_s5_memoryC1_uid60_invSqrtTabGen_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC1_uid60_invSqrtTabGen_lutmem_reset0, clock0 => clk, address_b => memoryC1_uid60_invSqrtTabGen_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC1_uid60_invSqrtTabGen_lutmem_iq, address_a => memoryC1_uid60_invSqrtTabGen_lutmem_aa, data_a => memoryC1_uid60_invSqrtTabGen_lutmem_ia ); memoryC1_uid60_invSqrtTabGen_lutmem_reset0 <= areset; memoryC1_uid60_invSqrtTabGen_lutmem_q <= memoryC1_uid60_invSqrtTabGen_lutmem_iq(20 downto 0); --reg_memoryC1_uid60_invSqrtTabGen_lutmem_0_to_sumAHighB_uid66_invSqrtPolyEval_0(REG,88)@6 reg_memoryC1_uid60_invSqrtTabGen_lutmem_0_to_sumAHighB_uid66_invSqrtPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC1_uid60_invSqrtTabGen_lutmem_0_to_sumAHighB_uid66_invSqrtPolyEval_0_q <= "000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC1_uid60_invSqrtTabGen_lutmem_0_to_sumAHighB_uid66_invSqrtPolyEval_0_q <= memoryC1_uid60_invSqrtTabGen_lutmem_q; END IF; END IF; END PROCESS; --sumAHighB_uid66_invSqrtPolyEval(ADD,65)@7 sumAHighB_uid66_invSqrtPolyEval_a <= STD_LOGIC_VECTOR((21 downto 21 => reg_memoryC1_uid60_invSqrtTabGen_lutmem_0_to_sumAHighB_uid66_invSqrtPolyEval_0_q(20)) & reg_memoryC1_uid60_invSqrtTabGen_lutmem_0_to_sumAHighB_uid66_invSqrtPolyEval_0_q); sumAHighB_uid66_invSqrtPolyEval_b <= STD_LOGIC_VECTOR((21 downto 12 => highBBits_uid65_invSqrtPolyEval_b(11)) & highBBits_uid65_invSqrtPolyEval_b); sumAHighB_uid66_invSqrtPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid66_invSqrtPolyEval_a) + SIGNED(sumAHighB_uid66_invSqrtPolyEval_b)); sumAHighB_uid66_invSqrtPolyEval_q <= sumAHighB_uid66_invSqrtPolyEval_o(21 downto 0); --lowRangeB_uid64_invSqrtPolyEval(BITSELECT,63)@7 lowRangeB_uid64_invSqrtPolyEval_in <= prodXYTruncFR_uid76_pT1_uid63_invSqrtPolyEval_b(0 downto 0); lowRangeB_uid64_invSqrtPolyEval_b <= lowRangeB_uid64_invSqrtPolyEval_in(0 downto 0); --s1_uid64_uid67_invSqrtPolyEval(BITJOIN,66)@7 s1_uid64_uid67_invSqrtPolyEval_q <= sumAHighB_uid66_invSqrtPolyEval_q & lowRangeB_uid64_invSqrtPolyEval_b; --reg_s1_uid64_uid67_invSqrtPolyEval_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_1(REG,90)@7 reg_s1_uid64_uid67_invSqrtPolyEval_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_1: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_s1_uid64_uid67_invSqrtPolyEval_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_1_q <= "00000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_s1_uid64_uid67_invSqrtPolyEval_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_1_q <= s1_uid64_uid67_invSqrtPolyEval_q; END IF; END IF; END PROCESS; --ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_nor(LOGICAL,207) ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_nor_a <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_notEnable_q; ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_nor_b <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_sticky_ena_q; ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_nor_q <= not (ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_nor_a or ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_nor_b); --ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_cmpReg(REG,205) ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_cmpReg_q <= VCC_q; END IF; END IF; END PROCESS; --ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_sticky_ena(REG,208) ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_nor_q = "1") THEN ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_sticky_ena_q <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_enaAnd(LOGICAL,209) ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_enaAnd_a <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_sticky_ena_q; ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_enaAnd_b <= en; ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_enaAnd_q <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_enaAnd_a and ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_enaAnd_b; --ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_inputreg(DELAY,199) ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_inputreg : dspba_delay GENERIC MAP ( width => 15, depth => 1 ) PORT MAP ( xin => yPPolyEval_uid37_fpInvSqrtTest_b, xout => ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt(COUNTER,201) -- every=1, low=0, high=1, step=1, init=1 ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,1); ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt_i <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt_i + 1; END IF; END IF; END PROCESS; ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt_i,1)); --ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdreg(REG,202) ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdreg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdreg_q <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux(MUX,203) ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux_s <= en; ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux: PROCESS (ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux_s, ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdreg_q, ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt_q) BEGIN CASE ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux_s IS WHEN "0" => ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux_q <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdreg_q; WHEN "1" => ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux_q <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdcnt_q; WHEN OTHERS => ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem(DUALMEM,200) ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_ia <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_inputreg_q; ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_aa <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdreg_q; ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_ab <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_rdmux_q; ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 15, widthad_a => 1, numwords_a => 2, width_b => 15, widthad_b => 1, numwords_b => 2, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_reset0, clock1 => clk, address_b => ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_iq, address_a => ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_aa, data_a => ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_ia ); ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_reset0 <= areset; ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_q <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_iq(14 downto 0); --reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0(REG,89)@7 reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_q <= "000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_q <= ld_yPPolyEval_uid37_fpInvSqrtTest_b_to_reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_a_replace_mem_q; END IF; END IF; END PROCESS; --prodXY_uid78_pT2_uid69_invSqrtPolyEval(MULT,77)@8 prodXY_uid78_pT2_uid69_invSqrtPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid78_pT2_uid69_invSqrtPolyEval_a),16)) * SIGNED(prodXY_uid78_pT2_uid69_invSqrtPolyEval_b); prodXY_uid78_pT2_uid69_invSqrtPolyEval_component: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid78_pT2_uid69_invSqrtPolyEval_a <= (others => '0'); prodXY_uid78_pT2_uid69_invSqrtPolyEval_b <= (others => '0'); prodXY_uid78_pT2_uid69_invSqrtPolyEval_s1 <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid78_pT2_uid69_invSqrtPolyEval_a <= reg_yPPolyEval_uid37_fpInvSqrtTest_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_0_q; prodXY_uid78_pT2_uid69_invSqrtPolyEval_b <= reg_s1_uid64_uid67_invSqrtPolyEval_0_to_prodXY_uid78_pT2_uid69_invSqrtPolyEval_1_q; prodXY_uid78_pT2_uid69_invSqrtPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid78_pT2_uid69_invSqrtPolyEval_pr,38)); END IF; END IF; END PROCESS; prodXY_uid78_pT2_uid69_invSqrtPolyEval: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN prodXY_uid78_pT2_uid69_invSqrtPolyEval_q <= (others => '0'); ELSIF(clk'EVENT AND clk = '1') THEN IF (en = "1") THEN prodXY_uid78_pT2_uid69_invSqrtPolyEval_q <= prodXY_uid78_pT2_uid69_invSqrtPolyEval_s1; END IF; END IF; END PROCESS; --prodXYTruncFR_uid79_pT2_uid69_invSqrtPolyEval(BITSELECT,78)@11 prodXYTruncFR_uid79_pT2_uid69_invSqrtPolyEval_in <= prodXY_uid78_pT2_uid69_invSqrtPolyEval_q; prodXYTruncFR_uid79_pT2_uid69_invSqrtPolyEval_b <= prodXYTruncFR_uid79_pT2_uid69_invSqrtPolyEval_in(37 downto 14); --highBBits_uid71_invSqrtPolyEval(BITSELECT,70)@11 highBBits_uid71_invSqrtPolyEval_in <= prodXYTruncFR_uid79_pT2_uid69_invSqrtPolyEval_b; highBBits_uid71_invSqrtPolyEval_b <= highBBits_uid71_invSqrtPolyEval_in(23 downto 2); --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_nor(LOGICAL,196) ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_nor_a <= ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_notEnable_q; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_nor_b <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_sticky_ena_q; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_nor_q <= not (ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_nor_a or ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_nor_b); --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_mem_top(CONSTANT,192) ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_mem_top_q <= "0100"; --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmp(LOGICAL,193) ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmp_a <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_mem_top_q; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux_q); ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmp_q <= "1" when ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmp_a = ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmp_b else "0"; --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmpReg(REG,194) ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmpReg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmpReg_q <= "0"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmpReg_q <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmp_q; END IF; END IF; END PROCESS; --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_sticky_ena(REG,197) ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_sticky_ena: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_sticky_ena_q <= "0"; ELSIF rising_edge(clk) THEN IF (ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_nor_q = "1") THEN ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_sticky_ena_q <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_cmpReg_q; END IF; END IF; END PROCESS; --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_enaAnd(LOGICAL,198) ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_enaAnd_a <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_sticky_ena_q; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_enaAnd_b <= en; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_enaAnd_q <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_enaAnd_a and ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_enaAnd_b; --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_inputreg(DELAY,186) ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_inputreg : dspba_delay GENERIC MAP ( width => 9, depth => 1 ) PORT MAP ( xin => reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC2_uid61_invSqrtTabGen_lutmem_0_q, xout => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_inputreg_q, ena => en(0), clk => clk, aclr => areset ); --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt(COUNTER,188) -- every=1, low=0, high=4, step=1, init=1 ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_i <= TO_UNSIGNED(1,3); ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_eq <= '0'; ELSIF (clk'EVENT AND clk = '1') THEN IF (en = "1") THEN IF ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_i = 3 THEN ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_eq <= '1'; ELSE ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_eq <= '0'; END IF; IF (ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_eq = '1') THEN ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_i <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_i - 4; ELSE ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_i <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_i + 1; END IF; END IF; END IF; END PROCESS; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_i,3)); --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdreg(REG,189) ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdreg: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdreg_q <= "000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdreg_q <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_q; END IF; END IF; END PROCESS; --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux(MUX,190) ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux_s <= en; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux: PROCESS (ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux_s, ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdreg_q, ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_q) BEGIN CASE ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux_s IS WHEN "0" => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux_q <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdreg_q; WHEN "1" => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux_q <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdcnt_q; WHEN OTHERS => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux_q <= (others => '0'); END CASE; END PROCESS; --ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem(DUALMEM,187) ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_ia <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_inputreg_q; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_aa <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdreg_q; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_ab <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_rdmux_q; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_dmem : altsyncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 9, widthad_a => 3, numwords_a => 5, width_b => 9, widthad_b => 3, numwords_b => 5, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "UNUSED", intended_device_family => "Stratix V" ) PORT MAP ( clocken1 => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_enaAnd_q(0), clocken0 => '1', wren_a => en(0), clock0 => clk, aclr1 => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_reset0, clock1 => clk, address_b => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_ab, -- data_b => (others => '0'), q_b => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_iq, address_a => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_aa, data_a => ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_ia ); ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_reset0 <= areset; ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_q <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_iq(8 downto 0); --memoryC0_uid59_invSqrtTabGen_lutmem(DUALMEM,80)@8 memoryC0_uid59_invSqrtTabGen_lutmem_ia <= (others => '0'); memoryC0_uid59_invSqrtTabGen_lutmem_aa <= (others => '0'); memoryC0_uid59_invSqrtTabGen_lutmem_ab <= ld_reg_yAddrPEvenOdd_uid36_fpInvSqrtTest_0_to_memoryC0_uid59_invSqrtTabGen_lutmem_0_q_to_memoryC0_uid59_invSqrtTabGen_lutmem_a_replace_mem_q; memoryC0_uid59_invSqrtTabGen_lutmem_dmem : altsyncram GENERIC MAP ( ram_block_type => "M20K", operation_mode => "DUAL_PORT", width_a => 30, widthad_a => 9, numwords_a => 512, width_b => 30, widthad_b => 9, numwords_b => 512, lpm_type => "altsyncram", width_byteena_a => 1, indata_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK0", outdata_aclr_b => "CLEAR0", address_reg_b => "CLOCK0", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "FALSE", init_file => "fp_rsqrt_s5_memoryC0_uid59_invSqrtTabGen_lutmem.hex", init_file_layout => "PORT_B", intended_device_family => "Stratix V" ) PORT MAP ( clocken0 => en(0), wren_a => '0', aclr0 => memoryC0_uid59_invSqrtTabGen_lutmem_reset0, clock0 => clk, address_b => memoryC0_uid59_invSqrtTabGen_lutmem_ab, -- data_b => (others => '0'), q_b => memoryC0_uid59_invSqrtTabGen_lutmem_iq, address_a => memoryC0_uid59_invSqrtTabGen_lutmem_aa, data_a => memoryC0_uid59_invSqrtTabGen_lutmem_ia ); memoryC0_uid59_invSqrtTabGen_lutmem_reset0 <= areset; memoryC0_uid59_invSqrtTabGen_lutmem_q <= memoryC0_uid59_invSqrtTabGen_lutmem_iq(29 downto 0); --reg_memoryC0_uid59_invSqrtTabGen_lutmem_0_to_sumAHighB_uid72_invSqrtPolyEval_0(REG,92)@10 reg_memoryC0_uid59_invSqrtTabGen_lutmem_0_to_sumAHighB_uid72_invSqrtPolyEval_0: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN reg_memoryC0_uid59_invSqrtTabGen_lutmem_0_to_sumAHighB_uid72_invSqrtPolyEval_0_q <= "000000000000000000000000000000"; ELSIF rising_edge(clk) THEN IF (en = "1") THEN reg_memoryC0_uid59_invSqrtTabGen_lutmem_0_to_sumAHighB_uid72_invSqrtPolyEval_0_q <= memoryC0_uid59_invSqrtTabGen_lutmem_q; END IF; END IF; END PROCESS; --sumAHighB_uid72_invSqrtPolyEval(ADD,71)@11 sumAHighB_uid72_invSqrtPolyEval_a <= STD_LOGIC_VECTOR((30 downto 30 => reg_memoryC0_uid59_invSqrtTabGen_lutmem_0_to_sumAHighB_uid72_invSqrtPolyEval_0_q(29)) & reg_memoryC0_uid59_invSqrtTabGen_lutmem_0_to_sumAHighB_uid72_invSqrtPolyEval_0_q); sumAHighB_uid72_invSqrtPolyEval_b <= STD_LOGIC_VECTOR((30 downto 22 => highBBits_uid71_invSqrtPolyEval_b(21)) & highBBits_uid71_invSqrtPolyEval_b); sumAHighB_uid72_invSqrtPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid72_invSqrtPolyEval_a) + SIGNED(sumAHighB_uid72_invSqrtPolyEval_b)); sumAHighB_uid72_invSqrtPolyEval_q <= sumAHighB_uid72_invSqrtPolyEval_o(30 downto 0); --lowRangeB_uid70_invSqrtPolyEval(BITSELECT,69)@11 lowRangeB_uid70_invSqrtPolyEval_in <= prodXYTruncFR_uid79_pT2_uid69_invSqrtPolyEval_b(1 downto 0); lowRangeB_uid70_invSqrtPolyEval_b <= lowRangeB_uid70_invSqrtPolyEval_in(1 downto 0); --s2_uid70_uid73_invSqrtPolyEval(BITJOIN,72)@11 s2_uid70_uid73_invSqrtPolyEval_q <= sumAHighB_uid72_invSqrtPolyEval_q & lowRangeB_uid70_invSqrtPolyEval_b; --fxpInvSqrtRes_uid39_fpInvSqrtTest(BITSELECT,38)@11 fxpInvSqrtRes_uid39_fpInvSqrtTest_in <= s2_uid70_uid73_invSqrtPolyEval_q(29 downto 0); fxpInvSqrtRes_uid39_fpInvSqrtTest_b <= fxpInvSqrtRes_uid39_fpInvSqrtTest_in(29 downto 6); --fxpInverseResFrac_uid46_fpInvSqrtTest(BITSELECT,45)@11 fxpInverseResFrac_uid46_fpInvSqrtTest_in <= fxpInvSqrtRes_uid39_fpInvSqrtTest_b(22 downto 0); fxpInverseResFrac_uid46_fpInvSqrtTest_b <= fxpInverseResFrac_uid46_fpInvSqrtTest_in(22 downto 0); --ld_outMuxSelEnc_uid53_fpInvSqrtTest_q_to_fracRPostExc_uid54_fpInvSqrtTest_b(DELAY,131)@1 ld_outMuxSelEnc_uid53_fpInvSqrtTest_q_to_fracRPostExc_uid54_fpInvSqrtTest_b : dspba_delay GENERIC MAP ( width => 2, depth => 10 ) PORT MAP ( xin => outMuxSelEnc_uid53_fpInvSqrtTest_q, xout => ld_outMuxSelEnc_uid53_fpInvSqrtTest_q_to_fracRPostExc_uid54_fpInvSqrtTest_b_q, ena => en(0), clk => clk, aclr => areset ); --fracRPostExc_uid54_fpInvSqrtTest(MUX,53)@11 fracRPostExc_uid54_fpInvSqrtTest_s <= ld_outMuxSelEnc_uid53_fpInvSqrtTest_q_to_fracRPostExc_uid54_fpInvSqrtTest_b_q; fracRPostExc_uid54_fpInvSqrtTest: PROCESS (fracRPostExc_uid54_fpInvSqrtTest_s, en, cstAllZWF_uid7_fpInvSqrtTest_q, fxpInverseResFrac_uid46_fpInvSqrtTest_b, cstAllZWF_uid7_fpInvSqrtTest_q, cstNaNWF_uid8_fpInvSqrtTest_q) BEGIN CASE fracRPostExc_uid54_fpInvSqrtTest_s IS WHEN "00" => fracRPostExc_uid54_fpInvSqrtTest_q <= cstAllZWF_uid7_fpInvSqrtTest_q; WHEN "01" => fracRPostExc_uid54_fpInvSqrtTest_q <= fxpInverseResFrac_uid46_fpInvSqrtTest_b; WHEN "10" => fracRPostExc_uid54_fpInvSqrtTest_q <= cstAllZWF_uid7_fpInvSqrtTest_q; WHEN "11" => fracRPostExc_uid54_fpInvSqrtTest_q <= cstNaNWF_uid8_fpInvSqrtTest_q; WHEN OTHERS => fracRPostExc_uid54_fpInvSqrtTest_q <= (others => '0'); END CASE; END PROCESS; --R_uid57_fpInvSqrtTest(BITJOIN,56)@11 R_uid57_fpInvSqrtTest_q <= ld_signR_uid56_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_c_q & ld_expRPostExc_uid55_fpInvSqrtTest_q_to_R_uid57_fpInvSqrtTest_b_replace_mem_q & fracRPostExc_uid54_fpInvSqrtTest_q; --xOut(GPOUT,4)@11 q <= R_uid57_fpInvSqrtTest_q; end normal;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
Erosion/ip/Erosion/hcc_mullongs.vhd
10
5869
LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MULLONGS.VHD *** --*** *** --*** Function: 3 pipeline stage fixed point *** --*** (long, signed & unsigned) *** --*** synthesizable *** --*** *** --*** 14/12/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mullongs IS GENERIC (unsigned : integer := 0); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (32 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); END hcc_mullongs; ARCHITECTURE syn OF hcc_mullongs IS signal mulnode : STD_LOGIC_VECTOR (64 DOWNTO 1); COMPONENT altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gsa: IF (unsigned = 0) GENERATE ALTMULT_ADD_component : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "SIGNED", representation_b => "SIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 32, width_b => 32, width_result => 64 ) PORT MAP ( dataa => mulaa, datab => mulbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulnode ); END GENERATE; gua: IF (unsigned = 1) GENERATE ALTMULT_ADD_component : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 32, width_b => 32, width_result => 64 ) PORT MAP ( dataa => mulaa, datab => mulbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulnode ); END GENERATE; mulcc <= mulnode(32 DOWNTO 1); END syn;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
Erosion/ip/Erosion/dp_fabs.vhd
10
3182
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** DP_FABS.VHD *** --*** *** --*** Function: Single Precision Absolute Value *** --*** *** --*** abs(x) *** --*** *** --*** Created 12/09/09 *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_fabs IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signin : IN STD_LOGIC; exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1); signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); satout, zeroout, nanout : OUT STD_LOGIC ); END dp_fabs; ARCHITECTURE rtl OF dp_fabs IS signal signff : STD_LOGIC; signal exponentff : STD_LOGIC_VECTOR (11 DOWNTO 1); signal mantissaff : STD_LOGIC_VECTOR (52 DOWNTO 1); signal expnode : STD_LOGIC_VECTOR (11 DOWNTO 1); signal expzerochk, expmaxchk : STD_LOGIC_VECTOR (11 DOWNTO 1); signal expzero, expmax : STD_LOGIC; signal manzerochk : STD_LOGIC_VECTOR (52 DOWNTO 1); signal manzero, mannonzero : STD_LOGIC; BEGIN pin: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN signff <= '0'; FOR k IN 1 TO 11 LOOP exponentff(k) <= '0'; END LOOP; FOR k IN 1 TO 52 LOOP mantissaff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN signff <= '0'; exponentff <= exponentin; mantissaff <= mantissain; END IF; END IF; END PROCESS; expzerochk(1) <= exponentff(1); expmaxchk(1) <= exponentff(1); gxa: FOR k IN 2 TO 11 GENERATE expzerochk(k) <= expzerochk(k-1) OR exponentff(k); expmaxchk(k) <= expmaxchk(k-1) AND exponentff(k); END GENERATE; expzero <= NOT(expzerochk(11)); expmax <= expmaxchk(11); manzerochk(1) <= mantissaff(1); gma: FOR k IN 2 TO 52 GENERATE manzerochk(k) <= manzerochk(k-1) OR mantissaff(k); END GENERATE; manzero <= NOT(manzerochk(52)); mannonzero <= manzerochk(52); signout <= signff; exponentout <= exponentff; mantissaout <= mantissaff; satout <= expmax AND manzero; zeroout <= expzero; nanout <= expmax AND mannonzero; END rtl;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/dp_fabs.vhd
10
3182
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** DP_FABS.VHD *** --*** *** --*** Function: Single Precision Absolute Value *** --*** *** --*** abs(x) *** --*** *** --*** Created 12/09/09 *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_fabs IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signin : IN STD_LOGIC; exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1); signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); satout, zeroout, nanout : OUT STD_LOGIC ); END dp_fabs; ARCHITECTURE rtl OF dp_fabs IS signal signff : STD_LOGIC; signal exponentff : STD_LOGIC_VECTOR (11 DOWNTO 1); signal mantissaff : STD_LOGIC_VECTOR (52 DOWNTO 1); signal expnode : STD_LOGIC_VECTOR (11 DOWNTO 1); signal expzerochk, expmaxchk : STD_LOGIC_VECTOR (11 DOWNTO 1); signal expzero, expmax : STD_LOGIC; signal manzerochk : STD_LOGIC_VECTOR (52 DOWNTO 1); signal manzero, mannonzero : STD_LOGIC; BEGIN pin: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN signff <= '0'; FOR k IN 1 TO 11 LOOP exponentff(k) <= '0'; END LOOP; FOR k IN 1 TO 52 LOOP mantissaff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN signff <= '0'; exponentff <= exponentin; mantissaff <= mantissain; END IF; END IF; END PROCESS; expzerochk(1) <= exponentff(1); expmaxchk(1) <= exponentff(1); gxa: FOR k IN 2 TO 11 GENERATE expzerochk(k) <= expzerochk(k-1) OR exponentff(k); expmaxchk(k) <= expmaxchk(k-1) AND exponentff(k); END GENERATE; expzero <= NOT(expzerochk(11)); expmax <= expmaxchk(11); manzerochk(1) <= mantissaff(1); gma: FOR k IN 2 TO 52 GENERATE manzerochk(k) <= manzerochk(k-1) OR mantissaff(k); END GENERATE; manzero <= NOT(manzerochk(52)); mannonzero <= manzerochk(52); signout <= signff; exponentout <= exponentff; mantissaout <= mantissaff; satout <= expmax AND manzero; zeroout <= expzero; nanout <= expmax AND mannonzero; END rtl;
mit
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/hcc_castltod.vhd
10
3294
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTLTOD.VHD *** --*** *** --*** Function: Cast Long to IEEE754 Double *** --*** Format *** --*** *** --*** 13/12/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castltod IS GENERIC ( roundconvert : integer := 0; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1; unsigned : integer := 0 -- 0 = signed, 1 = unsigned ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_castltod; ARCHITECTURE rtl OF hcc_castltod IS signal fit : STD_LOGIC; signal yvector : STD_LOGIC_VECTOR (77 DOWNTO 1); signal exponentfit, exponentnofit : STD_LOGIC_VECTOR (10 DOWNTO 1); component hcc_castytod GENERIC ( roundconvert : integer := 0; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; BEGIN gxa: IF (unsigned = 0) GENERATE yvector(77 DOWNTO 73) <= aa(32) & aa(32) & aa(32) & aa(32) & aa(32); END GENERATE; gxb: IF (unsigned = 1) GENERATE yvector(77 DOWNTO 73) <= "00000"; END GENERATE; yvector(72 DOWNTO 41) <= aa; gza: FOR k IN 14 TO 40 GENERATE yvector(k) <= '0'; END GENERATE; yvector(13 DOWNTO 1) <= conv_std_logic_vector (1054,13); -- account for 31bit right shift core: hcc_castytod GENERIC MAP (roundconvert=>roundconvert,normspeed=>normspeed, doublespeed=>doublespeed,synthesize=>synthesize) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, aa=>yvector,aasat=>'0',aazip=>'0', cc=>cc); END rtl;
mit